將 UCF 約束移植到 XDC

了解如何將基本的 UCF 約束轉變為 Vivado 可用的 XDC,包括基本的轉換工具和 Xilinx 給出的約束驗證建議。