IP 加密

Xilinx Vivado 設計套件支持符合 IEEE-1735-2014 版 2 標準的加密。IP 加密覆蓋從 HDL(SystemVerilog、Verilog、VHDL)設計入口到媒體流生成的整個流程。IP 管理員可通過描述工具與 IP 的交互方式管理其 IP 的訪問權限

ip-encryption-flow

常見問題

  • 加密工具能否與特定版本的 Vivado 配合使用?
    Vivado 2016.3 及更新版支持加密版本 2。Xilinx 建議您使用 Vivado 2017.2.1或 其後的版本,以利用最新的安全更新。
  • Xilinx 加密工具支持哪些語言?
    目前,Xilinx 支持 VHDL、Verilog 和 SystemVerilog。
  • 如何加密文件?
    請參考文件加密用戶指南。

  • 在哪兒可找到加密示例?
    這些示例隻用於演示目的。它不會使用所有可用的權限,而且它不應該用來加密 IP 源。
  • Xilinx 支持 IEEE 1735 版本 1 加密嗎?
    Xilinx 在有限訪的問程序下支持 IEEE 1735 版本 1 加密。請聯係當地銷售部或應用工程師了解更多詳情

  • 加密特性需要許可證嗎?
    是的, 加密特性需要許可證, 請查看有關如何獲取許可證的答複記錄。
  • 我的客戶是否需要許可證才能使用加密文件?
    不需要, 解密不需要許可證, 因此您的客戶使用加密文件不需要專門的許可證。
  • 購買加密許可證需要多少錢?
    Xilinx 客戶可免費獲得加密許可證。
  • 我該如何為我的加密 IP 提供許可證?
    Xilinx 提供第三方 IP 許可方案,允許 IP 合作夥伴為其 IP 生成許可。請聯係 Xilinx 銷售部門以獲取更多詳細信息。
  • 我可以通過其它廠商的工具使用版本 2 加密 IP 嗎?
    請谘詢第三方工具廠商, 確定他們是否支持符合版本 2 要求的標準。

  • 我可以針對其它廠商加密我的 IP 嗎?
    在符合版本 2 標準的廠商工具間,可實現加密源的互操作。請谘詢第三方工具廠商, 確定他們是否支持符合版本 2 要求的標準。