驗證

下麵將概括介紹 Vivado ML 的驗證特性。點擊其它標簽,了解完整的特性詳情。

邏輯仿真

仿真流

Vivado® Design Suite 可為單個業界一流集成設計環境 (IDE) 中所包含的第三方仿真器提供設計入口、時序分析、硬件調試以及仿真等一係列功能。對於所有所支持的仿真器而言,該流程都可滿足集成型及企業驗證需求。

Vivado 可為全麵集成型 Vivado 仿真器以及第三方 HDL 仿真器實現行為、後期綜合與後期實現(功能或時序)仿真。設計周期早期階段多花點時間在仿真上,有助於提早識別問題,相對於在流程後期階段多用時間而言,可顯著減少轉換時間。

為幫助在用戶驗證環境中實現高靈活性,Vivado 不僅提供對集成環境的支持,而且還提供各種腳本與外部驗證設置聯用。

Vivado IDE 支持所有主流仿真器,無論是在交互式仿真用戶的集成模式下還是在高級驗證工程師的腳本模式下,都沒有問題。

Aldec — Active-HDL® 與 Riviera-PRO®、Cadence Xcelium® 仿真器、Siemens EDA — ModelSim® 與 Questasim®、Synopsys VCS® 以及 Xilinx Vivado 仿真

主要特性

  • 仿真流程助力在用戶環境中為所支持的仿真器編譯仿真庫,從而可實現對編譯庫的重複使用。
  • 能夠在設計過程的不同階段仿真和驗證設計完整性,如行為、綜合後功能及時序仿真以及實現後功能及時序仿真等。
  • 對所有模擬器使用一致的 3 步流程(編譯、精心設計、模擬)進行統一模擬集成
  • 為第三方企業仿真器生成仿真腳本,以便通過用戶自己的環境執行驗證。

Vivado 仿真器

Vivado® 仿真器是一款具有豐富功能的多語言仿真器,支持 Verilog、SystemVerilog 和 VHDL 語言。隨附提供的 Vivado 仿真器是 Vivado 的重要組成部分,無需支付額外的費用。它沒有設計尺寸、實例或線路限製,可使用單個 Vivado 許可證運行無限的混合語言仿真實例。

Vivado 仿真器支持 Windows® 和 Linux 操作係統,可提供強大的調試特性,充分滿足 Xilinx 客戶的驗證需求。

Vivado 仿真器是硬件描述語言 (HDL) 事件驅動的仿真器,支持單一語言及混合語言設計的行為與時序仿真。

主要特性

  • SystemVerilog (包括約束隨機化和功能覆蓋)
  • Verilog 2001
  • VHDL 93 和 VHDL 2008
  • 高級波形查看器,可查看數字/模擬波形及事務處理
  • 斷點、子程序調試和交叉探測等綜合調試工具
  • 支持 UVM 1.2 庫
  • 功能覆蓋範圍
  • 支持 GUI 和腳本模式
  • 直接編程接口 (DPI)
  • Xilinx 仿真接口 (XSI)
編程與調試

編程和調試

Vivado® Debug 提供多種解決方案來幫助用戶更高效便捷地調試其設計。這些解決方案包含各種工具、IP 和流程,支持從邏輯到係統級調試的各種功能,而且用戶設計在硬件中運行。

此外,Vivado 還提供統一的設計環境,您可以在相同的 IDE 中執行不同的調試任務。在該 IDE 中,界麵的外觀一致,各種功能彼此通信良好。

亮點

作為 Vivado IDE 的一部分,硬件管理器可幫助用戶編程器件並在比特流生成後調試設計。使用硬件管理器,用戶可連接並編程包含一個或多個 FPGA 器件的硬件目標,然後可通過 Tcl 或 GUI 接口與調試 IP 互動,包括邏輯分析器、串行 I/O 分析器以及存儲器校準調試。

  • 麵向 FPGA、配置存儲器器件、eFUSE AES 鍵/寄存器的器件編程器
  • Tcl 腳本編寫支持調試自動化
  • 通過 hw_server 和 Xilinx 虛擬線纜對網絡進行遠程調試 (XVC)

Vivado 提供各種調試 IP 和工具特性,可幫助您為您所實現的設計輕鬆執行係統內邏輯調試。

  • ILA— 用於觸發事件並捕獲內部信號數據
  • System ILA— 用於為 AXI 接口執行事務處理級調試
  • VIO— 用於監控並驅動內部信號
  • JTAG - AXI— 用於通過 Tcl 直接與 AXI 接口交互

Vivado 可為您提供一個快捷的方法來調試和優化 FPGA 收發器。該解決方案包括一個可定製的調試 IP (IBERT) 和 Vivado 串行 I/O 分析工具。如果結合使用,您可對多個通道進行誤碼率 (BER) 測量,執行 1D/2D 眼圖掃描,實時調整收發器參數,而您的串行 I/O 通道還能夠與係統其它部分進行互動。

此外,IBERT 還包括數據模式生成器和檢查器,支持對收發器 DRP 端口的訪問,可用於 PMA 評估和收發器演示。當在 FPGA 中實現了 IBERT 後,Vivado 串行 I/O 分析器不僅可與 IP 互動,而且還允許您創建鏈路(類似於開發板上的通道),並可通過以圖形方式運行掃描和查看結果來分析鏈路的裕量。

內存校準調試工具允許您快速調試內存接口(DDR4/3、RLDRAM3、QDRII+ 和 LPDDR3)中的校準或數據錯誤。您一直可在工作的整個過程中隨時查看和分析硬件中存儲器接口的內核配置、校準狀態和數據裕量。

Xilinx 虛擬線纜 (XVC) 解決方案是一種基於 TCP/IP 的協議,類似於 JTAG 線纜,可提供一種無需使用物理線纜便可訪問和調試 FPGA 或 SoC 設計的方法。XVC 解決方案具有軟件及硬件組件:

ChipScopy 是一款 Python API,隻允許針對 Versal 器件進行器件編程、通信與調試。高層次 Python 函數支持 TCF 服務器連接、器件編程、讀寫內存、觸發和捕獲 ILA 數據以及讀寫 VIO 寄存器。此外,它還支持運行 IBERT 掃描、讀取係統監控數據、監控 NOC 性能、報告 DDR 指標。

Verification IP

Verification IP

Xilinx Verification IP (VIP) 现金网博e百 組合使您可在仿真環境中更輕鬆、快捷和高效地驗證和調試設計。Verification IP 核是一種特定構建的驗證模型,旨在確保正確的互操作性和係統運行。EDA 行業的公司正在為基於標準的接口(AXI、PCIe、SAS、SATA、USB、HDMI、ENET 等)開發 VIP。使用 VIP 的優勢包括提升設計質量以及通過重複使用縮短時間周期等。

Xilinx VIP 內核是基於 SystemVerilog 的仿真模型,可通過 ARM 許可的斷言提供全麵的 AXI 協議檢查,並支持所有主要仿真器,它是 Vivado 附帶的免費內核。Xilinx 提供的 VIP 可用於使用 AXI 組件層次(AXI-MM、AXI_Stream)和處理係統 (Zynq®-7000) 的設計。

主要特性

  • AXI4、AXI4-Stream 和 AXI4-Lite 的 AXI 流量生成器
  • 全麵支持 AXI & AXI Stream 協議檢查器
  • 為基於 Zynq-7000 和 Zynq US+ MPSoC 的應用提供功能仿真支持
  • 支持控製、接口和處理係統 (CIPS) IP 的功能仿真

技術文檔

按功能類別或工作負載搜索和過濾文檔

Default Default 標題 文件類型 日期

視頻

搜索和過濾視頻

Default Default 標題 日期