2022.2

嵌入式工具 2022.2 中的新增功能

展開以下部分,進一步了解 2022.2 嵌入式工具的最新特性及增強功能。

Yocto項目

  • 提供 Versal 典型 SoC fpgamanager bitbake 類支持
  • 提供 Zynqmp DFX fpgamanager bitbake 類支持
  • 支持 ROS2 底層元層(Zynqmp、Versal)
  • 支持 Kria SOM、入門套件 QSPI 以及 SD 卡圖像構建
  • 提供最初的 Versal Net 支持

PetaLinux

  • 為 Versal 提供典型 soc 命令行支持
  • 啟用選項將引導標增補在現有的引導標上,無需覆蓋
  • 在 petalinux-config 中為 plm 和 psm-fw 選項增加了外部及遠程構建支持
  • 新增 Ubuntu 18.04.06,20.04.4 和 CENTOS/RHEL 8.6 操作係統支持,刪除了 centos/RHEL 7.8 和 8.1 支持
  • VPK180 ES1 BSP、VHK158 EA BSP、VPK120 Prod BSP。

設備樹生成器 (DTG)

  • 為 Versal 新增典型 SOC 支持
  • 在 DTG 中提供寬 concat 塊支持

通用基礎架構

U-Boot

  • Versal 中新增的支持可通過執行從 EL2 到 EL1 的切換,加載和執行 EL1 應用。
  • 在 spi-nor 框架中為每個寫入啟用命令增加了寫入禁用命令。
  • 修複了子係統重啟時檢測 USB 的問題。
  • 修複了在連接 USB 集線器(與鍵盤和鼠標等低速設備連接)後時檢測 USB 閃存驅動器的問題。

Arm 可信固件 (TF-A)

  • 為 xck24 芯片增加缺失的解碼器
  • 執行全新 IPI 命令 PM_LOAD_GET_HANDOFF_PARAMS,獲得 TF-A 切換


Versal®

Versal 啟動與安全

  • SSI 技術 PLM — PLM 通信(SLR 間的通信)
  • PLM、工具中的版本管理
  • 安全鎖定
  • 將軟件加載到 HBM 中,無需任何額外的 DDR 內存
  • 篡改觸發器和響應支持
  • 通過身份驗證為 SSI 技術設備啟動信任的硬件根


Zynq® UltraScale+™

PMU Firmware (PMUFW)

  • 為啟用/禁用 MIO 三態提供 PinCtrl API 支持
  • 在硬件限製產生的自刷新情況下,禁用 CRC/奇偶校驗重試特性
  • 修複了與以太網喚醒以及覆蓋配置對象加載相關的漏洞

AI 引擎驅動器 — Linux

增加了對 AIE-ML 器件的支持

  • 針對窗口事件跟蹤部署的支持
  • 多應用交換性能增強
  • 新的矢量化矩陣乘法示例代碼通過 BSP 提供

AIE 引擎驅動器Baremetal

  • 增加了對 AIE-ML 器件的支持

Software 庫

XilSEM

  • 增加了客戶端和服務器中的 SSIT 器件支持以及示例
  • 為使用 PLM SW 觸發事件管理框架的 A72 linux 用戶添加了 XilSEM 錯誤通知
  • 改進了 GT 仲裁超時處理與通知
  • 為基本位數據分類 (EBD) 提供了示例

OpenAMPLibMetal

  • 為 A72 baremetal 提供 Libmetal 支持

Xen Hypervisor

  • vTPM 支持
  • Xen 域對虛擬 TPM 設備的訪問可實現安全啟動等功能
  • 易用性:自動生成直通 DTB
  • 使用 ImageBuilder 和 Lopper 自動生成直通設備樹,以便將設備分配給 Xen 域
  • VCU 在 AMD Xilinx 低時延模式下演示 4:4:4:生產版本

VCK190 以太網 TRD (IEEE Std 1588 基於可切換 10/25G MRMAC 的參考設計)

  • 設計升級至 2022.2

VCK190 多媒體 TRD(視頻 + ML)

  • 單傳感器平台升級至 2022.2
  • 增加了使用 AIE 進行 4K 圖像處理的支持
  • 包含 DPU,可執行對象檢測

不再使用 TRD

  • VMK180 PCIe TRD
  • VCK190 四傳感器平台
  • VCK190 HDMI 平台

注意:所有的目標參考設計都將在 2022.2 發布後的幾周內提供。}

Baremetal

  • 增加了為 ARMv8 讀取 PMU 計數器的支持(Cortex-A53、Cortex-A72)
  • 增加了對 SMBus 的支持,其可為 PSI2C 提供支持
  • 增加了對鎂光 OSPI 閃存設備的 OSPI WP 特性的支持
  • 為 Cortex-A78 和 Cortex-R52 提供了 Baremetal BSP 支持
  • 為 Cortex-A78 和 Cortex-R52 增加了 FreeRTOS 支持

Linux

  • 增加了對 Versal OCM EDAC 的支持
  • 增加了對 GEM 通用 MDIO 總線嗅探處理的支持
  • 為 Versal 新增典型 SoC 啟動支持
  • 為 ZU+ 102/104/106 和 VCK190 提供了 QNX BSP(請與您的現場應用工程師聯係)
  • 通過 QNX 提供 VCU、DPU 支持:通過 QNX 軟件中心提供生產版本
  • 嵌入式軟件的年度構建工具和軟件協議棧更新:
    • Linux 內核 — 5.15
    • Yocto 項目:3.4
    • GNU 工具鏈 — GCC 11.2
    • U-boot - 2022.01
    • 設備樹編譯器 — 1.6.1
    • Xen Hypervisor - 4.1.6
    • Arm Trusted Firmware - 2.6
    • FreeRTOS - 10.4.6
  • AI 引擎驅動程序更新,支持 AIE-ML 器件
  • 為 Kria SOM 硬件特性及 ROS2 層新增設備驅動程序支持
  • 為 22.04 LTS 提供了 Ubuntu 發行支持 (Jammy Jellyfish)
    • Zynq® UltraScale+™ 和 Kria™ 的 22.04 認證正在進行
  • VCU 與多媒體設計及 TRD 更新
  • 為支持 DPU 和 VCU 的 Zynq UltraScale+(102、104、106)提供 QNX BSP
2022.1

嵌入式工具 2022.1 中的新增功能

展開以下部分,進一步了解 2022.1 嵌入式工具的最新特性及增強功能。

Yocto 項目

  • 在 aarch64 架構上啟用 systemd,作為默認的 init 管理器v
  • 禁用自動登錄(上遊更改)
  • 刪除直接根登錄(上遊更改)
  • 支持 Versal DFX(單插槽)
  • 棄用 BOARD/BOARD_VARIANT,支持機器繼承模型

PetaLinux

  • 用戶首次登錄時,需要為默認用戶設置密碼
  • 棄用 MicroBlaze 精簡架構
  • 通用 VCK190 / VMK180 BSP,能夠與新老板卡聯用

設備樹生成器 (DTG)

  • AIE 時鍾信息的自動生成。
  • Versal 中支持 DFX 單插槽

U-Boot

  • 增加 Zynq UltraScale+ pinctrl 驅動程序
  • 增加 Zynq UltraScale+ GPIO 模式引腳驅動程序
  • 增加了對 SLG7XL45106 I2C GPO 擴展器的支持
  • 增加了電源域驅動程序,以加載動態 PMU 配置對象
  • 通過 USB 新增 USB2244 SD
  • 新增 USB5744 USB 集線器複位
  • 支持動態 SD 配置
  • 增加了在 EEPROM 中從多記錄 FRU 數據讀取 MAC 地址的支持
  • 增加了從 PHY 節點讀取 ethernet-phy-id 以及通過 GPIO 複位 PHY 的支持

Arm 可信固件 (TF-A)

  • 禁用 -mbranch-protection 標誌,因為這導致 TF-A 的大小隨 GCC 11.2 增加了
  • 在 Versal 中添加管理 EEMI 命令的通用接口

提供 PLM Boot SupportPLM Boot 支持

  • XilPLMI_v1.6 — 錯誤處理支持 XMPU/XPPU EAM errorsv
  • XilPLMI_v1.6 — 啟用每個 SSIT 節點的 ssit_sync
  • XilPM_v4_0 — Versal 器件的 SRST 序列
  • XilPM_v4_0 — 移除 XPPU/XMPU 保護的 CDO 命令
  • 這可使用 PDI 中的 CDO 來完成這可使用 PDI 中的 CDO 來完成
  • XilPM_v4_0 — 為 AIE1 添加運行時工作支持

FSBL

  • 修複非零多引導偏移的二級引導問題
  • 新增對 ZCU670 電路板的支持

PMU 固件 (PMUFW)

  • 更新了寫入另一個疊加配置對象的權限
  • 在 ENABLE_DYNAMIC_MIO_CONFIG 宏下增加了對動態 SD、GEM 和 USB 配置的 IOCTL 支持
    • 默認情況下禁用
  • 多次調用現有節點的疊加配置時報錯
  • 增加用戶選項,以便使用 ENABLE_DDR_XMPU 宏手動啟用 DDR XMPU 設置
    • 默認情況下禁用

AIE 時鍾擴展

  • 在運行時,應用或工具可通過 xbutil 或 XRTAPI 更改 AIE 頻率要求
  • 在運行時,應用或工具可通過 xbutil 或 XRTAPI 獲取 AI 引擎頻率,如下所示。
  • AI 引擎頻率可按比例放大或縮小
    • 在平台設計中,最大頻率僅限於 Vivado / Vitis 中定義的設置。
  • 使用 xbutil 高級子命令設置或獲取 AIE 時鍾頻率

裸機錯誤處理

  • 在裸機 AIE 驅動程序中,增加了 對報告 AIE 錯誤的支持
    • 以前隻在 Linux 中支持
  • 裸機錯誤處理代碼可作為第三方 OS/RTOS 移植的參考
  • 更新至 Ubuntu 22.04 LTS (Jammy Jellyfish)
  • 5 月中旬為 Kria SOM 套件發布測試版
  • 6 月中旬為 Kria SOM 套件和 ZCU10x 評估板發布最終版
  • ZCU10x 映像現在包含 ZCU111 (Zynq UltraScale+ RFSoC)

OpenAMP & LibMetal

  • 在 Zynq UltraScale+ 平台上,OCM 內存可用於 APU 和 RPU 之間的 RPMsg
  • OpenAMP 和 LibMetal 同步到 2021.10 上遊版本
  • 在 Kria SOM 平台上啟用了 OpenAMP

Xen Hypervisor

  • 改進了虛擬機與虛擬機之間的通信機製
  • PV 驅動程序支持 dom0less VM
  • 將可編程邏輯塊動態分配給運行虛擬機
  • 在低時延 GOP 應用中動態插入 IDR 幀
  • XilPM_v4_0 — 移除 XPPU/XMPU 保護的 CDO 命令
  • 自定義 Xilinx YUV 4:4:4 編解碼解決方案
  • 更新了 V4L2、PS DisplayPort DRM 和 VCU 內核驅動程序,支持 Linux v5.15
  • Xilinx gstreamer 重新基於 v1.18.5
  • 將 VCU 編解碼器時鍾設置移動至 vcu 模塊
  • 使用更新的時鍾名稱序列和索引更新了 VCU 設備樹節點定義
  • PS DisplayPort
      支持 44.1k 和 48k 采樣率音頻
    • 符合 IEC60958

VMK180

  • PCIe TRD 更新至 2022.1

VCK190

  • 四傳感器平台:演示語義分割以及對來自圖像傳感器的四個視頻饋送進行的目標檢測
  • 單傳感器平台:演示對來自圖像傳感器的視頻饋送進行的人臉檢測
  • HDMI 平台:演示使用 AIE 執行 4k 圖像處理
  • MRMAC 四客戶端 4x 10/25G MRMAC 交換功能
  • MRMAC IEEE 1588 時間戳

ZCU106

  • 原有 VCU TRD 升級至 2022.1 工具
  • 最新設計模塊:VCU 處理 YUV 4:4:4 格式
  • 軟件協議棧版本的年度更新
  • Linux 內核 5.15、Yocto Project 3.4 Honister
  • 附加設備驅動程序支持 Kria SOM 硬件特性
  • Ubuntu 發行版支持更新至 22.04 LTS (Jammy Jellyfish)
  • VCU 和多媒體設計更新
2021.2

2021.2 嵌入式軟件工具環境新增功能(中文字幕)

  • 為 PetaLinux 新增 MCS 生成支持
  • 支持從 Image.gz 文件(Zynq UltraScale+ MPSoC 和 Versal ACAP)啟動
  • 在整個軟件協議棧中執行基於 IOCTL 的動態重新配置
  • 在 ZCU102、ZCU104、ZCU106 以及 Kria KV260 上正式提供對 Ubuntu 20.04 LTS 的支持
    • 查看 Xilinx Wiki (wiki.xilinx.com),了解更多詳情
  • 在 FSBL (Zynq UltraScale+) 和 U-Boot 中支持最新閃存設備
  • 改進了 Linux 多媒體基礎架構支持
  • 在 Xen 的 ImageBuilder 中提供 SecureBoot(認證)支持
  • Xen 中增強了客戶機之間的通信
  • 提供高達 4Kp30 的 VCU 編碼/解碼支持
2021.1

2021.1 嵌入式軟件工具環境新增功能(中文字幕)

展開以下部分,進一步了解 2021.1 嵌入式工具的最新特性及增強功能。

Yocto 組件

PetaLinux

  • ZynqMP 和 Versal PetaLinux BSP 引導至基於 tinyram 的 rootfs,可轉向基於 ext 的完整 rootfs。默認的 rootfs 配置主要針對 ext4 上的完整 rootfs。
    • ZCU102、ZCU104 和 ZCU106 現在都有支持 Vitis 的設計和 XSA。
    • 全新 ZCU670 BSP 現已推出。
    • PetaLinux 工具為 MicroBlaze 提供有發行版啟動支持。
    • 默認情況下,PetaLinux 在配置過程中使用 bitbake 而不是 devtool。

AI 引擎調試

  • 用於 AIE 狀態轉儲的 SYSFS 節點。

FSBL、PLM 和 BootGen(啟動)

  • PLM 支持從運行時應用接收 DDR 映像存儲
  • 一個子係統管理其它子係統生命周期的許可與查看
  • 在不同的軟件層新增事件管理通知支持
  • 添加有關發送 IPI 消息(來往於 PLM)的 CRC 值
  • XilSecure 客戶端支持裸機應用
  • 向 PLM 發出的子係統重啟成功提示
  • PLM 定期檢查,確保 PSM 處於工作狀態
  • 在 Vitis 中添加了 Versal 的啟動映像創建向導

PLM (Runtime)

  • (PLM) NOC 不使用時,提供 NOC 運行時時鍾門控
  • (PLM) 通過 FuSa 的 PSM 保持連接
  • (PLM) 獲得係統錯誤信息的訂閱/通知 — 增強了通過內核提供支持的功能

4U-Boot

  • 更新至 v2021.01
  • 在 Micron SPI 閃存設備的 U-Boot 中提供模塊保護命令支持。
  • zynqmp mmio_read 和 zynqmp mmio_write 命令可用於從 U-Boot 訪問安全寄存器。

4Arm 可信任固件

  • 在 ATF 增加了事件管理接口支持
  • Arm 可信固件 v2.4

Linux

  • 更新到 Linux 內核 - 5.10.x
  • 提供 VFIO 框架支持,允許用戶模式應用將虛擬內存映射到 IOVA 地址中
  • 提供 DMABUF 和 DMA Fence 支持,允許用戶模式應用直接管理內核空間內存,以便在應用和驅動程序之間共享緩衝區
  • 提供變基補丁

FreeRTOS

  • 將 FreeRTOS 版本升級到 10.4.3

Linux 器件驅動

  • 更新了 AXI 以太網驅動程序,支持所有 Linux ethtool 特性
  • 為 Versal 新增 Linux EDAC 驅動程序支持

裸機驅動

  • AXI 定時器的裸機驅動程序 (Versal ACAP)
  • 改變了 DWC3 驅動程序中的 ULPI 重置邏輯

  • 更新了開源庫:binutils (2.35)、gcc (10.2)、gdb (9.2)、glibc (2.32)、newlib (3.3)、Device Tree Compiler - v1.6.0

Xen Hypervisor

軟件協議棧更新

  • Arm: ATF v.2.4
  • Yocto Project: 3.2 Gatesgarth
  • Denx: U-boot v2021.01
  • Xen: v4.14
  • Linux: v5.10.x

Zynq® UltraScale+™ 多媒體與視頻編解碼器單元

通用 VCU 更新

  • 在 VCU 中提供 HLG (Hybrid Log Gamma) 支持
  • 在控製軟件下演示低時延 Phase2 模式的實時視頻流水線
  • NTSC 分辨率 (486i) 提高
  • Pyramidal GOP 的動態 IDR 幀插入
  • IDR slice 類型增強
  • 在 Gstreamer 位置提供 Monochrome (GRAY8/GRAY10) 支持
  • VCU PL DDR4 控製器 IP 增強:為用戶提供添加 DDR 自定義部件的機製

VCU TRD 設計 (ZCU106)

  • HLG 支持全麵的流水線
  • SDI Rx (HLG) à 編碼 à 解碼 à SDI Tx (HLG)

VCU 關注區 (ROI) TRD (ZCU106)

  • Avnet Quadsensor 攝像頭輸入
  • 基於 Vitis AI v1.4

4 款電源優勢工具:(ZCU111、ZCU208、ZCU216、(ACAP):VCK190、VMK180)

  • 將對電源優勢工具的支持擴展至新的工具版本、生產芯片以及新现金网博e百
  • ACAP Python 庫將電源測量整合到 TRD 及演示中

混合安全:禁用較低安全性電源管理主設備

  • 可禁用較低安全性 APU 電源管理,以便提供混合安全支持
  • 可禁用較低安全性 APU 複位
  • 通過標誌選擇整合了工具支持

Versal 電源域:FPD、係統電源域

  • 即將發布的、支持深度睡眠的工具及架構構建塊。

開發板評估與管理 (BEAM) 工具:VCK190、VMK180

  • 創造性體驗。開發板配置與測試。
  • 輕鬆訪問開發/演示控製麵板:Versal 電源工具、ACAP 控製台、電源管理控製麵板 (Beta)

電源優勢工具 (SC):VCK190、VMK180

  • 與 Versal 無關,因此可以使用 TRD/演示/客戶設計混合匹配
  • 將 ACAP 設置與 BEAM 工具、視頻演示及剪切粘貼 Python 定製 Wiki 進行了整合

ACAP 控製台(為公共使用進行了安全更新)

  • 可輕鬆查看並編輯 Versal 寄存器。繪圖與文件特性。
  • 與注冊文件相對應。
  • 係統控製器 IP 控製麵板的構建塊。

電源管理控製麵板

  • Beta 版可一目了然地顯示域和孤島的電源狀態,GUI 允許未來擴展至時鍾與性能。
  • 與 Versal 無關,因此可以混合匹配,查看任何 Versal 應用的 PM 狀態

HSDP/SmartLynq+ EDT 和 Video

調試 PCIe

  • 搶先體驗通過 PCIe 接口進行 PS 高速調試。
  • 搶先體驗通過 PCIe 接口進行 PL (Chipscope) 高速調試
  • 搶先體驗通過 PCIe 進行 PS + PL 調試。

軟 Aurora HSDP 實例

VCK190 / VMK180 (Versal™)

  • VMK180 TRD
    • VMK180 多媒體 TRD(六月底)
    • VMK180 PCIE TRD(六月底)
  • VCK190
    • VCK190 以太網 TRD(七月底)
    • 支持 DPU 的 VCK190 單路傳感器 TRD(七月底)
    • 支持 DPU 的 VCK190 四路傳感器 TRD(七月底)
    • 支持 AI Tiler 和 Stitch 的 CK190 HDMI TRD(七月底)

BEAM 工具

  • 針對 VCK190 和 VMK180 推出全新測試版 BEAM 工具(係統控製器映像),其中包括
    • 電源優勢工具
    • 開發板測試
    • 開發板配置
  • 9 月底投入量產

Zynq UltraScale+ RFSoC 進行設計

  • 針對 RFSoC Gen1、Gen2 和 Gen3 更新至 RF DC 評估工具
  • 針對 RFSoC Gen1、Gen2 和 Gen3 更新至 PetaLinux BSP
  • 為 RFSoC Gen 3 提供生產支持

2020.2

嵌入式軟件 2020.2 的新增內容

嵌入式軟件:

  • PetaLinux 在 Xilinx 統一安裝程序(僅限 Linux)中提供
  • 融合 UG1157 和 UG1144 的 PetaLinux 文檔
  • 在 petalinux.xilinx.cn 上可以找到每個架構的二進製 Linux 發行版
  • 器件樹生成器支持 Linux 內核 v5.0+
  • 器件樹生成器支持複雜的多媒體流水線
  • 在 KC705、AC701 和 KCU105 PetaLinux BSP 中提供 Vivado CED 支持
  • KCU105、ZCU106、VCU118 PetaLinux BSP 中的 Micron DDR 壽命終止緩解
  • 量產 Zynq UltraScale+ RFSoC Gen3 PetaLinux BSP
2019.1

嵌入式軟件 2019.1 的新增內容

嵌入式 Linux 工具

  • PetaLinux 工具 — 在 Xilinx下載中心
      下載 PetaLinux 工具
    • 支持 GCC 8 係列工具鏈
    • 支持主機操作係統
      • Red Hat/CentOS — 7.4、7.5、7.6
      • Ubuntu - 16.04.5 LTS, 18.04 LTS
    • 支持 BSP
      • SP701 (Spartan-7) — 支持初始板
      • ZCU1275 (Zynq UltraScale+ RFSoC) — 通過 FMC 插件卡實現以太網連接並通過 Uboot 提供 TFTP 引導支持
      • ZCU1285 (Zynq UltraScale+ RFSoC) — 僅限專區訪問

軟件堆棧更新 – 2019

所有資源都在 Xilinx GitHub 頁麵上提供:https://github.com/Xilinx

引導加載程序與固件更新

  • FSBL 更新
    • Vivado 在 Xilinx 評估板上支持 DIMM SPD 數據
    • 使用 PSU_DYNAMIC_DDR_CONFIG_EN Tcl 參數實現
  • PMUFW 更新
    • 更新為 1.6 版
    • PMUFW 安全評估正在進行中,計劃於 2019 年 7 月完成

U-Boot 和 ARM Trusted Firmware

  • U-Boot 更新
    • 支持 USB 3.0 主機
    • 支持多主機 I2C
    • 基於 XilSecure 的 SHA 和 RSA 操作
    • 閃存設備更新
閃存器件 密度 (Mb) Voltage
IS25LP128F 128 3.3
IS25WP128F 128 1.8
IS25LP256D 256 3.3
IS25WP256D 256 1.8
IS25LP512M 512 3.3
IS25WP512M 512 1.8
  • ATF 更新
    • 針對 IPI 消息提供的校驗支持
    • 增強了對時鍾基礎架構的 API 支持
    • 器件更廣泛的運行時檢測

多媒體框架更新

73}VCU 編碼器 — 支持跳幀
特性 控製
軟件
Gstreamer 支持
32 個同步數據流 - 480p(編碼和解碼)
VCU 解碼器 — 支持動態分辨率變化
VCU 編碼器 — 支持動態分辨率變化
VCU 編碼器 — 時間層視覺質量提升(僅 Pyramidal GOP)
支持 VCU PL DDR 控製器(4KP60、4-2-2、10 位、同時編解碼)— 公開發布
支持 DCI 4K (4096x2160@60fps)(- 2、-3 速度級)
支架 NAL 單元插入
支持多流音頻 + 視頻編碼/解碼
支持上限 VBR 速率控製

RTOS 和庫

  • FreeRTOS 更新
    • 更新至 v10.1.0
  • LwIP 更新
    • 更新至 v2.1.1

OpenAMP & Xen 更新

  • OpenAMP
    • RPU 主控器支持裸機應用
  • Xen Hypervisor
  • 支持官方 Dom0-less
  • 客戶控製的每個器件電源管理(基於 EEMI)

MicroBlaze 軟核處理器

  • 公開發行 64 位 MicroBlaze 支持
    • 支持整個 Xilinx 生態係統
    • 裸機及 FreeRTOS BSP

Zynq UltraScale+ RFSoC 更新

  • 工具支持
    • 針對 Zynq UltraScale+ RFSoC Gen2 和 Gen3 提供全麵的 Vivado 和 XSDK 支持
    • 支持 RF 數據轉換器評估工具
    • 支持 RF 分析器
    • 支持電源優勢工具
  • 支持運行時軟件
    • API 支持高頻振動切換
    • SDFEC Linux 內核驅動升級已開始