Xilinx CORE Generator 係統

Xilinx 內核生成器(CORE Generator™)係統可以通過訪問高度參數化的、麵向 Xilinx FPGA 的 IP 核來縮短設計時間,並且包含在 ISE® Design Suite 內。 內核生成器(CORE Generator)提供了一係列特定架構、特定領域(嵌入式、連接功能和 DSP)和特定市場(汽車、消費類、軍用/航空航天、通信、廣播等)專用 IP 核。這些用戶定製 IP 功能複雜多樣,從常用功能(如存儲器和 FIFO)到係統級構建模塊(如濾波器和變換器)。 利用這些 IP 模塊可以節省數天至數月的設計時間。 高度優化的 IP 使得 FPGA 設計者能夠集中精力迅速構建設計,同時還有助於加快现金网博e百 的麵市步伐。

您還可以生成定製的 HDL,並使用集成式 LogiCORE™ 帶圖形操作界麵的定製器和內核生成器架構向導(CORE Generator Architecture Wizard)迅速配置 FPGA 架構組件,如 MGT、以太網和 PCI Express 硬模塊。 通過與 ISE 開發環境的無縫集成,內核生成器(CORE Generator)係統簡化了設計過程,提高了設計質量,並幫您更快地完成設計。

內核生成器(CORE Generator)IP 目錄中的特定 IP 核可用於下列設計者特選的方法:

  • 采用項目瀏覽器(Project Navigator)的邏輯設計者
  • 采用 Xilinx 係統生成器(System Generator)的 DSP 算法設計者
  • 采用 Xilinx Platform Studio(XPS)的嵌入式設計者

主要特性

  • 包含在所有的 ISE Design Suite 版本以及 ISEWebPACK™ 中
  • 按關鍵字搜索 IP,或者按字母或功能類型對 IP 核列表進行分類。 列出了即將被新版本所“替代”的 IP 核,並且還可以通過選擇“所有 IP 核版本”來查看即將“停產”的 IP 核
  • 可以通過選擇“僅與所選器件兼容的 IP 核”來查看所選器件係列支持的 IP 核
  • 輕鬆獲得每個內核方麵的詳細信息(數據手冊、用戶指南、版本說明、許可情況、新版本的增強功能列表)
  • 增強型 IP 輸出:
    • 生成 ISE Design Suite 項目文件,用以簡化項目瀏覽器(Project Navigator)內的 IP 核集成與管理
    • 所選視頻和圖像處理內核會生成“EDK Pcore”,用以簡化 XPS 項目內的 IP 核集成與管理
  • 下列 IP 核能夠自動升級到最新版本:加減器、累加器、二進製計數器、塊存儲器發生器、複數乘法器、CORDIC、乘法器和基於 RAM 的移位寄存器
  • 能夠利用不同的項目設置(而不是原來用於生成內核的設置)來重新生成所有項目 IP 核

內核生成器(CORE Generator)IP 目錄提供的 IP 核包括:

基礎平台
IP 類型 IP 核
構建模塊
  • 存儲器和 FIFO
  • 算術運算器(加法器、累加器、乘法器、複數乘法器等)
  • 浮點運算器
調試和驗證
  • ChipScope™ Pro 集成式控製器
  • 集成式邏輯分析器
  • 虛擬輸入/輸出
FPGA 架構特性
  • Clocking Wizard
  • 存儲器接口發生器(MIG)
  • RocketIO™ 千兆位級收發器(MGT)
  • 係統監控器向導
特定領域
IP 類型 IP 核
連接功能
  • 標準總線接口,如 PCI™ 和 PCI-X™
  • 網絡接口,如以太網、SPI-4.2、RapidIO、CAN 和 PCI EXPRESS®
DSP 功能
  • DDS 編譯器、FIR 編譯器、FFT 等
  • 前向糾錯 IP,如 Reed-Solomon 解碼器和編碼器、Viterbi 解碼器等
視頻和圖像處理嵌入式 IP
  • 色彩空間轉換器
  • 色彩轉換矩陣、濾色陣列內插、圖像處理流水線等
特定市場
IP 類型 IP 核
汽車和工業
  • CAN、以太網 AVB 等
有線通信
  • 10Gb 以太網 MAC、三態以太網 MAC 等
無線通信
  • LTE 通道編碼器/解碼器、3GPP 搜索器等
  • CPRI、OBSAI 和串行 Rapid IO 等