Memory Interfaces Design Hub - UltraScale DDR3/DDR4 Memory

This page coversMemory InterfacinginUltraScale Devicesusing theMemory Interface Generator (MIG)in theVivado Design Suite

Introduction Date
XTP359 -Memory Interface UltraScale Design Checklist
PG150 -UltraScale Architecture FPGAs Memory IP Product Guide 08/11/2021
PG150 -Creating a Memory Interface Design using Vivado MIG 08/11/2021
Designing with UltraScale Memory IP 09/16/2014
AR58435 -Memory Interface UltraScale IP Release Notes 03/31/2021
Supported Memory Interfaces and Data Rates
Design Requirements Date
PG150 -Input Clock Guidelines 08/11/2021
Memory Interface External Clocking 03/15/2016
UG583 -PCB Guidelines for DDR4 SDRAM 06/03/2021
UG583 -PCB Guidelines for DDR3 SDRAM 06/03/2021
PG150 -DDR4 Pin Rules 08/11/2021
PG150 -DDR3 Pin Rules 08/11/2021
UG899 -I/O Planning for UltraScale Device Memory IP 06/16/2021
PG150 -Designing for High Efficiency 08/11/2021
PG150 -Calculating User Specified Pattern Efficiency Using the Memory IP Performance Testbench 08/11/2021
Designing with UltraScale Memory IP 09/16/2014
UG899 -Importing I/O Ports for an Existing Pin-Out/Board 06/16/2021
Interfacing to Memory Interface IP Date
PG150 -Interfacing to the Memory IP User Interface 08/11/2021
PG150 -Interfacing to the PHY Only Interface 08/11/2021
PG150 -Interfacing to the AXI4 Slave Interface 08/11/2021
Simulating Memory Interface IP Date
PG150 -Simulating the Memory IP Example Design 08/11/2021
DH0010 -Vivado Logic Simulation Design Hub 06/16/2021
Frequently Asked Questions (FAQ) Date
AR62920 -Memory IP UltraScale Solution Center - Frequently Asked Questions (FAQ)

Additional Learning Materials

Additional Learning Materials