%PDF-1.5 % 5738 0 obj << /E 44087 /H [3505 1643] /L 2881616 /Linearized 1 /N 336 /O 5741 /T 2766805 >> endobj xref 5738 119 0000000017 00000 n 0000003321 00000 n 0000003505 00000 n 0000005148 00000 n 0000005523 00000 n 0000005688 00000 n 0000005853 00000 n 0000006051 00000 n 0000006761 00000 n 0000007324 00000 n 0000007591 00000 n 0000008047 00000 n 0000008484 00000 n 0000008622 00000 n 0000008692 00000 n 0000008799 00000 n 0000009745 00000 n 0000009989 00000 n 0000011417 00000 n 0000026969 00000 n 0000027203 00000 n 0000027350 00000 n 0000027593 00000 n 0000027770 00000 n 0000027938 00000 n 0000028129 00000 n 0000028311 00000 n 0000028487 00000 n 0000028652 00000 n 0000028897 00000 n 0000029049 00000 n 0000029218 00000 n 0000029381 00000 n 0000029548 00000 n 0000029715 00000 n 0000029883 00000 n 0000030045 00000 n 0000030207 00000 n 0000030370 00000 n 0000030529 00000 n 0000030692 00000 n 0000030852 00000 n 0000031013 00000 n 0000031181 00000 n 0000031344 00000 n 0000031506 00000 n 0000031674 00000 n 0000031839 00000 n 0000032004 00000 n 0000032166 00000 n 0000032333 00000 n 0000032499 00000 n 0000032664 00000 n 0000032829 00000 n 0000032994 00000 n 0000033159 00000 n 0000033321 00000 n 0000033484 00000 n 0000033651 00000 n 0000033810 00000 n 0000033970 00000 n 0000034137 00000 n 0000034296 00000 n 0000034468 00000 n 0000034641 00000 n 0000034811 00000 n 0000034972 00000 n 0000035133 00000 n 0000035293 00000 n 0000035454 00000 n 0000035622 00000 n 0000035768 00000 n 0000036000 00000 n 0000036164 00000 n 0000036330 00000 n 0000036514 00000 n 0000036689 00000 n 0000036865 00000 n 0000037042 00000 n 0000037217 00000 n 0000037377 00000 n 0000037543 00000 n 0000037703 00000 n 0000037871 00000 n 0000038039 00000 n 0000038207 00000 n 0000038378 00000 n 0000038552 00000 n 0000038715 00000 n 0000038889 00000 n 0000039067 00000 n 0000039241 00000 n 0000039414 00000 n 0000039583 00000 n 0000039743 00000 n 0000039908 00000 n 0000040073 00000 n 0000040234 00000 n 0000040401 00000 n 0000040581 00000 n 0000040762 00000 n 0000040940 00000 n 0000041102 00000 n 0000041289 00000 n 0000041453 00000 n 0000041617 00000 n 0000041789 00000 n 0000041963 00000 n 0000042145 00000 n 0000042314 00000 n 0000042490 00000 n 0000042656 00000 n 0000042810 00000 n 0000043040 00000 n 0000043198 00000 n 0000043394 00000 n 0000043561 00000 n 0000043736 00000 n 0000043909 00000 n trailer << /ABCpdf 9116 /ID [<46FA5821E5EB6C00A566B7F92157CAA4> <2CDB0E147F366C4630501AAC75E8DE98>] /Info 5550 0 R /Prev 2766792 /Root 5739 0 R /Size 5857 /Source (WeJXFxNO4fJduyUMetTcP9+oaONfINN4+d7h6/7WPBwezFukCFPmKMo0G082+WBpB9khgm8VtCFmyd8gIrwOjQRAIjPsWhM4vgMCV\ 8KvVF/K8leNuexNXqGgaF6qM0QDTaJDbZhE/VI3GEg=) >> startxref 0 %%EOF 5739 0 obj << /Lang (en-US) /Names 5515 0 R /OpenAction [5741 0 R /Fit] /Outlines 5420 0 R /PageLayout /SinglePage /PageMode /UseOutlines /Pages 3323 0 R /Type /Catalog >> endobj 5740 0 obj << /Filter /FlateDecode /Length 1551 /S 5412 >> stream xwlVU+TR"'X@ Ł۲*j$**15Ψ1*Aq'8M{GqWo^ۏKs=D"u$t]Mϩ;;,(Mj\NT_^mUx/No*2 |N˯ͦKע=Idއö0c1o#}5qݳζݾE,,ߜouǖ[ÌF9 -]Yz~:͟i24㫱öDk?뽱γcgHy .joNM:[~_r7mRŷ/2{Z^~60q|H~Ȱ50 D$21B n3s R φa~9a?7cڻ|>zt<~H؃`N|t䥱;9GE]5BGEyK;*܅Ј>'-?\ApA8dN;UW͂8xA>cO\\X%o kvcWa366#vqND ^ M}.йK?OWm4P@gZ.'YdMB'Nedd ttex 2? 51ǧo"rЗQ}yJ3on8'nƞFtѓ7_*OEڪڪ\ߟߟߟߟߟ[4oW${[hR Οdf 3On> ä.FjXGjO4(޹Uԁk)*35~mLc093WF3@?aά endstream endobj 5741 0 obj << /Annots [5744 0 R 5754 0 R] /BleedBox [0 0 612 792] /Contents [5755 0 R 5751 0 R 5753 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F1 5743 0 R /Fabc12040 5752 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Type /Page >> endobj 5742 0 obj << /BaseFont /WCVZOS+Lato-Bold /DescendantFonts [5745 0 R] /Encoding /Identity-H /Name /F0 /Subtype /Type0 /ToUnicode 5746 0 R /Type /Font >> endobj 5743 0 obj << /BaseFont /WCVZOS+Lato-Bold /DescendantFonts [5748 0 R] /Encoding /Identity-H /Name /F1 /Subtype /Type0 /ToUnicode 5749 0 R /Type /Font >> endobj 5744 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents ( ) /M (D:20221102111747-08'00') /Rect [430.8527 45.9952 540 115.9] /Subtype /Link /Type /Annot >> endobj 5745 0 obj << /BaseFont /WCVZOS+Lato-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 5747 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [243 695] 6 [659 662] 9 [761] 11 [575] 13 [566 726 771] 17 [297] 20 [686 520] 24 [945 771] 27 [808] 30 [619] 33 [643 549] 36 [600] 38 [743] 40 [695] 42 [671 648] 45 [508] 48 [568 482] 55 [568] 59 [534] 61 [359] 93 [528] 95 [564] 98 [254] 102 [254] 104 [535] 108 [838 564] 111 [575] 114 [568] 117 [373 440] 124 [372] 134 [564] 136 [528 803 522 528] 308 [281] 311 [234] 313 [258 238] 327 [261] 333 [467] 336 337 275 399 402 580 405 406 580 442 443 580 458 [1000] 1140 [249] 1363 [125]] >> endobj 5746 0 obj << /Filter /FlateDecode /Length 480 >> stream xUݎ0y _ RH6R.f_'E䐋}sVK33twaVxNaVac =\QiW]I%}zp=盪ryS}9d/W}x aUVת%ȷv^J߷5BlKPU*s*׉-~~1k-'@ @Nh5`Ć*0x^3-ciFG(@ SGR% o>U;P@h[7frvH7fPih 0WFF,/``%'p9YC`~i4OI9792,a,l=<:뙰r۴*l )I,u)#LYbucd1|tdi endstream endobj 5747 0 obj << /Ascent 987 /AvgWidth 563 /CIDSet 5750 0 R /CapHeight 987 /Descent -213 /Flags 4 /FontBBox [-603 -285 1344 1100] /FontFile2 5756 0 R /FontName /WCVZOS+Lato-Bold /FontWeight 700 /ItalicAngle 0 /MaxWidth 1947 /StemV 0 /Type /FontDescriptor >> endobj 5748 0 obj << /BaseFont /WCVZOS+Lato-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 5747 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [694] 9 [760] 25 [770] 30 [619] 34 [548] 45 [507] 48 [567 482] 55 [567] 59 [534] 93 [528] 95 [564] 98 [254] 108 [837 564] 111 [574] 114 [568] 117 [373 439] 124 [372] 127 [703] 134 [564] 136 [528] 1140 [248] 2462 [643] 2478 [965]] >> endobj 5749 0 obj << /Filter /FlateDecode /Length 354 >> stream xUMo0 7GZ>$ U;P0Q6m5$"=v^;q^t?af3tn-N6G<ھj4y4 iAådmOy"-cֻABa %Z4QĠP P/ klK*!jq& !L"M N*5@s@z)gVNA7YVt܏fA7eT:8$݈'p?*=i@VRrRRTrNNRt3Asك 6 o4ǦO " endstream endobj 5750 0 obj << /Filter /FlateDecode /Length 56 >> stream xs)kAV^5N Xg#4`P`#'0Hr endstream endobj 5751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5752 0 obj << /BaseFont /Times-Roman /Encoding /WinAnsiEncoding /Subtype /Type1 /Type /Font >> endobj 5753 0 obj << /Length 884 >> stream q BT 0 0 0 rg /Fabc12040 10 Tf 1 0 0 1 72 154 Tm (Xilinx is creating an environment where employees, customers, and) Tj 0 -10 Td (partners feel welcome and included. To that end, we\222re removing non-) Tj 0 -10 Td (inclusive language from our products and related collateral. We\222ve) Tj 0 -10 Td (launched an internal initiative to remove language that could exclude) Tj 0 -10 Td (people or reinforce historical biases, including terms embedded in our) Tj 0 -10 Td (software and IPs. You may still find examples of non-inclusive) Tj 0 -10 Td (language in our older products as we work to make these changes and) Tj 0 -10 Td (align with evolving industry standards. Follow this ) Tj ET BT 0 0 1 rg 1 0 0 1 278.12 84 Tm (link) Tj ET 278.12 82.75 15.56 0.5 re f BT 0 0 0 rg 1 0 0 1 293.68 84 Tm ( for more) Tj -221.68 -10 Td (information.) Tj ET Q endstream endobj 5754 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/content/dam/xilinx/publications/about/Inclusive-terminology.pdf) >> /Border [0 0 0] /H /N /P 5741 0 R /Rect [278.12 82 293.68 92] /Subtype /Link /Type /Annot >> endobj 5755 0 obj << /Filter /FlateDecode /Length 1344 >> stream xڥWM7WhY`b7`!B!''Ɓ%?iz`]^KUJ~ ņOcol(cJO{O>KR/-h!j9pG+{zx|MT˺8cM[ߋ}ꛓO}ށ ۓok~gz }TnOnȻTJhZ`8x7,[%bLҷy7w1WLMYu _TՍA0OL''b[ݯ1'oXrjv F{`!5K -KρH{Z_NUNJ67o[H!gO}CKCТ`"AM3x^^kfiJUIy;hvn؅2?{2%ѲPZt(d2:b>0i%jw=p`{}NJ}*7]K ʱ$h` V]\?-3XL N`.`;sCͩMjU"~QQQ`9;b;.ȏN[mȱ~3 iu%<ݎg4SE` +/ }F)o-Ko舖$k;zm.x,QĚC[XNYn\`\zuH10pk sbήOJOBE ū-?oIZKwTDЌ\$oJ<,v_\lomd_'ŵ7!8 , endstream endobj 5756 0 obj << /Filter /FlateDecode /Length 15452 /Length1 39193 >> stream x|\6~]iVm%]nZͶ$2n"Yror6q0H(&`:(%$B(_T @0fU I} sgΜ9s朹cYh/T8{nA5O]=۷9jLĒQʾU~DZJn" >+{/"ը(ްmY2ʷԬ>H[O7(6t nwmں-PN=(DyˊMk&܅$+'H%Rw2AOǨG*$I,ER> -'@jk%j́] Qv"Gxo?''bh`z4B>:L r|tiת˗Jl14KЍgcBu#?y~p9-~mvݟѵqp~_~Lfq/;~SAbTUg^ke_:zJYh}cON~okkw}v*;::Qw?UF/ 9m0qmkB? r+wuttS[(<=FC]熈u~iJ?A~57o:8c -wN 4{4Y.9,X0XLj|"Υw~9ݠwa9tE~)+%TnlwΎ\g?/I<?KgR?D 8 돨rr# <e^{Б_ޙz[洷+NLjho?Eo:+)T??Lm\xmAL/6kCy54? c4OㆴT cLUIjt9(eVBDE1]њb1O?x9yWxWyxjx74#ϖsEfs#2[^{c*vG:#O]nt L,lSQ#T-ϋnz1X>^o^ǟT%8L+܌}#T)_8<=,_ۯv!>ђ9qQ&-k<Sv)a4Ժ+YFTS ym5yo#SB|4x!NsOjoYYĊ.g,.WcA8v8jǎ| 6AwL9@3[P/.ntc/wr}w/Kv仸 N7Xav7a B"zA{ĭ[; WPIE> ~Vn/L$.jLCZTX<G{V!Qמ96*!k(u* 6fxAtyvs50tX,)m}cOI3:sse'`Z ְ!rrCH`4`U>>/5nQ0xlmaqu/Z~,6_|_Kru4a+Sٙag iCF4Ȝixf.ygs,4xkyAP9N^8ft#/M"IDn'nAU86gz Q!7m;<TA4}u$KLY1Eb +dz@BBҴ2Md2ZZٙdWhh'JqJ$sge*enWveŔJC%:+T]7+'98߭el9%yiEHWT[\zqJrQF\\FQrJqz\.CS2+fWfwm(d䔸ވbZqrJQz\\zrEj BvG` zF kVJ(=-2<^Ja Y 09eE0ܮ,)G0cNFxrDxrw7~!Uh^R 8s'[LtsaG9`Lbp 11YV:͌CTWmŇ3ΌϱDžFqXXX(J%3B/PfޤpZZVNVL KդNgMyn{Hi+h)K+J`i]^QdN>}L}1Q*ZTQ4)2g:B:Iֵ k2JK[#M*oq+=c.O/)" |R\l*T+U2x@ÁO2曾XȜ,[X}xq-+:ZꝡlumcQ"۰zhkbjqߓgzưK|^ż$R/ d:AKlgaX:+Ѫu;xzm);ZK\{׎)7|zzdݏ_޼}MZӾ'!SEµ]1(CTU f+s1&z4`_4> WTrT!j_VEo:BxުhWJm37V0Cdߤqf3>Jϐ r= v>W|yD+X:c/K~y3'usVԭoWݴ9"䢦])q1ӿĶs~|4W Reu ˗3mDJ\>0|Ojog,BC="iUFfmb|QRQRh' DG!d}HQ]abƬ֌ a\BU3RM+t&S2=βDžQU1pyzݑ8xG-'I F$*VgBDj*OӁ~{ꑯ+g~rU&3SqbΠ2C y+8 oe\=hIeMKIqV3뷦DDZ=踤h>+ʩ/UW˕N}`zD2GGhHhth4, 94nY6b<[jsȅ?Z>+Ftxbõ/&D[/e(?WW9Cy֒`-]alsGٟ FVhԔ5왵kam|>mk-Ϸ}*aӦX76,kw0SpϢ;^9/"&8gʪEEc*tj̇WdF ̩\h |hUT^By].~R6) K6d(6&"̤Q"KTgHAdS%OΞ~k/y{sYx*]{掎>~gY)w?&/r3hBܺ07?D9xc#-", \|G\<*+Wy-6b KDŽc( vp0n[4ngs򞅥ƫ&;CfKGai_JXXr`Xђ'1:@}H'|쟃K)0c>z 9^_Ή`f;"LpUPJ xZ33[=w†?/RⰓәP ƛe 5Sម4U3} |!b7rM;Rd~!H8҉^iY(sh2/6rp]ZDJp%]̙E-/sgZⳚ:+nl&U/skf&[Q՞[ZYQ)zU w9e1ՍY3jSU=d{nWw+AtS ?nEF¦F[6>FocECJz[%6),NBbt G#fT6vĵZ6TTu\}k-=qڭΪHh)?8BKrs^CS:icvʶWQjcR7rwvh&!!kY HݶvX7L]>[Z]=8Ö9-kՆew1ucgmQΉ w>c¼wmQԬi߳Kۚ4b_`QEk|?x|Zn'2+7&;*まlEga6ӳ]‡g},cC#JܞE>WJ™ O* =n%+*jݺbBi$7wEg}M_Lkoܞ-]1<_Jc?|)~$=E&igb4i=dS&/ě>!q&%-ʧ]К[ϭXwUռ{jjN-azWnZ؁Y pkm\Ҏ]}ڒJ6_ыjwܷ2KyGFH0;#ΞPԕVD('Ě&SI"FJaC+)17/a̒{EGQv3S-|~{GIHXK<[8(yY 2h(x0*xʓKX0O^06֝8tԢ( 2.qWI+&{DUx(~'=16-. s У,1A/7oOO1c[}wV.#S=>xV!eꜼa~'5HBUE0F1jxA2 | M~No@''S=~Ʊg'کĬ FWS]\ `r%\琶KH-61qP4o"1J'D["5\eƲT]eɴnũ9.$W2w._|{E>)ȱ٢C,K&'%-7 -aeg^m yj2jgVf s\H5Kc(iD0j B,-&M\-Fđ11hlo"o(T]4)5P|/$#uRVt"PY%+ݧW{T\G'Kf#Mr_h<Ʈ” 2w/ؐنj Gl#kU?)ʟ NnռN,\w;ygfW|tඥ?rw+67i&.u5;ӁR_["M!іP~dgߗI^\abKtn3}4QwZ!ƒ`:ڈAzӄoxtu4D%%DE/zHC<*ewFDgr*i9 9izd/Si xRmeW {Q*%Raͦ!$1ؖɏ+j1QG[nrfe;22bR*}o5Rm~y^0Uvmon0Hk!)M%fb(VzB;&/@|P.}~'b8RE>\ y Ht>q[`ˮt8**lہ$lf19C<5n]-׹ nwvᤣCfvBűl1jL^8W9w3]Y,4ò`?޼.{f_>'?5/wfvte'b<_UT`Eˈ0IE(E-n-Q>+*߰ hwZ6=ң>*a^v|m} 9/)Ѓ8zf`(_Tr;㰑R!+п4í+eDB/]0V,Z12);Z^r~=`I 1/3+ٱʕJgjSͶ7)^ݒl <)ts11\%Gͤ`W]B# UE =fél$+bte| 29m҂xwí;nX=5s*,ߴoci[y1b%jŁٍkfGy#'/lI^n(s)_3GU[ʵX`7YԡADg0b*ASbC֖߱>$d[m.Ⱥدs)s\UI.~8~7I jC;{ l=<=!Uj2[ku+ nM(YrE_nԿޖ[x׳ͬ[TZʨ c5[}=5;7- d'8u;r~!;&f!OaLFJ*,`lcm pWn:~mEI8ƕ>"3+w)b7z.œ~&(dIn"cӱ㡧ƾhs$)Wd`Oa B^M)Irg)6_YWXZbHi౅Ie Hɋ1k1gVa+KjGû6eD_<7+FTgm'ԗ*%ϕk~;| }[d`Z4|]ILTa1mfyhѤ>+!M~^o.>׹, J4ʧqU^~)\tuC u]sSs#5)pkJ0^jT'sCXj'GSrШ`J3_QתjϠ|?*/Rs\<ɪN&Y ~.jbnb9ḯv!MuIDNSJWK5p9\ ~BFVbISHQ͑4CΣR5J%; J(݂VJbUOUҙ߆V3r) Rb:rʂQ6`# H Vr 6ҟmx}؀te6|+EsUBޥVNΧ2rKK`MrMUnj6dRH[JP<Œ_AJNTq*hJ>``@:s0>*,tP ~us;TWS_G]H3qmMPuiy6bGo _Ij4G~ ?OQrTc MOe(}z.m#LPZI lxRv.~(Q} mxz2v'Cl!; \SΕi4A :Si-{seQj*hH&/E@|a ۥ(S?-z@4+ ]Π @KU4s8P,t+ZjNPߧu؇HR*) i=k'D9MX1d:Cɇnl>l < l{f`&P0,fjIGv**VS,@`KhJ |,hVpYTU@9`5F~Kr;F~/6Nת+ϐG4`.%/n]E k倫~BRMR=>.͟c70/!?}䐞 nKYTJArs_"c}b<~*4E\|[F{Vm } jFZJ~ kVU V,COfOc¯~ M`71Mb_Ȕqzc< iɿbߙ#(1ȿ.>ڬYsV}biLKz_>7mkL`8s,6蔏o ]浐=(YM.k-=wxf`,!өhs q94Qi5Q > wnTȡni@2MBw}J8|a yXjJgQ;|4|]r!U+wB~c9y>__7\<|vg4ɘA;)K^d瘫e Pnp@y?UDl3-bSo2l걾˽')KzR }BR -W>觔ǾUfɔ*t6ÎLQ ke A.(fa&B߃_c.ރ ‡awP6M4YǶ_C fs?fsG֕mѼovNϱQt-|qnfAQ.,x*!nv}tsWcJO]A kW]3Áh4`/'s3 2"z"Ҵg5sZ :u7XwR 8ze[O y?Y }y(8 ?oF6a?~(1 _]@)*ħ:m$fPz7~S(zyl)g~A|m{|y]~`ɫR^Hli+ I(%EsﺠW-zCu! >@sU;֍B^R@s>` CLIk L:͎i#>_1|[Q]j~N2,Lر3'les6˨5fq:S8X u 'M^X+5efIO%=㱍bij@ U7+0"FP#X JY H?˔+X*Yv=v9 H/9F`1/C.YbtqeB#I0S L3bQQh7户L2ʙh#mNiim.c{ó!ٙxVc¨7rh?xq6>|>o:ؐ׍?P~5qyp+Ϫt5h,Z}8Ey1?d#"6; cb٤#S~3]74?hEת>?~O>wHas.³ 7OQJ_)py g|]sOYg5R:-lMy_Js<_-@?~n/h#|R|5)ܷ> IO(}wߕ-_HiJճ*bVldNRE(v83Z0bmQg ׋8ß:>ySOq/^c6 HF9` \ lV<`?Lru!8F6b/tH21A` Xm:8oO K7#= o@zP?I@2Ca/Ư;ź^ 4tuY'gm*)YֵU@: (:23Ѝ|3ځ{6c \cMFX C|G*?EM9OBsr *)?;GOU)QF#[s'!(kj@O.Gل([PJs9_1S o^Vt6p?l:m}Y3i {D/z9B~X96O%di$i==l-qzYEH7#;! B-|)< .#hfR[0"pJʇG6 gwð3ĩ MFT)gw+#cC;]  ߡb{"Cn ٸ;2? 6tdS[|k\*|!tbi>?R-x~*SBiv3ߥ#<Z;?sx_H=bNGtS q~!{CQٍ҇;EߊרH5'7Rw4@D.yqyEvtEΏ/yH@MªoxMxt8?ߛ࿑Oqjݤ;V*ݬST*i⮖4s}-s f&lSkwQK7*qg-qgߕh {@70ʽHQh2WhKъ{W r} =c%&cRaiC4( x(nY k0c5n8ѦĽ5';om';oۮ⿁Fﮉk(] {lQKjS[Iw3,T>.#h)w)a裆vh3h=ؓq:R9@Ў£cƃ~7~H? 犿,G!weWs𳾡Ǖoy?"x:pH@v~y~w$~7 >#vǻ:x^d!$ȯcRNUB*,!w oC.R~iQ3iK4~IjA! wts d4Eaxg!m ^ }.9b] 7n|۽S0&N |(ہw'zZm^|/q:-oaFaݗpSJi..r[t(dE׀ZpLm:G^w8 \#,DG-#\#o;5@_"nO"U"j #H؃ߜ'FҮ#k,^w e QБ5Ωk7y!d(V? @: L`&0 σ'{&O L`&0 L`&o5w&0 L8 E夑DmE;菖C_ğ@!'i9FqF{?cu٣f2SO_?iuG7.j*w nLd-l3fjPkTJ4*,uO%7tC"NmOg}E)3%_ 16O> /C [0 0 0] /Count 5 /Dest [5741 0 R /Fit] /First 5758 0 R /Last 5850 0 R /Parent 5420 0 R /Title (Vivado Design Suite Properties Reference Guide) >> endobj 5758 0 obj << /A << /D (ID_TOC_00-0F-EA-40-0D-4D) /S /GoTo >> /C [0 0 0] /Next 5759 0 R /Parent 5757 0 R /Title (Table of Contents) >> endobj 5759 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e4817) /S /GoTo >> /C [0 0 0] /Count -6 /First 5760 0 R /Last 5765 0 R /Next 5766 0 R /Parent 5757 0 R /Prev 5758 0 R /Title (Ch. 1: Vivado Design Suite First Class Objects) >> endobj 5760 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e4832) /S /GoTo >> /C [0 0 0] /Next 5761 0 R /Parent 5759 0 R /Title (Navigating Content by Design Process) >> endobj 5761 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e4890) /S /GoTo >> /C [0 0 0] /Next 5762 0 R /Parent 5759 0 R /Prev 5760 0 R /Title (Introduction) >> endobj 5762 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e4985) /S /GoTo >> /C [0 0 0] /Next 5763 0 R /Parent 5759 0 R /Prev 5761 0 R /Title (Copying Examples from this Document) >> endobj 5763 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5030) /S /GoTo >> /C [0 0 0] /Next 5764 0 R /Parent 5759 0 R /Prev 5762 0 R /Title (Netlist and Device Objects) >> endobj 5764 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5239) /S /GoTo >> /C [0 0 0] /Next 5765 0 R /Parent 5759 0 R /Prev 5763 0 R /Title (Block Design Objects) >> endobj 5765 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5334) /S /GoTo >> /C [0 0 0] /Parent 5759 0 R /Prev 5764 0 R /Title (Hardware Manager Objects) >> endobj 5766 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5480) /S /GoTo >> /C [0 0 0] /Count -47 /First 5767 0 R /Last 5808 0 R /Next 5809 0 R /Parent 5757 0 R /Prev 5759 0 R /Title (Ch. 2: Alphabetical List of First Class Objects) >> endobj 5767 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5495) /S /GoTo >> /C [0 0 0] /Next 5768 0 R /Parent 5766 0 R /Title (BD_ADDR_SEG) >> endobj 5768 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5615) /S /GoTo >> /C [0 0 0] /Next 5769 0 R /Parent 5766 0 R /Prev 5767 0 R /Title (BD_ADDR_SPACE) >> endobj 5769 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5705) /S /GoTo >> /C [0 0 0] /Next 5770 0 R /Parent 5766 0 R /Prev 5768 0 R /Title (BD_CELL) >> endobj 5770 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5804) /S /GoTo >> /C [0 0 0] /Next 5771 0 R /Parent 5766 0 R /Prev 5769 0 R /Title (BD_INTF_NET) >> endobj 5771 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5912) /S /GoTo >> /C [0 0 0] /Next 5772 0 R /Parent 5766 0 R /Prev 5770 0 R /Title (BD_INTF_PIN) >> endobj 5772 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6024) /S /GoTo >> /C [0 0 0] /Next 5773 0 R /Parent 5766 0 R /Prev 5771 0 R /Title (BD_INTF_PORT) >> endobj 5773 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6127) /S /GoTo >> /C [0 0 0] /Next 5774 0 R /Parent 5766 0 R /Prev 5772 0 R /Title (BD_NET) >> endobj 5774 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6202) /S /GoTo >> /C [0 0 0] /Next 5775 0 R /Parent 5766 0 R /Prev 5773 0 R /Title (BD_PIN) >> endobj 5775 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6283) /S /GoTo >> /C [0 0 0] /Next 5776 0 R /Parent 5766 0 R /Prev 5774 0 R /Title (BD_PORT) >> endobj 5776 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6361) /S /GoTo >> /C [0 0 0] /Next 5777 0 R /Parent 5766 0 R /Prev 5775 0 R /Title (BEL) >> endobj 5777 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6488) /S /GoTo >> /C [0 0 0] /Next 5778 0 R /Parent 5766 0 R /Prev 5776 0 R /Title (BEL_PIN) >> endobj 5778 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6573) /S /GoTo >> /C [0 0 0] /Next 5779 0 R /Parent 5766 0 R /Prev 5777 0 R /Title (CELL) >> endobj 5779 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7098) /S /GoTo >> /C [0 0 0] /Next 5780 0 R /Parent 5766 0 R /Prev 5778 0 R /Title (CLOCK) >> endobj 5780 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7298) /S /GoTo >> /C [0 0 0] /Next 5781 0 R /Parent 5766 0 R /Prev 5779 0 R /Title (CLOCK_REGION) >> endobj 5781 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7444) /S /GoTo >> /C [0 0 0] /Next 5782 0 R /Parent 5766 0 R /Prev 5780 0 R /Title (DIAGRAM) >> endobj 5782 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7529) /S /GoTo >> /C [0 0 0] /Next 5783 0 R /Parent 5766 0 R /Prev 5781 0 R /Title (HW_AXI) >> endobj 5783 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7662) /S /GoTo >> /C [0 0 0] /Next 5784 0 R /Parent 5766 0 R /Prev 5782 0 R /Title (HW_BITSTREAM) >> endobj 5784 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7773) /S /GoTo >> /C [0 0 0] /Next 5785 0 R /Parent 5766 0 R /Prev 5783 0 R /Title (HW_CFGMEM) >> endobj 5785 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7873) /S /GoTo >> /C [0 0 0] /Next 5786 0 R /Parent 5766 0 R /Prev 5784 0 R /Title (HW_DEVICE) >> endobj 5786 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7973) /S /GoTo >> /C [0 0 0] /Next 5787 0 R /Parent 5766 0 R /Prev 5785 0 R /Title (HW_ILA) >> endobj 5787 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8103) /S /GoTo >> /C [0 0 0] /Next 5421 0 R /Parent 5766 0 R /Prev 5786 0 R /Title (HW_ILA_DATA) >> endobj 5788 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8726) /S /GoTo >> /C [0 0 0] /Next 5789 0 R /Parent 5766 0 R /Prev 5425 0 R /Title (HW_SIO_PLL) >> endobj 5789 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8820) /S /GoTo >> /C [0 0 0] /Next 5790 0 R /Parent 5766 0 R /Prev 5788 0 R /Title (HW_SIO_RX) >> endobj 5790 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8911) /S /GoTo >> /C [0 0 0] /Next 5791 0 R /Parent 5766 0 R /Prev 5789 0 R /Title (HW_SIO_TX) >> endobj 5791 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8993) /S /GoTo >> /C [0 0 0] /Next 5792 0 R /Parent 5766 0 R /Prev 5790 0 R /Title (HW_SYSMON) >> endobj 5792 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9123) /S /GoTo >> /C [0 0 0] /Next 5793 0 R /Parent 5766 0 R /Prev 5791 0 R /Title (HW_TARGET) >> endobj 5793 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9255) /S /GoTo >> /C [0 0 0] /Next 5794 0 R /Parent 5766 0 R /Prev 5792 0 R /Title (HW_VIO) >> endobj 5794 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9381) /S /GoTo >> /C [0 0 0] /Next 5795 0 R /Parent 5766 0 R /Prev 5793 0 R /Title (IO_BANK) >> endobj 5795 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9499) /S /GoTo >> /C [0 0 0] /Next 5796 0 R /Parent 5766 0 R /Prev 5794 0 R /Title (IO_STANDARD) >> endobj 5796 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9602) /S /GoTo >> /C [0 0 0] /Next 5797 0 R /Parent 5766 0 R /Prev 5795 0 R /Title (NET) >> endobj 5797 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9701) /S /GoTo >> /C [0 0 0] /Next 5798 0 R /Parent 5766 0 R /Prev 5796 0 R /Title (NODE) >> endobj 5798 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9789) /S /GoTo >> /C [0 0 0] /Next 5799 0 R /Parent 5766 0 R /Prev 5797 0 R /Title (PACKAGE_PIN) >> endobj 5799 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9896) /S /GoTo >> /C [0 0 0] /Next 5800 0 R /Parent 5766 0 R /Prev 5798 0 R /Title (PIN) >> endobj 5800 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10125) /S /GoTo >> /C [0 0 0] /Next 5801 0 R /Parent 5766 0 R /Prev 5799 0 R /Title (PIP or SITE_PIP) >> endobj 5801 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10233) /S /GoTo >> /C [0 0 0] /Next 5802 0 R /Parent 5766 0 R /Prev 5800 0 R /Title (PKGPIN_BYTEGROUP) >> endobj 5802 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10363) /S /GoTo >> /C [0 0 0] /Next 5803 0 R /Parent 5766 0 R /Prev 5801 0 R /Title (PKGPIN_NIBBLE) >> endobj 5803 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10442) /S /GoTo >> /C [0 0 0] /Next 5804 0 R /Parent 5766 0 R /Prev 5802 0 R /Title (PORT) >> endobj 5804 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10535) /S /GoTo >> /C [0 0 0] /Next 5805 0 R /Parent 5766 0 R /Prev 5803 0 R /Title (SITE) >> endobj 5805 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10704) /S /GoTo >> /C [0 0 0] /Next 5806 0 R /Parent 5766 0 R /Prev 5804 0 R /Title (SLR) >> endobj 5806 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10816) /S /GoTo >> /C [0 0 0] /Next 5807 0 R /Parent 5766 0 R /Prev 5805 0 R /Title (TILE) >> endobj 5807 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10932) /S /GoTo >> /C [0 0 0] /Next 5808 0 R /Parent 5766 0 R /Prev 5806 0 R /Title (TIMING_PATH) >> endobj 5808 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11079) /S /GoTo >> /C [0 0 0] /Parent 5766 0 R /Prev 5807 0 R /Title (WIRE) >> endobj 5809 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11169) /S /GoTo >> /C [0 0 0] /Count -129 /First 5810 0 R /Last 5849 0 R /Next 5850 0 R /Parent 5757 0 R /Prev 5766 0 R /Title (Ch. 3: Key Property Descriptions) >> endobj 5810 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11184) /S /GoTo >> /C [0 0 0] /Next 5811 0 R /Parent 5809 0 R /Title (Properties Information) >> endobj 5811 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11264) /S /GoTo >> /C [0 0 0] /Next 5812 0 R /Parent 5809 0 R /Prev 5810 0 R /Title (ASYNC_REG) >> endobj 5812 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11547) /S /GoTo >> /C [0 0 0] /Next 5813 0 R /Parent 5809 0 R /Prev 5811 0 R /Title (AUTO_INCREMENTAL_CHECKPOINT) >> endobj 5813 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11742) /S /GoTo >> /C [0 0 0] /Next 5814 0 R /Parent 5809 0 R /Prev 5812 0 R /Title (AUTOPIPELINE_GROUP) >> endobj 5814 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11895) /S /GoTo >> /C [0 0 0] /Next 5815 0 R /Parent 5809 0 R /Prev 5813 0 R /Title (AUTOPIPELINE_MODULE) >> endobj 5815 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12045) /S /GoTo >> /C [0 0 0] /Next 5816 0 R /Parent 5809 0 R /Prev 5814 0 R /Title (AUTOPIPELINE_INCLUDE) >> endobj 5816 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12178) /S /GoTo >> /C [0 0 0] /Next 5817 0 R /Parent 5809 0 R /Prev 5815 0 R /Title (AUTOPIPELINE_LIMIT) >> endobj 5817 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12304) /S /GoTo >> /C [0 0 0] /Next 5818 0 R /Parent 5809 0 R /Prev 5816 0 R /Title (BEL) >> endobj 5818 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12512) /S /GoTo >> /C [0 0 0] /Next 5819 0 R /Parent 5809 0 R /Prev 5817 0 R /Title (BLACK_BOX) >> endobj 5819 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12651) /S /GoTo >> /C [0 0 0] /Next 5820 0 R /Parent 5809 0 R /Prev 5818 0 R /Title (BLI) >> endobj 5820 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12845) /S /GoTo >> /C [0 0 0] /Next 5821 0 R /Parent 5809 0 R /Prev 5819 0 R /Title (BLOCK_SYNTH) >> endobj 5821 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13024) /S /GoTo >> /C [0 0 0] /Next 5822 0 R /Parent 5809 0 R /Prev 5820 0 R /Title (BUFFER_TYPE) >> endobj 5822 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13056) /S /GoTo >> /C [0 0 0] /Next 5823 0 R /Parent 5809 0 R /Prev 5821 0 R /Title (CARRY_REMAP) >> endobj 5823 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13240) /S /GoTo >> /C [0 0 0] /Next 5824 0 R /Parent 5809 0 R /Prev 5822 0 R /Title (CASCADE_HEIGHT) >> endobj 5824 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13368) /S /GoTo >> /C [0 0 0] /Next 5825 0 R /Parent 5809 0 R /Prev 5823 0 R /Title (CELL_BLOAT_FACTOR) >> endobj 5825 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13519) /S /GoTo >> /C [0 0 0] /Next 5826 0 R /Parent 5809 0 R /Prev 5824 0 R /Title (CFGBVS) >> endobj 5826 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13728) /S /GoTo >> /C [0 0 0] /Next 5827 0 R /Parent 5809 0 R /Prev 5825 0 R /Title (CLOCK_BUFFER_TYPE) >> endobj 5827 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13958) /S /GoTo >> /C [0 0 0] /Next 5828 0 R /Parent 5809 0 R /Prev 5826 0 R /Title (CLOCK_DEDICATED_ROUTE) >> endobj 5828 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14221) /S /GoTo >> /C [0 0 0] /Next 5829 0 R /Parent 5809 0 R /Prev 5827 0 R /Title (CLOCK_DELAY_GROUP) >> endobj 5829 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14390) /S /GoTo >> /C [0 0 0] /Next 5426 0 R /Parent 5809 0 R /Prev 5828 0 R /Title (CLOCK_LOW_FANOUT) >> endobj 5830 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32077) /S /GoTo >> /C [0 0 0] /Next 5831 0 R /Parent 5809 0 R /Prev 5514 0 R /Title (ROUTE_STATUS) >> endobj 5831 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32270) /S /GoTo >> /C [0 0 0] /Next 5832 0 R /Parent 5809 0 R /Prev 5830 0 R /Title (RPM) >> endobj 5832 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32385) /S /GoTo >> /C [0 0 0] /Next 5833 0 R /Parent 5809 0 R /Prev 5831 0 R /Title (RPM_GRID) >> endobj 5833 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32588) /S /GoTo >> /C [0 0 0] /Next 5834 0 R /Parent 5809 0 R /Prev 5832 0 R /Title (SEVERITY) >> endobj 5834 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32824) /S /GoTo >> /C [0 0 0] /Next 5835 0 R /Parent 5809 0 R /Prev 5833 0 R /Title (SLEW) >> endobj 5835 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33073) /S /GoTo >> /C [0 0 0] /Next 5836 0 R /Parent 5809 0 R /Prev 5834 0 R /Title (SRL_TO_REG) >> endobj 5836 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33212) /S /GoTo >> /C [0 0 0] /Next 5837 0 R /Parent 5809 0 R /Prev 5835 0 R /Title (SRL_STAGES_TO_REG_INPUT) >> endobj 5837 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33371) /S /GoTo >> /C [0 0 0] /Next 5838 0 R /Parent 5809 0 R /Prev 5836 0 R /Title (SRL_STAGES_TO_REG_OUTPUT) >> endobj 5838 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33529) /S /GoTo >> /C [0 0 0] /Next 5839 0 R /Parent 5809 0 R /Prev 5837 0 R /Title (SYNTH_CHECKPOINT_MODE) >> endobj 5839 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33796) /S /GoTo >> /C [0 0 0] /Next 5840 0 R /Parent 5809 0 R /Prev 5838 0 R /Title (U_SET) >> endobj 5840 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34054) /S /GoTo >> /C [0 0 0] /Next 5841 0 R /Parent 5809 0 R /Prev 5839 0 R /Title (UNAVAILABLE_DURING_CALIBRATION) >> endobj 5841 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34212) /S /GoTo >> /C [0 0 0] /Next 5842 0 R /Parent 5809 0 R /Prev 5840 0 R /Title (USE_DSP) >> endobj 5842 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34380) /S /GoTo >> /C [0 0 0] /Next 5843 0 R /Parent 5809 0 R /Prev 5841 0 R /Title (USED_IN) >> endobj 5843 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34615) /S /GoTo >> /C [0 0 0] /Next 5844 0 R /Parent 5809 0 R /Prev 5842 0 R /Title (USER_CLOCK_ROOT) >> endobj 5844 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34828) /S /GoTo >> /C [0 0 0] /Next 5845 0 R /Parent 5809 0 R /Prev 5843 0 R /Title (USER_CROSSING_SLR) >> endobj 5845 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35046) /S /GoTo >> /C [0 0 0] /Next 5846 0 R /Parent 5809 0 R /Prev 5844 0 R /Title (USER_RAM_AVERAGE_ACTIVITY) >> endobj 5846 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35227) /S /GoTo >> /C [0 0 0] /Next 5847 0 R /Parent 5809 0 R /Prev 5845 0 R /Title (USER_SLL_REG) >> endobj 5847 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35446) /S /GoTo >> /C [0 0 0] /Next 5848 0 R /Parent 5809 0 R /Prev 5846 0 R /Title (USER_SLR_ASSIGNMENT) >> endobj 5848 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35641) /S /GoTo >> /C [0 0 0] /Next 5849 0 R /Parent 5809 0 R /Prev 5847 0 R /Title (VCCAUX_IO) >> endobj 5849 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35835) /S /GoTo >> /C [0 0 0] /Parent 5809 0 R /Prev 5848 0 R /Title (USER_CLUSTER) >> endobj 5850 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35967) /S /GoTo >> /C [0 0 0] /Count -6 /First 5851 0 R /Last 5856 0 R /Parent 5757 0 R /Prev 5809 0 R /Title (Appx. A: Additional Resources and Legal Notices) >> endobj 5851 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35984) /S /GoTo >> /C [0 0 0] /Next 5852 0 R /Parent 5850 0 R /Title (Xilinx Resources) >> endobj 5852 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36011) /S /GoTo >> /C [0 0 0] /Next 5853 0 R /Parent 5850 0 R /Prev 5851 0 R /Title (Documentation Navigator and Design Hubs) >> endobj 5853 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36122) /S /GoTo >> /C [0 0 0] /Next 5854 0 R /Parent 5850 0 R /Prev 5852 0 R /Title (References) >> endobj 5854 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36507) /S /GoTo >> /C [0 0 0] /Next 5855 0 R /Parent 5850 0 R /Prev 5853 0 R /Title (Training Resources) >> endobj 5855 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36556) /S /GoTo >> /C [0 0 0] /Next 5856 0 R /Parent 5850 0 R /Prev 5854 0 R /Title (Revision History) >> endobj 5856 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36720) /S /GoTo >> /C [0 0 0] /Parent 5850 0 R /Prev 5855 0 R /Title (Please Read: Important Legal Notices) >> endobj 1 0 obj << /Annots 3 0 R /BleedBox [0 0 612 792] /Contents [40 0 R 39 0 R 3280 0 R 4 0 R 6 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc234 7 0 R /Iabc67 5 0 R >> >> /Type /Page >> endobj 2 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111750-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3 0 obj [2 0 R 9 0 R 10 0 R 11 0 R 12 0 R 13 0 R 14 0 R 15 0 R 16 0 R 17 0 R 18 0 R 19 0 R 20 0 R 21 0 R 22 0 R 23 0 R 24 0 R 25 0 R 26 0 R 27 0 R 28 0 R 29 0 R 30 0 R 31 0 R 32 0 R 33 0 R 34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 8 0 R] endobj 4 0 obj << /Length 16 >> stream q /Iabc67 Do Q endstream endobj 5 0 obj << /BBox [0 0 155.6752 96.8199] /Filter /FlateDecode /Length 800 /Matrix [0.58455 0 0 0.57839 54 700] /Resources << /ExtGState << /Gabc69 3256 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xmj-7 _eɲNBɢtYB!B(3ќKdˏ_/yH)_秜sm|ĵzXǀ~O!?ޣmgb$pN9gQو$q#-#B^uh@,Tz*#P&.-6BɊ%ʴ+Njiv11BZzTuWrVh-BLS.R *6~LҘ#p* hCBL 2WyL e5K"s μBV%"WNzI$^&1v9gc[(zT,ɘz¶ɭ\`h̽N;2L9NE7mϊW1d'^? \;G`2\ʜ=$c,8WW_& ! m#Hlܯ0ko8Yb= %՜}ӋGXP̈,6=?:A endstream endobj 6 0 obj << /Length 17 >> stream q /Iabc234 Do Q endstream endobj 7 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc245 3256 0 R /Gabc247 3269 0 R >> /Font << /Fabc261 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=!W^Ls_RUƐ ?wG?{`EV2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OE٧j gVwlVbVM^RuTkI>U}IDURj)\Όl :6f ^_ DZ`O6OaeΐOyshf^2 GsB&s.S0Erձ*U櫶_ѼΡDHI]@ U8Ρ\~j|=B/}& endstream endobj 8 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=2) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e4817) /S /GoTo >> /Border [0 0 0] /Contents (\000C\000h\000a\000p\000t\000e\000r\000\240\0001\000:\000\240\000V\000i\000v\000a\000d\000o\000 \000\ D\000e\000s\000i\000g\000n\000 \000S\000u\000i\000t\000e\000 \000F\000i\000r\000s\000t\000 \000C\000l\ \000a\000s\000s\000 \000O\000b\000j\000e\000c\000t\000s\000.\000.\000.\000.\000.\000.\000.\000.\000.\000\ .\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\ \000.\000.\000.\000.\000.\000.\000.\0008) /M (D:20221102111814-08'00') /Rect [90 581.2 558 595.2] /Subtype /Link /Type /Annot >> endobj 10 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e4832) /S /GoTo >> /Border [0 0 0] /Contents (Navigating Content by Design Process.................................................................\ ...................) /M (D:20221102111814-08'00') /Rect [105 564.65 558 575.65] /Subtype /Link /Type /Annot >> endobj 11 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e4890) /S /GoTo >> /Border [0 0 0] /Contents (Introduction.........................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 548.15 558 559.15] /Subtype /Link /Type /Annot >> endobj 12 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e4985) /S /GoTo >> /Border [0 0 0] /Contents (Copying Examples from this Document..................................................................\ .................) /M (D:20221102111814-08'00') /Rect [105 531.65 558 542.65] /Subtype /Link /Type /Annot >> endobj 13 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5030) /S /GoTo >> /Border [0 0 0] /Contents (Netlist and Device Objects...........................................................................\ ..............................) /M (D:20221102111814-08'00') /Rect [105 515.15 558 526.15] /Subtype /Link /Type /Annot >> endobj 14 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5239) /S /GoTo >> /Border [0 0 0] /Contents (Block Design Objects.................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 498.65 558 509.65] /Subtype /Link /Type /Annot >> endobj 15 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5334) /S /GoTo >> /Border [0 0 0] /Contents (Hardware Manager Objects.............................................................................\ ........................) /M (D:20221102111814-08'00') /Rect [105 482.15 558 493.15] /Subtype /Link /Type /Annot >> endobj 16 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5480) /S /GoTo >> /Border [0 0 0] /Contents (\000C\000h\000a\000p\000t\000e\000r\000\240\0002\000:\000\240\000A\000l\000p\000h\000a\000b\000e\000\ t\000i\000c\000a\000l\000 \000L\000i\000s\000t\000 \000o\000f\000 \000F\000i\000r\000s\000t\000 \000C\ \000l\000a\000s\000s\000 \000O\000b\000j\000e\000c\000t\000s\000.\000.\000.\000.\000.\000.\000.\000.\000\ .\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\ \000.\000.\000.\000.\000.\000.\000.\0001\0006) /M (D:20221102111814-08'00') /Rect [90 452.6 558 466.6] /Subtype /Link /Type /Annot >> endobj 17 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5495) /S /GoTo >> /Border [0 0 0] /Contents (BD_ADDR_SEG..........................................................................................\ ..................................) /M (D:20221102111814-08'00') /Rect [105 436.05 558 447.05] /Subtype /Link /Type /Annot >> endobj 18 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5615) /S /GoTo >> /Border [0 0 0] /Contents (BD_ADDR_SPACE........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 419.55 558 430.55] /Subtype /Link /Type /Annot >> endobj 19 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5705) /S /GoTo >> /Border [0 0 0] /Contents (BD_CELL..............................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 403.05 558 414.05] /Subtype /Link /Type /Annot >> endobj 20 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5804) /S /GoTo >> /Border [0 0 0] /Contents (BD_INTF_NET..........................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 386.55 558 397.55] /Subtype /Link /Type /Annot >> endobj 21 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e5912) /S /GoTo >> /Border [0 0 0] /Contents (BD_INTF_PIN..........................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 370.05 558 381.05] /Subtype /Link /Type /Annot >> endobj 22 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6024) /S /GoTo >> /Border [0 0 0] /Contents (BD_INTF_PORT.........................................................................................\ ..................................) /M (D:20221102111814-08'00') /Rect [105 353.55 558 364.55] /Subtype /Link /Type /Annot >> endobj 23 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6127) /S /GoTo >> /Border [0 0 0] /Contents (BD_NET...............................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 337.05 558 348.05] /Subtype /Link /Type /Annot >> endobj 24 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6202) /S /GoTo >> /Border [0 0 0] /Contents (BD_PIN...............................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 320.55 558 331.55] /Subtype /Link /Type /Annot >> endobj 25 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6283) /S /GoTo >> /Border [0 0 0] /Contents (BD_PORT..............................................................................................\ .......................................) /M (D:20221102111814-08'00') /Rect [105 304.05 558 315.05] /Subtype /Link /Type /Annot >> endobj 26 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6361) /S /GoTo >> /Border [0 0 0] /Contents (BEL..................................................................................................\ .............................................) /M (D:20221102111814-08'00') /Rect [105 287.55 558 298.55] /Subtype /Link /Type /Annot >> endobj 27 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6488) /S /GoTo >> /Border [0 0 0] /Contents (BEL_PIN..............................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 271.05 558 282.05] /Subtype /Link /Type /Annot >> endobj 28 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6573) /S /GoTo >> /Border [0 0 0] /Contents (CELL.................................................................................................\ ............................................) /M (D:20221102111814-08'00') /Rect [105 254.55 558 265.55] /Subtype /Link /Type /Annot >> endobj 29 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7098) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK................................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 238.05 558 249.05] /Subtype /Link /Type /Annot >> endobj 30 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7298) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_REGION.........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 221.55 558 232.55] /Subtype /Link /Type /Annot >> endobj 31 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7444) /S /GoTo >> /Border [0 0 0] /Contents (DIAGRAM..............................................................................................\ ......................................) /M (D:20221102111814-08'00') /Rect [105 205.05 558 216.05] /Subtype /Link /Type /Annot >> endobj 32 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7529) /S /GoTo >> /Border [0 0 0] /Contents (HW_AXI...............................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 188.55 558 199.55] /Subtype /Link /Type /Annot >> endobj 33 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7662) /S /GoTo >> /Border [0 0 0] /Contents (HW_BITSTREAM.........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 172.05 558 183.05] /Subtype /Link /Type /Annot >> endobj 34 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7773) /S /GoTo >> /Border [0 0 0] /Contents (HW_CFGMEM............................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 155.55 558 166.55] /Subtype /Link /Type /Annot >> endobj 35 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7873) /S /GoTo >> /Border [0 0 0] /Contents (HW_DEVICE............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 139.05 558 150.05] /Subtype /Link /Type /Annot >> endobj 36 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7973) /S /GoTo >> /Border [0 0 0] /Contents (HW_ILA...............................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 122.55 558 133.55] /Subtype /Link /Type /Annot >> endobj 37 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8103) /S /GoTo >> /Border [0 0 0] /Contents (HW_ILA_DATA..........................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 106.05 558 117.05] /Subtype /Link /Type /Annot >> endobj 38 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8197) /S /GoTo >> /Border [0 0 0] /Contents (HW_PROBE.............................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 89.55 558 100.55] /Subtype /Link /Type /Annot >> endobj 39 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 40 0 obj << /Filter /FlateDecode /Length 2326 >> stream x\ɎWlh2.| 4iſ\rM d/̬P+ w3TYY% d EHzyNz瞟{ǹ;G.H+!Ӎ,4 y@n{4c0'WJʼnN CBC F. 1vC]}Wrs˻ n_68ڍsG~"-pb{&_1cMWdz팝P_h,nϴWSeW;"`ٷN~x߿QQo2J$;L"Y'qNZB}tɁs/?69(#LjeΗo5)*gpq[ţLGևO>?Z}RE>]\p9L@+{svGvtbRHP8?=yv=)#I,E|!׬!"+6;0`LK؃N}%2̣)sN 9GfdmmuA59DZ WV6y!Z.s.;b7Ӧ5mdžtdbaln9L.񇋲rxI6&Fn+gDuۂI&J,٫caB.0qC'_FHg kK y}\`Ѳޱbd٢ *6:]Śj=˚ZBۂz:l'0[V4lf(k0lfs~9"/MߐhMBdDJ3&6^C YvmJ`;pfb_tk|utH,tJb"Up4h4:Wbe0 X \`Et"6[*&e' Ŭ[df{^uaӷW]ZUg띮[oTNkܴ6oN<{w[l[E) spЁ$}T&r2xu>a MtL{jJ4 %57}RVv DĒU&xuŏRV+HԵݺe'l!AىE AJ vCF7Y,p!laB8a}U:*)"ӆL˵bf#+ x7^bkrnv*E@Q_O;X](!O#}\+%bpvw\5,-›ʼnbf?d%=5SDtMדE4FiAk3%Q'WXS We`Æ$2+Wr"Lϱv=L-A,Ehˆ5Ը0m[9y'#h`$eٔM&IT\ 'W/z-VeЖJAGKs'lU&z)J6U^PqY.ք "e'{7IkLuuXAVqeˇ$\<+ҐҍbgJԪK [-Hz^:_jE XYepNJu , endstream endobj 41 0 obj << /Annots 43 0 R /BleedBox [0 0 612 792] /Contents [85 0 R 84 0 R 44 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc392 45 0 R >> >> /Type /Page >> endobj 42 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111754-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 43 0 obj [42 0 R 47 0 R 48 0 R 49 0 R 50 0 R 51 0 R 52 0 R 53 0 R 54 0 R 55 0 R 56 0 R 57 0 R 58 0 R 59 0 R 60 0 R 61 0 R 62 0 R 63 0 R 64 0 R 65 0 R 66 0 R 67 0 R 68 0 R 69 0 R 70 0 R 71 0 R 72 0 R 73 0 R 74 0 R 75 0 R 76 0 R 77 0 R 78 0 R 79 0 R 80 0 R 81 0 R 82 0 R 83 0 R 46 0 R] endobj 44 0 obj << /Length 17 >> stream q /Iabc392 Do Q endstream endobj 45 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc401 3256 0 R /Gabc418 3269 0 R >> /Font << /Fabc420 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7(th_{9s =r,!p d Z LB.FPBDqs)NF8% ])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج Vv,Lm)1m:ɓ#,J[OIkp:3ϳ-nrl?KC2O-j}'2gH5<a%xp8!l2g)-\0S429VE1 ]|v+9)C ( 'C9秶h#bwo# endstream endobj 46 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=3) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 47 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8308) /S /GoTo >> /Border [0 0 0] /Contents (HW_SERVER............................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 697.25 558 708.25] /Subtype /Link /Type /Annot >> endobj 48 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8396) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_GT............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 680.75 558 691.75] /Subtype /Link /Type /Annot >> endobj 49 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8506) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_GTGROUP.......................................................................................\ .............................) /M (D:20221102111814-08'00') /Rect [105 664.25 558 675.25] /Subtype /Link /Type /Annot >> endobj 50 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8596) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_IBERT.........................................................................................\ ..................................) /M (D:20221102111814-08'00') /Rect [105 647.75 558 658.75] /Subtype /Link /Type /Annot >> endobj 51 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8726) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_PLL...........................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 631.25 558 642.25] /Subtype /Link /Type /Annot >> endobj 52 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8820) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_RX............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 614.75 558 625.75] /Subtype /Link /Type /Annot >> endobj 53 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8911) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_TX............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 598.25 558 609.25] /Subtype /Link /Type /Annot >> endobj 54 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8993) /S /GoTo >> /Border [0 0 0] /Contents (HW_SYSMON............................................................................................\ ..................................) /M (D:20221102111814-08'00') /Rect [105 581.75 558 592.75] /Subtype /Link /Type /Annot >> endobj 55 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9123) /S /GoTo >> /Border [0 0 0] /Contents (HW_TARGET............................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 565.25 558 576.25] /Subtype /Link /Type /Annot >> endobj 56 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9255) /S /GoTo >> /Border [0 0 0] /Contents (HW_VIO...............................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 548.75 558 559.75] /Subtype /Link /Type /Annot >> endobj 57 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9381) /S /GoTo >> /Border [0 0 0] /Contents (IO_BANK..............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 532.25 558 543.25] /Subtype /Link /Type /Annot >> endobj 58 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9499) /S /GoTo >> /Border [0 0 0] /Contents (IO_STANDARD..........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 515.75 558 526.75] /Subtype /Link /Type /Annot >> endobj 59 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9602) /S /GoTo >> /Border [0 0 0] /Contents (NET..................................................................................................\ ..........................................) /M (D:20221102111814-08'00') /Rect [105 499.25 558 510.25] /Subtype /Link /Type /Annot >> endobj 60 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9701) /S /GoTo >> /Border [0 0 0] /Contents (NODE.................................................................................................\ .......................................) /M (D:20221102111814-08'00') /Rect [105 482.75 558 493.75] /Subtype /Link /Type /Annot >> endobj 61 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9789) /S /GoTo >> /Border [0 0 0] /Contents (PACKAGE_PIN..........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 466.25 558 477.25] /Subtype /Link /Type /Annot >> endobj 62 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e9896) /S /GoTo >> /Border [0 0 0] /Contents (PIN..................................................................................................\ ...........................................) /M (D:20221102111814-08'00') /Rect [105 449.75 558 460.75] /Subtype /Link /Type /Annot >> endobj 63 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10125) /S /GoTo >> /Border [0 0 0] /Contents (PIP or SITE_PIP......................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 433.25 558 444.25] /Subtype /Link /Type /Annot >> endobj 64 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10233) /S /GoTo >> /Border [0 0 0] /Contents (PKGPIN_BYTEGROUP.....................................................................................\ .........................) /M (D:20221102111814-08'00') /Rect [105 416.75 558 427.75] /Subtype /Link /Type /Annot >> endobj 65 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10363) /S /GoTo >> /Border [0 0 0] /Contents (PKGPIN_NIBBLE........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 400.25 558 411.25] /Subtype /Link /Type /Annot >> endobj 66 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10442) /S /GoTo >> /Border [0 0 0] /Contents (PORT.................................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 383.75 558 394.75] /Subtype /Link /Type /Annot >> endobj 67 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10535) /S /GoTo >> /Border [0 0 0] /Contents (SITE.................................................................................................\ ...........................................) /M (D:20221102111814-08'00') /Rect [105 367.25 558 378.25] /Subtype /Link /Type /Annot >> endobj 68 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10704) /S /GoTo >> /Border [0 0 0] /Contents (SLR..................................................................................................\ ...........................................) /M (D:20221102111814-08'00') /Rect [105 350.75 558 361.75] /Subtype /Link /Type /Annot >> endobj 69 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10816) /S /GoTo >> /Border [0 0 0] /Contents (TILE.................................................................................................\ ...........................................) /M (D:20221102111814-08'00') /Rect [105 334.25 558 345.25] /Subtype /Link /Type /Annot >> endobj 70 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e10932) /S /GoTo >> /Border [0 0 0] /Contents (TIMING_PATH..........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 317.75 558 328.75] /Subtype /Link /Type /Annot >> endobj 71 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11079) /S /GoTo >> /Border [0 0 0] /Contents (WIRE.................................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 301.25 558 312.25] /Subtype /Link /Type /Annot >> endobj 72 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11169) /S /GoTo >> /Border [0 0 0] /Contents (\000C\000h\000a\000p\000t\000e\000r\000\240\0003\000:\000\240\000K\000e\000y\000 \000P\000r\000o\000\ p\000e\000r\000t\000y\000 \000D\000e\000s\000c\000r\000i\000p\000t\000i\000o\000n\000s\000.\000.\000.\ \000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000\ .\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\ \000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\0001\0004\0000) /M (D:20221102111814-08'00') /Rect [90 271.7 558 285.7] /Subtype /Link /Type /Annot >> endobj 73 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11184) /S /GoTo >> /Border [0 0 0] /Contents (Properties Information...............................................................................\ ............................) /M (D:20221102111814-08'00') /Rect [105 255.15 558 266.15] /Subtype /Link /Type /Annot >> endobj 74 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11264) /S /GoTo >> /Border [0 0 0] /Contents (ASYNC_REG............................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 238.65 558 249.65] /Subtype /Link /Type /Annot >> endobj 75 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11547) /S /GoTo >> /Border [0 0 0] /Contents (AUTO_INCREMENTAL_CHECKPOINT..........................................................................\ ...........) /M (D:20221102111814-08'00') /Rect [105 222.15 558 233.15] /Subtype /Link /Type /Annot >> endobj 76 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11742) /S /GoTo >> /Border [0 0 0] /Contents (AUTOPIPELINE_GROUP...................................................................................\ .......................) /M (D:20221102111814-08'00') /Rect [105 205.65 558 216.65] /Subtype /Link /Type /Annot >> endobj 77 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e11895) /S /GoTo >> /Border [0 0 0] /Contents (AUTOPIPELINE_MODULE..................................................................................\ ......................) /M (D:20221102111814-08'00') /Rect [105 189.15 558 200.15] /Subtype /Link /Type /Annot >> endobj 78 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12045) /S /GoTo >> /Border [0 0 0] /Contents (AUTOPIPELINE_INCLUDE.................................................................................\ ......................) /M (D:20221102111814-08'00') /Rect [105 172.65 558 183.65] /Subtype /Link /Type /Annot >> endobj 79 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12178) /S /GoTo >> /Border [0 0 0] /Contents (AUTOPIPELINE_LIMIT...................................................................................\ ..........................) /M (D:20221102111814-08'00') /Rect [105 156.15 558 167.15] /Subtype /Link /Type /Annot >> endobj 80 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12304) /S /GoTo >> /Border [0 0 0] /Contents (BEL..................................................................................................\ ...........................................) /M (D:20221102111814-08'00') /Rect [105 139.65 558 150.65] /Subtype /Link /Type /Annot >> endobj 81 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12512) /S /GoTo >> /Border [0 0 0] /Contents (BLACK_BOX............................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 123.15 558 134.15] /Subtype /Link /Type /Annot >> endobj 82 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12651) /S /GoTo >> /Border [0 0 0] /Contents (BLI..................................................................................................\ ...........................................) /M (D:20221102111814-08'00') /Rect [105 106.65 558 117.65] /Subtype /Link /Type /Annot >> endobj 83 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12845) /S /GoTo >> /Border [0 0 0] /Contents (BLOCK_SYNTH..........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 90.15 558 101.15] /Subtype /Link /Type /Annot >> endobj 84 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 85 0 obj << /Filter /FlateDecode /Length 2298 >> stream x]KW\v9$i If;|ŇDylۻ9pJY,~ UR_ oB"h4-Q3I߷݋@Bky)UA))e^=aqu(o~PC~ &XQ[O ,/xi,JCb`i'O Nv89ed!-l|0(tZOsna88LC.C #`Fl~vC 2AiCiԣ̦Iق$[Ug=DyHgsDWz6Le-na9Q2nx:\ۀ(oA+GՕ"=h^g !Qp-:UT]ss*4pY4g;QtQ "Cj܀ʌJ5:Wupp\@/UY'C]U/h)?Z`r6-_KIq5 n S5|.DDZB07ՠC!Qkz=]@!!Qޏ2_ 5: [6- ocVqT9bh~ٝwfL38zɯ! Qޛ ,;t0B[~sLJzNX@0fw(V)+`lW?KhX}]"kM^:5s%vʹQ_}ޯt#JΣQ_t Gֶw3)[K^~G ݉ab)õ/CaӼ"WS$1%4.\g{1RׯL:LV'tE5; R95GMst7W ڪhpxtOM:<샮2636[HF8v> ,f:l=b2Vп76GsC'ɹW !Qnyǵl%%9$b1Sc O7RQa.V7S[vӖt_Q\!6;݇E|mLtV`1ķAKPj{[4|4ȤU &eJIkƃLOJj#j<~9UxT*6>H2 Bs-u$L"I^h|{2Zx{@%ed۾c 6W)@Y#/+d̀ٙ4 YgNdGR) tP}Cfͪ3Zsd]g^S&;]ѲI\rd1"\k:emiHKT5$ ~R6YOFkΑle pT$QpY8QK691,- c$ptX:X?}heH.UShrWXtYt65+nt&㢧javz_`5J̖f [ l+Z5M6G@ ёa֡J [emvT[9_ʾM6nj#lZJ ^t /GXۯ֏-RLc%#y1[BM+`а`*u2B>m&$ 6lʼnNT_]k8$fyzfس0{G^<[S5A"3*(zI,bj\DTtTh>@ %U71|pֱk ʖvd\Rh.3Q*kL Qvm,[f  ʆ-BX'cO+2vbNk%5 Pw  =^h')}kGv o˰Hi}feNRTMHGq' m#<xv`G!<ڑ*# )s6W%LfDcS3Y%KvM-ձW蚊' k4lL9Ҽ*O#(+A_{~N2>epo !jU9&g`v{E߃0oXH`eԬ2#ZQBa\6V6iDų_<@@3ญǹ03jסgLrkxejdӐ9[,RI!߽:&Wet swiHi\R b_FtU endstream endobj 86 0 obj << /Annots 88 0 R /BleedBox [0 0 612 792] /Contents [131 0 R 130 0 R 89 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc571 90 0 R >> >> /Type /Page >> endobj 87 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111757-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 88 0 obj [87 0 R 92 0 R 93 0 R 94 0 R 95 0 R 96 0 R 97 0 R 98 0 R 99 0 R 100 0 R 101 0 R 102 0 R 103 0 R 104 0 R 105 0 R 106 0 R 107 0 R 108 0 R 109 0 R 110 0 R 111 0 R 112 0 R 113 0 R 114 0 R 115 0 R 116 0 R 117 0 R 118 0 R 119 0 R 120 0 R 121 0 R 122 0 R 123 0 R 124 0 R 125 0 R 126 0 R 127 0 R 128 0 R 129 0 R 91 0 R] endobj 89 0 obj << /Length 17 >> stream q /Iabc571 Do Q endstream endobj 90 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc573 3256 0 R /Gabc584 3269 0 R >> /Font << /Fabc597 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vH%C;dٙc8I kg ZHZ;j:x*e2r1""$oX*Mw1)䝒L jE3DTZĀ0dD@M(Ͼ=9] Qoc&sbG _;>!& gV#ج$VwRu&[)1:}Iw9F&[:YɭUrZ ip.3N%~K!H5h}g:g("G :gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 92 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13024) /S /GoTo >> /Border [0 0 0] /Contents (BUFFER_TYPE..........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 697.25 558 708.25] /Subtype /Link /Type /Annot >> endobj 93 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13056) /S /GoTo >> /Border [0 0 0] /Contents (CARRY_REMAP..........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 680.75 558 691.75] /Subtype /Link /Type /Annot >> endobj 94 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13240) /S /GoTo >> /Border [0 0 0] /Contents (CASCADE_HEIGHT.......................................................................................\ ............................) /M (D:20221102111814-08'00') /Rect [105 664.25 558 675.25] /Subtype /Link /Type /Annot >> endobj 95 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13368) /S /GoTo >> /Border [0 0 0] /Contents (CELL_BLOAT_FACTOR....................................................................................\ ..........................) /M (D:20221102111814-08'00') /Rect [105 647.75 558 658.75] /Subtype /Link /Type /Annot >> endobj 96 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13519) /S /GoTo >> /Border [0 0 0] /Contents (CFGBVS...............................................................................................\ ......................................) /M (D:20221102111814-08'00') /Rect [105 631.25 558 642.25] /Subtype /Link /Type /Annot >> endobj 97 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13728) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_BUFFER_TYPE....................................................................................\ ..........................) /M (D:20221102111814-08'00') /Rect [105 614.75 558 625.75] /Subtype /Link /Type /Annot >> endobj 98 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13958) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_DEDICATED_ROUTE................................................................................\ ....................) /M (D:20221102111814-08'00') /Rect [105 598.25 558 609.25] /Subtype /Link /Type /Annot >> endobj 99 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14221) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_DELAY_GROUP....................................................................................\ ........................) /M (D:20221102111814-08'00') /Rect [105 581.75 558 592.75] /Subtype /Link /Type /Annot >> endobj 100 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14390) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_LOW_FANOUT.....................................................................................\ ........................) /M (D:20221102111814-08'00') /Rect [105 565.25 558 576.25] /Subtype /Link /Type /Annot >> endobj 101 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14633) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_REGION.........................................................................................\ ..............................) /M (D:20221102111814-08'00') /Rect [105 548.75 558 559.75] /Subtype /Link /Type /Annot >> endobj 102 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14841) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_ROOT...........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 532.25 558 543.25] /Subtype /Link /Type /Annot >> endobj 103 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14991) /S /GoTo >> /Border [0 0 0] /Contents (CONFIG_MODE..........................................................................................\ ..............................) /M (D:20221102111814-08'00') /Rect [105 515.75 558 526.75] /Subtype /Link /Type /Annot >> endobj 104 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15237) /S /GoTo >> /Border [0 0 0] /Contents (CONFIG_VOLTAGE.......................................................................................\ ............................) /M (D:20221102111814-08'00') /Rect [105 499.25 558 510.25] /Subtype /Link /Type /Annot >> endobj 105 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15424) /S /GoTo >> /Border [0 0 0] /Contents (CONTAIN_ROUTING......................................................................................\ ..........................) /M (D:20221102111814-08'00') /Rect [105 482.75 558 493.75] /Subtype /Link /Type /Annot >> endobj 106 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15605) /S /GoTo >> /Border [0 0 0] /Contents (CONTROL_SET_REMAP....................................................................................\ ........................) /M (D:20221102111814-08'00') /Rect [105 466.25 558 477.25] /Subtype /Link /Type /Annot >> endobj 107 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15803) /S /GoTo >> /Border [0 0 0] /Contents (DCI_CASCADE..........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 449.75 558 460.75] /Subtype /Link /Type /Annot >> endobj 108 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16043) /S /GoTo >> /Border [0 0 0] /Contents (DELAY_BYPASS.........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 433.25 558 444.25] /Subtype /Link /Type /Annot >> endobj 109 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16205) /S /GoTo >> /Border [0 0 0] /Contents (DELAY_VALUE_XPHY.....................................................................................\ ...........................) /M (D:20221102111814-08'00') /Rect [105 416.75 558 427.75] /Subtype /Link /Type /Annot >> endobj 110 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16366) /S /GoTo >> /Border [0 0 0] /Contents (DIFF_TERM............................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 400.25 558 411.25] /Subtype /Link /Type /Annot >> endobj 111 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16633) /S /GoTo >> /Border [0 0 0] /Contents (DIFF_TERM_ADV........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 383.75 558 394.75] /Subtype /Link /Type /Annot >> endobj 112 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16876) /S /GoTo >> /Border [0 0 0] /Contents (DIRECT_ENABLE........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 367.25 558 378.25] /Subtype /Link /Type /Annot >> endobj 113 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17032) /S /GoTo >> /Border [0 0 0] /Contents (DIRECT_RESET.........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 350.75 558 361.75] /Subtype /Link /Type /Annot >> endobj 114 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17180) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH...........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 334.25 558 345.25] /Subtype /Link /Type /Annot >> endobj 115 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17485) /S /GoTo >> /Border [0 0 0] /Contents (DQS_BIAS.............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 317.75 558 328.75] /Subtype /Link /Type /Annot >> endobj 116 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17722) /S /GoTo >> /Border [0 0 0] /Contents (DRIVE................................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 301.25 558 312.25] /Subtype /Link /Type /Annot >> endobj 117 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17962) /S /GoTo >> /Border [0 0 0] /Contents (EDIF_EXTRA_SEARCH_PATHS..............................................................................\ ....................) /M (D:20221102111814-08'00') /Rect [105 284.75 558 295.75] /Subtype /Link /Type /Annot >> endobj 118 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18101) /S /GoTo >> /Border [0 0 0] /Contents (EQUALIZATION.........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 268.25 558 279.25] /Subtype /Link /Type /Annot >> endobj 119 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18308) /S /GoTo >> /Border [0 0 0] /Contents (EQUIVALENT_DRIVER_OPT................................................................................\ .....................) /M (D:20221102111814-08'00') /Rect [105 251.75 558 262.75] /Subtype /Link /Type /Annot >> endobj 120 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18489) /S /GoTo >> /Border [0 0 0] /Contents (EXCLUDE_PLACEMENT....................................................................................\ ........................) /M (D:20221102111814-08'00') /Rect [105 235.25 558 246.25] /Subtype /Link /Type /Annot >> endobj 121 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18640) /S /GoTo >> /Border [0 0 0] /Contents (EXTRACT_ENABLE.......................................................................................\ .............................) /M (D:20221102111814-08'00') /Rect [105 218.75 558 229.75] /Subtype /Link /Type /Annot >> endobj 122 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18776) /S /GoTo >> /Border [0 0 0] /Contents (EXTRACT_RESET........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 202.25 558 213.25] /Subtype /Link /Type /Annot >> endobj 123 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18922) /S /GoTo >> /Border [0 0 0] /Contents (FORCE_MAX_FANOUT.....................................................................................\ .........................) /M (D:20221102111814-08'00') /Rect [105 185.75 558 196.75] /Subtype /Link /Type /Annot >> endobj 124 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19045) /S /GoTo >> /Border [0 0 0] /Contents (FSM_ENCODING.........................................................................................\ .............................) /M (D:20221102111814-08'00') /Rect [105 169.25 558 180.25] /Subtype /Link /Type /Annot >> endobj 125 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19202) /S /GoTo >> /Border [0 0 0] /Contents (FSM_SAFE_STATE.......................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 152.75 558 163.75] /Subtype /Link /Type /Annot >> endobj 126 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19383) /S /GoTo >> /Border [0 0 0] /Contents (GATED_CLOCK..........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 136.25 558 147.25] /Subtype /Link /Type /Annot >> endobj 127 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19546) /S /GoTo >> /Border [0 0 0] /Contents (GENERATE_SYNTH_CHECKPOINT............................................................................\ ..............) /M (D:20221102111814-08'00') /Rect [105 119.75 558 130.75] /Subtype /Link /Type /Annot >> endobj 128 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19805) /S /GoTo >> /Border [0 0 0] /Contents (H_SET and HU_SET.....................................................................................\ ..............................) /M (D:20221102111814-08'00') /Rect [105 103.25 558 114.25] /Subtype /Link /Type /Annot >> endobj 129 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20109) /S /GoTo >> /Border [0 0 0] /Contents (HIODELAY_GROUP.......................................................................................\ ...........................) /M (D:20221102111814-08'00') /Rect [105 86.75 558 97.75] /Subtype /Link /Type /Annot >> endobj 130 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 131 0 obj << /Filter /FlateDecode /Length 2423 >> stream x]KWPrf. {0>,\E>TuKS3]4)C(!I|"*M kDPLILB)i1F'Vy)J~cٰ<N4N=>6 8yC~OMrO?g 9l&&o[$y1t_Qy|N3X47Nxh "+aXӖ08i?(!|U܋Lq R@S2]}Hޭ񮅑0;>&3طWVglqV *Ǐy."&&x6_m' ;yPE~D`.N߁sCVJnll^NJw ))j^ C>SlBvR4)>H($O݉zX~z:T)/ 0cM&i  8(V8R },MtSM4j4ir|,_ROnAj#7&_K!QBhkGb7n$9lgpBPoSv9;S6>e7w!Z }XPS)\ѱPh[lTtȧ)c[YC.ұ/Ӵm$kaAqZLo7,nE.$̏"㸎B.?aD.zZ%e|-Tﵨ>Ϲۿpuͻ+}Qm6bYr*UWN ii^Ǜfgtٿ<89oᤍ@floд4Okr8]ʹ9%<}!en " @\3}YO0{+rF6.J/8oA6%~x}HM*cH UHlNRZ-5irKafvk Y)ǩj.cz{ѡ*lB[61zʜ$=> ;k.y֣'#Nc/?$ ҭr64Qi)9;-?܏ѐAʺ/~n"{;Gޒ Ѐc(zxqy 5`J.*I=ze//m۽$oR#<5 5zWQ.0egPd,Acޘo ô[tC-F/lq;5Z M4O*B&9"[jԕ64zC%ACU &^# 3D~۰Q9y X2ъ,He,-$pqX7b4B.ECShrWXitY.t6  nhuEKUlKu B=5kD-PO"YՓIV6xB?w ߐ kEBD:R jK+[ٱVZmF&v Xni`3Q"0%ѳc5X^ؼ-  MI`P`&U4c\RѨ|"Нc>wl 4DOw;|gŋ+8(fuZٳ?yG/ A3,(XJUۀN/(4سRQF6T܀Y6D 恹dILC*袉J|uɏRPß]c`+d2+lPvlL`>ѿC|\%s X,H)h-z<ӆK FeX$ll.2QQq}M ‘ޥcK Z8, s0c̣BBznH Ù5"=sW)t'5LÛ&=kr@i͒c/5d_O,hؚ1H@?"}u ?XڧdVƂ Uʅ0'*:C OSxVR/ l5#-ϖ}[Q~Yr|f IA06ô13"7H9rfFqO _HL" NR 1WOwnjI)K&=ءrC龩"EF@eL(E eMJ1y| Er endstream endobj 132 0 obj << /Annots 134 0 R /BleedBox [0 0 612 792] /Contents [177 0 R 176 0 R 135 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc730 136 0 R >> >> /Type /Page >> endobj 133 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111801-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 134 0 obj [133 0 R 138 0 R 139 0 R 140 0 R 141 0 R 142 0 R 143 0 R 144 0 R 145 0 R 146 0 R 147 0 R 148 0 R 149 0 R 150 0 R 151 0 R 152 0 R 153 0 R 154 0 R 155 0 R 156 0 R 157 0 R 158 0 R 159 0 R 160 0 R 161 0 R 162 0 R 163 0 R 164 0 R 165 0 R 166 0 R 167 0 R 168 0 R 169 0 R 170 0 R 171 0 R 172 0 R 173 0 R 174 0 R 175 0 R 137 0 R] endobj 135 0 obj << /Length 17 >> stream q /Iabc730 Do Q endstream endobj 136 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc741 3256 0 R /Gabc743 3269 0 R >> /Font << /Fabc758 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7(Yzrvg"eYHUC.$G/=>x1p$bE0EP,3MwPtJED]IeJW3W%^)Al\9 " ٷGӮBxgH h@1H!6hxfx/BD>.PkP=(%ypfE3RWm-־0ZOt⩔L2:)WIkp:3ϳ-nj#x?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!ëiWm_yݝCR\vhw%‰ph#bwo`2 endstream endobj 137 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=5) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 138 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20356) /S /GoTo >> /Border [0 0 0] /Contents (HLUTNM...............................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 697.25 558 708.25] /Subtype /Link /Type /Annot >> endobj 139 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20611) /S /GoTo >> /Border [0 0 0] /Contents (IBUF_LOW_PWR.........................................................................................\ ..............................) /M (D:20221102111814-08'00') /Rect [105 680.75 558 691.75] /Subtype /Link /Type /Annot >> endobj 140 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20810) /S /GoTo >> /Border [0 0 0] /Contents (IN_TERM..............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 664.25 558 675.25] /Subtype /Link /Type /Annot >> endobj 141 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21035) /S /GoTo >> /Border [0 0 0] /Contents (INCREMENTAL_CHECKPOINT...............................................................................\ ..................) /M (D:20221102111814-08'00') /Rect [105 647.75 558 658.75] /Subtype /Link /Type /Annot >> endobj 142 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21229) /S /GoTo >> /Border [0 0 0] /Contents (INTERNAL_VREF........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 631.25 558 642.25] /Subtype /Link /Type /Annot >> endobj 143 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21428) /S /GoTo >> /Border [0 0 0] /Contents (IO_BUFFER_TYPE.......................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 614.75 558 625.75] /Subtype /Link /Type /Annot >> endobj 144 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21575) /S /GoTo >> /Border [0 0 0] /Contents (IOB..................................................................................................\ ..........................................) /M (D:20221102111814-08'00') /Rect [105 598.25 558 609.25] /Subtype /Link /Type /Annot >> endobj 145 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21767) /S /GoTo >> /Border [0 0 0] /Contents (IOB_TRI_REG..........................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 581.75 558 592.75] /Subtype /Link /Type /Annot >> endobj 146 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21911) /S /GoTo >> /Border [0 0 0] /Contents (IOBDELAY.............................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 565.25 558 576.25] /Subtype /Link /Type /Annot >> endobj 147 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22130) /S /GoTo >> /Border [0 0 0] /Contents (IODELAY_GROUP........................................................................................\ .............................) /M (D:20221102111814-08'00') /Rect [105 548.75 558 559.75] /Subtype /Link /Type /Annot >> endobj 148 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22376) /S /GoTo >> /Border [0 0 0] /Contents (IOSTANDARD...........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 532.25 558 543.25] /Subtype /Link /Type /Annot >> endobj 149 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22643) /S /GoTo >> /Border [0 0 0] /Contents (IP_REPO_PATHS........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 515.75 558 526.75] /Subtype /Link /Type /Annot >> endobj 150 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22831) /S /GoTo >> /Border [0 0 0] /Contents (IS_ENABLED...........................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 499.25 558 510.25] /Subtype /Link /Type /Annot >> endobj 151 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23044) /S /GoTo >> /Border [0 0 0] /Contents (IS_SOFT..............................................................................................\ .......................................) /M (D:20221102111814-08'00') /Rect [105 482.75 558 493.75] /Subtype /Link /Type /Annot >> endobj 152 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23192) /S /GoTo >> /Border [0 0 0] /Contents (KEEP.................................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 466.25 558 477.25] /Subtype /Link /Type /Annot >> endobj 153 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23421) /S /GoTo >> /Border [0 0 0] /Contents (KEEP_COMPATIBLE......................................................................................\ ............................) /M (D:20221102111814-08'00') /Rect [105 449.75 558 460.75] /Subtype /Link /Type /Annot >> endobj 154 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23571) /S /GoTo >> /Border [0 0 0] /Contents (KEEP_HIERARCHY.......................................................................................\ .............................) /M (D:20221102111814-08'00') /Rect [105 433.25 558 444.25] /Subtype /Link /Type /Annot >> endobj 155 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23795) /S /GoTo >> /Border [0 0 0] /Contents (KEEPER...............................................................................................\ .......................................) /M (D:20221102111814-08'00') /Rect [105 416.75 558 427.75] /Subtype /Link /Type /Annot >> endobj 156 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23992) /S /GoTo >> /Border [0 0 0] /Contents (LOC..................................................................................................\ ..........................................) /M (D:20221102111814-08'00') /Rect [105 400.25 558 411.25] /Subtype /Link /Type /Annot >> endobj 157 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24201) /S /GoTo >> /Border [0 0 0] /Contents (LOCK_PINS............................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 383.75 558 394.75] /Subtype /Link /Type /Annot >> endobj 158 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24470) /S /GoTo >> /Border [0 0 0] /Contents (LOCK_UPGRADE.........................................................................................\ ..............................) /M (D:20221102111814-08'00') /Rect [105 367.25 558 378.25] /Subtype /Link /Type /Annot >> endobj 159 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24621) /S /GoTo >> /Border [0 0 0] /Contents (LUTNM................................................................................................\ ......................................) /M (D:20221102111814-08'00') /Rect [105 350.75 558 361.75] /Subtype /Link /Type /Annot >> endobj 160 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24882) /S /GoTo >> /Border [0 0 0] /Contents (LUT_REMAP............................................................................................\ ..................................) /M (D:20221102111814-08'00') /Rect [105 334.25 558 345.25] /Subtype /Link /Type /Annot >> endobj 161 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25099) /S /GoTo >> /Border [0 0 0] /Contents (LVDS_PRE_EMPHASIS....................................................................................\ ..........................) /M (D:20221102111814-08'00') /Rect [105 317.75 558 328.75] /Subtype /Link /Type /Annot >> endobj 162 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25288) /S /GoTo >> /Border [0 0 0] /Contents (MARK_DEBUG...........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 301.25 558 312.25] /Subtype /Link /Type /Annot >> endobj 163 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25534) /S /GoTo >> /Border [0 0 0] /Contents (MAX_FANOUT...........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 284.75 558 295.75] /Subtype /Link /Type /Annot >> endobj 164 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25716) /S /GoTo >> /Border [0 0 0] /Contents (MAX_FANOUT_MODE......................................................................................\ ........................) /M (D:20221102111814-08'00') /Rect [105 268.25 558 279.25] /Subtype /Link /Type /Annot >> endobj 165 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25851) /S /GoTo >> /Border [0 0 0] /Contents (MAX_NAMES............................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 251.75 558 262.75] /Subtype /Link /Type /Annot >> endobj 166 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26003) /S /GoTo >> /Border [0 0 0] /Contents (MBUFG_GROUP..........................................................................................\ .............................) /M (D:20221102111814-08'00') /Rect [105 235.25 558 246.25] /Subtype /Link /Type /Annot >> endobj 167 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26155) /S /GoTo >> /Border [0 0 0] /Contents (MIG_FLOORPLAN_MODE...................................................................................\ .....................) /M (D:20221102111814-08'00') /Rect [105 218.75 558 229.75] /Subtype /Link /Type /Annot >> endobj 168 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26327) /S /GoTo >> /Border [0 0 0] /Contents (MUXF_REMAP...........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 202.25 558 213.25] /Subtype /Link /Type /Annot >> endobj 169 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26543) /S /GoTo >> /Border [0 0 0] /Contents (ODT..................................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 185.75 558 196.75] /Subtype /Link /Type /Annot >> endobj 170 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26742) /S /GoTo >> /Border [0 0 0] /Contents (OPT_MODIFIED.........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 169.25 558 180.25] /Subtype /Link /Type /Annot >> endobj 171 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26985) /S /GoTo >> /Border [0 0 0] /Contents (OPT_SKIPPED..........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 152.75 558 163.75] /Subtype /Link /Type /Annot >> endobj 172 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27223) /S /GoTo >> /Border [0 0 0] /Contents (OFFSET_CNTRL.........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 136.25 558 147.25] /Subtype /Link /Type /Annot >> endobj 173 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27406) /S /GoTo >> /Border [0 0 0] /Contents (PACKAGE_PIN..........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 119.75 558 130.75] /Subtype /Link /Type /Annot >> endobj 174 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27567) /S /GoTo >> /Border [0 0 0] /Contents (PATH_MODE............................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 103.25 558 114.25] /Subtype /Link /Type /Annot >> endobj 175 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27731) /S /GoTo >> /Border [0 0 0] /Contents (PBLOCK...............................................................................................\ ......................................) /M (D:20221102111814-08'00') /Rect [105 86.75 558 97.75] /Subtype /Link /Type /Annot >> endobj 176 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 177 0 obj << /Filter /FlateDecode /Length 2282 >> stream x]M6W%^`v=M2=2$m36 $%X||UZ)P|/biᬘ4T|m8<$[1zI:_y'̈́4p=i7C‴H҉_2H.㉢:}Εq:::dhhJt5: &)UNZWƩ Wњɭ0@܆AC+muWPdR05vv0䔱,sLAS;:M0lzzccU [Y%y>{}g;tE()*#I;Ai~)MQ𴁥QK4Net9ƝncyA,,Ս{W 7@dߔygPnHKn=kg_⠦j/6uk٩Fe%FyҨOt=DaTs:?c25Ҷp 浱y-?/X=|g<բ\3 "e)nϕWs쓕xLo/׶)84mZZnΫcg!7>5HKl{\6t׈:QܯTYu}ϨFy5}Oo2`WlmG-%UFv}zG}zY;"2{-sfԺ";e| z@ySH2 Bq@%u$ O"JzW,KOx{ %edU:K 6e) A\6Cߎeu4O4 Y'dGRq1XLcS4qd-zVo)hm^QГ)Ehrs)3ˆZ6ZE2XjN&65f k&,TIq0ڙ4d-Hg,c,pՕ:X?5 F:pQKC3;sk/MtOC5m&5xݓ;WTSp?DG@>'߰ l&|T&R:@VNr;HkqʬvwEa`jzZkR2[4(9ӫB2P, dZ [g"S D1DYϑp-|ڬR[+wg65Jf\vjz3٧xG^+jRda&VI%֋q*fPdgQD (Im恵$j4t,|"w&?JJ5${6,3F edFDR 4(=m ZIkP w ~ʆ3 F7e$LEDxZTY|<ƔE8RIr|űdƶx > /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc917 182 0 R >> >> /Type /Page >> endobj 179 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 180 0 obj [179 0 R 184 0 R 185 0 R 186 0 R 187 0 R 188 0 R 189 0 R 190 0 R 191 0 R 192 0 R 193 0 R 194 0 R 195 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R 201 0 R 202 0 R 203 0 R 204 0 R 205 0 R 206 0 R 207 0 R 208 0 R 209 0 R 210 0 R 211 0 R 212 0 R 213 0 R 214 0 R 215 0 R 216 0 R 217 0 R 218 0 R 219 0 R 220 0 R 221 0 R 183 0 R] endobj 181 0 obj << /Length 17 >> stream q /Iabc917 Do Q endstream endobj 182 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc926 3256 0 R /Gabc932 3269 0 R >> /Font << /Fabc952 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70|:C֯޽9HYs_RUƐ ?wG?{`%dAb52 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'"DS3RwlV4C+p|զb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 184 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27936) /S /GoTo >> /Border [0 0 0] /Contents (PHYS_OPT_MODIFIED....................................................................................\ .........................) /M (D:20221102111814-08'00') /Rect [105 697.25 558 708.25] /Subtype /Link /Type /Annot >> endobj 185 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28158) /S /GoTo >> /Border [0 0 0] /Contents (PHYS_OPT_SKIPPED.....................................................................................\ ............................) /M (D:20221102111814-08'00') /Rect [105 680.75 558 691.75] /Subtype /Link /Type /Annot >> endobj 186 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28384) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC.............................................................................................\ ....................................) /M (D:20221102111814-08'00') /Rect [105 664.25 558 675.25] /Subtype /Link /Type /Annot >> endobj 187 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28579) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_ACTION......................................................................................\ ............................) /M (D:20221102111814-08'00') /Rect [105 647.75 558 658.75] /Subtype /Link /Type /Annot >> endobj 188 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28794) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_FREQ........................................................................................\ ..............................) /M (D:20221102111814-08'00') /Rect [105 631.25 558 642.25] /Subtype /Link /Type /Annot >> endobj 189 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28994) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_INIT_FLAG...................................................................................\ ...........................) /M (D:20221102111814-08'00') /Rect [105 614.75 558 625.75] /Subtype /Link /Type /Annot >> endobj 190 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29194) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_SOURCE......................................................................................\ ...........................) /M (D:20221102111814-08'00') /Rect [105 598.25 558 609.25] /Subtype /Link /Type /Annot >> endobj 191 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29406) /S /GoTo >> /Border [0 0 0] /Contents (PRE_EMPHASIS.........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 581.75 558 592.75] /Subtype /Link /Type /Annot >> endobj 192 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29570) /S /GoTo >> /Border [0 0 0] /Contents (PROCESSING_ORDER.....................................................................................\ .........................) /M (D:20221102111814-08'00') /Rect [105 565.25 558 576.25] /Subtype /Link /Type /Annot >> endobj 193 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29785) /S /GoTo >> /Border [0 0 0] /Contents (PROHIBIT.............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 548.75 558 559.75] /Subtype /Link /Type /Annot >> endobj 194 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29925) /S /GoTo >> /Border [0 0 0] /Contents (PULLDOWN.............................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 532.25 558 543.25] /Subtype /Link /Type /Annot >> endobj 195 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30141) /S /GoTo >> /Border [0 0 0] /Contents (PULLTYPE.............................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 515.75 558 526.75] /Subtype /Link /Type /Annot >> endobj 196 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30416) /S /GoTo >> /Border [0 0 0] /Contents (PULLUP...............................................................................................\ ......................................) /M (D:20221102111814-08'00') /Rect [105 499.25 558 510.25] /Subtype /Link /Type /Annot >> endobj 197 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30620) /S /GoTo >> /Border [0 0 0] /Contents (RAM_DECOMP...........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 482.75 558 493.75] /Subtype /Link /Type /Annot >> endobj 198 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30757) /S /GoTo >> /Border [0 0 0] /Contents (RAM_STYLE............................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 466.25 558 477.25] /Subtype /Link /Type /Annot >> endobj 199 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30926) /S /GoTo >> /Border [0 0 0] /Contents (RAM_AVERAGE_ACTIVITY.................................................................................\ .......................) /M (D:20221102111814-08'00') /Rect [105 449.75 558 460.75] /Subtype /Link /Type /Annot >> endobj 200 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31059) /S /GoTo >> /Border [0 0 0] /Contents (REF_NAME.............................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 433.25 558 444.25] /Subtype /Link /Type /Annot >> endobj 201 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31150) /S /GoTo >> /Border [0 0 0] /Contents (REF_PIN_NAME.........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 416.75 558 427.75] /Subtype /Link /Type /Annot >> endobj 202 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31226) /S /GoTo >> /Border [0 0 0] /Contents (REG_TO_SRL...........................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 400.25 558 411.25] /Subtype /Link /Type /Annot >> endobj 203 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31374) /S /GoTo >> /Border [0 0 0] /Contents (RLOC.................................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 383.75 558 394.75] /Subtype /Link /Type /Annot >> endobj 204 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31636) /S /GoTo >> /Border [0 0 0] /Contents (RLOCS................................................................................................\ .......................................) /M (D:20221102111814-08'00') /Rect [105 367.25 558 378.25] /Subtype /Link /Type /Annot >> endobj 205 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31854) /S /GoTo >> /Border [0 0 0] /Contents (RLOC_ORIGIN..........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 350.75 558 361.75] /Subtype /Link /Type /Annot >> endobj 206 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32077) /S /GoTo >> /Border [0 0 0] /Contents (ROUTE_STATUS.........................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 334.25 558 345.25] /Subtype /Link /Type /Annot >> endobj 207 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32270) /S /GoTo >> /Border [0 0 0] /Contents (RPM..................................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 317.75 558 328.75] /Subtype /Link /Type /Annot >> endobj 208 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32385) /S /GoTo >> /Border [0 0 0] /Contents (RPM_GRID.............................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 301.25 558 312.25] /Subtype /Link /Type /Annot >> endobj 209 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32588) /S /GoTo >> /Border [0 0 0] /Contents (SEVERITY.............................................................................................\ ......................................) /M (D:20221102111814-08'00') /Rect [105 284.75 558 295.75] /Subtype /Link /Type /Annot >> endobj 210 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32824) /S /GoTo >> /Border [0 0 0] /Contents (SLEW.................................................................................................\ ........................................) /M (D:20221102111814-08'00') /Rect [105 268.25 558 279.25] /Subtype /Link /Type /Annot >> endobj 211 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33073) /S /GoTo >> /Border [0 0 0] /Contents (SRL_TO_REG...........................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 251.75 558 262.75] /Subtype /Link /Type /Annot >> endobj 212 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33212) /S /GoTo >> /Border [0 0 0] /Contents (SRL_STAGES_TO_REG_INPUT..............................................................................\ ....................) /M (D:20221102111814-08'00') /Rect [105 235.25 558 246.25] /Subtype /Link /Type /Annot >> endobj 213 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33371) /S /GoTo >> /Border [0 0 0] /Contents (SRL_STAGES_TO_REG_OUTPUT.............................................................................\ .................) /M (D:20221102111814-08'00') /Rect [105 218.75 558 229.75] /Subtype /Link /Type /Annot >> endobj 214 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33529) /S /GoTo >> /Border [0 0 0] /Contents (SYNTH_CHECKPOINT_MODE................................................................................\ ..................) /M (D:20221102111814-08'00') /Rect [105 202.25 558 213.25] /Subtype /Link /Type /Annot >> endobj 215 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33796) /S /GoTo >> /Border [0 0 0] /Contents (U_SET................................................................................................\ .........................................) /M (D:20221102111814-08'00') /Rect [105 185.75 558 196.75] /Subtype /Link /Type /Annot >> endobj 216 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34054) /S /GoTo >> /Border [0 0 0] /Contents (UNAVAILABLE_DURING_CALIBRATION.......................................................................\ ..........) /M (D:20221102111814-08'00') /Rect [105 169.25 558 180.25] /Subtype /Link /Type /Annot >> endobj 217 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34212) /S /GoTo >> /Border [0 0 0] /Contents (USE_DSP..............................................................................................\ ......................................) /M (D:20221102111814-08'00') /Rect [105 152.75 558 163.75] /Subtype /Link /Type /Annot >> endobj 218 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34380) /S /GoTo >> /Border [0 0 0] /Contents (USED_IN..............................................................................................\ ......................................) /M (D:20221102111814-08'00') /Rect [105 136.25 558 147.25] /Subtype /Link /Type /Annot >> endobj 219 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34615) /S /GoTo >> /Border [0 0 0] /Contents (USER_CLOCK_ROOT......................................................................................\ ...........................) /M (D:20221102111814-08'00') /Rect [105 119.75 558 130.75] /Subtype /Link /Type /Annot >> endobj 220 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34828) /S /GoTo >> /Border [0 0 0] /Contents (USER_CROSSING_SLR....................................................................................\ ..........................) /M (D:20221102111814-08'00') /Rect [105 103.25 558 114.25] /Subtype /Link /Type /Annot >> endobj 221 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35046) /S /GoTo >> /Border [0 0 0] /Contents (USER_RAM_AVERAGE_ACTIVITY............................................................................\ .................) /M (D:20221102111814-08'00') /Rect [105 86.75 558 97.75] /Subtype /Link /Type /Annot >> endobj 222 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 223 0 obj << /Filter /FlateDecode /Length 2305 >> stream x]Mo6W@3d0nX 5CI& sٿHJڭcnJU,b(Y.P ćbiᬈI*2wgZǏNɔ$ƈ;QO(^=j*t*4 (8RcϽv:6b^G<#cK's $2th-)udd.]I@RP-ʾ݋tW/k~w]o2q15ۥfCfȠ|dبZFthbXߢEyc|Nllec DbkEK9fx/NiF} l> C)KբC 8']8n%lb̞!1k|)d9MY.^ Qm(fzb/2=j=|w5R5.fhuYiCېS@e#G K:mBv/t6?i QrG]i G: _TLlr6't˘6rq#̔M~Gn0͙˳.w$"sW5lu~B,?h0奩GZk0TS_>ۉsB0G%ޕ<@}Ye@7"DL@/xnMK)CB]/eVWk߸s뎥^ yԸX`ŸsE֡ Db}߹II^w~:mҸlɀ 0BǷo`8è!^5+c~_I_ p9Ii`qikAy~L5sn ճ Ҳu~R]6Lc }$y׃8ٓy}_˵i_D I{Q26fr)ɞ/ @OFx#fZkظN| vl}!cB/d|ZH;|81RϫJ7| o7>.UB j/_qkyC&bڛx+y' odp|PR;E|gX֓ GC@sJIXGR9$d|Ɨ'/e*(TRF.Om۽$`s#v䖵9(e >2eg6d?Iɦ(AR6av`h#ٝϼ&MvpgybD4)3҆ddA]rMWe3rxM6*XF;gdž*I\7j`&'v ]43LqΒWb1`JM r{\eFy7XtY-t65 nt&㢥javz_`s$-PO9'+Wljq~9"茎ߐ kUB$2)!_Pmq)_a46ڈ.jj#l1A<LKЏ+bso?_[?H\%UPlLn7hhZ6iIEIlCw!I| W;|mŵ__jN% ~]ּ1Lޑ_u[R5nJDfIPXJոN/43*Ѵv!4Jnc"]\P$!l tH|uُRP)m1MDkd2klPvlL`>ѿ< c3(Y´LBga|3*o`dx^E¦UO3+u/'l򼴘4Q>T2bvc)y򔧒R||p!~TT`v0fOQD}VY(x *a" rL=(]RKs, [#Sin L]  )n,oS +|ayܪ-VBٵ7}ZB0k "Q{ʌhE UuC9m[(c<2GxPqbE4>wDrZ+RXC()3&jȢA,]vAݞ@[BcpZLrX<8LQ R;i+ZE2eRmkX ե{Rcܤ;TY}_/ݷH\Qх7kw1s ,aH\A)/# endstream endobj 224 0 obj << /Annots 226 0 R /BleedBox [0 0 612 792] /Contents [242 0 R 241 0 R 227 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1097 228 0 R >> >> /Type /Page >> endobj 225 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 226 0 obj [225 0 R 230 0 R 231 0 R 232 0 R 233 0 R 234 0 R 235 0 R 236 0 R 237 0 R 238 0 R 239 0 R 240 0 R 229 0 R] endobj 227 0 obj << /Length 18 >> stream q /Iabc1097 Do Q endstream endobj 228 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1099 3256 0 R /Gabc1111 3269 0 R >> /Font << /Fabc1124 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 2~f| fpYw.Z 5J8.y-xvw7ӡ~l17E1 g!Ui su4_P%er1"" Ƙ3Mw0)'JQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d߯/٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7㨲zg5!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 230 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35227) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLL_REG.........................................................................................\ .................................) /M (D:20221102111814-08'00') /Rect [105 697.25 558 708.25] /Subtype /Link /Type /Annot >> endobj 231 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35446) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLR_ASSIGNMENT..................................................................................\ .......................) /M (D:20221102111814-08'00') /Rect [105 680.75 558 691.75] /Subtype /Link /Type /Annot >> endobj 232 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35641) /S /GoTo >> /Border [0 0 0] /Contents (VCCAUX_IO............................................................................................\ ...................................) /M (D:20221102111814-08'00') /Rect [105 664.25 558 675.25] /Subtype /Link /Type /Annot >> endobj 233 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35835) /S /GoTo >> /Border [0 0 0] /Contents (USER_CLUSTER.........................................................................................\ ................................) /M (D:20221102111814-08'00') /Rect [105 647.75 558 658.75] /Subtype /Link /Type /Annot >> endobj 234 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35967) /S /GoTo >> /Border [0 0 0] /Contents (\000A\000p\000p\000e\000n\000d\000i\000x\000\240\000A\000:\000\240\000A\000d\000d\000i\000t\000i\000\ o\000n\000a\000l\000 \000R\000e\000s\000o\000u\000r\000c\000e\000s\000 \000a\000n\000d\000 \000L\000e\ \000g\000a\000l\000 \000N\000o\000t\000i\000c\000e\000s\000.\000.\000.\000.\000.\000.\000.\000.\000.\000\ .\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\000.\0003\0003\0003\ ) /M (D:20221102111814-08'00') /Rect [90 618.2 558 632.2] /Subtype /Link /Type /Annot >> endobj 235 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35984) /S /GoTo >> /Border [0 0 0] /Contents (Xilinx Resources.....................................................................................\ ..................................) /M (D:20221102111814-08'00') /Rect [105 601.65 558 612.65] /Subtype /Link /Type /Annot >> endobj 236 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36011) /S /GoTo >> /Border [0 0 0] /Contents (Documentation Navigator and Design Hubs..............................................................\ ........333) /M (D:20221102111814-08'00') /Rect [105 585.15 558 596.15] /Subtype /Link /Type /Annot >> endobj 237 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36122) /S /GoTo >> /Border [0 0 0] /Contents (References...........................................................................................\ .....................................) /M (D:20221102111814-08'00') /Rect [105 568.65 558 579.65] /Subtype /Link /Type /Annot >> endobj 238 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36507) /S /GoTo >> /Border [0 0 0] /Contents (Training Resources...................................................................................\ ...............................) /M (D:20221102111814-08'00') /Rect [105 552.15 558 563.15] /Subtype /Link /Type /Annot >> endobj 239 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36556) /S /GoTo >> /Border [0 0 0] /Contents (Revision History.....................................................................................\ ..................................) /M (D:20221102111814-08'00') /Rect [105 535.65 558 546.65] /Subtype /Link /Type /Annot >> endobj 240 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36720) /S /GoTo >> /Border [0 0 0] /Contents (Please Read: Important Legal Notices.................................................................\ ................) /M (D:20221102111814-08'00') /Rect [105 519.15 558 530.15] /Subtype /Link /Type /Annot >> endobj 241 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 242 0 obj << /Filter /FlateDecode /Length 1809 >> stream xZKGϯs@,I,rrb/3=Zi-䐵_=[ꃲෑ[̤^?NʳJVH>#)k7:,iosQvgK1$wo~,W};ޙ1ޮވ[)h$;qAg˴~|TUw [˽@om[Le}!EcZ@׃;5}{tʲTB˨(Pd],KՋl#>ɡfI\USvN|?־R@qC.~,ҫ0,ccN|yw2mq"z)] )ȋ ! Fl^nGpr.Ri}l\Pt؛*u<4jK)b`,_xl[n`-mK;yޯIF/w'A# oe XU[E|7L4w$6#^=z&a6_ m*,VVtвA\()K- V7X[9}w7Kغ?8m=P.8bNY}SKkT'JW AgkRT{pq}@ke3hCˆ'oKGhxzPXkrѓ"t 3gٓ6T.4鞱NdRHFP8?mp;{JeK/LWmv:$S;{PߨaMsRP't3mVKddfo1p͙+fV6yZ.c.o X}V`\M# ytdbaЬ_&uYL9<]"7L*?,hrKfSB.0qC'/k^Il# Vyl}쩉,0ZD f=Tuڻj=E׆LrOGzeVl<{#fVsSyglfijml"$ݸLO?ƫUr{`uKrB fBx/AESb'kFщ$k,CMaf 0D6k*e'K ĬXn3~o.ʫ;}Ꜽʫ;]uH*Y'd7IfOqΎrbZLJYC$aAQ DKKc|M|L{jN4`\( Z0@Hj *2ZT&2;KRD>&4! uo.ى%2[xP6B>!_!^Ȳ]nSx0l!kJP0xMզn7@I$ˈJ$iCaeNچ (G xG5@: `'xQPD iԸ 0\% ¶2L_ܰdl'5?d%Ok!M഼D+tM5דE<FRi^UgP@ҕ/Q2?Vk&j+T"rذ! :kT9Q&X =܃nXHg #P4^x%j#hd1=ɬd$q畣aY-Nrm+l{!nJyt+ S+~m!^2r]Nd| 3rJu|?hT2eg6BWһ醭2[㙍Fy+BigE0Iz\ˆվOdd endstream endobj 243 0 obj << /Annots 250 0 R /BleedBox [0 0 612 792] /Contents [260 0 R 259 0 R 3280 0 R 251 0 R 253 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F1 5743 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc105 252 0 R /Iabc1260 254 0 R >> >> /Type /Page >> endobj 244 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation-navigation/design-hubs.html) >> /Border [0 0 0] /Contents (Design Hubs) /M (D:20221102111805-08'00') /Rect [501.5168 476.85 534.8744 487.85] /Subtype /Link /Type /Annot >> endobj 245 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation-navigation/design-hubs.html) >> /Border [0 0 0] /Contents (Hubs) /M (D:20221102111805-08'00') /Rect [90 462.55 115.355 473.55] /Subtype /Link /Type /Annot >> endobj 246 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation-navigation/design-process/versal-decision-tree-welcome.h\ tml) >> /Border [0 0 0] /Contents (Design Flow Assistant) /M (D:20221102111805-08'00') /Rect [157.4575 462.55 263.7505 473.55] /Subtype /Link /Type /Annot >> endobj 247 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (Xilinx.com) /M (D:20221102111805-08'00') /Rect [412.6025 462.55 462.2675 473.55] /Subtype /Link /Type /Annot >> endobj 248 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support.html) >> /Border [0 0 0] /Contents (www.xilinx.com/support) /M (D:20221102111805-08'00') /Rect [245.2537 82.7003 363.5092 93.7003] /Subtype /Link /Type /Annot >> endobj 249 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 250 0 obj [244 0 R 245 0 R 246 0 R 247 0 R 248 0 R 249 0 R 256 0 R 257 0 R 258 0 R 255 0 R] endobj 251 0 obj << /Length 17 >> stream q /Iabc105 Do Q endstream endobj 252 0 obj << /BBox [0 0 155.6752 96.8199] /Filter /FlateDecode /Length 800 /Matrix [0.58455 0 0 0.57839 54 700] /Resources << /ExtGState << /Gabc116 3256 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xmj-7 _eɲNBɢtYB!B(3ќKdˏ_/yH)_秜sm|ĵzXǀ~O!?ޣmgb$pN9gQو$q#-#B^uh@,Tz*#P&.-6BɊ%ʴ+Njiv11BZzTuWrVh-BLS.R *6~LҘ#p* hCBL 2WyL e5K"s μBV%"WNzI$^&1v9gc[(zT,ɘz¶ɭ\`h̽N;2L9NE7mϊW1d'^? \;G`2\ʜ=$c,8WW_& ! m#Hlܯ0ko8Yb= %՜}ӋGXP̈,6=?:A endstream endobj 253 0 obj << /Length 18 >> stream q /Iabc1260 Do Q endstream endobj 254 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1273 3256 0 R /Gabc1275 3269 0 R >> /Font << /Fabc1286 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n w/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 256 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (Chapter 2: Alphabetical List of First Class Objects) /M (D:20221102111814-08'00') /Rect [104.1732 208.2999 345.3042 219.2999] /Subtype /Link /Type /Annot >> endobj 257 0 obj << /A << /D (unique_5) /S /GoTo >> /Border [0 0 0] /Contents (Chapter 3: Key Property Descriptions) /M (D:20221102111814-08'00') /Rect [104.1732 158.7 286.8997 169.7] /Subtype /Link /Type /Annot >> endobj 258 0 obj << /A << /D (unique_6) /S /GoTo >> /Border [0 0 0] /Contents (Appendix A: Additional Resources and Legal Notices) /M (D:20221102111814-08'00') /Rect [104.1732 95.9002 359.2632 106.9002] /Subtype /Link /Type /Annot >> endobj 259 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 260 0 obj << /Filter /FlateDecode /Length 4406 >> stream x\ˊ,WХ|?m0xa{@ յ%!'"Y==u*++32'"U߉~|Toki5k$߯?.YX~hhV7o۷ a.3BX?_+4OwW@Ý0'6/U׿b$֓2/ʶ6S|}Ԅ #HW&OQφүksv,*}:o֓ga1 !+;UNn^1mPwի$6ǯ'6ubd*4-,MHW='6^؏Wv1gӗ|mEvԗͮb9|y[~![ŭo|j9S^}w?a] ik=~NMseSvr3"@<ޢ{rB86+ܫ6etZj zw'`5Vz`x$yz 7室v^p}! VU%leN7Ս(TZwoyH\p@1:vO&aGeJr-vB@ |B 5ZPͯ?NdJsģ<9úS&qMs~GiUvXtlo$l~r#GLQV7sMHh-dGD1֥H}**<$VŲ,PfK\Mf*Ǒ$i`λ%9gXYtllLN.L*ڴi)N:E{GFQluϷ3UUʾw$4#T/7RX2Z0tX3BdUAgӷdvV!lVwc<ѵwhQ"5^jDٍ"=wߍ| h aXĕ⠍lHB䟥Z.1Kܛ a ̽-OʸMk͎@,g>gf *tћ 뛠1';cHtŬ=CAޣvx#¬]!Wakj@rt&(ev* &1܎z}R܎3>cZ &r*dD͐vHd~0t%r qky|.H;UgQ_sk>̾sEYso~^vRV%rx#ͱjtSx kw|9O`@Z۷cVӭjyB`yI uy1@="eC{ȼ^dՆE?@'+IkONo1J_kANўGwX>Cmțl6w7ӽ}6S0q6bE,CmQXL[IRi2E^57Ń]Wb]ec3iY]9LZǓÖV8.ơ^o>X{5c!>6đ5cաL*ğ hw$>¨-$o'Ws\?R#{B% >N+Y4}2~.XۛU}T/,z'rIW']5O< ةs4IkK|[ ʱXk0sns ?f*/ 9k ?g8D]Ix-;[Чg%:q2RĥنA;sJZjv#.LYw|HLSkYE] 0Z˂GaEλ>+FC\yz3T=[`N 50CS-:(F R Y?[S8 eF!u)C'˂LH NF)TcY 4տt4ۥ 9块:l2Oq3m@`d~#G,, >+i:\xHnOV|ʎvT;.ltEn&m}J,!=n $'P7:TAJv ku~6[w=Uu<"ˊktݏdU1K r"yjY܉GqCǼKvQ¹ۨ,=E*E)㴅Ο/p†3eX֣t)=tof?]lEV"!ciFNto.Z.CL{-dn$LTkruh<7s=vWL<]zwUKMPӎ1O c2 N4bi=ᏮsM֔D!^Ƥt:2*>()}hSRle/;MNDI+ bʦҮ;zP;#zJo ,n^ݶT)Ԛn&;ңt(? 3:%7쾊YlW"H:#R`,SEa튻O]:Hy:3vV -VljoHĵ9r>Lq$qu7v; #%2\:ʔ.ew&֬nmH|2s>Uc ڻـ 5(n5[{9>%7 $KLs($~TredC;N&}}t Vj٬H$ނ!cw?&8*6ms;]z$DPnx+ & n nkȻ0zhoժr5h 3)1cL1ndkrNqϖ(( (qcFqs EAQhI;)D~Y<>IOVm?u,8TV NsgKXOʄ[b73k <$-1rJ--+rFy]v0*]G˞7,K(I .x͓N86s/-80݌*b-5H>R\{_򒗼d'O/,]Jj?zc+*?6QRV~y\8hwɗ]Ύ2 '=9D@jO]~zף.qneA% D3AׯAi(q 䏸Us8"}U!}Q Zl@`\0MYʉ€,9"Bq<ę({ IqtQLUD'Tv0veLyr(;Nס٩,6INK&(Zumr=߻Vz >6\%W͑1)uA,$AV7#] h0׾9f)'%q:{LR`ȓ޻LDDpj(ac 9yD3(6ͦ7teMFk41}Ю%zEl!"!ǀ6@$`/#OMZg/fݠmr$21Awcz7`EvV,Ԝ AYt^Rgq_DNL$|U-ǯ%t *G+* k``^φG:@=4OT%f#%K9/17'}OmT$tۺu:cxʒ^7%6od>k"BlzICt>AcԿ|6 endstream endobj 261 0 obj << /Annots [262 0 R 265 0 R] /BleedBox [0 0 612 792] /Contents [267 0 R 266 0 R 263 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1425 264 0 R >> >> /Type /Page >> endobj 262 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 263 0 obj << /Length 18 >> stream q /Iabc1425 Do Q endstream endobj 264 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1427 3256 0 R /Gabc1438 3269 0 R >> /Font << /Fabc1452 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Pw/gx(R\T1Br4n~AG/cXLB.FPQEq¨9 }' LDԕTx5s/DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'$DS3RwlV4C+p|֦b/mk: S[${JLh*$S#2yk|Z ip3x|1$I d_ ECC 0yW!ӘV;!JϡmтGŶ endstream endobj 265 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=9) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 266 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 267 0 obj << /Filter /FlateDecode /Length 4648 >> stream xڭɊ$7Na4`Ti e~{YYv -q%mx I/), ${ȿ"X zRi wN;ΞXiS%\tl>~R!Cu8.4O7aΡ8Y ?_$̦+wU;'4ȥ)+)Q&U sGm܍p|?&5x@#:~, SiWg̀cuD%J4%տc5T@-i1`qxBy r_bN] b0s7tBn)!b}ZXELí5T787,*GoXCsMz,`2j@8 >wA+F놰fl e f'iCSrh g|U1JU(ύiu,Vc;-\cLk)u $-<틇:xcVh-f +k +jѤ t4Y,+s`_f9JCVR\G13IJ0*At_ϧn|Z҇7ԩhռX[Mh2D X2MmYn4ZN2FNqTxa;eB8Rx=y)iSBKdJM3uumTC}z+)L ,/64݇]<{2ey+U q\oG+l7 qS[;Ŵ*{v3 hh[*9Fgٟ]x0boӵ`!腒N6#|~1a,k{ ->ND3"ُYk3BjӴaz&Zm|5fɣ ay/M3uMUFͨ}{l.or̮9,yźLpM0' V$Jc*G.{{, u)ECc@{e+Iy¢WyXULFc"lFS;BA'YS.c򱤸V @O*a֘>l>oXzlWt-)RDWlD3P"ejaXnםkP3 #oA$GQgWZN0$Yk-u=5XH˴tsy;aK4>Be ՙtu+wRq|r&OvލW)暵K'99`*}縶fr_ X4>`E5&as-;/!~C֛yXL9bU.+qs`=\̑5-TMupE̜Mv)! j4鯸fpɫT<CLzh%D=.pb,$n Xt)}`q L>vWQWF$%cބNXbl~wm@EW Ns%m74:- 0 mK^D Da<gʭ;͹mԯWOY^M;/&U2s䄎֕ҝelQW3x&6a SZ܍bI&{Tty0~2uҰ63nKMiTeNJƁh#Q88CP?4]C8p4s[?(u68gG4 fl? F#_ƀ=RVֆ .d_gȀ'0Ц$AƎ U"ͭ w{әR! xmCZ':s^~@/Vz;zUzW8D3No -:}cAur$.+ \W"=(mhτňtH0A|t ؂RCObf Swڸ:12:@<5ኯIN%t(zO A"` k8 :e m`ǽYj?|Z%촤A<8 /4yU[r|^$'d!Zw0GySQKR2&bYyQ ס`k+¹QP, #wpqx2ClY`:x5ss6έ2}.cFE 3!<= DN]5ȿ!hr{:{>,f0f> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1609 271 0 R >> >> /Type /Page >> endobj 269 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 270 0 obj << /Length 18 >> stream q /Iabc1609 Do Q endstream endobj 271 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1629 3256 0 R /Gabc1650 3269 0 R >> /Font << /Fabc1652 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n>)W^.P/ Jcȅd2n~A1H[&!#((`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R̃sV7Ө#x?$_cxy /K"r!/dy%JivP(x Ph'-:A/}[ endstream endobj 272 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=10) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 273 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 274 0 obj << /Filter /FlateDecode /Length 9532 >> stream x]I,qWY@/@L `▥ƀtw|$TuUbH桧X,&3v#'3iwG*vzej}f ~]O~2f&Yt$oL-Z/I/pqA'LW{RRu0ޙxaJqVNPWg4bZ%.5}eN6G Y'ҋS;2ބ&42S ؏2y#6c 8IԧP 5< _aߵgֱ/!%G\荓'}pd0v2 @nL3ކJP%!z #/ BH^ٹXWbLmո}zU߱̚~7 7kL$q迼Vb*e%5PD{m0w 3M !b +Ѡ0 ӕhx'E=;9!Y \@#i5ʉYtAxag -_#Xӆq LPY?do`$$Ia S3>G5t&C46Z;E̯Bsg  U\g%gvp6D*8GR=Z{).8H8T =-вp u5N>%HhH%SZ.O#оI2xĢD[<=)2ڐKrl8pnqj#7&[6{el@iB׶ې]v$w{K.%|yEhL"NB-8ZjkuߪCXkWI DtEהD &† `iʍtX/-pʱbާP% G`&OwE`X- H|Fh SXzL *Rf)ڑ`:e;Ťu4,&WX㓸vhk/` ٥5KfWi dY_m@~!fBIkyO[\G!ޒ:Yg;mRF$UkՋ'|PYxv~!1.룑l&WL7PO |%;éJzC-iF!J(x,\YI}TEDMu7r t{CHp!NQGΰgdIO",(3+"5;kV lDՔPf4 i . KK&1B@XWoI<1u<0FFgu>6w~a ,*kwG dddppTXecH:k ~?Ɔ=&WF:=>Kƚv<\? MkML՛ aQm!b5/.%;;ֵgK9eƜs.+3XytA U2]%?1T]`Gr% -raZ!aA ? `ð[#ly1Q\?5jbշXHƠBڻ VX0 <?˲N}%{/+P#pc" c'v `^3z1TL^tȶb9Qrf )*JƆ 6ѵG&sI9ڑy9mª~}9 bK1#W/3! |gp" 2#a{}(PIgq/ HXA ?̂B%jz@s"Q~;`\Y`# apAĮ:l޲qNv>qw(ψHu)Ͽ>l`ܲ~j596bo*jGL-+՜g۷Ɔ,Tu|ƶ !l2 IZ)-Mv:0aޡBk_%Bsj,`3-cC)!*thPsz>WDmQuUe܌`I2Ύ;vj+b ,FbWpϽxx%T+z՛x7ȩx%׉gjz8hfsۉT$4tJ {#ָ m t7Y={]cl`w9l۴Z.l թ-퀷e-p!ݏja5z~C*$o%=ș1^1%ݹ!V4CUERT T } v''`q=짬fgBY35SV(j惂Ȗw_@n4R} e#BZ6̦ D>bB;p6i&)m\CJ!H޾P[ku)DF{T]UFBI&sX 1kb2:#2E8sK)!rHoׯg%E2"ϥ^gz-:cv0x#\bEjkKq 6 uځhgzI[QVF( BI^v;F+;e鍺 lh$Yx1R2OWڮcج%#5'y=s贵[sT83 M'Ql_Nd9LOI@f}>9zEZAqDgXxy+'="Њ1p- $xӔdSoK]ewaDZaGVR.- NVl܎qnƍO$c;Eפ1o,;]( .8YrkxT+r8] yoctul@aa8c$ӁuVgȖL"lZ&P6z/j%.vTmZvِW3 k#q]_i1iY>f=q@B^u[0j+uMrڤEbkγк`Po#,KW #k"ʀCa/OsL襸ٹƅ39sMTSx5'ˎAA}%Wв,]jz}ڼmWJ\C5#貁|!CWo hXBݓQQo? B/z:FV-Fja)SDfQ-C.t+@:)OFcgX|Aۼa*eygE^PBi;F}<-MVkՋyoȲ?,hwɆ>*3JDZ 480!@,QZLh]8-- >>TAmX2Ȣ syNyfZԐ0O2 'xGىNP~@'h^!xٛw:"|jzc<_ yYT®, p+aP-r\cpgE /VK3db֚dth& ocWH/*'ߙ{yE9sԕL#n]Υ1~&_h1J'\?ށb,z$5"eWj5tHEUD:,NL 9 Xu<㐤 [AxREDq8ٗ,4ڿ%'N ij 5^#^KB tIyMs yAҗ#Y $]C" e\SM!ׄ#>[KkM5i=m0o/nH8NӚ5Bʋ Oկ1Mk>^'4z5lମ6wi{&!8[95-)e^3%ED}`VWGl"ocG_Q9rꭰC,A-rZ![Gڌ?9*Tp@uCE7uTT×=d ٬\wVK$-7k7t JȫUq>ѹ`RX`?q) MI.lM"_sXN7.E]j7E͹X `V/9(BiydTVȒ&3jjB55]=4kɝ,fw6(*U7F(_np(J"`^ ]9])pMQ}+ ۡ!꒰]Vٙ(!#P,#gQ*łashb&iwhCA?P{V1gqmF{Ruߥhqjϙ,|>&Sh~zC;뚭ko0Ԑ:d |-9\쒹b"YhoՋR/yW,%ĭ["x"6 J%ߠ^pQN%8{Skmt/O3?HZ5D/gζj?* Ǯb1E Bo.-_8CX٠S,367rq8RHFqܫ`FiPBBI@d?}>yqwIbub9#8܃;+ࠉQ_4h7B J <[bG?}q=*\۟ݱG% TRiڪ6a&ɹ6hfZuPXx@`ր,Nq:Ws_A1#ZP&9Wۣ%s7h[\O __%P'UzXD?=N!H}*^>9wTe5 x Z`q5ደW&$%e@]NC095S׎H"qH; _ sm{x8p!ۣ*1ّ&ÇF본izLLO倯XN5h@ h0Bu>=G𴞶ay<aJz%sOk\בR;v;qN4Nbx Sw:eg147v_HBet|jÑҤ [)uY,BAq#oHv3}b? *J30ScjANiɁӌ*ɜer%\}#IQT1>\xUu=CZ:)aaltB]n !@5hGn(!\wzB|Y3`R{{m[=rB$^&=g5゛ z f+ ݊S[=A va+]=Xybq8xGL+lSwP |)Sɴӑ1ď `y,P }>:YYo˶[=!QXP[Gep$xCra`!M aG>+X,ѐt ;zNG^}Z)"|~%A׊~̩kGαC(?ޡ}Cl*WB^Pd+a+/4nрWM%@5 IMwihXh{+dn)m;%ظ$)@-A$I ^Rnj&T8@ ů˅}CR f.w~ze3eD-pQNUk*.Sʼ*k=V \x.@m)jW`:U?sY71yj΢pfR!3Q: W@. DIj-KuOh_-Ĵ~++R#&Y3V:eC!E(k~Y5m+5u^5r&L׻g{ J \bEp`&hqWݬ?1*OgxO7{GτsQʉD@kfC?. ,/]6:2qm1Ń"'.RYxArą,2ejniN8c%(暣9:jZ =bNgz B'd(}/ $vc" \,CG >#> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1854 279 0 R >> >> /Type /Page >> endobj 276 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 277 0 obj [276 0 R 281 0 R 282 0 R 283 0 R 284 0 R 285 0 R 286 0 R 287 0 R 288 0 R 289 0 R 290 0 R 291 0 R 292 0 R 293 0 R 294 0 R 295 0 R 296 0 R 297 0 R 298 0 R 299 0 R 300 0 R 280 0 R] endobj 278 0 obj << /Length 18 >> stream q /Iabc1854 Do Q endstream endobj 279 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1869 3256 0 R /Gabc1883 3269 0 R >> /Font << /Fabc1885 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n UOvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 ~m/'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6TriK[Zߖj?'=ʡ|~72ْԑŧ V΃sV7Ө-~C2kh}'d("_@Os%p!%`^u#UR,Wm'?;2!xڡ1 g^B9T/I NЋm߽?5Q endstream endobj 280 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=11) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 281 0 obj << /A << /D (unique_8_Connect_42_fig_tgd_btn_wtb) /S /GoTo >> /Border [0 0 0] /Contents (\000F\000i\000g\000u\000r\000e\000 \0001\000:\000\240\000N\000e\000t\000l\000i\000s\000t\000 \000a\000\ n\000d\000 \000D\000e\000v\000i\000c\000e\000 \000O\000b\000j\000e\000c\000t\000s) /M (D:20221102111814-08'00') /Rect [213.1502 600.7116 386.8072 611.7116] /Subtype /Link /Type /Annot >> endobj 282 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (CELL) /M (D:20221102111814-08'00') /Rect [104.1732 483.7192 129.1707 494.7192] /Subtype /Link /Type /Annot >> endobj 283 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK) /M (D:20221102111814-08'00') /Rect [104.1732 463.5192 140.2422 474.5192] /Subtype /Link /Type /Annot >> endobj 284 0 obj << /A << /D (unique_11) /S /GoTo >> /Border [0 0 0] /Contents (NET) /M (D:20221102111814-08'00') /Rect [104.1732 443.3192 125.4197 454.3192] /Subtype /Link /Type /Annot >> endobj 285 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (PIN) /M (D:20221102111814-08'00') /Rect [104.1732 423.1192 122.2572 434.1192] /Subtype /Link /Type /Annot >> endobj 286 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (PORT) /M (D:20221102111814-08'00') /Rect [104.1732 402.9192 132.7127 413.9192] /Subtype /Link /Type /Annot >> endobj 287 0 obj << /A << /D (unique_14) /S /GoTo >> /Border [0 0 0] /Contents (TIMING_PATH) /M (D:20221102111814-08'00') /Rect [104.1732 382.7192 176.9217 393.7192] /Subtype /Link /Type /Annot >> endobj 288 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (BEL) /M (D:20221102111814-08'00') /Rect [104.1732 331.3191 123.2857 342.3191] /Subtype /Link /Type /Annot >> endobj 289 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (BEL_PIN) /M (D:20221102111814-08'00') /Rect [104.1732 311.1191 146.4187 322.1191] /Subtype /Link /Type /Annot >> endobj 290 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_REGION) /M (D:20221102111814-08'00') /Rect [104.1732 290.9191 186.6842 301.9191] /Subtype /Link /Type /Annot >> endobj 291 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (IO_BANK) /M (D:20221102111814-08'00') /Rect [104.1732 270.7191 151.2422 281.7191] /Subtype /Link /Type /Annot >> endobj 292 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (IO_STANDARD) /M (D:20221102111814-08'00') /Rect [104.1732 250.5191 179.5012 261.5191] /Subtype /Link /Type /Annot >> endobj 293 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (NODE) /M (D:20221102111814-08'00') /Rect [104.1732 230.3191 136.0952 241.3191] /Subtype /Link /Type /Annot >> endobj 294 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (PACKAGE_PIN) /M (D:20221102111814-08'00') /Rect [104.1732 210.119 177.2792 221.119] /Subtype /Link /Type /Annot >> endobj 295 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (PIP or SITE_PIP) /M (D:20221102111814-08'00') /Rect [104.1732 189.9191 179.5727 200.919] /Subtype /Link /Type /Annot >> endobj 296 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (PKGPIN_BYTEGROUP) /M (D:20221102111814-08'00') /Rect [104.1732 169.7191 213.5132 180.7191] /Subtype /Link /Type /Annot >> endobj 297 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (PKGPIN_NIBBLE) /M (D:20221102111814-08'00') /Rect [104.1732 149.5192 186.4642 160.5192] /Subtype /Link /Type /Annot >> endobj 298 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (SITE) /M (D:20221102111814-08'00') /Rect [104.1732 129.3192 126.0687 140.3192] /Subtype /Link /Type /Annot >> endobj 299 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (SLR) /M (D:20221102111814-08'00') /Rect [104.1732 109.1193 122.6807 120.1193] /Subtype /Link /Type /Annot >> endobj 300 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (TILE) /M (D:20221102111814-08'00') /Rect [104.1732 88.9193 125.7497 99.9193] /Subtype /Link /Type /Annot >> endobj 301 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 302 0 obj << /Filter /FlateDecode /Length 3094 >> stream xڭZn#+ `l+@,"I.<l9U$V˖IUA~|,θw /`k^h/~$#h'Gu)/ZGX+hq ԢX4;JO(SbKH3u=v^8eQGS[4b{F͂\,(6`Rt҄z۟3}6|+L䔋X2zvp0>8qV[yNиr3 p T$6rD:.@V7r'4凞r3bfzJ[IVήowUqkL+<$$7;"3Տۂu-dEd lRR!Ud`ցPeY:nRݱdy:6;Nr#"h1{=`qw>`hKsl F7vty{[/Aܑ|;Nfw\6OMi ljJxy+횕p[}ik5ӧ2K,3{PaxT;y!`w֍-}}K;S萱TN;?-+~T+.T1:'4p^߁)|BlU&/cw7yIYR90p& M@/D,aiU&РIV.ҬF;̋Wmu5?fbl _Fh2W2A1b;3Ik5p^#JL*D 36thءёU[O avE%Wl-awW HHMfYE)6GJUˬ%MQ\x>KLWopi[mGyw4ޱWL:D5f9j5볬kf&iPM Óm-Z`1;T&+pHoi=A(\NiXStsreKfIaRBt|m3h ʎ@KZo hUӼB4uĕ%]-c|m93\!7׬C]ޭ=N Q^$Q0欈ѶiYoY,bqoD~L0DcǍ]@C[YuF=#vUTB6 9y}1My=ڴ#Xٴ/g)s8-M#vCDP[8_q'jt~ĊΆAsO7]>1n& zazn[zUZ负^^~Cpj'i|L9]b[^B Yh;<DZ<-2r@m@F Ќ_6 V[S@=) endstream endobj 303 0 obj << /Annots 305 0 R /BleedBox [0 0 612 792] /Contents [311 0 R 310 0 R 306 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2077 307 0 R >> >> /Type /Page >> endobj 304 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 305 0 obj [304 0 R 309 0 R 308 0 R] endobj 306 0 obj << /Length 18 >> stream q /Iabc2077 Do Q endstream endobj 307 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2089 3256 0 R /Gabc2091 3269 0 R >> /Font << /Fabc2107 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 309 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (WIRE) /M (D:20221102111814-08'00') /Rect [104.1732 698.9 131.8877 709.9] /Subtype /Link /Type /Annot >> endobj 310 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 311 0 obj << /Filter /FlateDecode /Length 5226 >> stream x\ˎHrWp=e$h/ o,[ to>'$nŨKȈI.,fwO*vif ~Ɇ$-M=~^~z 1_G]\vKYKi?=,֚ČNk[6ӏм[4 z5,RLrxx7>8O(XjW?%~48hqLSxqp-vZHso|cz E-AP.C'_x5qISY/ה m_RZ4)B`ۀ< (/f\+K\NtG~8<*Jt.`k6HƟ I`eXOM}3P@@~uٌfj g`ICvXtNa{9c~FcHu~=ۄvCђu1(ڵ* 6$>gӗ>w&6dՇ,2!\Nd #^_Y}G*\;DwvMr:\[5%F]֝;Tj}5r]!ܦ㟞bZl 뺾WU)4ZAzA8ӣYuȼ[ݬ'gR}NsEѩ^ca{7H崏F*֙k^M׌eNbOC:3,7Ʌƍ~Mmν9UCol5ՁB^=0yv= 4:W}9.nNtzs|(O EԞ;\+_Z c\ҚgT&ӌ27 {gyέ6՝'k,!չ.0|wI>ذi6ZnS2{2ncL%ow4z} jK~8ufg5}! gAp N9r-j4Kx]8jqNal=LʑRϫ=[fPy?NF f ީcbcFzW ,-ng!d.z??)`԰F-֭84~cǵTo/]Ow2yQ{ZZ_)&), ҜT`cYcT OO_f5FM<5],*%šHkh\OOUQŊZ.T^QPAo5UūD$JLg1y-\^r@'?,qq@P Db1ר 00 /jvek(ƮFl X.zZ'd`#}YDb(1B:lj\-w4h}oBZ-emHNZt+:oʭ(c+䨳Y)CcPQjjrIɭ,%q/Źsu7a؞,~c@^< p,t$0 D`\:f< !L¼;sJ5iNB&]F~J^lز_5]-$n(ʍ1uܜ.R)t(mt@?WWJ웱 ƸܭSYMAj9#oԹD^ߓ!L1JPϩdYC#&2كcKR_jƶzXⶳ;>XMh6}4ETH42pt6WhgGȵg.({ּ,' <.zuEՋ ]٬W.ۄ`2ib0fd9KLa2wɭ2IK?P5@ʈԽJ0}J`W#֐ۿ|s;@l!Wt4g^QuY3o8K_#:DǁQϕԵMTkLe:خivfH[H`KfM-ԢقCa =TRB)Cϕ,3IHB. 3:9$C3!8}a w)8QNey3\LV) )d8/8 cO[%^|Ґ܂׼L"s%i&RΌCA~;MM4^`Z8N<$f3{ѩip38vҚ l k0ʞybE* AQ_ RmЅ+Ѳuz!E>=-+LъH ",6W{0ZXl;cg)YΡm< ];IhdTSi;3@'swwʥBSLVojJ}bPq]+} ԂH!f}$lECs⩮'7p?8@ςؽTE +S?REZ7\()'YyBIDzۭ?S=P53ɇBMFrt?)`ц[/rFS2즶@y켬f'遱 &bY€0W_3p7(_^DoF-uJﮯ4TW\K.Q \2q&W߮Nh;0gNla| 7ոYF7uܨfJ,Wq7p79Rpõ;x0x")YyNԳN|1\?piH2bDr5Ȑ~~LSoرzۤȑඓ!!@ 6e]~ abq%&bYեT zAzEky%j-ok Vw >.h ^sO"2*>՛-X4E}T6:([٧CPƄze{m \bU4 -JS-*ÈcR|\;]Vmx:,3a^c" K\ sshdkn;[VF-fVkdfvV*+pM2dQ*uczOhFV%AWjqo&֦N EAp')ybJ.,j- ԔDU< ޶$Bg^܁odeW? O/2 (-G$91mǎIY0*F8"KZʲd\!ܹFdd`Yms5i_/.mqz[ZNeM01V %N{5"tvS6lz:Ie" :E¾_~ q9ٌ Բ#ھ d+Sy%^P`*c#ϭܣ! {c1,>lYT*b ʇ"*lgo\ל>͓/Yxϓ#.یw -۴ijI ZU&Vx?t*c)1ʹZظ0GѩTphqH/ܳ&s\ru9zȧЇʺ% n+g;;ۍwMxK lnq&pp:fGy{G5U2 LuQfn`8n.NN.fk+1A}pa 25'q9\D'[]vx 2jSKsMܨPݸcS:#PA&/;2&`Z6p3{pE6͠ZqD "C'R+MA*G(Y(MJUc&mǏt( ~©v yDj\On3\_4$Wf#ܸljlu ~l+3yQ'{jmBߡK–bE~..nQ@^g~R{N53B6t] )z0Z?bI=J vش$o&Dv|Xc|n|}ôuq,*E%6\UyוrZ&ZuM_= endstream endobj 312 0 obj << /Annots 314 0 R /BleedBox [0 0 612 792] /Contents [329 0 R 328 0 R 315 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2286 316 0 R >> >> /Type /Page >> endobj 313 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 314 0 obj [313 0 R 318 0 R 319 0 R 320 0 R 321 0 R 322 0 R 323 0 R 324 0 R 325 0 R 326 0 R 327 0 R 317 0 R] endobj 315 0 obj << /Length 18 >> stream q /Iabc2286 Do Q endstream endobj 316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2288 3256 0 R /Gabc2307 3269 0 R >> /Font << /Fabc2327 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 318 0 obj << /A << /D (unique_30) /S /GoTo >> /Border [0 0 0] /Contents (DIAGRAM) /M (D:20221102111814-08'00') /Rect [104.1732 674.6 155.6532 685.6] /Subtype /Link /Type /Annot >> endobj 319 0 obj << /A << /D (unique_31) /S /GoTo >> /Border [0 0 0] /Contents (BD_ADDR_SPACE) /M (D:20221102111814-08'00') /Rect [104.1732 654.4 193.9882 665.4] /Subtype /Link /Type /Annot >> endobj 320 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (BD_ADDR_SEG) /M (D:20221102111814-08'00') /Rect [104.1732 634.2 181.0027 645.2] /Subtype /Link /Type /Annot >> endobj 321 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (BD_CELL) /M (D:20221102111814-08'00') /Rect [104.1732 614 149.6967 625] /Subtype /Link /Type /Annot >> endobj 322 0 obj << /A << /D (unique_34) /S /GoTo >> /Border [0 0 0] /Contents (BD_INTF_NET) /M (D:20221102111814-08'00') /Rect [104.1732 593.8 175.1892 604.8] /Subtype /Link /Type /Annot >> endobj 323 0 obj << /A << /D (unique_35) /S /GoTo >> /Border [0 0 0] /Contents (BD_INTF_PIN) /M (D:20221102111814-08'00') /Rect [104.1732 573.6 172.0267 584.6] /Subtype /Link /Type /Annot >> endobj 324 0 obj << /A << /D (unique_36) /S /GoTo >> /Border [0 0 0] /Contents (BD_INTF_PORT) /M (D:20221102111814-08'00') /Rect [104.1732 553.4 182.4822 564.4] /Subtype /Link /Type /Annot >> endobj 325 0 obj << /A << /D (unique_37) /S /GoTo >> /Border [0 0 0] /Contents (BD_NET) /M (D:20221102111814-08'00') /Rect [104.1732 533.1999 145.9457 544.1999] /Subtype /Link /Type /Annot >> endobj 326 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (BD_PIN) /M (D:20221102111814-08'00') /Rect [104.1732 513 142.7832 524] /Subtype /Link /Type /Annot >> endobj 327 0 obj << /A << /D (unique_39) /S /GoTo >> /Border [0 0 0] /Contents (BD_PORT) /M (D:20221102111814-08'00') /Rect [104.1732 492.8 153.2387 503.8] /Subtype /Link /Type /Annot >> endobj 328 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 329 0 obj << /Filter /FlateDecode /Length 2361 >> stream xڵYKkdWh;e,&cEȪ'=i^_>R0dEt Zq9dL`iLxJ{cħGc©}o^"Ifo@8`-wv1OPmj4(V~ RPJqS~3?kb1Wq)l1f JaNخ;]U&V?u}@{LCh l+b~G;Ĵ0y҈ф BnDWQ.{U6Moj}trZjn;LNJ8L~8kpq߀VZ5N gX _9-tVg8cY]5/ t#>NA+W:aw2ͲR׿?a9`%c]SlVZvi~lb:[Blv>u2,Z֚fB/$w1WXjZ׹oJa)E,I dbX?.wC \5FeW͵ V&$ bNAr2=k%w[ʃ_jme m',v݈+wp>k,5BU6C F'iN)To.gcZ#)Sxo8P Ĵt>EƚA-n,I8p} gsѻǛ4)<4KsK^;5My"E8Ch[Dv~8S:V8vi}|el[X(k-{-kǯ]ǎAAZ>bulbS{7J*/A5{)~ߝ>I*lסz[MZ$?.'927QޫwQi# #2f@ϧҵ F-n 0ڷK?97Tr_NE'XaQ3|59i3} VVz0s<{-:#;Dc 8@2Ԯ|_DAUa 7zꕍf_^ m7lZXIXkJ }[*aBij^ xSd ѐ'ЙuduA|6gcLXU0싿Z6)D&eJT}0N]A#^6͛ʔF%ݘ: xJH&,9]H%]m.4[lyZT2Xj` &Dd'wEV]hUo ރu\71Up 0sۨ`ޭ{H Җem`f6:>53ȌohmSא@%Og^aChcBf߯ZgPM'^%Ocp%T0&f?f\3Mf,k.\ +ŤFi asa=2Ey`.p WU+$NyꪼlEQ)1jF7~Nb *%0@&sZrZZ6W2"0Ѽ^( Rz0_`"ZXk{$~n G]D'먒 JB=%5! hǜ`ϲe11 0^!`i "QeSF;_WPTTϨqULE"WXY |ləю Q>;m#؉ T_eZ<u.';ӥAn)FL5 keKfII0iak nA-7ޥZ\3v5BڂxI4eX}շS&ϡ޽Y{]E z`(ksaYo٬fL"L0D]oz7כſ: GWO? _^.7Sẗژy^i<-3 n9Nmڙ/soyohvd|= Uȳ:eN `1O+cǓJxO~Rv%> `̯WUԘR54<~N>zr]fʖa0Ȍ nS׼]& EQˏ- V t/8~hbJqggi~$tՅm*.v9v\TUػnmf||b? endstream endobj 330 0 obj << /Annots 333 0 R /BleedBox [0 0 612 792] /Contents [345 0 R 344 0 R 334 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2511 335 0 R >> >> /Type /Page >> endobj 331 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug908-vivado-programming-debugging) >> /Border [0 0 0] /Contents (UG908) /M (D:20221102111805-08'00') /Rect [477.4916 274.0621 512.7576 285.0621] /Subtype /Link /Type /Annot >> endobj 332 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 333 0 obj [331 0 R 332 0 R 337 0 R 338 0 R 339 0 R 340 0 R 341 0 R 342 0 R 343 0 R 336 0 R] endobj 334 0 obj << /Length 18 >> stream q /Iabc2511 Do Q endstream endobj 335 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2528 3256 0 R /Gabc2545 3269 0 R >> /Font << /Fabc2563 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7FOvջCp<)k.@Br!9h7r ģ1H[&!#((`aԜiJSo"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]dߟ}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>?d*u$R&o2VK2 ufg[X݌'~C2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$sx2> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 337 0 obj << /A << /D (unique_41) /S /GoTo >> /Border [0 0 0] /Contents (HW_AXI) /M (D:20221102111814-08'00') /Rect [104.1732 211.7121 146.6772 222.7121] /Subtype /Link /Type /Annot >> endobj 338 0 obj << /A << /D (unique_42) /S /GoTo >> /Border [0 0 0] /Contents (HW_BITSTREAM) /M (D:20221102111814-08'00') /Rect [104.1732 191.5121 189.0327 202.5121] /Subtype /Link /Type /Annot >> endobj 339 0 obj << /A << /D (unique_43) /S /GoTo >> /Border [0 0 0] /Contents (HW_CFGMEM) /M (D:20221102111814-08'00') /Rect [104.1732 171.3121 177.3232 182.3121] /Subtype /Link /Type /Annot >> endobj 340 0 obj << /A << /D (unique_44) /S /GoTo >> /Border [0 0 0] /Contents (HW_DEVICE) /M (D:20221102111814-08'00') /Rect [104.1732 151.1122 167.9567 162.1122] /Subtype /Link /Type /Annot >> endobj 341 0 obj << /A << /D (unique_45) /S /GoTo >> /Border [0 0 0] /Contents (HW_ILA) /M (D:20221102111814-08'00') /Rect [104.1732 130.9122 145.1867 141.9122] /Subtype /Link /Type /Annot >> endobj 342 0 obj << /A << /D (unique_46) /S /GoTo >> /Border [0 0 0] /Contents (HW_ILA_DATA) /M (D:20221102111814-08'00') /Rect [104.1732 110.7123 179.0062 121.7123] /Subtype /Link /Type /Annot >> endobj 343 0 obj << /A << /D (unique_47) /S /GoTo >> /Border [0 0 0] /Contents (HW_PROBE) /M (D:20221102111814-08'00') /Rect [104.1732 90.5123 164.7007 101.5123] /Subtype /Link /Type /Annot >> endobj 344 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 345 0 obj << /Filter /FlateDecode /Length 6662 >> stream x]K7Wy4c{Xe1 ieWVe=a bL&`ZEӿ>R˗oK3KK6_?Ř/V̚r՛OZۢ@»`=x& .NڻkJ3襛Nߏ:_si01xCm=ߋ{I@g2E4IejKUtYֹ浄K@S~5ن1uT;ߨD2}FbQ7}X]CZ:,e5eɭKH/3k aS^7'zڌΞ療/!ǴB2.ҽ_VLxZ\E Ԋ I,< QϿ͘(HzTL],l=0K٘cl9zfVH.XO_bp$Z{:Osw}\e?Lit ,B+k֪3aSW#i0"b_0VcS(+aP% QXqؕ>97AO,M@S_[!Wctqn#_ .~gQI{CۅWi4џ=a>ﻨXm c7I<Mn\t&lb@"<5xj`(M!,cS_*$53Z,X~bTXB+mL>\Q1éLv6",ˉaBo$(bT6䲼Qv6XZS\7fQ 2xZZ̠I$H~TF&`I NOIKa4TLp%8Z |2{j9Jf1.05*dK%f\՛ Iuv=i><^Ti̬d܎g=CcT7CHsPvKJCeSwdcBcGI7MɑU7눙ZX0U)Pjx82{j.Bᢶ75(k9]aO"+cBI6u$)OBMܵ )2@p UYLp'CU Y\.m YәDnI{}:򗩷g:}+}FANLТt'B11_k4,V1rK@}0yEGex-?u]cs(|3:j޽dC+tUxS:r0'ڑ؂B& w.pĖ\pwj&͋WVa8.",kGD)nUbѤnԯ읡> yaw#=΄ l)mH ;GTbo})S !_(gF(2ʣrʧGl<_Mm%u% qcX^K8W:%ġzmXc XUH-pY OS kE4>cP@@"٤#+C={^ޑ0m*]HТ{Vڸ΢P}aF*j-JSZCz͗:*:#M3pu֗͗91mX00%ч-7_oa#IF&̴ʒ=fEdrQ3qdKb<; nqކs:6%m!Q3BJLIt^\[2VP ̾BDxMIbJsWBuZ̡^b}sGLQsqt)$dyb&[E&r jWE5*C"SWb-?IMU2@qr-Q{um<ܒ?;%΄8X' 9Wϯ%zK]ꭒn^ O Dog ${"p= q]p| Wdd$RZd\ 0;J4\ֶ4RGKrO/ Ze)fw~+f%عo߿(5¡ք>2K,[1ˆWnphg|t8<8+:"K-y i\KwX z#QX=ҝ7 $> mT([xf)VLזӂFG2↸ݭ79dAu_DAg =cB8ӽЪ5lf$<"nl$:rm%gDy4xSdN0ouᩅƮ{_)xwHt ;CKHps;_ru1ٖbuzL$y>Ҡۧv&6G[nxLutp.sAl&MDcuxqh$h錔>XS/!,+11 #0j^X)$FymߑpNe2!nZ-2<.BbyL>3Z/00ܓ=Fkva!I0ԛ;:Y];9lκ̎u PD+Ip0`s?ȑ$(Y<o$ϪC+Mt`V 5g5۵pY%)T{pn !e~$M ΀pinTH'T(TBGgTcZ6IommqUrq?V{\_n0 ~+`~ýgOQG)dcBTBl%ȣ rtHl퐑hɨyR \5h:/v~4d4w{:̍q &j_L6;}bs?9{o`|: ή= 0Jƽ?:V>pS눛'Pi4襱@;Nw0r)j+I5P!yJsm՘F~|Šf?Z'5tA~hӆqۈ!)7fߨۏd^yz2pܲLJ"7.i!̟";ZWb 5K KYD~=$Y!p2]NP+ be\-&L, nXgA9ô R?QP*[pe9f;%¤eҺ<G6bvx7ؗJJ 0z| 3>}yО@1 z9426mErq2Mž][܉ڄ/&\cT|`ϖ3JǢG]GY㬯+OZse>xA8F<{Hm=G?\.zh^+x廘`6< -@քS}8ZE%Ĵ~[+a7ԥap4ɚquEV2a ~IҚ,c\,T6XG^d9U\X{kO%H %h[G+\ktf+@lY _3H (Ue(-Al0_Cu2~&$;WlgЛAKB6O<&;i~ԟ~ćrOI=TOHomos1->)ydet|V?zO> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2745 350 0 R >> >> /Type /Page >> endobj 347 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 348 0 obj [347 0 R 352 0 R 353 0 R 354 0 R 355 0 R 356 0 R 357 0 R 358 0 R 359 0 R 360 0 R 361 0 R 351 0 R] endobj 349 0 obj << /Length 18 >> stream q /Iabc2745 Do Q endstream endobj 350 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2747 3256 0 R /Gabc2756 3269 0 R >> /Font << /Fabc2779 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`M>)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gy8/ۏ}bH&}%l)lQΡddN9 &s.Ay)Q8F$!ë㥨Wm'uݝCr\vhwe™piE[z7};  endstream endobj 351 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=15) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 352 0 obj << /A << /D (unique_48) /S /GoTo >> /Border [0 0 0] /Contents (HW_SERVER) /M (D:20221102111814-08'00') /Rect [104.1732 698.9 168.7102 709.9] /Subtype /Link /Type /Annot >> endobj 353 0 obj << /A << /D (unique_49) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_GT) /M (D:20221102111814-08'00') /Rect [104.1732 678.7 166.4112 689.7] /Subtype /Link /Type /Annot >> endobj 354 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_GTGROUP) /M (D:20221102111814-08'00') /Rect [104.1732 658.5 204.4107 669.5] /Subtype /Link /Type /Annot >> endobj 355 0 obj << /A << /D (unique_51) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_IBERT) /M (D:20221102111814-08'00') /Rect [104.1732 638.3 181.5857 649.3] /Subtype /Link /Type /Annot >> endobj 356 0 obj << /A << /D (unique_52) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_PLL) /M (D:20221102111814-08'00') /Rect [104.1732 618.1 169.8157 629.1] /Subtype /Link /Type /Annot >> endobj 357 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_RX) /M (D:20221102111814-08'00') /Rect [104.1732 597.9 165.9437 608.9] /Subtype /Link /Type /Annot >> endobj 358 0 obj << /A << /D (unique_54) /S /GoTo >> /Border [0 0 0] /Contents (HW_SIO_TX) /M (D:20221102111814-08'00') /Rect [104.1732 577.7 165.5477 588.7] /Subtype /Link /Type /Annot >> endobj 359 0 obj << /A << /D (unique_55) /S /GoTo >> /Border [0 0 0] /Contents (HW_SYSMON) /M (D:20221102111814-08'00') /Rect [104.1732 557.5 174.8262 568.5] /Subtype /Link /Type /Annot >> endobj 360 0 obj << /A << /D (unique_56) /S /GoTo >> /Border [0 0 0] /Contents (HW_TARGET) /M (D:20221102111814-08'00') /Rect [104.1732 537.3 169.8817 548.3] /Subtype /Link /Type /Annot >> endobj 361 0 obj << /A << /D (unique_57) /S /GoTo >> /Border [0 0 0] /Contents (HW_VIO) /M (D:20221102111814-08'00') /Rect [104.1732 517.1 148.3437 528.1] /Subtype /Link /Type /Annot >> endobj 362 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 363 0 obj << /Filter /FlateDecode /Length 1615 >> stream xڵXKo6W\@ g8|o-Pr(zr] Jim,$y|~d='4Ql!%=7h֐VWc7>|l|$R{X=tk%`?.!;WH"oן *P ﻁ-pd0U51D}: k̫TKQfpxG"qw'Wv`;&\#MpAb"i.˳Wb8DFe sa7X^l;\f&ԙU&x+6}n(.搂 \K_3{k/wmw!޸Jd˸St"9] :Wح۞m';8&āA53$#Dv>JUbu*kT+TNŅ$'vpitir_-Yy1XsS .njߨC0Ky8R,r3` ##e-FUޢ]LZy!T>4(eaQqa eؘk4<ΐKl3 QW z>B,Iv ҁVVWV)K2/m E"z.)bwmFSBŠ1Rڼڔ Fy͖IE"ͰMz9=5YdfS+G9CEhfS1 zgF[%|BL(؟mZ*S'xÚ?ŽY+VcbBSDwD%Z[ +y`P`,[* ZC\]R='A>Y{ {ͷkOk^^s_՟TEN+K@KwęTPE{\cEX`i#h(<@"`l?^l>N534jT%ȏ8yGV/ BhS=HvB-"-6L(d&f;S@e_ӕ~(گA;Þʒol3O|h:| '66݆wb=5Py:@ NqVuti}0Ӟpїml,8_*<{,-?U~ O54YY><_Aom7{,}0_fH=PM0@;vhPpkph&?, endstream endobj 364 0 obj << /Annots [367 0 R 370 0 R] /BleedBox [0 0 612 792] /Contents [372 0 R 371 0 R 3280 0 R 365 0 R 368 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc139 366 0 R /Iabc2948 369 0 R >> >> /Type /Page >> endobj 365 0 obj << /Length 17 >> stream q /Iabc139 Do Q endstream endobj 366 0 obj << /BBox [0 0 155.6752 96.8199] /Filter /FlateDecode /Length 800 /Matrix [0.58455 0 0 0.57839 54 700] /Resources << /ExtGState << /Gabc146 3256 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xmj-7 _eɲNBɢtYB!B(3ќKdˏ_/yH)_秜sm|ĵzXǀ~O!?ޣmgb$pN9gQو$q#-#B^uh@,Tz*#P&.-6BɊ%ʴ+Njiv11BZzTuWrVh-BLS.R *6~LҘ#p* hCBL 2WyL e5K"s μBV%"WNzI$^&1v9gc[(zT,ɘz¶ɭ\`h̽N;2L9NE7mϊW1d'^? \;G`2\ʜ=$c,8WW_& ! m#Hlܯ0ko8Yb= %՜}ӋGXP̈,6=?:A endstream endobj 367 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111805-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 368 0 obj << /Length 18 >> stream q /Iabc2948 Do Q endstream endobj 369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2950 3256 0 R /Gabc2967 3269 0 R >> /Font << /Fabc2984 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` w/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 371 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 372 0 obj << /Filter /FlateDecode /Length 3344 >> stream x[K$7WlzCU5e0vƧBzm/4IeFJP(x(5߁B"l4-Q3I?JR絫~IVC/JWʞpYpRxI&!q$_~?0'$F^۝3vwk;$F]=(1m/F}\ߢh 5r)*LsE@p53ʌʽQGqBWѷ3*_~|Te\󓨦( Q`:˙҇8h"Ti|\S t\&{Y;P{<4ؗ༪;w4.O N(J{sd=Y@i;)tߪk;>KR^<qr|+7O vXU!&aB_K6'G+[A6Jhً9?uj=93M!Ż?{&=7޽E*Qŏ?V%qMhY.k7e?ң'̮Ģa; __xS?j%NЛeh~r\ 5lVڍ0&,J>I.$0yk^eU]6 27YSښ⤩׳%eCfUC-Wu2\leR߆ccMi4r.@,~Fzo "r{@)cI̜ݎ7φG;80=vWͫūďeK#uκfvsM%>N91mCy`>RL|rzx{#ߺ/]֙Q^~ʠqMzϦJQ~o_nLG&܀j0PfhPpwJ8ߗVĺ/  R6$՗ N`rMgHs;ANmBd U;\R=Kv&XwHd1ZtG,d 02iѢMLLIWCBugJ>.[nxv\ZFR D;K-vј6d?odaR 3gKkxnFvxǐ"9'}httܚyO(&F(T_58KMZW݈G$Ӎ ![@f~hY֡G-@QÖl3(bK+92AYVb5`fF3ylKS6.ՄY&[$&* ac;"Cxq=hmX4-GQAqMD (vlʁ=BX ,'旃ljp&K c5+@4m@͖ GV ""W#eRb}sHG dWs#9UimxTy ]>Cd^T@R@N7{An<S40̑- AcS,ْfpŽ>Y(X-h%qX"&$%u%%M cgCmpI\m*e*Y\kތ=N("V  Fъ.#Ei> \`z>Y\Xj\\xZuQe (䬼|0i R>mjK?u\iSdYWδ.k7KT>l;WTt> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3144 376 0 R >> >> /Type /Page >> endobj 374 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 375 0 obj << /Length 18 >> stream q /Iabc3144 Do Q endstream endobj 376 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3161 3256 0 R /Gabc3173 3269 0 R >> /Font << /Fabc3186 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶G$#x?$_l˜!E_@9?ɜ F0p|sLѨ\uMEWmGyݝC.;p94C-z^lM$ endstream endobj 377 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=17) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 379 0 obj << /Filter /FlateDecode /Length 5363 >> stream x\IWlॹ/ `n7"$o>Tzx"3`\RK}loN&M K<~|RkUj/>(?OG >(~קz0y_eO7oG]F@1 :݂N͆Oqqhl4GQp/ϴN8Y8~?|"!#4'̣QMV 3ߵ*tXx?|Vy6?{IG߃hPQt#jddD|uð`6BQQvP3B(dGI㱐q1+Ӂ_eG!4.,6ړ |B<>odhBh~`{&ȑMɩa9PW/^3eԗQğ7pU:f^J;+ݬ7ڊMXD\ TYq]An5.,y%{vlPJq^?VtYR…Ȝ>\&@T$ܛoP Īˋh4`4[wM[62q); /mNߢ@CHC(ҩ{0S*J4Q\)j8ra^WϬ2^n\ {煻W|4l;G80 C38[ď;doz򎊯5kQe9Zţ jP* ^!2Sp=x׃z0JK$-i_|? x=f7 h*> zPnSH׶N+-6ɳ1 Uh̖_DDD:VG ll(5^,3q&z5]\ܢs!F!d6KpDG9/E^/'oi埄Z|q˿oi0) t.͐B_XZlQSHEx9+.jJlTM7 K8Bk+h`~4L:QaP} ꀵD΍$Ym[i.KsNS}NE-˷]WU/ry(A>]H85#5Cae4. Gq1ѡot#X!}t&XZbje.&ЋK0XT !*;<g Y`("_7 e`#:C' nTrT|5PgJIX5]͌Ʃ%L8#T\F`58{QR0N mRK1V%eS+Z^V{o^q ++,b'm+lj#83?H40b +p]~'=Lw*ޗd8-YmDU,2)'7ߍw}"g34 lmC[2~ [L.w .e ]=VBW<ѰyiQԼ\h5/582$J/P?O*heA< M^ZjW6% \m Ui{_}WCO뵡1ݾD$Mc(0UfkSs_"NZYif6,F{N.hf!;T A"@3=cUʚ6[I z4!.9R][0qǡT"mZO ao)p4"lUb H2M6Thx/ފ;hx`;B i@!>`_B!|0#|ub J=, g#l[sVnbp7(04ᘌۦl~q(.N3kCysX-@nek(z-* 9Xw!QPCʦEX;hMmz߆ Y;~֊۔p *[fNI  ylWjF㠨b**ߨ}wl=FE;TkqR AWD_osuD2B޴ ԃڤB[J~;rKGxkFd`r(,ǹ^ {-UŽRB+AVc sÂ.b'U,\sdha i:#ۅ!3ŘX0^ %J$%C2Kf)cރT%Zbf0^jGYH$\GD6ډ0ÔT!v1+CA] \ZwFS˰:CQ)`-re>{Pm۲]&mm&+Tetf%xɫTct_.ީꢸZk ىx_~`nvlMiNNp/i { 7d,tY!;wOe'XpjiPW0Ju%B&+7o,aqʼwzGӐ Y?!rRV3K j>ph)JYgH!*awD&-B~|4$VXPvo_*N}W:hxEFӾ3xіBzqDrIu=x~)ggȡ.;)289(`(od^:eM=:GFt~Y2֟Q8Xr`̆i g)D_$!R}`գrLɓ D5٤v'IÍuNwVXJH9J{^Sr) ;ʮCkw^:O=y+350p2eҠ^gFe 6ڐ/=S% >뻽]dR`xQ!4C m2Yɨ~N $d#ɛ0pR9mbo+R(ܦ /Lfr!)1ci/ʖ4Yz-Q0Gmb gY44ؽ"gxM6MXiYAY9de%PxNPQQRU| !L""*+ah.Ԍ)<^bL!5@9*zʾ. 8]@iKk59iz19kwG'ᰛ #oy >Ⱥ1Fo?ktX.mO!9ՉZn9*3^5B-1@p IE#w.hWaMvLy7t^< AIxkw,'[ lOwBO}'%iS,¹~Ⱥ~Ыx -4ݟ)@"ͯ<[>c^s@ݮY4ڶB BZX)_)bsK, /Ԗ^ŒBeƛфsdaZT@F-ZY /0m5f 2 pnhJ+:G)" וuFq|zx\ve&;+XRI2ҼlEC~~d3.Ġ&,HKМ@31`` XzNSew44BoiՊPfh>ꔆ3 Xi#n68v)b-ljw#E, y)W Z]diSHh]c5b7&#彴J|OoR,ڌGRޓڈtVz:R1ak)ւ LP3q~9 4dM{fn$452ՙp9UmmQ?Ol"-E"P0LXp j FVc,1O)w4;y vq wXohm"0hTK F-{ehb;63-PhZ6}IB#4O<xxx+wgl)DޞVI[L;R1C5:5ٗ Gxf{Z0蹁 +ahD4  K.HȒ*U7uM4^2-&?*LQM_q4 Ӵ]<"\!- ͰV"POЫeT)4h 4 맳0>2@KX2W!SD˺U*TM1<ݗ#+oxy* M | QiEM̶<2*ȻA:r*eƝ7%mY ٳ$abt] 3(9"$] F,ٷZ@ZUY//=?0Kōap/sX 1-xq}{ВJ4y m^@YujcL)uU*%B":όHCq5Wl.e:+HvPC;ף|? ejPq| s=6&Zѧ: S+'W=/w1+I] #N|*شJN6Ӄ&wz-=[iiM|pr|g:i+MXM.^dٙzj Kuo2 }tb)RB:J: 4!dj {LMQjUl]> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3345 383 0 R >> >> /Type /Page >> endobj 381 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 382 0 obj << /Length 18 >> stream q /Iabc3345 Do Q endstream endobj 383 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3357 3256 0 R /Gabc3366 3269 0 R >> /Font << /Fabc3387 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=knT-=%CO4yrCodE#2yk|Z ip3x|1$!Ul)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!Njڎ>E;!%]vhw%Tsh8r-Zݛ*6 endstream endobj 384 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=18) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 385 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 386 0 obj << /Filter /FlateDecode /Length 3015 >> stream x[K$ׯȳK~0=m {+!v Y+w(**32"2٫~SFixKŪwUʆ -<砌Q.Z;etP?=i<ų9j0vTߓχ?j~zKǶt.c6,^Y 3h(죅vTy? wㄫ~]?>s,>t';xw60㸧,LU; |GPi*cyÐL4M2Cq, 9/O[w؄/})r<]7 }J\E7ͼ:!)w[ܵx J޹R5o^RZ.%˨# -O4 yD^񛉦>{r&ymt}!.,r2x×oWVIS|m#[gZ ;BԔvΌ:ǐB(-tՙ@\X{hPP5]:EDm%֌&zij &>TvjH &<}ua}phVi?pi=wѬnv@4+ToVt?bK냴 v [1!Y11iU.m ~0vjs뇔a]7R#v][˹ڣ]190[ ŒyS#S.LM3tIIK&~d ӛ\قXVC^SJeꝶ}8@ǕZ]=Xez5FוB6]ւ;CX\^^Mxy!,vs]褋4MT DaMmxI[RJ5](;-*:ϮH[P4ߔa! j _Սō#^=4Wk>]MDD}'i?w<[bOBsg4' sT̆1Yo2C7ֶ\[!Gjsg cLcO燫P Z?R2?D8/GoX@G7hfw+ju`5jcl[0(Ǭ-C [3Șb7Ok46ZxXN4͘Ldh&5 Y]rOi?N3gI ߁/[@/Se)`rq d'*D3S#p/0]4ŏe R]*c$;}@M~~W]wL~M~A(;pP:EZ.B`}P6RJ`Bk F?mF4/Gi[@<|GO`V!ݠK+8: ڄxvk[; [ZPviN'A ǤI),{ Q $r1P$tEֆi2A'u`n .MAn;AN C{IyԨo_y4 mwgLe./f(-!&r0ihZQ+j -f,Rdݕj ZKҘ] cl3Ħ,eTDb'aB2|݋G{i0 +A.hbmT趭 `7W";LPDHQ9-P0\hWj-gV^1,ԱlZd媵qB_gTC쟜+> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3569 390 0 R >> >> /Type /Page >> endobj 388 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 389 0 obj << /Length 18 >> stream q /Iabc3569 Do Q endstream endobj 390 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3585 3256 0 R /Gabc3587 3269 0 R >> /Font << /Fabc3597 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nT>)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaQMwĘ (R%4 <~UW<'!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 392 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 393 0 obj << /Filter /FlateDecode /Length 2564 >> stream xڵZK6W@cw  bOu`߯DJq'zБT"U_=IE~Fj蒊?N32x 4}M\7'ƩΫcrYkjKjB[13sa?pY$%gց?Y"iWVdJ;֔h?+xvȟ:&fEqJ1( dVSkWB/{yϿqhI K13s[\1R%X! > :$zo4;̌e^i^Z+U&?*1cuO? {nm叹˃]'u{9$|J .Va͢I.gXod:{it1ġQǵIqFkc5D_}}װk.4UǮCI㷎ukƒ׾T=vquIp[Nc~l^s]|>NMvka}b|,ֹ,` ^H̚wg*\^aAHKP>,f^ת( ӫ0ʥˉrh7zpYv zUq-VJVۣ`x?Me 0rيTL##z%d"vho1FvVU8tg@sQӭIU߶Qp1W}0QMffR EgO V['NGȃ1PdUb? ڨdtOGx#iF㌓7ig*/~ɍ4zt,L~sFεѤUJ ReH`@3>ؑ%ٔ ʡTT$9O m!}߇}ŷ+ߨ+˜ J T3ZP!Rk;R CC dE%Յ gF1|mA> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3769 397 0 R >> >> /Type /Page >> endobj 395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 396 0 obj << /Length 18 >> stream q /Iabc3769 Do Q endstream endobj 397 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3785 3256 0 R /Gabc3787 3269 0 R >> /Font << /Fabc3797 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n- w/gx(&-@Bsw4_Pыb `6НAaL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gy8`^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$sx2W.s(R.Q8!h|=B/}S endstream endobj 398 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=20) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 399 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 400 0 obj << /Filter /FlateDecode /Length 5090 >> stream x\Ko$Wl|?A@Kj0= ˶w<\$Y1ݍDL2/F#SK[m@?:0c_?>{mO1GcB+s;pO} yFѪC ).n%hR,9TN~'ϸ~^EAZޤ'M! M7 @nbe ))uŧ-I7-[BM4}>,ՙ\'`CƔxpc'Um1yO1螬JMA> BH nt '_0boUG _ks d"6KojQE⣹_~VI>{Ccx yW_AavAҺᎄ6~{c;!֤7TJt&XYrJ s ؄Y_r}%R]X .Ou SI+O޺md*fMI:&ݓUiQc @b;DRڮ(oY18DjO2dLj&,Ml!YHZ8d9/Z}Ԫ^u*ҫvWSzձwK:^>U6k}k$\0QT^w#;}).O zMv̓('6y} wʖ@(7J-hbgMYDbkk#@YBv Gvu%]BK%4z^BםXBWѴ8}e1B9/98*$.PH*XA< /Q{sW6L7Ԥe4SM3 :L5ׁp1B(: ”}h HqjfJyޜh\lV3%Bv _E_ǛR-g1}6t)/5'RSW1qTF3rTqa6,Qp"̿Ngd=nFGKDN3F@B}iڑ!a͑@h1tgmXި>tNY>:1fsV}n꘳XRDlL.lq %(ΡbIt\%7 й*ŬK4$ אe ֞6S֍%P vfZncnWJIeEO {e}ک GCkݮw6+UۨyRTuUSQ=>qnWM/]%(r2v K"ybLwNIopi-ƳK܇{ǨfDE->V=H8+!hՂ_XjϹ]B~ VzȾF\`ȅ:&A,"|F"d?-tQs"S#@lhGm3!ɬ_%s,i{ʧU(IfvK!0h@v8CPXaAsM+[L,|]|,2zZ!xƨD*X%{Ge"rMtz9gKRZI<&,a^ |6n6c]i[pNƉx=Ĥh@:CzJGRSa3$+@ \ym67Wq rCI i_G|9+_B% %!ઙj»0n~^e@=پy$hyVWH9r\˦$Y.Pcr Z=;!Dk9J5 8uŲvg*G{/d%z̓Ǽ[be0.S$.l\BaWKc̠P.eƀi, lH;ٖ'@9lǾZvE,D([}1fj+J4|嶘]}P*aEusT =;6hP|iTOh l ƃ5b=1!a Uyn|b=`әeu΂2Wz S-[(& *+S߰2oȞQ4DBOϟ9٢ՊnDM6&=y)iI?T"eRlvg`s('WM_ؕ KF6>Nϗ䶷g]c1xB+xK]\Ћ}+OK9f ىas`/!@u TW1P@u5 Te|\/s+7P{]&p ]XO\ĚZ䘡6;ysqGhad XfFm{~odW٘Ec_-|:2gO#9 @ǰ?ɉWP4;(ΔQ"h T^=}lT_eq~w˾>!2u>`_ȥ?Om5.J@x= 枠;5?+w'm9J;,W!E`It IRyv`Mg[J+ ϖڞEޫ:HktOt;+[y{$,uk?q? =}l*$ >ȺDy](!&|j!Y8f9P%: P XyFmթ63q^8g j%},_!>Oa #yϸyzupa^`{l=^9]d{Xm0Vt*I W8yk4>K?I=Kbbl'5Կ-0vmgۛY7'u3MbY m} 56yuSphj)+ؿŰ6C.OW)xz=IGМ _{Ivk\_e uvG 9s[i&VKs,AԏOI\>ObexL7͕7eNñ=N>-{ֿ֪ S u#P-;{Ά {K^78l7*{.%ը2Tب댊QEreQ~A£@3 qCQgپH53p "vaLCDA5~ǏYY5%#n( Ah!PdW SI'#ؤjځBRGy6^JYq)Bco̰C.чZ_ ._t^K oKg`=vwr@?:"!5X>vGz-ۀGtiKVx7)ҙ" bd`R]sGZRcMeC>A* zz[і5R6Xy`sT. 7 &)d v;ÇF oPm3P%Yorb!$Q_k@g+1H"\Ff)*auYl %3Ƞ'CJ( ݣV&."[์~;U][zzzիakmr`vVLuB-&u5ʇ8t%-pAZ \},Xv0?0.C6oNNA*q^% *^]SՍ: Vй꿰Ҷ?bՁ,B^g3`5\oIPx&Isϗ */%#yyoQ9FT~Ck)n GUzwN˶ҷ@{@\z@ 9?BX?m*R)IW)FBӳƅ;X] fP(mBe8"FT{B [ϸ/:Bj2yfy)^1wl^qA1=LK}{ٽ#騇JF2>^-˂E;߹?#0|M9)r]8,4hOQ m[c}4/P]^nxsh𠏭xFG士 k_KUBfH&=1 VzGzAOwLO<VZJpA'\3} ~][qN,;SLm@zh'hSp+%3εi\ ̩0ncj^F}TDt#yW3?-fyHJ21WZul>uk{YV[.z@M0)]׌P5)\ endstream endobj 401 0 obj << /Annots [402 0 R 405 0 R] /BleedBox [0 0 612 792] /Contents [407 0 R 406 0 R 403 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3971 404 0 R >> >> /Type /Page >> endobj 402 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 403 0 obj << /Length 18 >> stream q /Iabc3971 Do Q endstream endobj 404 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3973 3256 0 R /Gabc3992 3269 0 R >> /Font << /Fabc3994 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 406 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 407 0 obj << /Filter /FlateDecode /Length 2904 >> stream xZK$7PZ)􆦡`؃=w<I̪v,FdfCYꋲTH}:̪U 2/E┵Em F瘜S/տqƄ8a31O9>NV/whHgbR/? :0 P mYm◟'^iKL)>x XdcCuq<{jW&Y\s0f^+P6 e}2$}\Wfxt26f=5z&8ʻ\ CBig(8U&lja,!lD}HFMxlp|TO€ӉqA&,TWZWڦ/et%\VYcߨ[Y|֥T&26ճqb (z>_=1O:v~_ӳl_ )ol'%SWṌq9˯>a ]ñknP8]ʼ:vYe 03yZ2}z;?fq˚{y6Vn1U8dƢ2aa<_k=%t_.o-f@e- |,ܲ9=}X(^*R ԁNɍ%j+t6@sի43tX >,m?SUd ַsx %XGZYq26D &T{x:c)eATk*셟T:UbVֱVPNAz$"Sju|I9c\QSbEmgx߭4.s ϖ\<{_RW$mQZq`gJ/5sȰ?끄U = |Cx=94Q51x@(1,I vwaYv^USlU Z%c*C`V" =~q%bzC J5VWZa KÛP|9DmK7xVsoEHK:j.h{$US=`̌s3[DCagt3Vx9fD%Vs}HgsRy# vdv/Uu}s͟?aurV),z]r+(a۲5$۽F.WK2lbmWuފt1gSmԲ9T J 7{Tc|[cW`Ep)<"遃u Mͤj8nna1gH1Q,fǥ|65h3ߊrwk'bYTPJJ8NIvV5n1BfMV~Y9k{WI+C&o3W  z&\NJEod٦VH.$RholV{*C'.KU#T$nr Z`a xN9!\a7~M|'KvFkrRkDC(ONB+|6wWP쳊@~ ir)"v@MK&@!&52΀]P+ N'H~3y:Άx$ a=PR4$;9,XG>Q}? x` 7d62Cݰu|6ޱ#۩5l`ϳKq4'Z=) ⏋l{(&x4C$]jY>`Q !xK@ YesN{,KE[7ri,Oha`X6+=XQtpl+ Ps`^cS<{bPFR,{=-00%7oO$"ߐ {MMBd\!Upm\ _4u֩Z4g`9C~ɍ0 |t~c!zA& ;}%jt"@6  ٱ~Ra{U&2A @͉('xdyzǑ`̆P/mPt ;9N!TJg&DA(f9mYxLP`:$+0naJ5ҁEb6}g7q:@B,#:2,EDe( \9& Aȣ Q> ۶&X@BN$iԨoOג Tv mwgN1R(n(-!RK3X])pZVt,uM5=F)ut%Kʒή1' 7 ~& ϡ޽{ԛ&;x jh# !P43y993A! F=/%A AJ;cpDG`}RC W|n!!?% ~A7ĭᥝG#0>{/}X/(Cڞ纯kkFJZmOI[yb_dYO!ᄎxy8 a E~ڕ<.3$CCȋ)lj┰NE[O`b'+0y' mr#dJyՕw4}Ih2,+7v15tADa/\+U]6Ei endstream endobj 408 0 obj << /Annots [409 0 R 412 0 R] /BleedBox [0 0 612 792] /Contents [414 0 R 413 0 R 410 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4163 411 0 R >> >> /Type /Page >> endobj 409 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 410 0 obj << /Length 18 >> stream q /Iabc4163 Do Q endstream endobj 411 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4178 3256 0 R /Gabc4188 3269 0 R >> /Font << /Fabc4190 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=?dQH\WIRLsV7Zpg}bH&|բxy /sYsshf^2 GsB&s.BS0ErU*U櫲_ѼΡDHI.*x P?_Ћm߽?* endstream endobj 412 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=22) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 413 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 414 0 obj << /Filter /FlateDecode /Length 5302 >> stream x\K8rW@|?F24zv010s)R*2k0{.d2Dxd&R_fl?ӗ_^dQgsɹ/;h3>xCj.-cm301em s ӗOlCnb9] 1hbRuJyZUWD8Rj0BS.RQCNKxpW]t7w[ܝ⮺AqӗNs0 s䷘-&U a7Q d=}VY}?g9~>Ǽ5lqgX LH*AS okS\u 37`So?^o]VN;%yGGd {_E=:G\N)aLX%y oleH.QK .µ}!-MZyD?EaHz  *+ _zhnc^! RTҭ*TSKHqd`HgVDeeluBB&tݱ̝Ls1&g[ ;#!M?*4r:-]q&֋¤i@%nxȘ7Ry$ VC $oB^h#y¿_ FbecRxj Ea<ӂil:L݈θoFR Hn~&E/ȗW\F V2H det?\{\(7f>e'璇E3_1Z+ 6K+=[ǩX7 e.j}i}Mv1[k*&ԇ%ڕ[\,Sp;6Drxo5i(H&3cZ{ (%غ 80qTy苠$M ,ͦ9gk¿lε>Im}g۵xY_bt/[bzn{>O5V Fέ뫘`N8ӱŶץ.{Lj~0Tc61-l^. i N@A "b,/R+;@nW]޻0PW@4l0٥3%JeH !h0:kzY&~sO7$ )XkB)nzHnƌDYN>.cD Xb2>ʹ䅀0=ꁚ< ūf0 pJGx;O)y4~_.01FBRtA8~ GntWHkx*T]vZi3Eh%鋬d A\* f6wOIY#˕!66 :- WD&rJ+ p ,Z)1JpZYcuϥ$)ߒ8({S5)m^䪏\0uhz#y^*6}S sj**!ٔMĦ5#C #L;} q!F3Ծ64ZNùb8>|y>'Y[S` a.8Pl=CVc@}aF')U8y9TԫO} k +?ym/D]3{li7v(/V;W\JjR0-w+"U G 9oQE"[YLɁs.dHS>Ŋa~62h's^mG ޱg Wq:[1m}m՛*ͨ/MȤ;`ϦvBm*l49e%j^\e{-)ǧ_Χ@m|H?jAڄ %32/iFleI&L(uo#M^6i(hǍoH Ŕ D"G=gϫ0>yDsy<_!ِ)znkZ&YHT uMޕ g)K>t;Y]mt)mRuWJn}]F[>m+d4z;-:֑"/p%[.<8&ҟƉ؇q]}zr"ڗ$k*Ky65! vX\EB/e-T\,W(r;8m )|[.Obн՝BZ˓E__Sq|4X :6Zb( +ں r;7vp(Rd }j*A` YQN7Zfj4B ey1MSNJ-2_@)EahsfZ٥j`r3 :ftBo钇N}d-+칮.մ|렟tq|w:NgU- yӂ;8p`ڽ#-`кW'ˉ1m F=DAIa6mkfſᙰ|EY. U6cט&XAkm7.n L ʉ!⹼ˤ)4斸5FvXkop"I!> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4359 418 0 R >> >> /Type /Page >> endobj 416 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 417 0 obj << /Length 18 >> stream q /Iabc4359 Do Q endstream endobj 418 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4373 3256 0 R /Gabc4375 3269 0 R >> /Font << /Fabc4388 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!Njڎ>E;!%]vhw%Tsh8r-Zݛ2 endstream endobj 419 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=23) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 420 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 421 0 obj << /Filter /FlateDecode /Length 3713 >> stream x\Ko6Wa{$<X(um{f6CTz?Q(46tJŪTʆ@e=oN?ί8j sLΩO_w8C7gH}AƼ֎٥gH` c+'mڠy&r8Vn.c E{\MJQs7yHr2a;&I-ggZ4ژkm* ހNBr5&U&`r&%7{Vb?u8Y5,JX6o7k K%۵Vdfް`v*һ[=3&A6c)4^RA6 dC ?7 b^_S0RT07"ƛVBUk]Owjt9\Klܰ:K:|hsA:Ęۯo,9۵ߩ(MqO#<]U?ܙ~eSw =KM= ήsy{jO\0+%ՇʠJLЖJvW%YWr59ia|T9ķ[һta'K'%0׊1to4(/F+%EN'L%ک c%X=n~;cc}C>ȏw3BPv`|נqC&#eλv{+'Ss} }mY),Q7iN1a4SRkp#ۡWݡ.3Zj#>ɍ<'=M-IFڧ{u=[溝Pތ>=9r J۶' ¶>o7G.ՁvP/"|ik=M ghb-):q(NYq(Ji^b(s}n\퍝`vE+WizZN y 'wMRO*hޭtI^C֔hԏ)`?\hY$̹ևs~>/LFvqͰ齾[Mq/{fU=J|{Ԗ%l:<xSk=1 jx!=b5#[q]ݲ+kM}Y<0k͕;G_bmrn|x!6I4fvϩF=5znzmWQ&e6>u8m2sJ+ίp /~/`Ws"Q pl-q@D\e c!Jӳsw[t8zgI)lB)N=$ ]OI1zmyVe}cO-'$5Yy%SڭlxQN~Xtjfp_WZ$k2FMi9fM[z).qef޲wWd}ŅCnͳXTm%giƮw[XSˠ jK$3@7 GAN~CИ}1^EZqyUx[ ٰf=(l.!ȴXec$tαoIEr+^(ߤlBHUJ3zC? =ğ~.eWb,Fi3"#3C E фIELF UHK*DahXZ쨃/,+܀6%&ytCOTk1T}\n.X,:bl?A=SPLB>t >-M&Яx^usoW;\KoTM W~LXzzj@&䞔qĥy0pu\BYN 01X1ipV@(OE '{I|h j> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4548 426 0 R >> >> /Type /Page >> endobj 423 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug994-vivado-ip-subsystems) >> /Border [0 0 0] /Contents (UG994) /M (D:20221102111806-08'00') /Rect [349.402 669.75 384.668 680.75] /Subtype /Link /Type /Annot >> endobj 424 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 425 0 obj << /Length 18 >> stream q /Iabc4548 Do Q endstream endobj 426 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4568 3256 0 R /Gabc4570 3269 0 R >> /Font << /Fabc4583 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=knT-=%CO4yrCodE#2yk|Z ip3x|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dxQUh^@wP".*x P?E ۾{w4 endstream endobj 427 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=24) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 428 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 429 0 obj << /Filter /FlateDecode /Length 5958 >> stream x\I%Ir}$2R00VA袔z!K}gf[rԀ$Yxxnd&'bS))i_÷a2f򪋳n2z:L߾Ov:>jq)'{}^oO>kHӿOdgc&'%z~ ԑK0 ҷro Z{z1z,6d=aEF(o$)O}JW߸@P*JVA|F4W%р‡TG>^ę",8gocI&1>‰6eGܸWNLy%}FVޕH8̤ܗ| c)ĵ1RB~gJ5\~j|dYio`\r1 r1Ja&[WFbBXbH:`.V 3 +kIE߬$1j֣ UTuY=ڇ8mm؀\DQ T]?zz\`0D,m: af_uLT6%?כ[\mڪ&&*b7Vv] 1$3t^n6#]ǽJn"%ZJcz]#䵙 $ҋ@;~wVtz:ǗfW tQLg61/ n-h >ieIz.a#:#ӆ/spYo<1wA E#La\^mHtքRƧ^DfSi7k횢. PBF> cK\zCFط0b` ^&!5Iw6J%FQv̸f4>e6CA|I2H\~~"ZlBwAvҬj4xw^muf@e3UU6ٹ,F\.ũq"gύ23u4v[&(Lٞ>^F2e![OeSCn^gM٘]ؖ iJZ\eŝ![Ru@ `ӝ7 N\@WX"9)syQzG:;_=y(mBjr~0'KA~OaԀ(w C2躣j(0,u`UpQdG42TXZ3(!xh6?/ LHZ'CNS&a91^A? O?3"0).9\m($L|ʳ9E-2od e\5 A7~iIy BaęT R(˂)&2ž}d3쓰>IBSeŴp=3ypvŽ5IL&ͤXr<*szXNwg/DT D ;CRe+z K@G:EP#vq OaSIs'Qe  *xjY1V ` Djzr[B[5s/81bCh}Y+^YyEU;YNh8oej>j`IT+B9w袭64tX l;P9y7sL͞͵1U2U]1U]Ob*fw+~ư_X(jg䡦 , Ŕh?ټaE^T%|ΙkO}>lW-s͹RGf#&SLs V.!TYÍidh3XC<yrp",ϺxfG0S Fd?\=F|CuPǤ4 T﨓쀊+R}`A,el$#U2s)3~Yty6UfԎ8Wײyr3*߾jY^d\|49R4|30!Cp4gP7j]^nL[4i`u䐃-\xl(օ,O^2?0bOcZoraqMq;5TOY 8Oq^ ;CY [ٔ?/1R;_Do+j#\% uI0Ns8ݩ9="t`WXn-7GkqCd`&sykyj+/_-U6 {E {6s".Oh ql`X$*!3ӱrus ,vS-Xh`]EtM ;}}ě񓐥5-V鿐H>oImL>̆ͷըk5)q0Д #)ᕺՂ# вqRNQˠ5g#q"?&wgCR2ZSQIupXͻJݪ] 9RsԔcĜEj+A.7*cp寧=O=5{ nL#5m< I9RrK,#Սl7pcF.MdhJH0"/ Ȩ8hn nИl5-֐yΖ%h|VfjSfrv6$DIRMIQs #[n<_Y!#b? &f{C2o~_HZ&yGLe!EV@ʴJWGg%jONwcݒI CyoN&-= d2ChEK-ׇ"O ;V73husV.Kyt" .x@1M^@*!,HTDZ ! E4HPG r VۜV+Gu1c;WMrP) O6ƙ -bfRfA 6 FV)  -ɻ ,x{YA2F<皊!Vb@,7. . i5 &`ȤS;لȈ(Ѱީ\5,^f-(>G7Fy'W3S2o"?vM@jcI Nc2%T%fZz2B Ó4 ^㹌] t12% 3.J] kι瘃Z#5hUp>,#疌8\WʶkK`q$ުl 5Mqyd}D YBQs):Pd;2J$@/9 AW[g 2AaTNsty+/-R&s9Z{і`%.CeۯLm<]c3)Lqh=.p\%+ 1-W^~pql`Xg'<U(){,h͹xY/XubHJړ>]%(шF*\~L d--#wH6B^ 0%ϙCnaEflPb\ lA} ivZnKyĎ̺x,]"[0io3X$_9 P"43:$XDCGKq+/HYi%荝%q )Dыf,fb8Z[fڀ1qH/x.r!lYPc<roC^bN4iqG?~vn tF@Gsb49v{-Ё}Ё=b ɷ#6ꭴFNS2h@›O2y.99%/UhiAL0l49 3Da8YbX\R-J^3X·b>jԻPev͒O4},A25 Yt -N$4 yw΅2A(*HmDEz\`eZp5Y'z}& ^Qf+]Dqo z6l}^`1@WxMݚS=*b٠?djy#^\ nc m;|lX m 0%7za~;$F~hDh;`|;X>-'}qـJGp:츜.m\;.W|~( g%@,{ /_<'|J %xہ^*!Q/F疜?lulIB=& '+2ă"6b5@ |K$ snuPk>8rmFԑ6:F@<:嫻 N%Ȯ o[[uÙ.pA&"f =5<:I=H#6hmI۾2 KgoԳx& Em>-W:))N!t1.ۉ`&NC_>uQh3Btq@VM?-sdU3dQ7ﮭ-g_4'' G Z7˷ \th%_#q]b]7Um=!4&~tĊZ<[-ƄވK dH)NHy +-;hEα[؄mcT#2ԞP,w$ FttLM_8(<-4sR 4[z_ah_w_T?+rJ`]\!Rk͋!/`x֐vFSהZj^3\c'=F݂m4Ϲ՞6EMl+q3[R,+OhXj&c02=H<ХMFCvioRޓqT1],McXaKklHVP'y1V֊c[u'Q'zRfbSOR֨Ij#HΘLM0l -* =zMs4^곬OT^s#x߷dP0hsĖҞ{!%Rnե)~.k(:/8i7^uv?I47jRޑ++I"5uQv, ^wPagR\@ ⫸DgW,u,h Vi hN@~TL7&XAk#:.<-D`\uc@xZUۤ Sh0x tZUmHP-xmziIƱ2l,N1,"ZbUPEs&FFțiw)Rɶ5:"N@!OekSUL|v@x6eUTwNtDwAf֯ҝAzxb6ov-ZKbҜ1l΀*]W<4.zL}zRC9}Y9A2@nE-5XIk|,SZ3'mfm.UwХ=2rŇkp-NjmgZmvrֳ ư]׳ #ݎwQc/V*fzNO'^ -%|#R/{`¿ەR Ўm&%C^{t:Z6Ztzĕ.v?BFʩ0~Դ4~i]/r4޵-tr VRׅ%m aIB0mL.ZD\iP *&_gAU endstream endobj 430 0 obj << /Annots [431 0 R 432 0 R 436 0 R] /BleedBox [0 0 612 792] /Contents [437 0 R 435 0 R 433 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5266 434 0 R >> >> /Type /Page >> endobj 431 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug994-vivado-ip-subsystems) >> /Border [0 0 0] /Contents (UG994) /M (D:20221102111806-08'00') /Rect [349.402 247.1501 384.668 258.1501] /Subtype /Link /Type /Annot >> endobj 432 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 433 0 obj << /Length 18 >> stream q /Iabc5266 Do Q endstream endobj 434 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5268 3256 0 R /Gabc5270 3269 0 R >> /Font << /Fabc5588 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7(w/gx(R\T1Br4n~AG/cXLB.FPQEq¨9 }' LDԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ? 5Ժ@A꣔xJ9iK[Zߖj?ɞӡ'<ʡ|~72THL_%ee8>϶/d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dxUWb^@wP"]@ p94C-Zݛ! endstream endobj 435 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 436 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=25) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 437 0 obj << /Filter /FlateDecode /Length 4009 >> stream x[I$7ǯِai`9mLߟi IٝU0CDB[J.;G?ڦ5"(n ćڭRjj>?Hԣ?ćo1j-R|'::id/^`kUz+xhZI(yȣˍZ3 Rz3-*RknO4ܗ9\(uJiz\CzcxPX\R_1UVyxMmO2,!n|`QxknTۙ4HdIUڰDHK@]e:ܴ}AɬN]˂yR2{nKq! 0Tm> *}YyGK:`2 ֹF(-!WeD7'ؗBԬϠC:ʉ?%~J|\ha#{c3>+L>]\]]9?~|ܟa?}~gh9/[6}ɲd8G=A|9`MjJ_ӏKJNZ뛤0# h1c˳kTL&^{tdv00#)rIV@X Y4j$l/k Bv ewjj dkh3 ;<)] q^1;I)dM}"4. E~ Y*^)˵rQ:WXm߳q{|fSMyهD-BCq5eTql~qt,ܥ i_oFۑC.= gQ~U(_0<Lү6)'~U\|Kxh@C!gا;p1X6iGaMN.9-ď=нF a@e-1k`ۨpVsc)屍EV|^)Up),oR crFp80KQT{7xYRC~I!q'OQ>sۖZ_c߉گ$3s$5g@2b%!C-y"{ƍ]pfK#!vXaZ$ O72C? .CFvFfu2([sζ8K niM[İ gPMXBd*%LmsQ5qٓo q^ FGԓsO<Μﴉٞʗluڢ_kƆ&$pv^qT0uoORYfan +jsd5Cv>&"Jϕ_]ĚCO2,I{9R /rAZ*+۴ AYΞсPþб'dVC;!Jo4"ܗV|{_BiKm;CF <oզ*GvZ]?^-WWf2|RSQ%-Hv U֫'Tٍ݇+2fSt.zqRSw7œ:L]D.C>KOȟǥTK)( hOƂT;[}zdc&1Mc[=mu\t!v)>X[ ZT*(R?]{o2ttX_d#@mi[bBі&^#$[R_ŵ"·#T}&R=5TZ׏_-UFvU7kD!G+tϪB!ĮʺvW*r$AH; [Nź'GqX$Xy6_ ϖ3 +渚h%n5Xڹ`KZ*:{Ⓨl]X6gH  #AՃnrG˞7,˶ ㈼5Y((蒉YxA*֜ziDL#lV޸eeR+ `^0-%u 8HZvk{$kFo 4Em[]$X'хJh UkGc'nKĝg@91"84K YN M Țck7pI3f/,a(": u/_G yn5G.-(X8 JRA2K!hג}=1[آ5kH@V@Е`/>y~PנKFsXtOtt-L(Fr=iQ lQ"DI*T"{:/3c\23>~OOĝHp=St,G+cRE8s$(}2-L!E孂ЯMʄƖ꿝~E6ĥk9RL,cyo>k!ڥ_jTּu+^^R9Ӄ%7~O 0/s% OH;'ΉS瘞z/W*~Ȟ';N2!ۤ|rd(qvr{ۧcbA$IUɨԖ%nrJKYѵH],2itT[xTѬP^% PxO!,k61 endstream endobj 438 0 obj << /Annots [439 0 R 443 0 R] /BleedBox [0 0 612 792] /Contents [444 0 R 440 0 R 441 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6132 442 0 R >> >> /Type /Page >> endobj 439 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 440 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 441 0 obj << /Length 18 >> stream q /Iabc6132 Do Q endstream endobj 442 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6133 3256 0 R /Gabc6134 3269 0 R >> /Font << /Fabc6135 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwo r endstream endobj 443 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=26) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 444 0 obj << /Filter /FlateDecode /Length 5119 >> stream x\ɎIrWy},ktistVs=%<22/B2#-|1-bm1߉_ۯK%%On1fUk@crnZ>^K|}kylXۄQ}>kqZdÚg|>#Zu8<_'}XqP1 bT,m:i2}뱲1J]J]W5אbK+:hSlm7X*YxFԩ5dÞzX+њ8`$PpW|~YoҫM>]:)]t=zykkW080*W6PѺ]; 5@z2itZ̐಄_މkʡP,V(&x|\&~3f͞K 6^r`'uO%{+ȯDR;, 冚uQaVrl*䛨qo0WHI_Z^*3qa1ɀ|x79&l S?c5T^)z:MA%_x<ڱhhZ *pj9s,͐x}Ru,U,]RuR u&s&߳b>:``q`3c/ ri`W⪻-- ŵ L:\MwU]5~-S5k:kժkjLPnQn/(`䑦 $ <4_l>cU^uEgu9_8gsxse=ƛcֹ5!#6+Ү,;I8\.rM0p 9 m0'ce[g30x&`4 Jyl9շ=L0Ha븹m]40^jZX 䀊;R gnPDqA~Sz[zC ƒWI F bgVX%bE)ub*['A >ǚZfMJ/v7u 1,_ߔ_ڿw 6]Y8OlNEB^h6se+Xxb YY>ҩczz5jʛd4Oܗ{j@wtȞߢ,C3U/tPQ(Z\ Qb^y.ǰz"Ų#C{ vPuۆ43mېhbӅ& i9-k^ԊqYajcg%HB#ƪ_e:c%R}JކOic̳mnfi,])pM+*eY=#-u'`E.!GWVylʦnSfeS7*ܤlm;!O-;`ڡKjcbVOՔOW "7S:G#ƕp ·Y ȕio@|@\F$ Mw'l Lk<$KvpN\JPX[5zc*dUtGc1z[5d%&%*4)3#0]{@dIV{:! Ɀ("ҙo~C|b m$9R;%~mR'a#ڥlw|d;v0Hjk2f_gh ̞(|(W ~H1^O KK=Ԭ]!iWKf9"!Add|qL7u:Z%C5Kd;圑>.v]MJU1%j,'A:y:DD ̖cl-)`&"5+nu}MJ[?7:\5<)F/+ąwUN=iv1n GsFW;] "{yhRSQ.IS3Ϥ8o +vz?QcƗS~8bNj &(YoW&4l܂OZɢZ PepjO4m(!@| O` >)3>i0nǹ-m(lKjtLǁ0|;c׫N<&A ,ƮdX8lYB?M[N2tb u;HCA ٵ&[u59  ÀyԀ|pG0=FP2U˻A>W7‹^٫]T]`t-3Ƕ7:$aYPu/CcrC͇pHBҊ{)%oE3 l>~8zXxvihH,Q e3:%2\qO3iQwMwӝ1gl[ PXո-4˦Cǧ ;eo([z9S W9bNX_<;uœ t49|1 X﷪"=)XstTr9Jp./m)Wj [o^{Pޘ<(F} q&c]v6%ˌ#;ys+81ezu5dςNwRvCKoɂp0oWG 01(v}_gکx4=S ǏK:Кy-%m [k s9 TzG0NqqZ[,=ߖO#Ki/8_Z ":CW=5>qS%)#*S]P]$BQ5.]3(8[nl}N{ڇkc%u1[dd[lb"|hJ>g.l`|ɟ*lb;12Y?t2@ڝ-k˲/K],Cr/mZoťmV~-beND9?E-"VsG k.qwNcWhY2lG"uA7e;MOsځ>M>õOhUJlص}A-"tD+բv=!F*R} fݚTbRI9>'ˁ#. #5t<`袹KG3BV7h,yF}5]tF?WoyIU9_>(6 Aj:qO8l'jζ^xgQģ u8-8tzg`bv %GnЗ$;?0Kk˼bK&jUΈx]=ս#m6A ?փ}mâX?腾ǮvLZs-1?MR?kC6}]F7y\^$'ۆz@ķȹm^#~n5:]}S{=\};"3|nyޮhjم~4yӞFyO>O~xmL}7dhمzzdh|=o(%ïtQ<+4>DFƩ#0'Դondq:k; 2m&uCX^I_mhٞRFOC+`_eV endstream endobj 445 0 obj << /Annots [446 0 R 450 0 R] /BleedBox [0 0 612 792] /Contents [451 0 R 447 0 R 448 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6151 449 0 R >> >> /Type /Page >> endobj 446 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 447 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 448 0 obj << /Length 18 >> stream q /Iabc6151 Do Q endstream endobj 449 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6152 3256 0 R /Gabc6153 3269 0 R >> /Font << /Fabc6154 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iT;/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/} endstream endobj 450 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=27) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 451 0 obj << /Filter /FlateDecode /Length 2998 >> stream x[Ko#yq~=,&rrfv\Uf$GO {bdGI|Z(O/N$M _ZOSWR*BV\ \;}YԖp.@І#2؂PA bQC!4"8eidr?mݍrsYRF[ Pj*ۿI?8C}#X)I̜KZ4>ItAݦ$wb^#9UczQ]aZ?GhEFۚIjm<}hBFkc8?oR{b2hl{ <6e%o0s (peol Q(㸽 /_7q+s&m@ӝqz'T-?l"/9aybP0j\ƵJ|͉~Q-ʔY}q#a6K|QX R&;?¥MyM8;`L`ě3Q ]gdpgWߝŷh5u?xԛ3e_{VuRR˝LӍ~9]l`V睶7kV Z& \й蜦"Um*6D) 7b&0@[ir[\Nzה?҆RKk4/%^3ӆ<hMB;Kxq.S}wCri:Qo'yglLrK\0{>Ok[^ ;`a`6o'ߵY1e}WjX)hWαIT0T禜X/qiژ$ rTŅ9~*ZT *๬"V-䇮GK|FLJ+l! #& n(9XW#Gʀf/{Xg ]%;5iTܗGpc2zh\=׆3a6զ%EEIjES+kS=u˒j-ܤҧ/N*ewʅK5fŚ)VUFhus!zB+lQfna9TVbZ#n)){וSaZЉ8R?spS-U/V\/r(] 3hFu;jXLx܁C-Bl+HV |_c{awGVS& _]J]AfR`\z.Bs>prҩۋ@,V!Jc7,X@Ȱy#y3K:*(1$mpgrd+5 h4 }3B#9 jF $~D<-.'eѹWd62̨j7.]=!{]r]-Z jۨ+;@edD%uNaG?LlTtx8 @c_i+`sTj! -M`ne-#n2Րuta8A1tR#I9oKey, eor&فYVzK60j5{y!TimH2–&՘@AC+G3>7$CcH2ݕ͆1/pmſ\ hmԩK:C`򋶇QeHHsq0hT2rl`lВ`{:;P uOEb%t_qsoW;\译TO W~JXzƠ&qHD= 4$1h>IFϤ7ՉxPfr m=EׁuO.x'$DkB1۱Gi 5 (+q ǨRx0t2Z#`a 6lxj> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6170 457 0 R >> >> /Type /Page >> endobj 453 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug994-vivado-ip-subsystems) >> /Border [0 0 0] /Contents (UG994) /M (D:20221102111806-08'00') /Rect [349.402 390.2501 384.668 401.2501] /Subtype /Link /Type /Annot >> endobj 454 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 455 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 456 0 obj << /Length 18 >> stream q /Iabc6170 Do Q endstream endobj 457 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6171 3256 0 R /Gabc6172 3269 0 R >> /Font << /Fabc6173 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WI>lj)\Όl iTM`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lMt endstream endobj 458 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=28) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 459 0 obj << /Filter /FlateDecode /Length 3491 >> stream xZK6WeV `I!ؓw#/+DJ㶳b,Ai<Ӭy~i0 H/LDˇuvRz&XeOJnUJR>?+e/X?'X.x.g{LrOXx)Nh]me$dqK[!9?낞)0*,^X* *r2" L\,WL(Xy%Тh&y+2OUYadV/m"$ݤ4+p˟A[DvE7}WOqYs`HG?h,ɏ3~lvaq {s6p#'*ʱ nE#̉C#,\Pݔ)ޱ/wgV \˖;VnE} )D*PFUf?nشZG_?] i St46l}5q| vqW>j, OIC#K05T+w!C'%Iiq*n%xHr)>M6cupe]ǩe잤R :]d֩6!Pp9eT]֓ j4ꁀ&L`V<)^+$@!XR+L4Ě=9oJ<}Mn#2JmDB6Qc_ҏYor/HQD|2r_Kq& HM8&eƲ}դBHܳ-CI8T CUΥ<;uNSUs\[ g(w^jJqQmRn1a$8ӛ&Q.xBbiS`kJI?K+L-5-[WL+<^Y𨁃TX ;.[ Sl! }x-LK4mt]VK 8pNTXk|5&UKi 6"{Klt(yo /Q^!BTٛ8D:mչV֔xc {kbOn,|svƆ>H)IևpoG~T13A*6D͞vDe}P|:~uQk}+nU: SaFsTnd[B6jpn͍AߩK}0UQ椄!-oOz:~:F[xZDFꭓ7&4 ҿNáOkJof\4v|omqP;9\hu`6CRqBk=f7YbC E{ *aHd;M Oٛr g5]NԮQ޶A.(Ǚ'^ $o@ʕCq<&ܥQpBj+q.Z;&p{Lw$ ;m@b6ٻp#oR>~9l5(r9eڶXN4])wAe#!yQ,U~߽gl `bv!~狊.W.-U.7KD;Frڏ1dGqN]XȺv=d٧iM<3c; ЀmVN6 jseM`\t7)=@مEXe&R{gC7 ǀI;0䣸ZihR9$fc0܉ x`$jf0(yCfSB ꩆjennggbg4Ej.hҖNf u$/mX+0 ެ Ñ !~fkQi/ weuޚldݨ,-4Kf3ie3y=dR٪B7f7r),OeG794XVNF'Ջb,lk+*h'9#Ea{"<{:%Φ?)" oHF<|C$Q~@pLNQ!țpHqkQ'V;t y?JO[`zStDV9!cF 6E=Jf#H %|\yXEo n;_w&&џT"Zpl  JؔBMHi Z `zDP`gDm7n9 8Oad[WILlJ1^)yt2 B svTupv6.DNA<FX:05w}m]? RE %ˈH$1ےi]"A'E ʑڕ}@mفgE@=$RS@P:%tsΜfd)yd3?wPg&2NkFhF'BkʹE,ш5h]6ȥ+_|̣i,s 7ia Ǧl ӨAoLu^Zċ3xD).T{&Oyĸv"w&^2O+ A AcJ>| MSBIO'_ pT#Q 0"4 +_Rɩbj/ +$|mebys;ID_ .] 5y 'FjZmOn]\ra@sIz-eQߐʗ{0z{S: Jzc%ĨHW$O %iJƧv}Xk,UwܧՙM14[ZO(juXB¾"EFi@ŲBaJ#[j(!hCY`&L endstream endobj 460 0 obj << /Annots [461 0 R 465 0 R] /BleedBox [0 0 612 792] /Contents [466 0 R 462 0 R 463 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6189 464 0 R >> >> /Type /Page >> endobj 461 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 462 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 463 0 obj << /Length 18 >> stream q /Iabc6189 Do Q endstream endobj 464 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6190 3256 0 R /Gabc6191 3269 0 R >> /Font << /Fabc6192 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7} endstream endobj 465 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=29) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 466 0 obj << /Filter /FlateDecode /Length 4967 >> stream x\Koɑ篨.DQ4`{; f.}nMpVWGeeE;"je1߁_ AZ~-,_~.uqP昜[|AkykRt8oq1 ԗqoZlZ6),_|#.!qć:vZ5XWUm!>Qi6Ƽ"m* 5a}SbXB{.bDrM8'82ON8R֢ D868yo|̸xLo1&: =2Oa+K[u9/ N؍]S%PU\{'2.s|YM>>HjLm5o4֠ñաIMbMT-OۥF[;komOLj_L[>#I:l.Ɨz&+nae?>7/$yj#RNDx&{QqS]iv־]*jbfv^.Z1Bt0ZJ$$%#rLkJYdkɗq :Qjr Le7RAhDdA4bA쫢KH}i/љTaNrX~ 3\bLQ%p'5TrFAC0ҴX]a)},2crid\WO,\&qRʺGS 4@ݒ-AʉPho5մx.ƛ_o|x^S-;KDey,pJi'֙vHяv!t.,5cuN i>@ɯ9g@[_ &¢zŭbDNᢳnǹlAG, ?ݟqׄ`74q%h":+^*ƲAHɖuWq мO&[19 3"n :!uG9c7h+\Ab]u,#7p`9i;\]&ny jPIF{/g,m,_c 9$y)cL^IJ=`'+ȧNBu`RlԔ"RSz4I )@z2L_prODe!O[FKSszi&0p`!gd12v\tcW89d |0&$6w JY7S-S0H@«_] EF0 7R\ՖφoZ^YB&f7HJP/%Ie`Ǎ]DD\$S0ٹ:1QU9wP-eT[G:tåNCMj <#X iu 1w`0a&.x <{=WΌ𐯊kX#%t䜾Ta51Jґ1׹HB1/6C]>w]}۲?.t C݇ݹJNĽ&蛽w# 3f=c59wUGPvA=P^"\Qc7xnbJ%񟇸~1G8t$ Yqr*Imtɥkzc蜐2޳r qeDs<Ldm e' VdqgXOENfء>HX; pk2xypkc4hzیA*y% vFRj1I1(al7L/MaZ+YY>s9 s싿[&5D^ypH#Wg`l `5zג N hm``uJ WeCm\Ul6%_X-5=jIfQxyAy]ڒUo1_X鬢zz'RÖ J56Ƃ1ZWl{SOgĮT֨lzwc5AQb?ߩ\dW٦l{vF6Y@Yjyή8S7^4gݱ IAfW+Ksߏ-*\F&fFX] &7n ft2=zЧІRY#<HГ]άWv˹Ww9Yzr?쭿I0N ;)uNP"}V'eYq6:v V{~`^MDqdkVђ љ-gh<պg?GEfh[``ڎDSڿhqJd X3ISh0xK} ՂvhfhmTvZxؠ RRl( ]+]4c ;~erAB6m{+sNDE)zq5Vl a@iZD0I&y4 a1dr)bf%fiͽX.l[眯V刘ר|ͷm"~j"u\}Yc9mri _`svDV.;Ƀo~ԟ?~^mL}1iمzzdhxLEol5NE!2:7Nu9NO1+2Q /KrRۤnK{:IB0-Z"K3B Ahis&yC+`;AN endstream endobj 467 0 obj << /Annots [468 0 R 472 0 R] /BleedBox [0 0 612 792] /Contents [473 0 R 469 0 R 470 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6208 471 0 R >> >> /Type /Page >> endobj 468 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 469 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 470 0 obj << /Length 18 >> stream q /Iabc6208 Do Q endstream endobj 471 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6209 3256 0 R /Gabc6210 3269 0 R >> /Font << /Fabc6211 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w endstream endobj 472 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=30) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 473 0 obj << /Filter /FlateDecode /Length 2975 >> stream xZK9PR MAWUfaÞ<1C}ٿ_LMR(ޯlIIU fw*iqajè5zL!Z_oX?O?pn_q/јl Q=.UfLW>i uϿca QYlqx; 6+'c2A 2-bu>#zS߲2͘4R^YLѕwBxؐfer"SxuQ^>`^X$X&dVoyN\F17IMk\KF}BߘL(eMTmU,-|Hj"*\u}ϻIckvkf) [Ssbf||͞N63Fr=ls@neg%|- 7\)Hj&LyKݢYXk>=}Q*IV@T{ZsJPNoer1V޵:3 Gʴvw6[$nWKj )')}RрFW% j I-O`_{8 O-fճrxlB |_sX<+U#$PwgC ZߢϫP"g_Eyv9Lz~^ԯ_O$,*D\`ԿHoE_l^@YX"65a*2XOE›^}8FU\.{bC?H|q̪mi߽F7eVKIrep-l^Ik . :j4촑A ipFΘfncNi ӥex7e%T!έ9=aFxh.yaIvܬ {co0;ؐƨ3zk`$a:k*>Ë"> WȆ zoADUkÎ6U\7;5] ~1 @,2uARJDl&6/((~~:j%" m11_lgA&@1 CM{*ܑJ5nʹLk 2]1|zl5VI R}8xG^ʕ/%\S)s/~?N 1"\jwB\f.G抚dX^Pőlq@`ahX ̣2x`2(I3<}Ў}pY S u@ƈo2 :8%~Ds]% :Ϲ7d6L2C\֛=ޱQ!{TewLqO֐o:h;C+qIaBRe3Cl;a+ we>}Dѻbз9=*dA U~t%b6хa˃aв-\*Ce9بU{0,ΊU,l Pg^BUz`P[D {=3a=YQTq'f0 տnmbNNG!^0E@GѨ"0sF~qM0hc4be @8 ,nK(=Nc!106>~W;|'jp_ GԀx7[$pP:e22R2Ľ(Ja$ҼZF,#0ijSux!4Ji @}ysj^$q$q*F+ LNΣ%"= Qy; K8;9N[."G8N'IۨRx0ttੜ,XXAe/o*2,"PDk BG@$!9#k54۶*Y@23Hf-HSA/R:^\ Jwv m{gN&>^P2[D65=Xw%pZt,u %=f\iWk3 R%Je51' 7uOV„YT 7sz3`:/A- { !hT{e1ǸDLDHQfn=6p\Xē'舜릜ϴ7贑{u8C-\A>ֿ{ ZGA̗/}r}D R=Rue&+h֝> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6227 478 0 R >> >> /Type /Page >> endobj 475 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 476 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 477 0 obj << /Length 18 >> stream q /Iabc6227 Do Q endstream endobj 478 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6228 3256 0 R /Gabc6229 3269 0 R >> /Font << /Fabc6230 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶GUwf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ endstream endobj 479 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=31) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 480 0 obj << /Filter /FlateDecode /Length 4827 >> stream x\Ko$9rW@7 hZa=|X^n}|$*롒@OC ƋA2_Hì^g #Uik..js~c| 1!ɔzB<7xmB|Tb߇ y%g'tO|'CxD P;3A-? `hI; @I mmu+_^J%P0 Tꂾ~27}X:䲀C<2|^|ʨtR/߯.9eJ>XU^SLJ 5R(U0D:y/c5 3^7/?ȔvHha)/oԺjtqDP,NxHdW510gfj$Z.=f%3kINؗ]S0!ޖ+E_a >/mY3J6Is5[l<1,?6KUN7b:LNKp)pKLT1!C/*P.YVeEZ(k;Ds#;7InY\ o|beWaܷdbxݳ'ݱdJ>L~;>B2]JS2o_K^Ւ"]srVkCB%`rlsrGbvN~hb% K#H~H?fΊ\u>U- Ҏ,(,]'Xqevɶ$Ga'۱o]%i oeM:c,,^0Iߦ`2Rq# '2+rNq*B 9Ys0Nv[SY"I3SŸXT0QFS Nva'ذ%kz?R[N^]ło +[kƍ髪ަj;O?FZx[l|Sбs^ <^(bzT2ߢꄹxYJpOyZ 3:i5{"Pxw)\kHyˇ:״S^Lⱚ*fKVw*M4|p4V@8[ ErTX8tM0B7EZWA !Y.Uՠ(vU7sUq$ O.$v>cMi-@9tM4;+zʵ+%bqIbaP_62`N;Ԥ).+lKt 4"@ u>\}ÊNWRO2Қv-@W@!!$ b 0B&LWimaqfX"p*:+@yw&X'hss3ADQ: ^`0f6Vi|p `$9( (lzW-{= Ne4c2`qn?2X$ TK^R8EI@)I,\Rk%  I>,fSiq2쀅):pk^fA\dBrMsU Ԥy58ɠzœp)kk (+fOXM`/[mQϓԍSby[#Z&B1Q}`T'xܲre6.jBN39\f7z1(%0AB@CUJ`~2PKMAӀ FI t{Sw]v/'­w[Op\SַJ0]6guı5QrV?`uAYnS1F_JSm@HTtUt4|2p)~ͭ8<ߵeDX hWɬ渽]&d[ {`+)ǒœUud\YQujrL3Gqr=`|(cuoi;kA}1vFj ;->ٹS{ܸ9 .:$PۄCRs4z E}ِS?ƈ(LV;,2! g- J1 8M}H2Ǿ9ϊSOޤv&v"~Wx!%DuGT֑G^$IS8Im2XZ'"h: ԡXnmm{/Moo~Gh£qbkC+|6㥰OnqU~#QͤhE 8'Z &ijb;^#av˗eiC.ϕlÃ3NmJ'=Ȩ}2>{!=kہ,9}C NOzkDJ[:POبUj3P{$g\^L :E, sme~m?ty/u]<EgT/eJ] %I.cSR viH;ioS3zY@K٭}n9wy2 , l7y|}DD$V2rKr-dT&=DɍIgw"ljx[`C:l;)e ۨՓ5V6Xys8?x*|Sٶ.]+c6F|^mM:ٺUDVxWp54`g8 ̳~ L5 u(U) Hɩڲo|fg4Ws.mKB)oJ((YNd 'IWWwzo'Ug{] f4\7 807PˁH=_| X´6.dLzAtWh=g$ 4o%znOQEDTq^5MD]}x>W[MLlPl 'A,_7`yG,x dB'- *4Z~\R!];YKl(FTLwY[=Ce` .M@l;610ĭWWa[]6EV!\-*X187|b𢆲ɞ~ 5n7-vDHk=1YXakю[ !hmQ]WN~'YY+~g,/G^}*GĄ`>k'5=h9 4X9C ˾js}=6o8|CP\c+5vXo(,x𠄥Ժ*W>R}vЭv$|P?(vַo#22sA1-b\nқ8҃&z1=_i)à|F OiCͿ^R{FЙ5B(Z\#-PkcdLxjꜱ:& ]>X{ED4'yN\iR&uCX~즓$4U d{DHa0̘Oރ:n endstream endobj 481 0 obj << /Annots [482 0 R 486 0 R] /BleedBox [0 0 612 792] /Contents [487 0 R 483 0 R 484 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6246 485 0 R >> >> /Type /Page >> endobj 482 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 483 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 484 0 obj << /Length 18 >> stream q /Iabc6246 Do Q endstream endobj 485 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6247 3256 0 R /Gabc6248 3269 0 R >> /Font << /Fabc6249 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 487 0 obj << /Filter /FlateDecode /Length 2618 >> stream xZK9Wl\E MCWWfa˞<1C}ٿ_|U]&H)/B,Yrel!!99>ۿ%>M΁ꦒ\%2.B"ͿO;oe)ߔ{[{g>i07#S2͐Etn?X=)*]qN9?7SߵqcI}s%)Z{Ci#tR:[0'Gv͞'"-?ِd?~xgn&zN"JL\`>[AX 'H|~ ,함w WXQ* ʝ<uNuU2Vx /P0<*硑 c\d%hʊ"te\WXAbAP˪7,^ 0_l"]6Uxk>?uRs%d9xIZ{q8a;5)zu͠[J8Ugx4WAd)-݈6|-IPQ+2x8-Պ<.]1pp̝toko!v-tD0 1L~1k!D$RڜR cYsiWvcb׼KDY0p}ː~_vR($YKZŬLh7Prf RRDC}i)Ҹ=`\@ߏ3FQx5@Pc^SK#d?%#@y$'?48Rʯ6lb Wny3/wO'85Ղ(m;SMdw; k2}٨5WEU{y4֠JsҨjK;^2qC'//w9]bjMz" w,mx*=/HfRe('-: RwNs-.}eohh{{*UD67ǜ?XW*L/zy 7rh5/ucQh4BWV[}fÔQhleSwv/fZXC=bh)y(ͭEnMF4uk}]0"O]\teW<֊`3ŒAyW;*Ux #2gы&~ih@g0kѰJħ'62n#A"+$b!|ffڈp[dwr725y*%}SL2Zx.o`sB,l`juGe++)d.u 7aK^٥l 5ToJy69k$=רH%6TBgZyeR[$IOO9S59I6Yجp3T$alB T;_YRE|`bh&I+ " a4z X ,ھ9>P[p4hvS:r2@.vXF1ŰkTTT0EOT`!)/~IM}}͏kk~P_{yS_O(*Llo~IsT¢\E8?@^,4Xi Y},Pzˠ$gSD hJe }Qfmw.y%HVdЀX eUlIrF=#!9H"x(ADNEDRd0|ܕ$E$0|+y?:JFFRe$E̱WڤTZ>Qj%@(YQ ң_k&Hq!xA[NzYZQA/7g}5 eTl8'0<  [jc'FDi,Jy[#h ZȗV rRdgLGqb_=dh%E$#I'VAqu2شqoىzgMn0ڱ[@>~&gmw:g?; tܸE*hW>,t˝a9u!99Cc&|1* R橭e¯7zVvɳ=yoOL-ہS<pUYvUߏq+;wdsxAv[Y2v2i<3c8ǾmI +}=̗?gHiM)[|'5f7p|6|Wϳnj/ }BW? n#}YQ}#;%t=8Zμ endstream endobj 488 0 obj << /Annots [489 0 R 493 0 R] /BleedBox [0 0 612 792] /Contents [494 0 R 490 0 R 491 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6265 492 0 R >> >> /Type /Page >> endobj 489 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 490 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 491 0 obj << /Length 18 >> stream q /Iabc6265 Do Q endstream endobj 492 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6266 3256 0 R /Gabc6267 3269 0 R >> /Font << /Fabc6268 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ>)W^.Pr_Jcȅd2n~A1H[FA5P\0iDD+%̕'I"fZĀ0dD/P|}{Ԭ9*{0J*q(~S1jqbG /_I٧j gVĉwlVRVaz֦b/mk: s[({L̇h*Ȩ%#5fe8>϶Fh^_ DXl˒sKhf>eN9 M \0YSPQ8F$!ë㥘N>%RBxڡpv҂bwo9 endstream endobj 493 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=33) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 494 0 obj << /Filter /FlateDecode /Length 4995 >> stream x\K9rWyJԒ>G _`c` >Y]] IhTf$ Ƌ`.-fO*vyu0dC/uu|ր5ZyCjI-s&; 0QlXSXe7to ^xpjgim|;?NFc1awblXچupU;_AWt^^6_m7`Ѭa|@'1yuv-&ڰ^+k^@-θ^m^^)rƃPLkbv_/֮ V1&񶌮poF=o/tzp-]?jZ;5nXo֐/UfE'r= /jif9[M[G\E ًZg1 L6ER/&K~(P6c5Ez9 ŏ}\T^51&> ;A5P3FŠ6D$ ;l A;ѫ/YuQ*h=AHjWhh? Ʃ$:=Y EzCOK5II|d};|BtJRpd٫.:;ɹA,8nl[Urd^4.<談t0U#.0g C ܚS4F t-QhB,x]`{,.\qY^M .꺃x豀 W,,gVfHu+vCA0CD^#"7_~ƽ "Bt."X:$R@@_cYFD@e t! Dć)řAl ̄Fn5%;?:#fPxhWi PVkr[0d&X1][9K ̛\2oclSJs[l0O .,<.?PΟ>V7~~c sҖŠԋ+ 1W+ _R~].^Ő4?zF|ӄpra 0.094_=g%؝:#&c@]8@̰P.eWd&ENҥg{"+g ڢz^LYQ{$_ϢnfgoV L*1蕱Q3ͫ x]|qKʯFKRsNFJ^zqQ]p'KI>Cfθ1l\8-t+^^mD zzy >NJXtcױqoP;hfFCΆciycυIN"T=ȓWOM n>pV['.Ӹ06[F v)-fcL9C96)&U_kKj#H[][ #fJzI2*3VxZā&!` {%6"9k>ٰ.jePDA˙:R=,,eT52Һ˄ 50QsL!!DqyD;h~`)aI֠ĕe zdbpy"Ʀ,)'VY-B(/$SqZ6vHP9u>^n%'&t`ǃAg.K/)ttoaxE?62o :aT8yJ\5DA 6(i9Km1_Wz,Kd< ̑7'@T%Vd< ^L,J*>xR(̳m {$˰;Y[qO<1!ښk K531 75Rwwp-&*6^`:X[B>]Zʺ:yR,I`*4[N90wWh %𜡮P~3*cPh3ax 4cN*L\疏6ޒiVcd G^ ueMt9V V0وTR 1(:xWAox"~&1s\TL[H|u P1n[n72m^3\Z4:b(X7=B3m(8A*2~CBづ.pL.Vh3),R2 ɐ!oxhce )2D/.*Cܣ3c!;3LOZ@"i &vʔh98R:F"q2&eK4Ց5+#;L:Laq/Tgb3F|5^C[Ƀf D )+EA &S8)gK%ߙ\25/3h]H5?X"s+}mLz/AVnFaZlb%YrW-E.bpͰ>g) .L#a2d يK!-a&"01ooY[s),YE0<%QR+Ն[.5mOn1̈B-ꌶ+n\]+W)N2xdh% 827VqM+)Zx"p2fl%*Y?XXjG0!qf׮0P/c~}RfC#]뙮3 `Y %]aZA'I qbu^t>qv@>K)'z'-?K7X`A@xi:W #LV'ݎHb⻶ÅEۯۑȸ],ZMw 3:I. pߪ7@䌼P1.m+<[SE ĦO#Rǡ>1Rq1sUǒ,nSLbjDtٷN™;U*Q8%G'ZAn9kgRks0$`MZ> g{>JA e'J|?}H%tv{~OۀGdm\mCWq` avE>6%sy aSqsMpVhfډPRC0ŭJ;:S0u6$`H'[X *{f[ކ~5X C.!X_jTc}7q䰆R)<RZ(zĤ5}c‘va7@F݂m`a3`uI0k7e :)*6#+.aWn"Q]'X܁gtiSV0) VtVQ=a:jBaZ85nfIJ4v23cWO*kT6XyssLe٦'l; ;#5FLBㄵ8S_*u{l=3v3Lqs` U5`hҭTmLn;ÌRfv]ԃ>6 * C[ 3Sw9z|7wzv?I3N`57~ձL3_%/@rM+.Fc4"N7DmGJ} EX?ƥ+ҹPId3# eute6c;2$ܾA'm\ :u O(ߧ{hߠ_X;<_ ݿj7Z-<҃&zszF˚Ġ#&?_kLdhمzzdhzt(Eo>?QJH_2#2:7N=L_Vj=QzzED7w<ۃamBӤnK+X:IBܘ^ҦV-I#!y|^k*d endstream endobj 495 0 obj << /Annots [496 0 R 500 0 R] /BleedBox [0 0 612 792] /Contents [501 0 R 497 0 R 498 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6284 499 0 R >> >> /Type /Page >> endobj 496 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 497 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 498 0 obj << /Length 18 >> stream q /Iabc6284 Do Q endstream endobj 499 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6285 3256 0 R /Gabc6286 3269 0 R >> /Font << /Fabc6287 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ\>)W^.Pr_Jcȅd2n~A1H[FA5P\0iDD+%̕'I"fZĀ0dD/P|}{Ԭ9*{0J*q(~S1jqbG /_I٧j gVĉwlVRVaz֦b/mk: s[({L̇h*Ȩ%#5fe8>϶Fo ۏ}bH& d_ EC^B 0)xp´!ld̪'o.U1" ^/|v+1@w. (s(_|۾{w@h endstream endobj 500 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=34) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 501 0 obj << /Filter /FlateDecode /Length 2870 >> stream xZK$7y MA= {m/BR2+k\YnLEJPEJǷw*}8>VORFc Z~}jp K |n_q1c1D3n2cQWuU ?12e%G觘2+'c oFau#z>߲2fL\M+T!/󼖉`XZ<0 **{):WVyF|o2QG_7ܐ=sޑcnՂ(ÒܫXʷ ||ET֙Jru,LfFY)1ErE.yt@| ̔lC+=;cv.Zqr]lu2Ba/ 'D~ڜ4ɎX&LU2jgvci~ęAT# Hʨ(XsSVdQ(kK$ZYsKZ`JZ+X\ڰ)VZH5P0iv[:vyHtFG ҏGmE%řJPMu&^v> [^qn3 LH7T.HL]/^K\QbV5ת|> n ] 5 2"k`&I_CѶOBQm֙m30bڕ $@+W>q|w6Xesʜaߏ:czza̤STLAu.zώb)3_:(? Tf Yʮ_#|Zb$8!tvݞi*Hm $P OAR z@ TPamcV6b"YL 8\V]I3x - }]C _3f4K` DI] %JeqL1y6ea xi㉝ ųAߌ=꛱Hdc$` ]C>ϕ )0Jɭ' ÅN6لȹT_u<}Fj*Zd'OE]*B+CPWTC\_kW|w\s}sk%:%pk粯a /Ԣ[WzIf-O,p,K ש(2>^۝X2Lسt'I_yB <5(QB;J˟۩t11[9v2$0y'Zۆ>(AigGY]|W}uAe5*#PլGìZȶ6 ǁfȜL endstream endobj 502 0 obj << /Annots [503 0 R 507 0 R] /BleedBox [0 0 612 792] /Contents [508 0 R 504 0 R 505 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6303 506 0 R >> >> /Type /Page >> endobj 503 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 504 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 505 0 obj << /Length 18 >> stream q /Iabc6303 Do Q endstream endobj 506 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6304 3256 0 R /Gabc6305 3269 0 R >> /Font << /Fabc6306 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ|:S֯޽\:H9,hƐ d査=0'/cX"#(j!`aL9 }', $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau3zg5ŐLD}%l)l,1aSi ܟBd#UO\05cD9 ?^Wb>!%$]@QXy Ph'-:A/} t endstream endobj 507 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=35) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 508 0 obj << /Filter /FlateDecode /Length 4834 >> stream x\K8rW@|?B]]a= `^=f. )QJeVVU0f5 Qd0 d&'~boNfl?ɘ.Zs_=h3^}Oϸoq1<1!>2/ʜN6)L_:;<!Nl|n*J[;Y;g Q&B(~lcX'j_;˄}eo  H/k?4{I.`\_ވsʡP5ل*" O'AR qr577 0 s>⥛mrze`D]:Y4g&+'ZfHj$\S#>VdxMn~A:Wg:ֱf&:6]ӱIZ7h]!cW]]Xwgڠ %5`ޣiԬd a'3h.%G %Y>$.^WeLZ9Z7H)~)A&R u ;U"TONR,sI {;dD Y°ƹDBL%v7 Y1\{|O&[O59 9<u:+9R\azx+)]"f==;YژmыHän{9f97q|W"'Ƒ7'MlZ<_,FhdkKQ{A%*/!CE>k%pH^[RBxU8bkoU8 stqXN~1>.  lω./N}579tӈcusІz^:!p&Nr&!]t'd=Nj7rO9%:  Ǐ7*;jMI ٽ%^]5۵#vvfOes1T"S1BV^)zg8U1NJnb) 4&j9 {kc^6_lr`Tjυi6Z>A'RY PCߪ1-8 !FJ@| ) [_S 6T䬀RSllNr#s1>&c54kL N{|ݡmi.cUnH{-S ̳'W j_*6~_7Kw4,'#9"vs{ q53h6xyﶷ!ix{">c+&~d¦ xUb֑0 s:&a5*L^uqC+R}KӞ+*@q[tzvv!8A৽fPkt|Cŧ.!&m<~z&9͒AxL,4 I (RzKQ4OmqgSލ3&pw l_ / #V]a &Zz/a˙{f;IaMQOg bWO*knYXysğ9L4ݶ7`g jdϺT[5vƙzjs@VYOgXb_r#Lӂ%_B#E3cj.U[[S3:HLiرbRS*s$'iQZ@Vpq~G;W}p~&pMwԵ|7@ E,#fs-.-I V=6xn`^ 67vZ,t=Z?1:s+|~T\XV&+hmv$clt[f 8%iO:q41מ-H߲Z0W 8E2H_*6&_QAĆ0#^ww& ]t $P n yjXO6Vo_ ҄ mnS4RE./j(M<(BtZNR$ ,lFBڂ6C)9nL^[ ` 2A3 ˜,g{0r@V16,ZIǺ+cMΒ`|9X~*XX/h\65*_~˷r넽=@&}nu\ ^xۯOv cCeQnMkIմI4yrE}>#iz'A ɵɿ>mۀ?o$e:Zv(ڄ|h^&Eoo(%#tvq-|ZOS3F`rOiOi~!\fEF;Jyןb _r-’>Nh$_LoJiŨꆍ<`r&`h5- endstream endobj 509 0 obj << /Annots 511 0 R /BleedBox [0 0 612 792] /Contents [517 0 R 513 0 R 514 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6322 515 0 R >> >> /Type /Page >> endobj 510 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 511 0 obj [510 0 R 512 0 R 516 0 R] endobj 512 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (SITE) /M (D:20221102111814-08'00') /Rect [300.98 285.35 322.8755 296.35] /Subtype /Link /Type /Annot >> endobj 513 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 514 0 obj << /Length 18 >> stream q /Iabc6322 Do Q endstream endobj 515 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6323 3256 0 R /Gabc6324 3269 0 R >> /Font << /Fabc6325 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w endstream endobj 516 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=36) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 517 0 obj << /Filter /FlateDecode /Length 3750 >> stream x[K$7PiP4TUw {x"T>z0;CR("oeQtIŪTʆ@p~V^2F=8j sLΩO# NC3Ks| 1zY aYdVY֌&zL~Shq? t~JFxu&[P&exHA$[|}A|V$;&< <5䕙8M DtqqB{G`̱ I>.i[Fhysp񲠻5iɑq'eh[?NAr_E2> X.[6 AATYQKjyf/wQ[},Q񬔌4n"5zePqSR63 6b)/a .Ն: &etyPxhFlBs")0fcHI,LZ*~DqE4yK`} FCuZ/=rKũ :~"W8|}n{r/` 9*q<8z=%n¥I,{n=҃%GP]6tGX;o/K4w1?$ Rۓo2/$*R"03םwWÏLΗI4H3|=q׈3' A<0&m>+cmrjsVykc4Imˏ%frb3Ms9Ci qbBhePت6pʮ,ѦfI;5KQPA(,4^zA[CE[ž.l[nguФ;KU[B$mWJ;S'I[*[Kߓ#5/3z$&-9q|#Qd(0[kA"Jsx~~OVv!&PzWؕ0_F`>wj`6rBf'2OM/*>s4QB+B1.qD~NY5~juiL"Rdk[͝.[Os+~8\]LJVXsf/C>>w0p ʘŒs{ZA4i,=˴;rxpƟfg1q{T{Wj7͚Z A4kԫ}]7Nk? 0&pP1){J&߷o`1Uݱɨsj5in`V\iaa %"3Z&}m]+0gl%l,wzD*2?K$}e1UΐrhmHf±O-Z^Rqr -kOB nWz鴊Y˚-7Xq[lBo:AàcNs)'YSh3}w9iS=~eroݔS yqlJauP< FEO 6$B)q }%5Q󀙚3atj2.=8ya1ѕN)Kzk|u]=lJ4Pvj.̈́6JRYiýcL!׽2*#e} YR $}뻳ٸ}]͸38ЮG9#C9 Sܷ}ntVu=0Za-9)fkw[+ E\|ZY)]{p4'VN$BiTXux7t2vKוWy4NѿK&V%.tƼ-}m9rOǕ$[kof4eMs((I\LGiL3wl0pC@@,v1Z3ǿN97Tr!7ß~%>tSf,Ft KHˏ(m Gx6C 19}Q9s\.h'ui.4Y2`GH WB Aṃ PS3:P '`CCIo1ArO Y~D<KI޿"aVG5!ηzr;2n3 վv0H #Ɔ ;0혼4K 32Y6=F'nl@3l˼MW@| k.`\VKZ-$-G/\AX:,xƁeف;֊n t`5([0H>Y")p[,la 쎠;6c,C2d&,DR]|M?~`:ӌ!!׭IX} b ^0%@ , R*#&=f&] .J4:AGQDz| C*c$: ?W;|)j U~A(-wt5gZ*)QYEĽ%)̓A L/ll Vhz^ J@ 9"Bq<ByBр[+IqtB /hmvz [&9y4NAۤRX0WE` {m;eA5#:2$rEIbH'䑎tЮ(zpiT91O53H]G@/HNDnSrg3Ȗ`æۃ_ KhEGB_hPc%E׌2!XmAQSW {It0] c|o3D wWNV„9d ׻Wcz5`:+1th@K-b*l=:|\?W"W&("M! 89uT8 QGUJ%OTW?N׷W+a 1\W;-A k~EAD_c^cF_H1LUcc]GN_5qE.`̓HZgY}>_O>=J$W+ иw%Yzd47Sgokqù}{~D 7(xVciR+@S> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6341 522 0 R >> >> /Type /Page >> endobj 519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 520 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 521 0 obj << /Length 18 >> stream q /Iabc6341 Do Q endstream endobj 522 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6342 3256 0 R /Gabc6343 3269 0 R >> /Font << /Fabc6344 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=?dQHL_%ee8>϶FU3ۏ}bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwov endstream endobj 523 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=37) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 524 0 obj << /Filter /FlateDecode /Length 2872 >> stream xڵ[K8W<eAQU^aSt7CeFwfEb;O!v?`.xp^Y f4D k#`W,"r~ƾ~%yڽG8{2S Ys9:zC3{dyRpaF8r}8:ܧߕsI lMx"CF9ΗlK6=λltM^730Ec~tq at&X%^^VXױM׹ߨp q#v%vy4"1Ƅz]q/[?τsu-:5PٙA,ib ^ccgg*i92+ЛM#[nvw'@g- Ce0IP.l79F};'*tFL} E4| _Y]npꩬkhFX~?6x-/{g\Y];Kɋp-nRMuGiYK{|iW\;!ou~QR/"&o\ r3yIף@Ha;##F_uu{msJߐ{ddt#DEDuNzƋOu\lT4NNMOm)Vm_~:'E|įi4e,f &>YϹiiɁٱxH+5Ϭ_WeVׯ+Jڼl-yyLJ%BBъЇEQGdOɌ79ny q벊V<pu%4sϋ<=\O~ Rwr9҆MԖ3~l>=ٷȤ6?LTv.fbtK㠭|/cSq{?amޘqMz5C[B69Q] ̶Y *;[~mQ{E{2 tWyRdTfM]y&rՅWBI0u>vz(~ ua)#Oʌ7DHy u׾]GH_ܥkl:ҦGy@=/W'/Oikdf;v H欝P(A$m{JFo3s{4zw?H:~TuDTףpwt~BϞ3>\os=og߿w.>$p8eS<~p? mm:OtU d^leE0Zkd񑉧Vm <𐞩@(Z]S:ʮ.a^{\i[AzX@zq4gT\RgX!` _ILH<+|pW͹m= 6SZa1 ~+ea=fqoډ\t3Mm0x<4i(\ا(SMEW|samS? L(Z(Z⟡$O^CTl—#cKw?@@HWyZ'E ٩a]4j miȄEtДO~3?1$a ;:Hc;abg”1ibdх5p+ndyO'| c_> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6360 529 0 R >> >> /Type /Page >> endobj 526 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 527 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 528 0 obj << /Length 18 >> stream q /Iabc6360 Do Q endstream endobj 529 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6361 3256 0 R /Gabc6362 3269 0 R >> /Font << /Fabc6363 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokW!Ibth_{t MrYHUC.$&p# N^2j[&!#(H!`a9 }' #Y~eNW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hG/J٧j {Vă;6+1C+0]knT-=&MW4{rCodE#2yk))sm.\gy4~'? D?l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TaOY@9v҂bwo endstream endobj 530 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=38) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 531 0 obj << /Filter /FlateDecode /Length 7557 >> stream x]K8rW@j >F2ww10sw|D)UY9] QH%B`~d /Sosũ8msb^>oB{Fao0E~na>4XvBiwGk'kgkFo=q[?E [|?韂F7lHKYq'u 򤓝-`>0'h_]= `kn/.?oBx1^u&m,i{>sto䔾 Un~۶gv1}q@Ic O?>9LS`Dp->6Oc?Yl\'߳ ,ړC .Qu:y3<3@t8u_79nr3B S"CL)6oy2Sd9z+nx\K+9leYȎ/<c)L*MF .`35 gP3KS] Nu&_řoז/Ss$6FaPH ei?Cz =+c<'R'`\[\#FG LhʞӆQ  ivR֟}^ݝv整hgCivvx"5 ˃fԉJ+6ZQ%3w?yϒf a"A4 *QY(bzCBMGΆhaeN& 0O <XY1GUmR<ifHGbps1y4;6+tkxdzGb\L:O}FYsc?#|Iy`yv3y/EBeXk OqH$RZA2eG?bp emdDAbUWS{!c 2deC/sQUkUspnSSeazCVanYU" ȧ3QffQ `lƋTWjS9Q1CIRgRLNT):D6NQ ;dk7pҡe<ruT{,_Ę-/PmCv~'1D ŎTGn΅GyJ#=\+1ZE2̵~p Ităׄ]@W )9|MT<@<+픨xE┋Qbg^<QDs*+FaU#kAc½> CCj!%EA?7]'j Do >HhPr.E|!|l@N33[VA˒95!5x .D|saB$ߖjb=SzL.@Ψe\GYL!_ IJoP&.(scϡKYJ|,j2:w2b.9T,0 d,f`0^pO,l^5u8X2ɩ>iKV~rikwl0cJ ')avnEf^o"nWҡ6%Y%e˷\peh}.̑Eלȓ>l(F%5&WN/6_e3N,[0Ax:J/ZyEKn2~:O>1U\r*A~#9dV#4禘FyT*!SSjYhYRC.^>|+ ܚ2Q}˲\Soi1ܪ%xd[j]SU;*(v :.+aQCR&+=zv"11yoJd \ pDr1td+ɛ goμL'| a@E*.4xPͶ(Xh3mU7$9PU[[l+Վ(A3YdYQGBTv]mתȥhN<)5Dd,vMk*eNJSs뮩ێ1 JϹM̳(1=[(V 5TYQE.ǔ`|3xWʧnj[ڰ֑vFI%zjy/^eT-Yރa_t  XN\Sӌ^ P1 V: w8s=H @9AiboB[Md/ě6[M f<.7(E(X 5\P.)<8Sb:Xi7/ƞ_zw'&YIS"X&`iŵԥmspzpUIUsD8aEaBIay' yh\GSMeU1N$ Fr٣h76ZhEڠ  ܲq9k|ڶ1.^n%%m2wĆ3n"뗖/rB )&qwZ[0@aoc9%Aon ky2tEaG\Nm.37'[WWš:bXV:dD@){]M#\RP^@܉VJ8RZL QmIR#&ShwنSGaQc+PLfQj" {-Â-}0P R2wn-bܒDDsl$PHU60-mYY҅IBހ17`5"E?8_]"9WK',xl;~ąwY: 5u=!$:$|(4>ӹtpkQ܊E,.ekgȕ]ĵ>g8ƫ){2bw{sVsE5R3ftd`t;fY/iNKnӣjbL |o\c.Y1+7FRXk,Bld5ܯOe6X7\gk[57LiK,PO'罢_?"O+2 I a1K5:"+ҟp$6!GkA>Sۼ9ϧgqˁ eܔxzSOF[ufxq6oc 'Uӫ)^'aIR:BȈBwxJIO;]JG;'|O#E7;F NjOT6iX[?c08 1߈-G0\;OȒ[`dy.ؼP_EjSۗ#; C)ͅnS PT0ܟF0Gnl((h^_lW)#_iAˇ Ynu!rYHDo6a~eGG̶.k:G(E\5?dI}632VٙZe p}&^y oiݧl^]I|?ž~{\R\:ػ[!T(f6\"޹FtnR6lkjIpkN+y6vk}"T$gEMrsufѳVRIvejtHj9QC2| e4dY+zw:'ꀍ^⮠jo{lf3Bs贋%_NG~AjTpPGIxlϞLӼ+/ u*j%%-Z-VǠH66XPbhu a u3ܘk <(qlq0[d,@ؘ)*z6O}Š5ٿO"Σy{ݍђWi\m51WlYM ۄy;kSj$Xolam'L,)oddMnlyq_ 0]%};el* (k hEŘrT&&iJko̭JNUZڀQ$g캒U}H?9<qHWEPҳOl'2n4F4 Nk^Wtu+q 8zN\'/WGhVՍE{gK̰ H}8т|,<wl!zg'Q <䞈hb)}r5e PPK(piٸMsBA_@3jũœ~h3_tOT,Z:Zq_E$'C%EҐjՈO!D^Ě\tSB5hĪ2;<ԠX>ZTf W)v ȀSgl(}ω" WIO'mܶp@"׌h5s!"Mϗ,+p,/-,?lF*UvDn Ϯ=ӳkdO#HoXhnƫn6z_{t B؆:D)X*BLPsqMlgxf=4H⩄qзacQG=1RN]i鑥 XL!?i;{Mk믭O $ҎS_䙟/?sv\MooL^j0q"}b(}|;O}Nsw@ IjG2{hyѧwN!̝1ywчX()Ml:*[tӮ6g& ^by!tg2Q>#g|W3dBP{|bv"! endstream endobj 532 0 obj << /Annots [533 0 R 534 0 R 538 0 R] /BleedBox [0 0 612 792] /Contents [539 0 R 535 0 R 536 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6379 537 0 R >> >> /Type /Page >> endobj 533 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111806-08'00') /Rect [119.585 204.6347 150.64 214.6347] /Subtype /Link /Type /Annot >> endobj 534 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 535 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 536 0 obj << /Length 18 >> stream q /Iabc6379 Do Q endstream endobj 537 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6380 3256 0 R /Gabc6381 3269 0 R >> /Font << /Fabc6382 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nȢw/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 539 0 obj << /Filter /FlateDecode /Length 4443 >> stream x[Ko$Ǒׯ*l>,D[⿿&d`cԪʨ|DD;g=+[ϟӳws)狟?} aUZ^2{Sx RP ع+  T_ \)yw\r?il,~vXY͟!Ԛczr~*QӧBYBY]J~wF: {7IHJ>U';aY~f_{W&Qk>qao-!=j/""s_U q' u9+~}Rkk;=ֶ1.1'/cG_}n2Ess/x5g\o x2~y}?%Cojs^Krhre_SE䙰PB&vY~8FcZsW8M?,ע<{,\J?U 5iDmOg@ˡqM\ 2ŋгc<=E y<.pu*9M2B1.طM^U">P4hM t,V9]^R&M0۔2'S Zj`3IEN咩0ƐTpF&t7zU VbMA Mkrx؇^Ы)`=0CAz,b}uzsxڎ!W[MFߘV kd580ZK.P /-)͊!JݞR'-jhg_͹uu"Kb \(Ď_SW> Zu%gcBC6xwb(""k"ܺH#:6_mqF(T"%ijX[W5K4b|Ѧb5 {"JPl ev8i(<˴[SV/וwz"|qi40=ef㡐WJ1e zHƎWlϲ"MCg?aJ^VR-wr"&m[vj =?LثUPz*=-$OҮ.BmVpX~C#٤ {G iV"K. *^V:H"Xm>Tiej|]4ښyXRԚ`p+U^+ZoWlj`aR=i EK!b_SmiꥑVMuxtun>izh(;,03vЌFzZ䤾. j\E͍Ϯ$ ̱9̩_1׬4lB;Ts?V0Dbgc1hH>+bfWws+?:Gde[]2Jd[I9̧dh8NiCD7[;<ޤ㾠2,S.Av(Ѭ:jt6l0P_7`LNA%%!o"O!r4mH-<KCŪ :% :;m+Ż9{é;sr>Fr= `#Y4.F"k>R3Yw$QCb&}x>׻> FwѓSФ67ڵw]T&Xo&䭘0tM.J )om{#p ~j* 놝"[ Oe )*6eἻӶ+' 2܍K8Bjb汢YTej?L]Nܴ32#¶k'3Z[Wi![1׫ Ms}7:Gdː&h9bH#%mTNBHqӤvo4Eb^>vޕfoB._#P^f܍v8so5AГexҸQU [ Dln)vQP.PEJ`5ʻz h,K7~t`7Uk1ow<1r6uv6S̿7.W+29Oۇ]/U>`BS.g jjVJV)2g _B ~uH]Dij=+gO1K@1%34Hd$9NrC Fؒ! Y 쬤6/DFӾmpEEE4-#1RalK5:$h,嘫#6d<jaYcc X]񍊤!޵[:[R:talIy#x o}<]8%\]۲-ʔA!K' ^]diK/";4YG,M/4mHγۃTJ2|ު)Ftvz:+R֮RvP͞46Dg?If]@zZ[*kPT6[xss3:QjnlSkذ3RɱV^.#`4WA[(& ._#LKʐȻbpu9xvuŖ`dВ:XN֔iC@<ڂRnr zJ|7;_;Jᆰ4JR"652|;g#TcQt 9D)\: '0Y)L4 l&E--UZs.#RɤJ{L1M5 4TQ;-qOJ5y5*bY[<0T5Xau7eB$#r"#Rm |Q#QrGpWpdR2:\Vj6<2*G [NEI!~Iϧ&./j(-›P#XzJ.PZ隊7d 5 D ] %fMqcn2U6ky!zr`&ȈoM߃&=h b(' `LŢyNڿI$%߼.HCq!=Sl&LdE f=M̢_/i xyRN|_ !^^l6H1u~/ ?[S> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6398 544 0 R >> >> /Type /Page >> endobj 541 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 542 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 543 0 obj << /Length 18 >> stream q /Iabc6398 Do Q endstream endobj 544 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6399 3256 0 R /Gabc6400 3269 0 R >> /Font << /Fabc6401 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 546 0 obj << /Filter /FlateDecode /Length 7572 >> stream x]K8rW@|?F]S `o>bƀ}IJ)2rֻ=dRJ*#'3i;#;2>3?e.-?dO]vsaTqIhϟgWk~.1,z3u>pC8~}}0?qgeX\0_ 3 $>`wcv^;=W8U8;o? iA ݥӿMAg7}ç|jd?b{AAhOO+u2x)?Je(as0ֶ$}Z.TUeyk f:2h]CèL1Cjߓ.Vx=ZPdx90~Soh ~w8YR}Vg3Gmq@bSCد7w'?-;?L ޷|yO@ncdl:E?o|ѓi*d1C ϓQ.26 (DQ€z6R}"Ѯ93l|,L F @_@!gJsmhHPiD2v3( lOǣ|cj)L q: :p\( =܋{@99 VȖv>J4v~^C AjdlIyNڼԂ5L'; 1B6EmSs@P0}0ԑ0`yAIv۰%ƤsFs]xđ 7 Msީ^+)V&%ernjc} V1*^ښKo/__&@ "'G:LR;A%uLa&1q|/D͟= a96ز|q8aęqԃQFĹJ4UɊ (m 2u`mwtxwQSHQSHT-Y+ 7&$-' IN0~6\!Рq7N0s]«dPI^.Ge,tù&)ΐg[Y5w&W,V]Ҵgz>U SEu+K^vg y\z{mji7 }N3b甌gehz bN7FeA]e7ϴDił[ZrMqzS*[ĤUkl1`6J ˖Z/B}@hC6-|=~Cnqbs ͯ2͈Z#d8 ONc]܀sZK(Z]Js3 K]AQio+8*vY8jz%[lPQۨ.[^@jl[qn>pnY%t5sw\'Bw]=qKL)ߒm F:\]jV]ESs-I_Đ'Y y-fm'gv2PON׭B \Ƌ66Lo U9v"'d/x Ak_TyP!)YZb D`;uk* ~*hz/ Uy᫼0_и]6#w@"꘳ȊU/R30"]REc$CXWEr@L.d $vsG~ (bsd^0h t,?4ϛoCG |á-,)R 8$l3[ bQz&;үh N=qo߯gOcMh!sX:gjqOYo/L>c#~D>WއRBw%JQxGS%6S#; |/=qW{~m9R9}ei"S EDv/itNuh}yk뒶@xy&Bڿ:QQZpA ZzgA1A(i!گYڕ c"B$|LV](x8WRJ'gICv.D:Y5%NK@;ĿX5eLuLb['%-Ԉ89[۔r+ZDSh 9{7i|0A;u,!XD'M0p8p7͕JQXDĤҨCk:HC 6G2Fah_eWwd< 9`h#%Sar#>\#s܌<9/]ZVLLh'Wfmrdhܴ1C\5]䴃 2dyshSma틽WBV=ަP[۠:ڊ7EI:4vo P[~s%pe 5\)6D0XS[~?lkUڮt!([mɥ.H4)y,#1U5,]"T3e;+yNLK%B Zz ^ҡ5mc*PCKyb5"8|W@ӐɃE&7&HJwŖ8#lH4"j>›!x~f&Tp1,eeazqV>/=ElRxPuI73 r`QyG[cO7kJ25ok/jscm%3Agh{]`, xzhR BB%iked(XӭN9v7ۗ }xx}kbu-nLs.VZrq0 Bz_{s&[ w:F1ay6&"sRm2뤗Kz/N`z<=>R'<$t$Ŕ.Iw(1mex'1̺#| ܖ!%&&h/v vO1˦\`&<mB H z~YHiGw/1Mb~*D`/7qύmѦ$ -!ZH1סZhe+OU˗S}өGIvF4Y@=GW"^#,q"%f^IGFw5 TwPуqo)̭W$ΝW3ژ? P!nĤPvoK>u\ ,hJ+W⏴_-dH]] +GVeG>;FbE ҥƋ9hϛV╗L25o^:EL=Р)CF{Fz`jٳi܅$A#:5cRG R-2JHj$*L#5X%\oK rd0"T s߱@V~npR0W@O.6[BԖ^ӆ\;r^q) T6ۢde>) nmxò.ㅲGFc!KMs ^[ϻ>LBn".O6{?mnCсX}KxvkΩK !I&Jw@QջWXΩ.Sg;|M7PU2xOU2Ȥ+奯Sp%;xFxaU[hzaִSoĢ@_IۗIR硁ͦ#3Sw#GҬsTW[ Mp^Kss:Z{'nCY^0 \Կ]FyISVI6[mPm+b̩VVge@^9"z8¼]gnC̮?1)Tu_ό@ o<+%.%æ#,F+ >g*GP׷G[-ka7\K%[jv3.8i-wti#vb0b$][}_ecFmYr@=.M.A֒Or\jKeC}k]؏#rwUI C & ϸ wYJVxulu,2@.3NJuG#{BqJt=uhIّb]]Mީ,{=n7ݻw!W=W _ 'QW $ WXT=U8p5H`Oe־\rj#-M5KEzf|VAOU>C"t`^k>7On̐AeHjv)ઐ&EҦ(."i^]P}Twq(6I6]\ٍ[국I݉jWE9Uٴr<;Gwͦrt!ْb`Yf0;l/ rLƵrr/l`oK. Z5/Y䖸+ t\`f.|)7-_ߗ6A9ܰ1zd_NWEǛ l;G[660[ QWW]#k,-A(wGn91|Α9k5 {.CLz2ecR4BWNZOig}OgAri8V#z!rz0vk iyBw 'SߜSqoߩ~N51;/GC诜.gp2 յCNoOTsv\4rHt?5V踝~8v\X +mJ-$fQwzvۍҞ%\]>/۱o?Փ(橗Wo^?zrq=#a;㞆s /}>RIJ<~a/bp`[+?WMHiT}Z?!aB> g?Il V9<.JrOx@{ /~E^k/Y[Kͱ̗z?tOyN[{*\w'd /Rqu07&]؊Qv?j69*\H/5u-)KZ^;!\8km։ 1b--E HbR6ԎUR@WՂi;6r^ka@Hgs qw۷Z#-^A!k`ZmZ.|$f_YBQLe oWpjV+va=9wr*b_M29!nqo1p)O;uvKĻf _f&/qō#hN{ܭܒ,n2mI}l/ mlaD3\`lҠI)~=}jͶ&,Z;khty`kCvH eaɓ{%dMoyqT-_do`3t˶ElۻȞz 2֙Fs>3\XsNy1?ɩXS:-PY"oygj'{\K1 ?"Keɩ/25qeQ̚s!W+|%h[~vnW}U|i~+k1 ~DžX퉱ࡶHꝕs7wsm}̀Gv':@mh"{RPڦ`FYdn V$q7H=wg]wZ6xU4IUp: eQ9VI/ziOy<[;wAɎi;{ J9Jr_Wm= `Op5d5?=Oi zaL]L6 4A?6~ n6/W\()cO 6m?S&AQ`+I~]_ƀp(3K#bg=+0Wi c# endstream endobj 547 0 obj << /Annots [548 0 R 552 0 R] /BleedBox [0 0 612 792] /Contents [553 0 R 549 0 R 550 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6417 551 0 R >> >> /Type /Page >> endobj 548 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 549 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 550 0 obj << /Length 18 >> stream q /Iabc6417 Do Q endstream endobj 551 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6418 3256 0 R /Gabc6419 3269 0 R >> /Font << /Fabc6420 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶Gwf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ"8 endstream endobj 552 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=41) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 553 0 obj << /Filter /FlateDecode /Length 2879 >> stream xZMy&I {rk,%?zf]cnUŪb*ꓲ-N:ͪT$}}8<7稬U>icFGG;cavOlLI$@;x9SmG?9Gcҥ/.r'<_cFHگI3|w6nZq?ظ,^9j$M6ʷ]DIb|#.Eڡ\fqq8e𥳐{oi ګvܫ?I=hyK!Ͽ!/:)I}zzg=XF  A>@3DLC=4=~]d{pHcackLv0FpxGsl 9'&;~)ߜ}N1;$O!bi# ,ñOӻ( .>ٹWrʫSWj6t~m`N.&xC{N>:&4 {/dzY ӆ"7˗OoZCj *d\C1B!r߂Q"``0{7G)$1X6k+`r64ɺ *tA//,ؠ3Bɳ]-yH \'[cl6nQ%  Wj6ꗿ:T ({:U-Vej9#邿.OuK/cKI+JLI%S]׷$=3 P?~)lrϘڽWq?c x3ϸcrF=#PW7qMɢi^K,s# :#88en /'׍}}IxE?o@m(Qd+C,zڒ NAvk5eL?8RS]v^V6dsT?YW[5׎v^ sQg\Xsw^&Z*YwS U5lU/7MV Vu1g]>T)ƺ m[Il2J+g?SS[Eh s9iF>u5NrU!Ԣ'Yj'jJmS >ZhqTqyZ^o_ ;/yy.y,#¯? {mT'j=ZSr'g;CFprQ;}T ̘?]rÁ5;P64L#,%m7&]$Eh@un*V~ؠA@tF8fw-aAN0m3"m6&7M<1Tp— a g/dir64\`i}D-VaM^KSyh*' ;롲Yav <XIuFLsk2d#c.:QcM{R_WU[Q_u\G2b}9#C);֕ߒ"J- \VVCV ^3h4bLG@4_ ҵ4G D0 SA#IXSNF݈e\Q]F>%4! :ю]06- (;F<('vEc6\#,X&Ұv /ӲH3$ EŖi2Qr} EB e@vFy$Ao{ N4"sdH&BJ|T%09(_8P2[F6 ^$+>PlІ5W5\h"F\i޶jG, /Y2?$˺Idx,op'e[/80&cq6gs8 vE2hDk\´iP3߼م`rDFXiރ}k)avG/wgqeOӎ5{ݍsv1Y/5!nϕ c{?qF>7\ByGuNn+]ɞҞuɖKF A;YVr۹Vbd뙅%ccN鞫_n%3`]Bx?]F2S}fX%=ÚпN\>s79һyCS?tf#cg.Zl/M)66:` endstream endobj 554 0 obj << /Annots [555 0 R 559 0 R] /BleedBox [0 0 612 792] /Contents [560 0 R 556 0 R 557 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6436 558 0 R >> >> /Type /Page >> endobj 555 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 556 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 557 0 obj << /Length 18 >> stream q /Iabc6436 Do Q endstream endobj 558 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6437 3256 0 R /Gabc6438 3269 0 R >> /Font << /Fabc6439 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}9 endstream endobj 559 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=42) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 560 0 obj << /Filter /FlateDecode /Length 6381 >> stream x]K$W@~3 k{Yjc|ٿ_DL2+ãAXdɌwb'H.?:dCU叟bίϿ,Nk/'{X_?}VH4._V0SQEd4U;>),>[?,߷y.N)K hIk jϼWC®٥ŠƳμ_ '?KQ#Lmֆ~TG0['=_;lQxF>WτLX F-7PY"[g)BjRg'NAqO.~5`szvm}[Y"bg"pQFh((9 Mc !kg4Kc: ZXvD"hI铼5 ֩tO` 5/<}|Cl]v1jcK.ޫJBvy#_$@Jʝ422YoP`o׍O.5ay&ñ AhbO3t}ϔ0 ,:Awv+ky 2=&}U=“$<=Gy&ĪB}ɭH6)4("ZH32&0q`PȜvfv!fDVivQ4P^l&XM ց5q$N6Ƶllzkf 0!&-U)DSV\i\lKYC>2vTV2:ݪ~\P*$xNXUh<ʭ|}2!;aР,KXIɐ@ab1FJ{ : D dϙ.G݇܂u~[?Wђ`==Z0Ygh>cՔb +oXyVJƈ߰"W'6J G #DȐFE "6Qc29E0m$(%/k/ (Wal]k& ġ^KgsVbPF-$d뷩"Ip2$cxW7ĻzQt h]n-!0i_m#L wDLxشpX'E3'JD2EL$K_B Gt78X*yTA?AłsxsїW`&dbO`&GKlL*Fg 3X速sDv$%C7a}T} rj:' jtf1+1 yQP1#!afT8TY?UCc:ffw9W!P˛ZeYmngr ]uwwi\~6x'At$nMIˎ.G dA&{@F \sr2hOM5VAuEhلo|p$Rh!qH!a7P BX^Fv$8:S5 X;8#¤8ǹMaJK:H6oepyg_`!!atƣC?iCш2EllNL*lJWQ0h5dBs*-sWFF|JUv#yM)hg>:p!]VfP/?CRz2HCyq3tЭP ,?†/T=Vsf+U-u kIVv 0dm0P-WmNCzJvW [#E|m{KkB`GI⧽l$K7*v*mExSk<= G6+!!?|an@cD{@d16UBoNm~C9eSyx8*v&zU~OJĸ іEX ˷S5k0~#{t>4ԣ ꚌSa0dhxJ৽_xn|^hϪ $ =f H\ĥ!Rm@6f%:Bcr-Pݭ"5ٴI+IP$h arX0{'^ }Lk%"{wE˦dx+VF!Y縲{ 5ڳI,.k݅aq@Cb_X`+1穟b.wcޅZ 4.z: 4x4l`_)>^ʉu1Iv0.Eud) ȼTL|XRF9oyEB5-֌$&ͩPs@c\G1bdOa^ ȕB#oOꑼ}=+1`Yzahx_ x'3^>G:@K^5(YvJHa/&嚟A@4*Q$i#P\ENƓzYv+L`L*}2WFu 5y6S";).Z=4qE1UMNۧmXWm YtVt@~X3d[zݏhvkCR]k~yy4㉾&+e1uWw&%R !'=\}WJ hi;`nFK65DZA}gRuS;.oP/;c("wvôt~Xz>ѹg7;ĺ~TI狹i]˕WLQpÔmxFYZ'::3k~>T]S?5HAi*M||IrL D tmtdH"C\*\_8VGwO(~XX#ԫ)G:s%L AaN~^vpm"[C0b ׎giK;S4[:b|7C@sSȡL(x^)U?ʽ}:aJF {(ȁ Ա$ٰɕbX]ûØ +A hk 'cU D,_΍ت4PywE> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6455 565 0 R >> >> /Type /Page >> endobj 562 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 563 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 564 0 obj << /Length 18 >> stream q /Iabc6455 Do Q endstream endobj 565 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6456 3256 0 R /Gabc6457 3269 0 R >> /Font << /Fabc6458 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 567 0 obj << /Filter /FlateDecode /Length 5827 >> stream xڽ]K#9rW| 4(U ,z؃3E}GdRLUnF 3?]ͯ MrkxHߟ7o7˥~k][\ ˿}p)wһhNU{K } ^,j[\?a_Q~8 C`h}jg M|թ:1~!KkSEsWpnI;%hQs( &{e@(_gZVm-+6EWd̠u4us&2~mb -k/zTJp-¸gw/i0 Y4*\VI<iEyt4Wd%Y~&” ɁspN4\БeExw㢬;ˢ\TQ}pmn:|.w^ ();<;<]>O=\jsNm~Xp8(DMEjhabVLP "f!O66bS*ip^E&-Pb9ǑO}mG5׸j n4 D%,єs zk=܊ QB?&'d`CJR,Wh(V592 Ⱦ_zB BсGcDS}eīS@cCIRļ(8<*ȁ@@ƥQA'QTzK `tŶhMBvBV1즪gZ}E;T@(4rd]rkSCT갍fڈ,z`+R2?UIUuT(I{#ƕaF5+LAkB|7ZNc]0`[OS&UƓ6OO'WmVS {6|w[d'tiC-gjӢH~#>՝:UN0Ѱevu@āDo% Һ~Mu|i+`EP*yV( yT.L}ÅI_Roçꖘ%+kJKS+.ژRjKMPJ(q5\;vX&pI__Ҿ,7²[0Z&]a+u|B뼄tťͥ j+}}jK." #~. R:"ÆZ VhPq /жy׮mqB/1h*qYN2&LXwP FLx\cq^Fa3%'txiaF NL9˱g-X{h9~r9˱h# fB,yB{yah csK8n !R c%YC-v5N;.@{SW2~*4.X:[ teeiijP" ׼?/_JۿN#&6H_8Et.g.I!IT P2HϊkjF*(Q܁^}kɡ=9''M.T. HCUv[Z ED۲㕝݉QimA~x4ꝼZ}/dמ׎\!52''~ge$YcC[?Ƹ1mO';POq =d(z4(Ecfj,⮱ocX.;<0T~rRPӈ)Сcy$1[^H +ٖw?-Sa$DXKħ6{"ڬ&~TZK!*PYXJo#仗/$$߲uq6n e/ ;hҐ *R"&T^5Gk׏:jlb{ߠ |ÿsE?y۵\_yAS|; 4[) C|gA9G!G˕T7Nd)Hog+V{o(}>8i;/P!`ȗ%I?" |*h"UzlKjUDFkҺ] F_ɀYϵ?kN~L8?ݢj]Rv*1s 7 X=5V"E&E0$Mh=+ɶ5D!;m&֏S\JY\TJҊUU k F a㍊τL6'c2x3IiiMU :g#gBsKPJY!Vc79#Yu1GVŽ;VɈ6-1qfHa0#o1>C<䡳6lL.nF!UQpa1$h2]VS#F#% zaiSMyVT'+>X@Rt^2v#@`:ĂlF$,]tZ1 Y67kn a %E|Cl!d] )Z'eyu7Lzlݴ | CʦF#Z6N;vdEV("#geBZHZ|n#e{!F(%ȫWlk3%#ݪ,EM9uLy@7veˆW*J\h>jJlHy>/F{E],J{56Ӣ1E|RsF|6o0sXΌԮ[Qk^s+g`ٌx#LhQ:6Sb1!4eƙS7\Lb烞+ȖjjS{ ͖Aoe 3wkˆ6 03J{AٸafȆ7̰Rm F@|UMfլA6f]ݾ74k76lsY5v [mTKն%veA06 xzcƛm>lqoԈOh9PUjpƏUjdUjlƏUj\Wj`WjWÕ?X?Z?VÕ?\(;_J=W_ڑ'B]P;|OMo4cSOS`;=={O?O==6v))أ{ g؁{ =v?~O)yz^O~x>(O~b-?';<.?况O~t>[~O~jɞOx-?'?dxⓍ f O%![UC'PLjr|PepFV%e]1vzY{̪bG*}zj^>XV\u$ bf~Q Sf_#]C43 o9Y,k}02ч">/'~h) ޝqHbZ9BFݪ :1:gӮ!&hC_Hq.PN_LڿvdJp Km,w4-fU~-g;;LNcA ;Tmf7I # 5ʯf)@0Vx 2Z!< 'b9F(`[)xק=I%Px F7H"Hc#ƕ8 Òڟ.!p{`v:Mcs<}STb̞tU^Ȗ3£*'> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6474 572 0 R >> >> /Type /Page >> endobj 569 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 570 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 571 0 obj << /Length 18 >> stream q /Iabc6474 Do Q endstream endobj 572 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6475 3256 0 R /Gabc6476 3269 0 R >> /Font << /Fabc6477 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ1+|:S֯޽\:H9,hƐ d査=0'/cX"#(j!`aL9 }', $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau35ŐLD}%l)l,1aSi ܟBd#UO\05cD9 ?^Wj{9C H1 +/_'ŶF endstream endobj 573 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=44) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 574 0 obj << /Filter /FlateDecode /Length 5849 >> stream x]Ko嶒Wp}k|E& bpW K$EF0:'GCUŏU$-?( =uNSȑW'SlYXIJ \،Yʁ Έ(]*7bPB9.d ơyTnl':蒉U]r;Nxŵ6u.-J5i jSt4Y[]:QsJ1ɶx"*}-ާ+} =]\q}s}zIy' O>>= o%ߘn?+7E9_-ΆX)wߏID ) 7 ~aw`)#Khhq?0!s@#fokD^Hjaγ-Ñx; ?GDx_ A$upWKA n_C$4I21ŠPNµ9RJ!1RBN(uG:NpL6iLBosV7Ҁ/| 8.ʶ5x]бv9 o~N^)\+sRODǢQp[1]RPݲ1yהSf{R/+noD~/j&wm54{aQ?cTx1ᓩ7nPnvuH6/PEnubsAH`+mR'OqwL5_)?seDyGsϒ6Ѵ,F|>ubPԅwڍ|2-fgal:Xnojr`1Mt{{ T0T^cf7fsD$ꌨxAխ66,$WMfh>ó`O:oHS:ZZ3ղlZax 44".XHuƦrS?[9;w;vM1vTⲈ,IS'Ku%PN9v?D:2ȸ`o=5zLRkH7Hq پp cNP>zW=qշ]mhbgbj K%U߼{у*ci[X2W)j,gc&TԘƶX?y)~g$kWD 5$C|ů}` rttw}ig^|5{%41q%LLH٠8\>sisif!9Z̕T#` ~KxaF DH7p? ⶶog0wt  a`D5jx[s, փ ։F)?Vխ0ϗux;(Q>8n%E/bN1IIdU鱇j"eÎG09?O&mZv^,$ƜKsp,߂e!e(p\&Fns:D ;=eIu`ṲI!R0ɥo4k{]Or,e6s.)A+,~'WwSs$Fg& )M@OJHEDV5n|'']0i5PƂʵ'ωP8S~*+DLuD>Gg87rf- c=Jb\gZXE s:5LSFWF )=#N]#è$+QDAby'_0 DTxq"ށ!QaHDyB0T7 llH7Мj8#*:4?IIP#,bFN,>-X,Bֺk!mv^"Wa D-lF\Q )0!E$8#*8=8k28ȯBB A?g g2S2[3ut`FU cgZ /܇ѻKU8#g3mЕiYgE=pVE^ӉFJ pF7с0Y8K COV?^v%9ѻplu4pV0),6%L0PfA -UU̶l6pv\XU'>'>'>'2i[،O]=3I-xOzp.x du_1u.x I/xOzl,.xң ނ t߂'ٴI/x?2GjerPO\&h95rns,T5\&xڿn^(d>bS#,r2A5Fv`sIۚ>i|xkZڨtִ5G5[Ӗ͞ޚ)[>@[q8ͨM^F@ڑe#'9 iw BeeEx/܁nI.<_&8 i %2H[Y& i/!@Zl)HCBZӐ65c|zQ9iG SHpҺQ9i NϧS[$ i^smm[ޟul`|eu+mF.XDjg.YܱH{>\Y$8YV^Y}_YM]YEj䒕j*R#,HHHHY$h߽H:^<>VmM .Gk^Dflb6֓[daSZ{+f~Dr%<3#'&ZmFp#Z~](V#%[ɾ_B(q] f Ow~Tݞ -c9klzU.LY?p{wtkoϔ|el ;2%Ȋ||$I-Pvpq:"+FH@Yf}׆q9>.)DA8 \yf &1'% Au? [8Q?"L~0Ai^ /rND~ Z&J? R+` ]ǐ^VD] WzV>62s|ue8/FO^5~}A&by>sc"iWk!4B;BrA5YqAIDl>nd۰|$ rd1Ta 8`f F 7,M,jA 0Qj0$Qwh(Q%K~0:g1 $-~.vKζKNKsGOho~ 8HGG?WPQ!ӄq}b48 #4fi ;;Dj{a دITA`*c+8uN@q A H n"cPD@9}~"åe()Ν0bbZ4Kʄ0, 3QUP$q^0R ! ‘31/%EI-q.  2Z< E)0 Hclˍc n8m8DF6 %bt> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6493 580 0 R >> >> /Type /Page >> endobj 576 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111806-08'00') /Rect [119.585 425.1308 150.64 435.1308] /Subtype /Link /Type /Annot >> endobj 577 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 578 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 579 0 obj << /Length 18 >> stream q /Iabc6493 Do Q endstream endobj 580 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6494 3256 0 R /Gabc6495 3269 0 R >> /Font << /Fabc6496 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ|:S֯޽\:H9,hƐ d査=0'/cX"#(j!`aL9 }'Hr$sBDQ@?$u$4W̵R̃sV7Өwf^_ DXl˒sKhf>eN9 M \0YSPQ8F$!ë㥘N>%RBxڡpNЋm߽?Ml endstream endobj 581 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=45) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 582 0 obj << /Filter /FlateDecode /Length 4814 >> stream x[K$7rh4e@m ,$3+/1Sd$`<1Y߉un0={7'Mm:zP╟|I)uyuKK3g|I;(6bd[:L r7Ko=Ǣ#5*IHw.!aQ(J }R@e_@W#Pڼ'<> ɍo._}_ew8Ρ/΅(,O/Ǽ_O^/2N`:={ǃڿ~㛏E\0]_\`_W${eN:~|.o+}9Rr N[g9CqGh.y\PSQ'%gܪ٧c<bbsU_3:4B b8X 0z-{ JUuM܅uu᮪4E bwA974a4wy[*@I%Y2B!c][&hy(G\&>藠[-n󍮼cݧ{}sz-j+d88cZ6KjWQ[]U;R| [U i*i"dPT> 'j+D]dDa ʾ)ʵ'+@SPr]/k]ur~L]贛x_os!o.Nۢϳ}:ܪ7ZT'ј/^e"d5g[%\n5mETZ(UE%c(mO0@[cQ jiyJ -llgye}~V2,FNj Ƙ%A3LL3y݋LO6]+j.si9bh?K9kD~lB+Z = pV4.P/Y^]+QSbQ7GLڇa>%CfY1zy$eđSh+p>@與cvK_r,- 0m&>~ra! jK.Vuvq9mΓ hN@54 /nwyo\@Ly rByfPn> : 9ěiN>Q=,F젏;umҢYh妏Z:yhw)g}) niu4IǁV_,m ew(R+,`,aR-PI)q&{Jh!@w}nRb8F>@H)N9PHq OT_{`b@xP. N?ѹHYyX-;RyNd5HY6 ,sV0bf_ЖU*`j#oe. K YK[O2)P0}8 EZJRHe2D[^?5 DFǼٗu ٻ1SvDr*݃M:C_kLq9׉GT EaZq ӆ`ϘjV(ʳE~d WD7j .q@<׭BsFr`}J굇S\ wңTQWЊW1!4vM%8/k+OՔ֧+{*~%[lo6kͭ03i~e *:CW|Fl jsvؖKh [4>rWk$n$Qw+NuL !wxk5mq6{O˃Q =I*/$SY%x1E=L8tMvv? &/1v/VJǖ>~qo}z!q"mʃ1cLt5-eP F6:S!,[Mo'tLqܻHbQPQEyVg[Wwˍ8 &GM Bb[WR#Lמt;736ln?=7 fb4{*|MUj:q[. l9]ż|n)XfܴzWik=ηb24oWi4pOwiU#9جo$jnôf~} 7Q}N S0-xFuzT gXqs_?*zI*(ɖ54"Tܕh9<|ÁNnެYaFwJׯjT^vصV5 ]!#/$?w=NE | cu@\E&ﴓ|9fetFSǚQrҭsT@XHi]w51]r} Խ^L Uu eW4caMns_bvqVd מpNƒw< mnr^̸K6Vi|}RVlrW63os5%Ͳ;N}Z=rF6pME{k& 4 fW7NݷRߥ] `Y⣄wVoU]}c7%Y5gR_jq@I2S¡MUXqȩJk[x$y 3:QAJneeAd#Ϙ\ Ki_4zo@V;Zyy/&u+Cs_襰 s0R4ZcS,ʢ 0ԙu3dʒ2uVx J-X"E ;wGs~8 aTkGUi:?%| ]g:J3+R|¦TelD4 ,4U5-Sf7EJ]6Nɤ̢RMЂ4iP͖PN'jsk5*bYY[ht)PdTcd$:@S!M)ȣ*2RX*ET :1ȜQrz!]Ra6sev-)yemVPz婠XoK{ #&XMQeL4efq2p|K)]f me:%Ib B_ez"ĂP\ @^X~`?y*fLލh;`Xya/Y1Yх>uS3j{0Ӥ)]$Jq?AD+Shftz_7T3)R㷵SP\pkdqQV_DOok_/N Q(ň2I:Y 3JL\j=H1 rw#H_*A~Vue KOV{z䕞fOO p%E͋z3zw׳ϗ/֧`?xɓ (S%}uRt3[lO_Ͽ?"lo9v2Z`Mb@`j;`uR TH.32lk*BVfIF_i$ ]9+Wud[BHǁ endstream endobj 583 0 obj << /Annots [584 0 R 585 0 R 586 0 R 587 0 R 591 0 R] /BleedBox [0 0 612 792] /Contents [592 0 R 588 0 R 589 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6512 590 0 R >> >> /Type /Page >> endobj 584 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111806-08'00') /Rect [92.937 641.15 128.203 652.15] /Subtype /Link /Type /Annot >> endobj 585 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug472_7Series_Clocking.pdf) >> /Border [0 0 0] /Contents (UG472) /M (D:20221102111806-08'00') /Rect [235.3485 293.1614 270.6145 304.1614] /Subtype /Link /Type /Annot >> endobj 586 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug572-ultrascale-clocking.pdf) >> /Border [0 0 0] /Contents (UG572) /M (D:20221102111806-08'00') /Rect [92.937 278.8614 128.203 289.8614] /Subtype /Link /Type /Annot >> endobj 587 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 588 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 589 0 obj << /Length 18 >> stream q /Iabc6512 Do Q endstream endobj 590 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6513 3256 0 R /Gabc6514 3269 0 R >> /Font << /Fabc6515 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nHJ>)W^.P/ Jcȅd2n~A1H[&!#(ܒ`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R̃sV7Ө-~C2k,`O6OaePD9% 32 +?ɒ F0t)Ԩ\u#U4櫶|ݝCR<J/_'Ŷx endstream endobj 591 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=46) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 592 0 obj << /Filter /FlateDecode /Length 4267 >> stream x[K,Wz eIʁ/fƫsߟsJTzS;N^TӷDfMeH򠺽-I2S Q) n^6E&4@k|{ {NKPϖ /[acf^ >JM]ZnkSe颂&F2&ᶖ/V9RPci,̳<|[EcMUG;6" =2iDܰSśL4V>|jt__ B$TԢDxCD鎫#a{w06HЈɊSV1 NEB\X/6툪 ŒJH`+}D&$_CX*}-)`ayǐj7 %7n*U𧚍 ugH]=Ӣ}g"׿gx:%-TQDG{Kt/zhRYDg  Jɬ9,a]IF;SF!VC t]kƭR9{2 kjh*:hUˣxrO(S)L) ُ5Vi_P/p*c$2m2"&3|WLifw)a=;|k=$f9JX˽HaM[T,đm:VN~fΕn3Nr6%<2+Ҕ_9E?B/,'rŚCOUP%0/5ʪ&좪KA+zwōUY<x)>Rox Zcua_h^\bz^ckP;vYW>;'2Po{w =Zth: m<g%3ɘ|ˊ1|굍唭inwEXw~ݸ[fyFA<G#cٻyԨ5jy:7M9l&;`r=L+Us<\C1`m֌wJ95AG02$-6Y5z6_5&.?u4H94cp^TS3fPe^''Wn4=<4~+q2<- F pe"Fjlr@.ϭ&ǃKךU XJZ{j]it:|7 ڀ;cGc!Ȕ\/i XGWn> ~VIHM_`§gQz(T~teE( 4pý5r4fRrڪ}ИdTwgNB/+ s=̜(]$x^˵|d]:H_ kQ$`B Ȯ#qZ2dӇ;t-^5glwouyGGv%7J qzRF׏ l9kcn?UCN%)yeL'ޞsMGg|hn<tGm[8ù>ݩ)%cG<!= {;B#Fg%I|JCqޝs6DGK98VV6יuiwnb*]LϾ9ݗ~ NO0Ecc%'hTiN̎`l00,d~XI/lݜ ~i!.@{̢ZOYܞ>(=={fQ<:Ȏ\'Ew~rq_hfa.o6cd?{r\⽎ӅLb GN?AyHkOV G{GUcn'#t.>Hm7Lޤ +/2R9h0I*kace4}&A71"&n`s)$&.aI2mprYџd"yp,=dP} 3eD58mٰڭ;4B& :EvmUK>9MZ-3CB@! GQa Ҍ"RXi-Ke`i z`3 zH5y߽ȥ,*O/&ȲlVyZQ1Qk3iϳz_U$'YdM|3O2VlZ‚%#D@$FɐeC(D$CTfCLSBEC"(` Ѩ$`u0#THAɘ FĂ(Ġ!)1cpFqRIC/!IOt^[>w;W^~#~w+NB6O~)ёy;E5cҸi5."ulm[΋B˻% xTu:1: G{I,ƻQU~-S%IqThlϞqMn&P`)lmr(a _?MxQ@DE$hԵfcv.;QP E "]ޖ#tuT`,Ҟzy:jG觩rpi6Gr#EH2i!s(7kX^` C|:i4\D)&Sh"h!,m;WmZZirV+P$mBLFbg}c5D;c{}߻L+]׼umyBjJCKԫ<;dYv8pQMaEzL:)~wz+t_;yO=KO1I:!=į/Yw'Q":-5^f 4q.cVfO]:&՟)@]Kd&We?@EV]mm, "$G]Rx endstream endobj 593 0 obj << /Annots [594 0 R 598 0 R] /BleedBox [0 0 612 792] /Contents [599 0 R 595 0 R 596 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6531 597 0 R >> >> /Type /Page >> endobj 594 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 595 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 596 0 obj << /Length 18 >> stream q /Iabc6531 Do Q endstream endobj 597 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6532 3256 0 R /Gabc6533 3269 0 R >> /Font << /Fabc6534 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ endstream endobj 598 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=47) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 599 0 obj << /Filter /FlateDecode /Length 7139 >> stream x]K9rWyҴ|X _z̬̪ Ih3d`$_'3i?';}af ~ʆ -?g73}򪋳ր9ZԞ.-,c-{oi..i3lS>e|*=Jw:6A)Nj0sFJ>ʻ>yJ?}V}࢙4bTg'jOb}klNAlDR^M/'"cuH ҳM>`S^˧4bKPc>~eTLΡW@:@|O3/1h08yC& &4|iDJ DBi`ڠ盐g 'l ֆK(RCtԫQ4݂" MHEW1ff|j0 ff7_`"zg܁0gW5fM7  Dl0ʜ8Nn.q^4~qn9A C}_Ѧ8lB|ngv*V@) H}k kw!yLѐ: W&֡5 RH(Sm8!qΚ$]]zbr+P;9DioPO䝜7K H[~{q2tbJFKD++,Ƣf 마ʌqԐrvԓ7 A` =΍`Pk dya3ZcbT1]QUԫ53[?ۙwVL.#ԝUǙ߻3ʉ޻VH(C9EיIq M)~}r6F}m> zGM=?&r:7SH/L9p3_ =yi RvXczIqF02TH/ ^8Pj!zDO !?0y8'@E?c\v%_#yxeXOu~w`IkKl3PaнH52:w]N6 j*3cd!AE#6ehKXh:D > &^L8hZci/5gŸueb.Z &h*ǹԴzKc@s(5l4yr,:l0SGp.^HҎ烹ڑQ0@|d%[tFgFѱW9 U:Va%^)o/bHM19@knQ4&}8:8Z(eNş -xJ~D~Ef W[gt*Q3V?S=S[[lU6}w;ZOXmY41Dsb\&^kjd} 5S<1$X[C_ބi#{:;vlıY%`H'* n0{{G'_A>=-2ֵKCz}d My_ZA :1[WB]ڗZ2+`:@MSq ֏AJY;ی5h\d`$94=aI1.H zlZ 5W >r}H\FǛx;B39yQpÍzKA6dXn)x;jC1U͆҆ /T,LΉdY] 5Y՚X2AlSUf)Cˋĺ@CF=S#Sݵs;uϡzکג>nFнfME o *9f]b ɒBZbvL2x.ZM!YXxւgg~}2Hwª#ٝJvR4nΞNv5`pj;!.):7ujt|6t.Y1*@5\[W7 YGÜpP ElgPiXuHLmGuoz4I'{ uA'Zc͡YyޥQս"}vTiSbx4x//u]ubbذ]q֪4HB-u&LGp npG%v>uIHW,H\b""eGdhq#domP!o-e?ot͢\As jAn jKn%52I;WKן7A~Оj:B5i q?gar)a֦B李o˔SiZmSXck4NzV]mx Їu{6wk 92A/)3]I0(#V')qpDJqaD5OHj[jY_!\srѪ QB| ;m6uVw"u836<*Zx8 VqvAx@ޣ<2p[WR/I :' YI9dً*O,u(֨ntorK,iK 't 2-6[r R ,M/xFuX;Jٌ%`󀣒G7:Ո)>0 Dئ%Mjiک=#AjHb)ݟѸ"H2PCPwD_ٔyL8QǴ޴=_ {IlHrVnxh;>*{Q枫%_Ti%k*Xn >TqB:{h]R+%4S+;PmǢ^K.#\8if obc.XrhF^`T[JS :྆e}=s@Ⱥ"Hx)A)lbU?+ < udfditE&φ[s i^Z+e.=@q#V}RrjۢѢ/A޽]YGG[7<¦m1(4af Y#sGm>ows#r+tݡjaK֛e cx#_!w}`.߱aC97[g6 m\ ½iBf~B@ Oo1wh(!\U]l3ugUOA*[Lun4P[C)ЅgzU;K%cRRPx̊ ƴW"ډz,;]&qK=E^t@sEdfO\o[0A)qBe4ox 4TXtlw%8 0UɛOWT/uwnumLE"Z᧑X2IYgUNlv;}\N<<|̵\ni/ז#.>y_jo 3Rr]tΉW,>"G&S-r9tMGc$V Qf,|Z>"$rY, D<")|4{eu;@ J}bR0~>>/jX0+ӫ꧞re,OaAS?iYɦ.D̊fEOKsVj;ϱ!S@8[r#rO'Qr ©O=W59%Fץ],> }$i: =ɷo;ZjeSl@YX84І~K^JKw|cwn{BB#3R &%VsiоTw_٨ץ'uv§n%NdmsC |MOw%K;e )}jIr ?-A?]jijOoJ#z0_y/MiLqq v.;'0')=[V\x*$E`"U:/;(&OkY)drQleIy팿xN ''rƶ "<{LEZfCemV)ǬjByr$#UbMrQ  +P.0A 䛠ḓg9!0P0-:)'x75Mh~{1<39nin!tP|RS5Wu=rE/ pAΎ:cY֛T0\}MgB ߡ(w=$O0-mB@Nc쬃/.Q[NjqqOK䶪M᥵ZڷxU}Z{릁D^ؗ5 7^כpO{bKHꦞ AٗL"6uNA-8ݗ89*T/&lI@di O1KA ݩbJuf-̐%2.cni؀ͺ `Co߄ xK '\/=/hjmBۉw}0^CPGxngҺ!U+o98=yӚθH66ƆQZ2v/pKgfz:BtQzauM;vt9mIvڭ[){f+w8S_Z ό~r1cݜ&&iʆ29m,;J\MzδлTyXn58*,[(*1˅޷ˬR=<1Vmsm˕pV\[0C}lgD>W8㣌$&ö45:ʟy|S;`ׇkX&R{>x/c9=IÞ`>?=_k[LK.W#Z|im76cfzɺ‡01c&y2_BbiFwܕ$on;ZnA,yOɃi[wQٲݏ'Caޡ8;Vsܗn< endstream endobj 600 0 obj << /Annots [601 0 R 602 0 R 606 0 R] /BleedBox [0 0 612 792] /Contents [607 0 R 603 0 R 604 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6550 605 0 R >> >> /Type /Page >> endobj 601 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111806-08'00') /Rect [387.9405 390.2538 423.2065 401.2538] /Subtype /Link /Type /Annot >> endobj 602 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111806-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 603 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 604 0 obj << /Length 18 >> stream q /Iabc6550 Do Q endstream endobj 605 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6551 3256 0 R /Gabc6552 3269 0 R >> /Font << /Fabc6553 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nH w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w&z endstream endobj 606 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=48) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 607 0 obj << /Filter /FlateDecode /Length 2934 >> stream xZK ׯyLLX M!Xؗ|%ի9BU%DRU2J[*V~:ͨU6$iv8>_N]]U9&{W8C/gq%<{~xcv)&􇰳Nr:QpZzY3鏁]MRxMA؞ħ ms:-h|mgk[ƾz6iy1`bՂڀw*0W}<;p)tl6sw{mĶb̻.xv-]bb])U^((/MW,3H3kF g[ a~S0 Ys}\l;15i]ne%v~"ԟ Wzi%SIr?kbv_]kƲMZ "4IJ}&Ą'E)w7[|(Ƕ.7{y tvlf# }+/e鎸ޱ>_8ǸWjSAe|Ksf-x-'6>b,R?cBҟbAtEw٠{i.ۮx,׺p}mXm!=3jB7d 1x=%mNTO&ZCu7uS1+O ݾ} GcL=а pП>2j?g^HΕZa=t*saRwaL[?֎,ӕV%cܵ)^+RM5<wdj #ţ8@2FRƂZ眩AӝEtSѵ28HePJ[`\跭6F]I(i3 l\4NaŲqm؃a1J4q2UWv>L6D=ژ(\9{n*gsԥT9J0D cl fW{>a%iA0h"p[%vjUm oẁVV?U&#AJVмku^5L0Sc7q1Sow;z4,=kyn9mo @# ښL{;Z%8M.CJkdAi 4f'6G[ *)Nj#lI /Zp,0tyqsPת{0я%e/Mg̕ >QO{a}ZD8!3Nܗ=%u$"y:\јOє)U.1%zF/5k3^r0ij'ޮ]cb[Ž,n73͍J] )K)=4 c̾gJ!!1ۣf@{.FzmEz~ {:_9CPOG- ZzAIr)Ɯ L F_QXWIхF 9){ti}m#ROp|{4!xq{ ̳:4ZUb80p&\숝a!}J3$.z$PvciF |9}Ha%yfÄbJjL kd}1rorz7 o-"[U>h7 عXOu-aFLL? HN]!@f@±oyY`3R KචT[!%yYj I>: `&/[opi*Me,UHwg98W>;=XIh+ @^c@|lofR =l w=Qja GzO2lBޱ]rO vV_t ן8_uZ# eP%AwУKe 0}%&F?Fj\&=f&o.X0 ѰAG`,O˚ H96U}Վ×P_upU߿Rd 1;,tZkqmI YGKƐaʚA`M/ (m[@X4ϲ11UH6,:늅wrl6a=%4! Z۽-8;vd f~h^4%c  KL^g\|^PB,#:2V(Lk 䑎,(]( ۶S@d#> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6569 612 0 R >> >> /Type /Page >> endobj 609 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 610 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 611 0 obj << /Length 18 >> stream q /Iabc6569 Do Q endstream endobj 612 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6570 3256 0 R /Gabc6571 3269 0 R >> /Font << /Fabc6572 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nH >)W^.P/ Jcȅd2n~A1H[&!#((`ah;Id:'&2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_I٧j gVĉwlV4C+0=knT-=&CO4{rCodԑH5J\[-<8יq|mau3? l?k!H5h}'d("_@Osu ܟBd#S:q|sLjT:I*dxUWb>ΡDH)vhw%‰pv҂bwo, endstream endobj 613 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=49) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 614 0 obj << /Filter /FlateDecode /Length 7930 >> stream x]K丑Wl |?FS v>,F/HJTJU]4jI)%2" LfwG*v _>ɚ_Ɵ:?'<m|NV]֫?>)i}4U2~XZsi9䓝MAaڧǧ7Iϱd\3>)Lggq}B…ìNZu_OI}֥`aNa R`P_X?):`樍#cEVж?1D A43O9O2Ĺ) A[W $7;8|um!d"PN,)E-?g/ ,>[66ߕƯ?*Js۩1{v?{St_'Bjnq}Jqom `C/ğ{L7~\&Rm)yC&eċύ6AZ{Z03mӯhg ӺO|Eԃ.!N=ԈI v|];@ q$9"'چ#L/0|M\lP`*C(utrsˋs{ ;Q ⺉iHBshmP"R3u:-c^BK{gt.>9Y;N`a'$D$/~BFu00]rmK^8xR(V!N}KcV1O1t`p 19RAa2Ԟ2LԈ{l4;Ґ1{K-HFC0%I60Hc:& KzY[L"2b󭤡%狤F;~G%`gRUnz>m_JnN0Y%[]qˀ]1@Η@"J3Lӗ髒 { l$zֱ0mp%تش[dX·K|׺v3z P[nl fIV(̽p5;û.ތQe7꥓`^ܜW YUx+IvS*uP4jkZiֳ%_KQkh,1W: +drc] =jKY,KL#i=)WNs"D}}UXE7ԩC32gXPca&E)i6>h+e.k.[zljv}+5MShuJB#?LN#%Hm wN`% Ts1'1Br|tAp$.#‘x]NTQ1_  Bk!P?ÂtUSzDdTUK*S"l3qŚ/jK#PD?=ʰ⃺ԭU\-ѲzJm*)pbP R n{+u[Y 5\;^ X2XR{8x9sw2/B0ƤJz^ԫ]H{T,_ϙIP=wTy Ss 3@SvX1w)(Q'фXj :(A|H7wP?m)Gw_0u E^~o_;1ׇ/,0;p.[=þhANG59%Eڀ;/*N(aE3ajX e ݧ7 AkH΅aE\=+nZ(;ǝ~ww rF$sΩVo(W!\ވQ.xS/YTs~Q/Js+G2rHs'5{ 7_v tnd#A~%fs.wm#xѲxg<Ejh黮pMxh(`Cz{.?-8NLF `:d;{-_AΑaBm Y&Ka{9L}4U)f?!Jfӿ|U]Rd},C;l-bo Rp>+).|Abl˰ ^4_UJַersM>Q&jEh1,i=+,TTli'`5}+_LipN2rW]qDW9/yNM lڸҔ{"qPֳԖZ/2փ5dsP6f'vq$}` fƔ2,L}! dKM]45 4g#nm>Z#iV\TuH =C~q+b2ԄLYD B-MWdbܖf(ٵތfcrqdy>}Rމavҗ"!.R J :M7Y&j_8-Ѓo+d x("/ %n" $ZDG(XD_֬ wo*-Lߚu&/RYEV=:T=- hR9E7 PE" SfF(F~s&`kxʊ6/c\:7#pÞZĘX~AmF R,[csQ{Jm! @_G%|E` (xWguԃs$ \-$B<Ӌ7 H z"@vEZ dN%%iVv r}gm 3fظDfw;)icedO /1u:Gd1$mҎ(Bkqm #; ^u_y[+6`6V(%P{6c'ۆ[" CbC[Gt6wF1by5-XW415ؑ0Ō9}Ռ|!ȲZ*k]hنE?g1FU6N砧VVt@U+ oHKOR}^\X9q@!ij X48mGG5#k7Rn_4Nt "wOwۀcʾKK] |]~ f&Q+NþO)$$OFJϙ'kt }my엹ݔ])<k޼ze kJGnrOj&5fEC)Ӽaw-eɧ{4pQênF;h1wP֫_CL(_~+CmX% L]jCN=`6 XHA!KS8+7zܙ %7DŽ ;080`+o>fŠŕ4(אׁxmɴn^GWl$-k8g|S-YN"}f"!d7`Y6dhnM٣:SG QTPt3y6;mdEToyG4KgּVTblk|b苷lU7ȥK+'0!p #;}ggk8ѱZDv5";4Yܖ IV&tZB1"Z]`i N8U)gcpCLvq =SrlTj'lǵkb⌤B>BBŖZZ:%f 1k+o{'psFꮽXoˡ;75jo}l4/L)$ ޫJ;7z^'~%TG݂ռ d뛽bxLHvy|̆zkFh/߄=fqEJ^YuW;0P\7QnYaq`éO^- QQ^Y dVkZ~j j-,'=W=NuozT@Iv~,a&#GQxFG,/| qrK-5$^qG{Vmo)\N񾫊;:cm,jKOVe_Sij ]V{t1ܻ9;vZzYÓI2z 0WK,7l$wi[m]K{Co_xe<Ի`7n_w.U;pCj.Ifwu%ng'a#F%`uO"94e$/MF&Kwc wuEib%Gb)k5ữu1)N-ou7%؂ PJlg>齃,`G-qLiۏ>XZT ߝpֆt_1hI ,>lҐ~Ez$Gi,Qn ^͆|u%r!iZrO0bLqw!?)@gk5e}q<ɥU/3ruֿgG(Yl6mĽ.J zȓg%o < o`u͌ #ys݉ ['x8vM}7KTǧɶ ]L >^Y6 RfCQt<~ ~ eܚaH\~>&8aDe>9nF܅(avBmJƕG\!rBãt⧵lk Hg' *$w "w4p; ܧI­F/~cggaÖڜhIy˧}|>닫%dds|S!.utDWփ@OFfi?0\&6{PF4oImg9׵w`ɜc]ECgZq}Ca_ :s=mo*2d#.4@Gs;Qc7Дdt䢣v54ߨ˾TEemOYebAo)&(&Z F<\}ė,(UJudfzEk0>YfG`N%]s$cFQɄw׻dXC(K(_`wL8F"A+T1V]EQ8?zC$#Zd_M؂^ݷ} o[a_9u2jY0_#+l-#NL3 K[`;GLM=Vd+O#'i%9۟;{$TZѤq%EL 7sHSRCR=LO'֝]?88`^9i7_uqrF`nabn/~eͪ.El{M*uc "iQ6FnX].j懚"Q&"')",B\W$~ݙ{ٳG+l|ehaTRl03Խ৯n4H9ƌIXءj}oC/BQHb+_UWqCS,/ =K#NێlOվ5u}(jـkOGBxhrdzt ~k>H,d^N&ݲ5bU-X~[Gon y-9PnV?6?s2$q1|@!B ߎL$HO n׼8=|=Qbis{(,s[gXCtR}<\'' ]/z#O[!Ԏ+jژ~VO{O\[#?Y"ߟ?OiL=SP&g=?Cy?bɢuz)$jX ?, S3F@UTmpu$4i;k0/QVn]͐ny|"r?Slwj/J endstream endobj 615 0 obj << /Annots [616 0 R 617 0 R 618 0 R 619 0 R 623 0 R] /BleedBox [0 0 612 792] /Contents [624 0 R 620 0 R 621 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6588 622 0 R >> >> /Type /Page >> endobj 616 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug472_7Series_Clocking.pdf) >> /Border [0 0 0] /Contents (UG472) /M (D:20221102111807-08'00') /Rect [348.555 515.35 383.821 526.35] /Subtype /Link /Type /Annot >> endobj 617 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug572-ultrascale-clocking.pdf) >> /Border [0 0 0] /Contents (UG572) /M (D:20221102111807-08'00') /Rect [193.8235 501.05 229.0895 512.0501] /Subtype /Link /Type /Annot >> endobj 618 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [387.9405 170.7539 423.2065 181.7539] /Subtype /Link /Type /Annot >> endobj 619 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 620 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 621 0 obj << /Length 18 >> stream q /Iabc6588 Do Q endstream endobj 622 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6589 3256 0 R /Gabc6590 3269 0 R >> /Font << /Fabc6591 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 624 0 obj << /Filter /FlateDecode /Length 3904 >> stream x[I$篈R/P4tUu >n$y$ČA{/ȥF#lʌ|N}uL gET4$/?Lw Ug)Prv҉Og)} g)M{ŘgI)`ny(i|-}-m^IzyR/Wӷ ğR L+4+H_,&uc$e^iDXXhEsyϏ4/5 :շbep&^&xF ALeUt" hҪ,JEVҾS5_wfYu< m5 MF9Fyэm2vs' ^k!sm244VonYۺs2͏ 'cLvebm\e\xY q$Lw[j6V*34W9Y#(޵ sfkޣ1bT|{= 9UcʫYNj,B˩kj@5@%Pi>硓3Cxe5B*KH5C6LH֔8r}mP KКωx"5qy/HRauCSWɒ,FMk'k?]] B=L#H,l[Ag%K^٦wQ\S*;h-/˷aӋ`AuR9ܨ|S qNVfa(!BLNՀ)َ2n:Fj7zaAìk] B}փv}b_G zdc/,6=5p<.Zgg2Gkc+e:ʻ`-p-R&KzpNOØ؃1dҹRWyݫQKˡuo?:c]`@Gܯ&@5AgU9+FG=e&X Sggy/kfQ-Def;$s^\Rմ@]o@f Œ);0ϣF7"d&_\^o&i8#k{bҁ)=5\R|bSVZ r$7b~A98L7 E+$14}aܬoX-QT;U*RsZMqho(g~pV[Sb\Hˎ+xJڸ6K7OpZU)"}q˔"p)G}ƓvbFĉe0=N޶QDbtNۦ ~#gKDZ ki, ܴHe3glo:(?LoZxL7u;mUJ_VNӦmupNcku_$&AĞmJ?Jd2%5Xq}N5H7_:5UV)ك?Uj룦Zu_ͦ,?9Rx=zZ#VлtUdy]UQw'jh%&~ѱ@myM.ui_y[vHdLW ]@*fv, ޸&u`:v]ŵRa}uNяaT4ķyZ!ÖuS[Qy}rI  ?=Pڸ +oljފhhYm9yJs6˾c>DuZ?t,0C?=F~Y^n$e8ԡ :H)V}imfq..yEx?}=fϢ7}}Ǡqtu= ihuZ]󿋫2ոhJρK?o8d+_ó2A36oz3|w޿϶7W#o|;M? gd.0cRL_|eѮU9WbNJ"zdp  1Y9> h80kHg FƗcg P.Ssx XfTjl$$7=i<k$DH@ h0A|ۉ c))X@fS@5TkhXnAMQm}J[*yMk.wb_Nig J-(ۑh ~fz=٠"5V6"o?'up6 dqʶ ,Cii$f<(ˇ|j;Wr),Oe`9I,=a53dèBf"7$CBH"P:又?Z:oJϟ^eğ^Ċ:%`x<L?*M&n?,#R66F rT#堐 >Ѝ)I;$~ID9XB>0a!!bk{N_ɯ;˄&OBA-:U r%> IJdj\e|x6;]`] @K@`,<}GHbă[KG&!T)h j]]@';,-T!BXNAaA`tB!XXu76}ם\f~F-2$"]Iib$E:ҍvhS1jFxEaH@\eM$8)CB<^PA%G6O)Lϳrd faC)LJ6,ٜf8Grκ5<X3'4K DN]5%pea&1֌ b j99_ 3A5cx{Ҥ:/A.yLʕB,j}f'K%r}e" w붛Hqa=SL=7쥜1yjp/6o]e BC=) rاu|>]>cA> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6607 631 0 R >> >> /Type /Page >> endobj 626 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 627 0 obj [626 0 R 628 0 R 632 0 R] endobj 628 0 obj << /A << /D (unique_29_Connect_42_fig_b15_ttn_wtb) /S /GoTo >> /Border [0 0 0] /Contents (\000F\000i\000g\000u\000r\000e\000 \0002\000:\000\240\000B\000l\000o\000c\000k\000 \000D\000e\000s\000\ i\000g\000n\000 \000O\000b\000j\000e\000c\000t\000s) /M (D:20221102111814-08'00') /Rect [141.953 208.554 289.925 219.554] /Subtype /Link /Type /Annot >> endobj 629 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 630 0 obj << /Length 18 >> stream q /Iabc6607 Do Q endstream endobj 631 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6608 3256 0 R /Gabc6609 3269 0 R >> /Font << /Fabc6610 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [nP w/gx(RN/ Z1Br4n~AG/cX"#(j!`aL9 }',M $WJ2%ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)G+QO TϬ>JGܱYIZ)6{ik_KaR'Sb:tD'W9FF-I U4sm.\gy83ۏ}bH& d_ ECC 0yW!K1_|J RBҸRsh8rE[z7}( endstream endobj 632 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=51) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 633 0 obj << /Filter /FlateDecode /Length 4020 >> stream x[Ko6W)  Cٝ'@rٿ_")&;6YWE](!KZ|,JΊEQ?~g'>?KiN|,~zRGqug|whx>լ/~%h|ܣd/q !6NQN/S-*iM}p/"=L0[SztW_OX'qzTHˤ2EږB D/2t<ᑈ~fxeed;1/1x|0.St6?~W⻤ "{7n &Z2`3(z+8|*5Qk*Ww!GpE*Ț>S'?'ف&OO}il -8}l FVNL/jHD#INc.Dԏ WO۹>GtҚ~O6Oon‡i*sJb3*d MoKV)xZvVI!:?@$qxJy=Z$&eWʜ K*$3JKk`=e6]${xG ːI/|TB ܜW;ڍdG 8^( \<ć# ?Z" g- ?hծAyHA. J.dL开;sE@WM/LfS詬zP7eLN,a- 0K8r(xkB+|9o89-#c3ېer4b3}Ղ7;,p5{u-C"d#b#@@t̐ge30~Ipk9'\r}R{2O#J)hL-dXTrg9D仧rg[#;}(->O!>9g>Mǯo0oaC>. jjq }-3P0C.)9 U7vIƥ +AHZ`mŖy'45/ULV>#4ABZMz+Ṣ<\arV|͡E⟫fNi1h`QT eB_ބ pXlҾ13^ZK825{ "4F¼┘֗@.U Ey0ӝ:>]KWNV|pkm*pb  㙯M]-EW (VM kL}3K-qxiH~*!48‚37+%py:vקrXǩj{uD q^;=۝<\z;d-y\u7+Ss",BC!;!!ȥ҇Z[V&: sV,+)Y|R[1ՠ"XO;q4OO[/0~<4203{7rO>d$L?&OhC 3J7N˿΁/v6{:t_!d|Sl K3Ue3C/'@[7dvW)bf;eYlmxmluLFY [* ۚ@q9к'T"iWF?l=W[f+ʬ4*Vq56'UD6{QbU[p /leV<B c-o4J1^r\m0m>r˘8{Pϥr=GKdd+">S篖rqE;lǭA 9q!ҩ9uʬ ЗۤT}+] pY5e᧺?P4jҎƻo=+4\g|i("å$1~e-S](}IU;og{ U^r۾)L;hh;罌 ZM yފ7 kɺ,l D|,MoMSAh1hlA20PU 4o`c%PL mn0zr%4^2^SLd[xafo@SO_=qx PL%l[#C; C"lyeKe1fgu jujO}[r0c糢gGmRooo97ee\vnNM ռA7W \tQ٥,0k.6mG/tZCxf=l8@{ӝ{.Eݽ{ &`S0ݟy9|̇xCOm|׽|4k3'i|C߲7Ɣd&ok6HaӁ߹Ypoz qĵ~g$< ;~U"t3#XиzhfkSB:ۀY9> hU| N 10h [gl8SRm;D?/t ,Ԫyv9 J@GCrMN][z A% M7࠴Ftإm#::>'a *gM\Z;V}c?@n#t@g#w]1s:s!: +=$iFoJt([Iqt = ^Pb@0vm)lC9Gkщq56H Y-Rp@Xhu6},o{6tP Eb "]IbL? ,Hڥ#mYAnAw N I yrkӔhNwdpΘ"iz6Cl,L:͂M0hmߚ#w5X-d5s$yF]5%pet=1.4Y7(Uq ̄ |k#v2FE5T,{:O3f"f&H"8H5' Å O11#ǼOcֽ+|XTCYaa E3Aʀ6o3cS?|4mq31D%ϟ{6DJtߘ+?O`W^Mx~ȄT^|<+}BW:ggɆ.H/uP:쭦w@&vZJo_I=ÿcI(nTTA~a]ݕ)YN$EsyS4UeYy*kV,BȖB=`/$4wcJId` endstream endobj 634 0 obj << /Annots [635 0 R 636 0 R 640 0 R] /BleedBox [0 0 612 792] /Contents [641 0 R 637 0 R 638 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6626 639 0 R >> >> /Type /Page >> endobj 635 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=jtag_axi;v=latest;d=pg174-jtag-axi.pdf) >> /Border [0 0 0] /Contents (PG174) /M (D:20221102111807-08'00') /Rect [161.049 306.7501 194.83 317.7501] /Subtype /Link /Type /Annot >> endobj 636 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 637 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 638 0 obj << /Length 18 >> stream q /Iabc6626 Do Q endstream endobj 639 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6627 3256 0 R /Gabc6628 3269 0 R >> /Font << /Fabc6629 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ>)W^.Pr_Jcȅd2n~A1H[FA5P\0iDD+%̕'I"fZĀ0dD/P|}{Ԭ9*{0J*q(~S1jqbG /_I٧j gVĉwlVRVaz֦b/mk: s[({L̇h*Ȩ%#5fC7 ufg[XL/ۏ}bH& d_ EC^B 0)xp´!ld̪'o.U1" ^/|v+1@w. (s(_|۾{w@l endstream endobj 640 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=52) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 641 0 obj << /Filter /FlateDecode /Length 3109 >> stream xZK$7yR EAWW >=`z 3EHT>ڳIfFJx?R_Qb&j0S0H~Zez0OaP=UϟG@ޟNj'\@\Ϗ=矀WK4&CTtN*3+P5_>E'O*21(\0R&D,IxfJxlETP𽍟 M֏6%[<<.D 'Gs~CA荙L m(llK9"XP4 ,~e ρt)ʷ*(b_^i<_vƕ1u?} %.T'IɜS.6%W] ̓6lQ;UbՔx 4Til?XMF޴!וgCuX2|ý13WL?o^'s=Q> 1#gt\&U"$3U*"mwSnSoIwL]vUܜ:ɴTV Xt\uݱ*\w JbM~xq(<cqN\Ý%{ogsd.mӚ&F^rbaSS:j(±o HRg[3ث&\'sz23W &7R5d)Cyrem6zN,kg(-Z8~s [𗻦M!Tv,<*@,k{>Z'p@]6^"B&l낱.>JCuCঞ{umV{cWIbx{ 4oJABu4&o2YhB::zU9h>M @'/!r[gW Dɭv]@9}"$ؙX N6-Ii,ْSP4.IRn 96 qBFKڛӢz7wܻuXpb\e hp*MRѱaeYϤalG,ڪK摧SuW m 37 U*vǖ}EIl6!9yhJҼa.f ,B nX>񢚯 (÷Lell$,eۺ^EmCV?T-ÉNJvGmPM$E)RVQ% c@s~_ -5/e]WV^Z~N<ɓ7˲n$iѬBv+;Ux"*=IW<9op[[IqJXC]ii?L#M57&H>LaƊniUQf6ePwM$H^LTŨȶ9"vݹR5;ؿԞ endstream endobj 642 0 obj << /Annots [643 0 R 647 0 R] /BleedBox [0 0 612 792] /Contents [648 0 R 644 0 R 645 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6645 646 0 R >> >> /Type /Page >> endobj 643 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 644 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 645 0 obj << /Length 18 >> stream q /Iabc6645 Do Q endstream endobj 646 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6646 3256 0 R /Gabc6647 3269 0 R >> /Font << /Fabc6648 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 648 0 obj << /Filter /FlateDecode /Length 6662 >> stream x]I%WK(4Uqd6Ӊor'/q9m6ܭyOk~o`|rCF??q7x>6<mʜV+ 73ps*Lmy" )&o(9stYWǿ.sӿO%cbo /ќYgrS)&!*\kehM^w}K;\>ˠ=U) Jy B_8GB1`2< k CoxxnyT^t5 8@{U28?S.'o<9ip|KX),n b[-* Z!:eO/&Y9bRiz14b^CL:1M!&u#bD14\"EzyaڐI'Ze39sQ%&qw"5XqUX4q(T % \%Սsz0>d62UINUtK 2{O2 D!PCb9R&]d+ƻ1l\&% ٳMDTaϷMݔy9& V'MTJx5q(ty$b-%6Wj eۛ]k8kS50dqpzkqrָxZ|*Xl o'rbL[ [㍬z&HIPOe ]& vF`'m^0Gtg F# DfT +DchL#J#)<9xjt&NO%27&٬WCg&+93K_d E_@'<~ fʯ߁?7pcrd6-,>L$s3׉yE:1i}U ih8?!a$ :LK ?݈sg茠luF'B'HBƙiꕚ6q^iEt:5 Z:&"U<<]iuR ֎m}h6P\m}j1xCDzsn#X5,h`i ;A*_(]:4ӞmFD߇} lr\iu12%1.Ԁ𻺉4nm_UGJɲ>Ol6;V!ےڐ Lk̀&~I6A da @&QB:s9;TԀ`\ejK]JlqY% g"PU[g c l3bʌ10`^hM!,bYz&0BU HzWU1 BD]D&ludƋzlStuڶH.6ie$(vd jvopDà.I3TOyزX$uvd^&&aCcQT#.s\1U!16?F Q7EU"ר Bk8be^Sů#+dzaP Sa˖lׂւֵ e%oX'-`+4bۀӘYl)M걿3vYڋ]J oO,wU19]:E3N[L6s0uh=;ġY1Ot%z  [X b%#+L!VLluCgF-ئ\v˦\?˷%&kb벩fʏfo5 M+,7݆?bMw55mC#Vc?~^JJe)(j91;]E^jXe핥l6㶠MU#+񔸐ˆ13';ʽL.UGZ{yoS/Z u% 3ڐ-KńH[ĝ}"(kU$?ڲU?V卡,ҞUnKXks}d[6^_` n5: L,& [~{ɏXvg]V%E O9W Hї`EQ~Q711k8nptyߥgԸ6 h%ɱJ*XȑhX_!}K+o5Uɛ!+!);GY}ml05!y0cr)a;lty/NLM"}k Pe#/\^'iyY499߽Dýj_Ui^τP~u{̩eRd<z$`_)yՒ/VlBٚuls?WdJ%m~1T룠2 b dWu8f`.He[$81kzkeGM*I.X(;2,kaoӮHANPEG6=E?mvzıyq3WE^5ԟq*#sz۬{YH :aɽ 8y6?Բs1FbU ,Й|kd(ƶ@glt6Nbx![U.u::G6'fd!1SI|^]b:R4-6s ux[T%_*|eUq5ES~ˏ/-z#E q+-'C{үR5Xyu=sjM}/03AzR(0+B[RRB rju]7C/ C n.u0I/`t_ܟ`wD&ђz&PMַ; Y!Ӗ[eu_ ;\:"U3npTu[kd]WIf6vfvE=`v$MMfnBN[ yof8Q;Q ToH7h5b&FvBʗRDe<K;IADi-ys8Is8aB9 l0V~QݢPisVn).CpQت1V]$aWPFt~IYd˾Bl^k'9sD>Rf0qL?%,/zD%a9r-aN#hBꔬXgD~r d ćT#]B :ȵ/G>>@o.yDjc%2eYDz۽۞q%~(˲KXq9T(BOfT՚,:Ȱ0C߅D9XG`Bƹ iU%+$SYWR$FξB3RTU,"kGpȲ+s"mn>v`_O,%lT2Xnp^yrZ6I"+ { O?p믩:hoƹ3k$(o=@EMb0aM+ {ط']ŧ=/YS (z> z6ֿrHQm='ƥm֊rLik:>GF s@ 4 +݆Sެ.CYk8o⣳$nP9Ō8BΔ# OGP>xdGaXba=heyXtg r,Nt6&OL=aiA%dakz3~!?=H9ɖNEB aٿNbt'=lL./>.Kjt"5Hzų P ZV3qkC(O+g֍da+&rڦnZr(c5IQĚ.gӷrF2.ߣz%ч`)>/ R9q <e=u(i[Js!^$<EMӁ2-;6iT).)̱<;rL>;`㝎ƫ8i7^uvYKk3*G"{k򍥆;%Ie;SBV" edc GH,/b:SeK\]fO3kxi;왇TH0%Sa `dy?}d,$9`OV{\q2L{i.T׉% 7cs- 93-ATud[G<8~࿧}2Nl endstream endobj 649 0 obj << /Annots [650 0 R 651 0 R 655 0 R] /BleedBox [0 0 612 792] /Contents [656 0 R 652 0 R 653 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6664 654 0 R >> >> /Type /Page >> endobj 650 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [414.3295 660.5538 449.5955 671.5538] /Subtype /Link /Type /Annot >> endobj 651 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 652 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 653 0 obj << /Length 18 >> stream q /Iabc6664 Do Q endstream endobj 654 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6665 3256 0 R /Gabc6666 3269 0 R >> /Font << /Fabc6667 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' 2~f| pYw.Z 5J8 [nHOջMp)\T1B2h7r %er1"" Ƙ3w09'JQx5sT@<q-lb@r2dv"`(ξ϶FO ۏ}ÐL$Sl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 656 0 obj << /Filter /FlateDecode /Length 3613 >> stream x[K$7Wl(h =nif.|Tu,]"3#ȈPb廏Ì ^fC gTրjcrN>p<>?nVebϿ1:{*ZG .'N ?w <}Ur|7>c'y|҆p N4uRTѦ!f*4cu]$KsU/R(I?:.N0KyjqBՙE%CD|j2Ɲ!12dZi<[3t>hmL~ o(/2vGdV{a̫IoZc}Oy3'5T~OXMÄI& #T֖܈t|c{ܬWr+&9C\qD1:]l{U/k#af$r) Ů ֚XU^iS)/nPmrTv^TΩ/ed-T."2U!ZjlWqfaA+V^N& -*GqXTkY$e]oW pi5xNklkQ^SJ+'NLv>3QWR4 NEizME4%j$Bm0*VuToCWo]Hϙ8oPWD.PC9aCi4#Nw3l;?Ջœ6B׋ mD;_nsgIz?Q?]=7|+b37JG|:zF>;H;ǨI>׼n`_b?t׸mߍvH8m'oWün6lk*鴴[k[oޥ9l1qcˉ^1 N{ S!r>M+;l,Xz)(+gkd6k-h|]捁f7&ULX*\3W7X:InmC)3eYn-f⛵بwo܆]o=JV/wiK; HVw_GKrt[7G ziP EEK.,YUf\ˤcslSgGz-ID3NY]>$HIɬ|s<:WҾai6u^GV"(1u,$-Zۉ\ &shm͒Q>&1O%MȻxu-t X7Y)9Κxǡ9NΥFXMa4yT۩&mkTLǷ_= T?tU}?VxB^[0OAɃI-Uu=/#.TA8E[ѕ`{ы%,CS{1>FĀ^Vr*Pğ^Qs9OʅUIZ\Kc6J)|RDL2!渹~}h_!&e] VRt@M0KEx(:Bm%v((4hkd"{N _͗ޑ~QվVBфs~XxU;fqt0gو[(ܓN]lȣ#l߲,gm *H/9eMե̣ aI%1`Y")p[,lag7O2֨k5~Xy`wܱ8gX $CmRH ]+){wf48K ߁/`M/a TY0qq L#U`$ѤUdܥ^4d 0 vDiWe R򡇄z 58+)؞ۿ)5>4dߕbQ >ݹmG0/}Xaύ?;۾yBJ.JuKi?˲nd>x~Ȅ({xWv<;KO !M't"ze"IP"󿒄G):^2aIB1aƊnڅkWښ']ټڄƾ" MF`f.FB7X|> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6683 661 0 R >> >> /Type /Page >> endobj 658 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 659 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 660 0 obj << /Length 18 >> stream q /Iabc6683 Do Q endstream endobj 661 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6684 3256 0 R /Gabc6685 3269 0 R >> /Font << /Fabc6686 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠZ|:S֯޽\:H9,hƐ d査=0'/cX"#(j!`aL9 }', $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau3zg5ŐLD}%l)l,1aSi ܟBd#UO\05cD9 ?^Wb>!%$]@QXy Ph'-:A/}S endstream endobj 662 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=55) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 663 0 obj << /Filter /FlateDecode /Length 6252 >> stream x]Ko%7vWz[Zdḁ,lɌaƛ|źU%ɖleUCy5s2ƿboL-LOPC=|&c_uqz197}Ak?uH:Qn{k~{BW_k|{ӳq9Om OqwGW9}@|0sFSZPTeSç, 7ܫRIŦNL֗9ZE' A evz^,0e&[uVI+דe.I;'_ۿP2L'Y7+O4E(nv:ꌚ[693dM^+[Erchs$Egz&1#fCеz17Gz74#VT9PT3WYDN ZQ;~/%kmSLa./]H3'Qǰ$0:J觖6P3{K&:sN68M^4z pR7iZt3TY|^tZist<w|xYK%`#*wq^szS )h"5>ӐɆI$^ tRoU6dw-xM[Y 0S:')%#`A9UQ+ \!䫷>9$d/'GsazBya]QrT?y\P{^Pw!v;g;O7p),Cܵ{״e%L w,76a wg10y`7ݰPy椪h m̘2\/ᥪMs)<O-[˕Lᾮ^fIL͂xo B#>n 3-2g9g.|N2FnTrfzG'ˡ6F!xD}"aZPV}r1A>]P_^à,+BM2q@!ʒ-@g âv;fA#(CqD_VʐIU-Zaa=ɭ[Mv1y J6l*c5X%vW-zQD0%(lƤ.|5ڠY zݸ@coV~jd j(%V##CK͚`I@7+3\uv&$Oz3^pOIS$隭Pb=1b!j H *\% ʟ#CA  \%]xR:8#!m.^ʂH7[αc q=1N{E=L}[e=ropkPs NWyFyV$p:؍CY-*@ّL"A+bx=,[VnYVRZExu>dBD-#cHu}ߍBDn`dcbQ}~hVGRq`yY>$T*즠Cef:rYGG$D0E¢}0-]*-Hvù8ZZ ~B,&@kڰ6+06UT5h^f/fDx귶F 1SSO !x]ۘg~мzc1=hϕb+ևzh+D|KB-rhu5D: 3RvLJ1Ig}Жqy3Ҵ55iUx 4>mq 1-T+S6l>FeؗQ߱TH Ol%hنө.Pt31?.U[ h&Ţ&z!WdH &DZ:uGo˛Ӄw8(n]"沌8x"B~")%戎.Eq%j;vxJʪD-cٞX tW}L.ID{2Ӑe|nM@[~5!b#dp|Kj n!' Q^9&;l95Cq~0mE<kwtx8pUrRu=MGF)@X|jXW[fai}vQ}{o+]AйC8NV2'h)R $DMB> j. p,8<&BXx9vp!*Âv slgB' zT {LLy`r$w"Z\є7;}p}SB*q.e0C@ eZy#1Az~ ,$6UB~R7b"#%–x|-TՊ"_|ɂS9`l/ߔ8 w74N 7%3)~S} J3Y W3F93*u$NsKr?Ż^6)Q25!g VLۧMQ]W><酇 __ 5m: BS+uۂ=c)2<,Q5>Yt+~ܪG@"P )4/fʲyID_XXk)<̠hCơۆP&G'R>FhijƿT?tQyTI~|\hli3?}rCsg: voZ6f@Qh_ u{aK.ԻrlGi!SotHCzOۙOb4P7!ʊ U:_MN:E* $0]D )Qf-Ek;X,$e_fjH^o^ϖ}a@Q:e[= J ȶNA#Z kUݥA:+/S33}ƅZ,k$z2FR/ѢMt!ݚ.jWnz:/v'jkG4rMa ƉV{ߨk(ޓ}CqeOS ik#,-ZNsGkZm|T6}f|&~MnbNjM@_d9hz6qQ@v\CQb!p4kcCV?ݳD3l5=aMO>`Ջ8?̎~434{`_^F7B)ZtwF֥v&aB+Eɍylk:U:Zqf]G=IA2̑wṿ~?u/e_ xqY?tN{k;WӦd{\s(2sҬ/\fܔM:>ΥML›CNؙ͠aGe]ASa$Fi>8H[Z.$м"Nl:Q-s;$9lC[hvXf켝Otʁ8BZ2U6IkZҴ4tlW>}:w>`dD ^%VDN<5WYZH׀ Ѧ!1T4l5kM^dVyjUc%=[&Fd\4dɖ ͒I>,UV5n\ϚѥDAPJ;"DLxK7 r/eiN-sً_ZZ#'GIyRjym{|v}PM^ҕMcK3=On'> S>)ЮBۘ Yͯ;M endstream endobj 664 0 obj << /Annots [665 0 R 666 0 R 670 0 R] /BleedBox [0 0 612 792] /Contents [671 0 R 667 0 R 668 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6702 669 0 R >> >> /Type /Page >> endobj 665 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [471.7605 660.5538 507.0265 671.5538] /Subtype /Link /Type /Annot >> endobj 666 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 667 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 668 0 obj << /Length 18 >> stream q /Iabc6702 Do Q endstream endobj 669 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6703 3256 0 R /Gabc6704 3269 0 R >> /Font << /Fabc6705 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=ΡDHIO;p%4CNZu^lM endstream endobj 670 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=56) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 671 0 obj << /Filter /FlateDecode /Length 3731 >> stream x[K$7Pi4tUu {xS]T9&' NC𙀸~9>V/wk]IWh΀3.w kK{1ZJyL._~ q=cγϗ6`LpM{P7qPX@%Qa!w8XPyHHãc'Fg|OJjh8 .Y~ Ucʗ1,"<7f !+{E4|( SBryTa(`J4bHLI04ٱEfD O]!=㞄F88+K~aVDNYY6WsV4Ԑkˮ̄B*rl쓝<&`=`~`&=4M7T`D I!LRC&Vb6 TkkΌzb Q+ cm% ~" ËglJ0Zl,j$KPl߰0%apϵ=$""V*l#<]mXr 5(7fMЎلrÎ0fV4= JA?v]wu}gBk|UʪD`+6I m8(T9 &_s]rSz4WtǸI\%Y10f܅Hdz`CT( b|I 8}|j$Ɲ1.\BӳHTqhϽ<҆IX+$c9' N/ص 4_לh^ĕޑ(>\.U|5^N5C&{ZebGųFJiZovՔQ \1S vݔz.HNO|n KsWǗt10R0޶M0W.rɜYzQFc@j9.'UmjAu5Nyғscs:L"(Moq֊ќ4uC:LEBEg)U>Si-a+϶7` !5~#VPO+hջs[ UayNC$]喺j oZi`܍fM^|9cn;mh WAmsSZLoe*'R'hrPLn="aBG%яX41#)X5ozkTJ5{TQ`/- ;uQA R$9$H.vWRPƵ!ŕlϑp9& $UdC%j }_'cWy4COosC9d?SӅWlYOë't{E 0 5xU]Q^㧦N'\2ZuЦv{gt|6g|2'?=W%N*SچB)A"K^v'j:R%/76uewq0G[#zvp}7A@܉lUwt)ef2l#w؍|ətyj1s?=M0oM7o76jbr#@ɆvLAfN6AeX}J||p4g`o;ұ95uԜ$䪣t_fx3C9Xswr Qި$9"u',+M%vDs3 f }&IBHӏ(%XXmiԢC"?X'kFW"Rm,VPZe84\0'9vkoKXB5O7M,2*nsc²kGqS=HOu- *WI!SJM'w}s8Att)/ݹ7)6*禧U5:Ÿ-fk8ϕڶX>3Cm'*Rݼ;S=\4/Fw5}br_ܑ*kp%Uzx;ϽvQfbvI]V `~{jPiW{ }ې,)CKۣqqnis>;ñK2zV~kCrU響~1I8@ eAAќ_Yާ`_{.7IE TvK*k8@Ժ:BY;8f:%XTg1qkx1xv\G#`$AfAB%Hmj$@?:,ңd()[Iߐ0 1wA55ެ %hP틿kLF=k#0 ;0rGvLz=ʘ 8f= ie^y֦،苺2ZSui($zXjI&8ly0|_+˃ tY~zaw jj9KQ`=|lDM4Og Գ'kԾdֶ4rfL&dȲM Y]b~Nu%iFS6X{_r= >UL~Zy?HU&I41SRRe.d:AGQz RTHt8ڷ ;ʯw=~ɯ;\/M w~JX{q:f {U6ђՅ F66+F4=/Gi% Dl R˄Ԁ<BnBр[+IqtB /hmv0v)lxLP : 0~&M‚pK u B,vC;J?*X3(C"!WdmH : '䑎t:|ES@ vSv t" *(,0ݝ1E+iy6Cl , 6Ela+|M3h//;j$hPy_"-H jja/#?(Kcv5o˞ 'nIJA0}ݪ\nu3`:+ONj΄m -z&ϕ .0i_i89uT"It Iօ??ݜX kM]:cA k4~AADmXE0:Eycb湮CzM\jQ=$R)&Y''#vzt%[aƵvr,=I2B1h6>Ooz\ϝ4]D NGIaÌEi{WdϮlV2AM$AdF4+ [OEa3˶džֽ&\. endstream endobj 672 0 obj << /Annots [673 0 R 677 0 R] /BleedBox [0 0 612 792] /Contents [678 0 R 674 0 R 675 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6721 676 0 R >> >> /Type /Page >> endobj 673 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 674 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 675 0 obj << /Length 18 >> stream q /Iabc6721 Do Q endstream endobj 676 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6722 3256 0 R /Gabc6723 3269 0 R >> /Font << /Fabc6724 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)Wie8>϶FU3ۏ}bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwo&| endstream endobj 677 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=57) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 678 0 obj << /Filter /FlateDecode /Length 6159 >> stream x]I$uWY@/@.|Հ/ny4\H##r.Ai$`2LfwTש))﷿ǯ_dVgѫs_=h3^~Og[?1=ƹG7lSmOLo nyg܂CDW(V(ޏb](V;(јPYD~nt=m4[``ػ{ "/&. ;vx3CW^G;3-z]\r_RSBԥt}aƒV vgA&WЍvTcŠNu?&"c oԒEb$&&H׆=j|ٍKQ 7O_3[ty,k4اX{ ֣Z]-G G}!# ZPfCLݚQ}4;"M]"1a2 wo.* q:vb vѻDMΛlᐿ?ȋ8}8mB5I~N_m=5#!b O-^W2>wu t?lM [} :%cE'˜sL5Okx%;|e$A?7p n">Ζف׊v} V isV[븂ZFz4tM_ Z4atpn f:8$-ν%/mqE]eUt1 0&SK-!41 eLo &Z>KpV!i $ґeDr6yaCm 5X!0!Gy>$/:441)4 JIC$2]C! [CƠ2@:h;hw2= d04eqA7*kP@%(GqnNlmCa` Ho1I ` ƉVWц:PihԱaU(&[IFC.e@ 3m`'8  a=uGJŘp}اcD&E[l0D-h8L6o'.Rc$ R>q!R~=dP;0f/\4(Ħ%]}0CB`݇M&*Pt!=YF@F/:yvD$8Y\<)LQYJ_L-"iA2 Tg" _իSA۽zu.~W r5yZfnHqceKKr㼷=Z2bō%7eN;!u=V;¥jbJȹ{ $ c,-q%fb{3cKl$[tUUd2NET;j瓄9(~pNK*W7fRNmAyu[&~b}Ix )2"^O#ZV?,_r8K ;)p4YRVYy|VG*wJ qIΦ5Vf6āL>Q:kZZ̧.rCR9k7vێ 2Tlm(lsz76ܙgXI3V5יkH\jFX i:N5gL#Q'RP'1ލATb+jG`reA?{ J_sl+6dՆKTEeyd'Jc|F`GL6uAkB;X蠸gm3n1 !ߟY{>z}y6 ~:] m9;h~4r-,HQUhcŚ?RԺ&z>Xv=1JA+vZƔLzkYBZE d%$?6WhD\ $ ֐1rr Njhouo;@(i44XXOH!EW|p­ >iVC$SP'3>dzqEѻ!(=Uy[R۵=jWx{CBmK 5 295bAFMިnh 1D׹$))A}9%t&cwMasc& vmeI]a$Ug`{L&SK_=cQUcuxg oq]E2Cx)@LYR-Hքv\ũ)Ast #pTUս#&r٫p5j&/LFL]L.$Uqv66:%y#DQY)R s»UH /dn)pv%3PX>:)x'OkOW, Q!)OU`,-EVٚ6h[!'mf*5Y%Ym#pOВh(%f* gm9]5Ce6 Q%Ou.def`{N_LcTh\SoƽA&ގP}#vA܈:sީOf[3u]}GRS!S߃ .J~k1 R5v=߫TSP֨c/qG ||#}n729@GUGY4|BUNrUT>DKi]֑K( fQLַ]InvM^6E46xLQ=1 Է#]~߰>"}TOaX i u\& PS2%!,3D#9KC = # R!|vsr-iDl-q|k _ȪfzS>sbw syWHI6VSrڏ ՞+,mC"#]BC|<:b0/qTbJ i<c!RGJ ub?2{%jЀQٓߧqٝIuNCIWITKսJoJAuϒ; ?c(Jf!a e˝+&̱mgNG,K_Jj?8PiA3p}!Ӭ]eEچ*y,{5Hdh1Vp2$=7_^t\h~w)F+W+>~!0D( n!I(pK?mGBHP:1Ѭ6F,adHE[7^f>@ \X ?2FF_ClIS\r8x_b \1Uضa\uJ;U3)[8{d˘vp'Ұ.6'^8vu D0ֵc%ƂG" t,!owvK;*xU;]djTk'1WW0y;:^mn,c0O )R?^'_<:c'ȡzIt0zsJ \,~ڂ*3b$jà+Y*äqO7A:nY_ R<,DpBpy^85D65X'hw@mVY@fT킖ZYzd]k#Oz)"ZoZ$Q%ܒ.=}{FLj>qzc cw:d D?[z㝺r:a8\` "hb!o\Kt}|sAPOק6mM|qC?x$-V_/1mIg?.jyN=M Lŵwn!YtFֱ5i'ei8zBEKhGf(-L0 ^u =§+hZa`kDcbnBז0,IoQIݲN4V0*n |-*ݖ7R!\Ace3ҝH/uwJz{(=WjM$"W-%ѧExJEQo#$$走,3(E/$!өJ~" m$r}A$?gDay nTWWz팛Ϯ#&4Qӑ݄i^,Gڞ| PgR>RC߁,Y(&!&NQ.^T Uy q,ݐSI%3c-{0?.TȞp`~IޟY35&JVP.XvɁFlL;u,KS}N@nwp!`h:/.kpom4w;Α}Z˙Rm ^fZ9,2[#tk95w2ھՇҮUxѺWVm?R+yi<=aUuth`k^skW+ZۮYORȗ#[3j)3_r;>Xy`qD@;t2ݙu_>L` PX[Y4 wɥ4,ϲבN@ˋ.ǾΡCE ~_;[iF}Iϙ, ]YBЎ}F-8)~.^L)M28YL39j'wy_W}_) -U\ M;W+.Y [ jXv^yhXn$4ж$2 "In:Z S-xw+ nO_M6nc:):)"vWݑ~"I\{>'2RЧ5#WLё%!QD9_jaU5dȋ}n87vJ %aN~5bԮסB!#=BC:?x9̕4Im{J, L׽2-k, q4dx%C\[`@Ju5? KsvƸmY2+m'b>9ZLڬDyk93=I !@ 璳ӶBQiW]"c +gDSdWժ~ʉ! ?Xk[gYrZx+ }qC $Բ?㚎Rn?K\C^of3%K}r>|GpM }|iꧭ/`d+pJ:!48> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6740 684 0 R >> >> /Type /Page >> endobj 680 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [484.6855 660.5538 519.9515 671.5538] /Subtype /Link /Type /Annot >> endobj 681 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 683 0 obj << /Length 18 >> stream q /Iabc6740 Do Q endstream endobj 684 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6741 3256 0 R /Gabc6742 3269 0 R >> /Font << /Fabc6743 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ7G>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}, endstream endobj 685 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=58) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 686 0 obj << /Filter /FlateDecode /Length 3405 >> stream x[Ko$y3M98:k^UfѮ+ ,ֻ^2JoGTY5Ql/A12Yk@crN=|V?p<>o;Tk]I=Wjme}_ݹFxj֙Xcs+L6A*Ė/0'DۆX{6^<{T=ʅBS8pNv]k\гK1i}iXs!)^?YϦvkP: n9Rɠˉh/r3[L~"^Lcg=y]4_ vu|}z^8< rJwK$fzZdjZض<6r7OmI$ģYH^7H=S@ r=Hgu%/CXg0]# ۳yX,WOr@Z:ubCq9{cMBM9-[$vTkMcoH$u-J+ #'W&o>EVjq/+7+qReS/JcyBw=I6s oq۔ƻAI>;..["^@9:| &fkp͗2t .xOoZy?"D7TP&;uB㩨(C\IY\#*7T))j6!xvEK>d}DŕfRZ,Tx$*|3 B2B+qtJ"}H 2`I?@JA7d@ԙ>I}? xॾdU#FfS{E5TEXL}WiPai4\<4ght^Œ}M" /F'O!#}Zwgm ^ "z wFli($yXjI&8`H;gxqF.婲 lY~zwl+j jXUGO$Ƭ-CrOsgsOr֨ji#X1!6JB$Y':oIa/& b+@/a WѨ$`(QD0hsB%e(dѰAGQ粆)P aGz_I~~oW]wF~ӓIHۦW~J{vj-D| q8[2A V' YU@"Ry" Uܠhs+IytB Є(hmvlS2p(("@t?NW`&Mƒk5 Kе`ǶJ7HlQ!P(6Lg:#<ʑu.@m;AN $ .ͧ I \TTN7 KI?9¶2Pg7̖dSVt4NPA&BX3S\Wk )]-%qeiN[ Oyb ڵn l sAwl ^B_\3hmBW^3x߲qgMo0m Dž`j;>عoo!bGq8-\5OhT>Tdj ?a7D}SWG\ ޥ/}z }DG1ٺQ 'FJ~۝> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6759 692 0 R >> >> /Type /Page >> endobj 688 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [477.134 116.6165 512.4 127.6165] /Subtype /Link /Type /Annot >> endobj 689 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 690 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 691 0 obj << /Length 18 >> stream q /Iabc6759 Do Q endstream endobj 692 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6760 3256 0 R /Gabc6761 3269 0 R >> /Font << /Fabc6762 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݐ5|:S֯޽\:H/ JcB2h7r ɋb `6P\0&U$c"Kso"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_I٧j gVĉwlVB+0=knT-=&CO4{rCod%#5JVe8>϶F՟5ŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 694 0 obj << /Filter /FlateDecode /Length 6808 >> stream x]K$7rWy46k 3V}A2YY.iK*bE"sd&MHN_MLO٠H?O~s18kMz197}D߃>yCߓ.-]Z?Wf69MN~BG@pǓ4ֆ7hL2.+ԐOLYܟ C%.7Ĕ|H[7M6mbv׿ ֆ}P8;}+L+겋q֓aξhmۧj_P1_(8ţϟέcb!;2cW}*ճ Ɔi)293\B ==_ӏ~H#Ѯh g?|aj = 47?Mn?lRQ084Gb+^ `7W/*.:+Qm FX?S7l8} QjȢ1G1 ":=Q5 := QUլ)yp h*:[@`^dS>^\ sXDBeN*kH:0f<SQ }:hh~Gt7^?"j9# j-̑F,n5dhjl`ΌƓ猍"S]aLc!nv9dcp(|'HuŚ^Iv%F LSL^tE-Ob,(R!ifx$aTfVt`M1H/X411R0{\.MP_Gr=A/1ǰG L; &ǃcog˿m+,8\t'Ѭzlk\>Zɏ,+3 U `Im  lBy}r+ }"uEk 1B&F0CXCU$Wti"!\'K@N9)~ҸU# <'ac ,dܐ OoX\v M_I)j+ha%6DyQs8I4%kY+`zt$JQJIHx pui-D9wMLyD\BRx+5(,x%e@I$m]ʃ+6)M݌VS{VNXu Ѐz>UxBhjtT-GB @Rk}Vhin㛋vXNl˩ T-꽃SJ&6b"!7 RǞ3TEh35@<5^"w!FRmDMHvwˌ ,P{Faޥxl!4P & |Irxt4emy.dd*4:꞉,j ޾3+zQzڵZh>3zjC3|v|I׎ Y#|.UP2j]*RީR%4W4Zb(͟+r5{,bʸ]LG_b mX"hjS]M7Љ)$ _:y7(DWz+IS%HjCM2F㍴ - =dOZͿc&uwPpӕ:Ij{>Z]Qb gh+4H!6]AS7G.iQAE 53׼G_ʺp,CdRΤjt*bgjWfBV9[xtX.eN{뢬Ʌ=;S1_ծ:cڢ|x-LkXp/b7Rnz ۪2Xt[fft3z%˼񫭽'C-sq㢬nb3@Y";ݱ)ViVEO[_z/z:E Ux{)cWC 2vC3(bYv\Zr14D0(˼p}hWF24\}NR7.+t#rʐĢMsu#L`6H:$<ZP,MP!ؑ@d+("4$'X-Uzc\Rſ]4bUמz!ܥ{ 7gܐv)uךgc6:F{@#E<խxNWnsAPB&T}>~ 5IrȞ9HjrbuVj'Wgx= 12\r NxJB .hI \g=bxB')<{@[}']LiJNXOiEZBle @=a-ʒTeXMUQhb]M{ʘf7s,)9cW62_wKm Q$6 ЋH噖UX]) .NuːIٵ}7NRdӿ]H"к!e{LW|VWhKVS1IL#?ׇ`pTPFEȶA̰8` vK%6g8BNT_ u,RzWyIO5(Td(o0hF].捐[8s]}_?x2Ln-QII.UtF4b[#g_ήL>WsyqbJ ef&s 2xN/y;cEκwz2ّqqhhfa]UpT0.0.fwiPH- ?>݈cű# /#x1 wo;kcCkC2F+ +i\2tٱdIIY@ނ\B`YPH-*1bY+V8zFeIw# p=ad6 +sH^A[*&HGCdTEM9~(D>] Ңک>6 (3:}cQ9C=I@V'J.ekBy.j@$)tW\]" +#GX@6iq@yAHH?A9S+`R\Rt;.(밌$=G(YlBmS7͜/N,dH^ $&VZ@~ɚIEܜS@"_N~.&W )ΆJ gP,pֆPlsPI%4":;a0 Cmc &!ΪQa`HS.Jq f(9+qU>#G/6QLi+T+Z^zT^ǹ¥R=2aJG7 B GjL-tfG;**W L e>҃M:'sxnw˱އ\o2Nwx @𯚙JogɌL-& H %8iEnpdXBa*ެ~ͅQ~( p =ދ\>&~2|^yZь_vaO$7I=7a;le]9־:CZq]XGٵz](GA([?B@4[K;g>I_hȌtl:kE=bR}Z>I\H-äZ8f4ĵz͕eFEVG޲N"8D3JrAdXMھ\ux8H?QKnϷGeнoО-MDx5@=Cm=- F?4&4T]|d $֚0Wa/7,ZTb.jTc)4C9Ō%G /8قf9\+BT"imz/8ҙʑ 0m!TK+k`^eaɊğbO' ?"|j\iO'"pbf}‹ASkӹ9^7|[ڰ0"M>['p\O]蔡~35xba :IF'eddڨӃq4|i˱? gbKaxZӛ (w.eWZdjÝo04u"ܮm kɶw嬰gEXIvPnuwVUec;ʮnJ4+^!hꪩ s3+=b|ZVFF#Gm8UfM"hk8tLiM]j,vH^< ftGmb AaKDȤE?&ӃD΃A D!m V`=sA8Xg={xxގt(#1\d\Ido:Đ؜7o![ۓPU8xΩoR#'XϝkWS r6O "G֟9E1ٲb7JBj(>YN_%s %n7 Ǟ'ϭn^/=rվJ@{>Uu pAh>Lq3)䯌䘄0:s@N]g`/5GĘ <1G Z8_m Z , 5hC[ܶ0rԧzDwʈ@d 1c0 ,>6 F㱁fKI ، l?8/-Z9oif|T}0^\ț.t*ތK"G7 O[YbEJ )kBVY7Rڄ'ēe3jOG cȐoHiE[t`74#Ft18SòaXbPa RiwTष߶5aPzF4x.)7s~ˌ&tرISU֥Ԩ)7#1 '8-Owzoww::ֳ?\bΗ~G 8‰muWj9H@q_9r.}huji l D/{+6\a&C1NmscX i`V6\qM i)IJscԾ΀C^G6 y؀}1IýL<:l\[:ₖ `H0![TR^xAMB^Ιh%D;xCq xMiH ԢCQ }_KO{  8[\S2ʆgR6ElO ^ BBץҜfCbz4 $暹RzI"ř3"x'ʐ&_NSlϑ(;LgjI @H;LѶRQcKWݬjl@Xk(ˑ[ϦI0T\mo*5[0-.~[,kF.}}Go5ZVqVյ\yކnw;oHZz$}> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6778 699 0 R >> >> /Type /Page >> endobj 696 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 697 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 698 0 obj << /Length 18 >> stream q /Iabc6778 Do Q endstream endobj 699 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6779 3256 0 R /Gabc6780 3269 0 R >> /Font << /Fabc6781 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nE|:S֯޽\:H%_rPd2n~K$V-T0EH(.$c"Kso"ɕ jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB Wuţ}"juZՇjxpf Rӳ6{ik_KaRGcb>tD'W9F&[:WɅkEYΌl iTkg5ŐL$5l)l,ȱaSi ܟBd#Xr>q|s(dWLj$sx2UOc9ΡLH9vhwe™pv҂bwo9 endstream endobj 700 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=60) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 701 0 obj << /Filter /FlateDecode /Length 2688 >> stream x[Ko8W<9|SZ`=n=,;ݍAzHJĈF`H*~7߆.10-&݃uBkijT W^<|q[p#[hx/O?F!@sW)}TF\^LX&_s2xL![f~+,Ii7yvMY:Y܆P錘ߙ„V.:qtUB:]?W7}ymvCM(t .8i=m?ħ_]4\I-)R0|<⍠u.w&?v :m''G6MHw0Ta+*.c ١z.KMyq\5QHbg6竢aʪ1ݓzPaF]58t^ Vi販Gԙ+6wNYS,@Ԋllyr)dי;SaN>=w,}fAnRycBpR0y y+yJ7Й*dUcwQyJ)LP`4*Ng9ԡ!%zc:1]uIq(JpZr1U䪋85ēa|#ws2OZ՜_ xUmhڐ᧫jCӃ 寧J64Ti4 SqJVy yn>bBՈ.UBP&גw?7LҼK{[wձw\|I5uj雵rgՍ0'zqpBz(oͶiĽq/DrPu7PrS֨ӿJٙRrY1:ޔ JC4 S"Z4w\(0 f.D'Pg&dAcjkQ)l(#@|B>.`FE"ak  O0i`ǺF J7(R!P*2ƗJ˽ P%%$!vwG؂SqV<)3X&+pS@ p>^1_ Ҷ=(a{"aȕ-\&;rACn H r7h<B&4u5ȕE\pfxoЂ''+e,:|쳵G<[{M?fD+SPŬ `qo^l\2"sNS#I#p=Xؔ4SM˖U!m_IBuJ;E(pW.͘Td>#ߧ/0ca:CBYꆃ^ՕX,+=DO'&YI͟]+v~;fl7ҙϻ^hv>S&}AH 閺> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6797 707 0 R >> >> /Type /Page >> endobj 703 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug908-vivado-programming-debugging) >> /Border [0 0 0] /Contents (UG908) /M (D:20221102111807-08'00') /Rect [512.785 321.2539 548.051 332.2539] /Subtype /Link /Type /Annot >> endobj 704 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 705 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 706 0 obj << /Length 18 >> stream q /Iabc6797 Do Q endstream endobj 707 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6798 3256 0 R /Gabc6799 3269 0 R >> /Font << /Fabc6800 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [njw/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3<ꦎj ;/d"Xl˜5_@KiܟBj2gԜo.9cD9 ?^zv+Q9 )evP(y _E ۾{wXz endstream endobj 708 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=61) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 709 0 obj << /Filter /FlateDecode /Length 3520 >> stream xZIFWPr <`9L1 "22R-]) ITdd,_DTFivtHŪTʦ\|0t>7">G}NkN}6`ջd4ST>=if p}:J=2ε־0qoy}=F4~NXЍ;gdNzV\Msn?v9O8?w?0u'kS}o b$7JҞ|C}tII_/zY,is"i 5_ۺ_˛NH-Ep~VrqIW,c#uRt&NYA8ACIN`ם%Wq{XAݵIh~e ⫦Wr7ש_jzU~~c|8ZSQ?F4;A tcrZRmʙд8sR9`6Z;gbQcjnS({,K50f!&ǯ` QA{(q-@N  Yw0P\@'fgEHn -hܤN m#b"sk.*O{y0ן9.#6ّi kI:47XtVx_K)Qd5$[GhfmNf8 v `caXj6NRggߋދVfl(Qcj.ZqʢUBJ/هrx֫؍KDڈ[u^!~eָD:|S=rzU_5 N->2lf{\W?(s.AiJ/Txפ+C<ށw|ú9hUg`SuL%^IHiS݀4Ez<;M̒7K+|24UT!k;VԑS-Bp ? Plw)occauW2_u0f-3JݸY`hUuk,>TZ4wVgxz1A, f7zWiޜN~s.S3*B?lrz|VlZqh _jԔ%Z$k"ZMKX#r2AKsE!9ù8)^n sB1%~芴7:\e(fcB|@nGˢfX*=rµ9m!׵6EA5t7^V-@_ s[{+J5)7 {%ʲe) 9y"C: ^IY;hEʐވʎ_G6Fe z}5 eoh{wbq _t|˾E&DYh_yW^{*+:AcB/Z+`}&[tx}5mUѷz4=&W{K,vi,HvhX `Φ3M5 5LY_pLh!/!ki4\<,Xhb j;'o˵9Aqtw7ӥn(Hs?de6_fԆޗvBQ]G򛋵l iE &&7z%Oh,mB78,Ybvb%#XQMy1 cۘ52atQBlI5^MNWd&M"akd",lmׁJ'HQ!Y$FS'"B@:P~$qNE)ߖLr \u ?^K*a(04=!gl&"_j~dEg2 hZّW5\oI-PX!-( jj/3?$Ksv5edA7s_pl s!wws{i2CI?ZHŬ `¸[:;4`ONc#F{Ч"ߛw&Ӽ1 5&.0}txLC|B:VY>h,5]U]vO<]>>G v@t}8#,ƵY{zdxޙ'S[zwνG]^þIeK5=&#뀩F]'~.-fΒ}SIʲ\Fm*q&\60h5P+ endstream endobj 710 0 obj << /Annots [711 0 R 715 0 R] /BleedBox [0 0 612 792] /Contents [716 0 R 712 0 R 713 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6816 714 0 R >> >> /Type /Page >> endobj 711 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 712 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 713 0 obj << /Length 18 >> stream q /Iabc6816 Do Q endstream endobj 714 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6817 3256 0 R /Gabc6818 3269 0 R >> /Font << /Fabc6819 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x77Z fc}Lh < ӄ9p: 橷൫ݐ>.W^.6qS\1%su4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$/5"]zP.4'ucV(H!6,>Q]h߯}j]֠g'ܱtM^RuTkQ7^U}ɖD.µբ\gy4~s? D?F<<%C9P2,|<30-s@,`K'o.Ŝ?kcD9 _^󧱜@wP&O;2FKh8JݛF endstream endobj 715 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=62) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 716 0 obj << /Filter /FlateDecode /Length 6790 >> stream x]I%7rW<Ua< `b320;`0I%F1L2LOm'?^gu XT?WW_'zw<Ό7Fg_裝u:5Ӷ4 \6w#}}?0Wu({{Aן_1bWu:N:Թ\A+.Sgz+\i O KN簫î]u7vmUw`Wߊ]uK(v"tG7xY!4IʔNZE&yE88#lHDfs%xˈ&J Yq"fSFօ9u3IS1wn|نeKsCkg.)]0y.ehR*PwCȅrt_ 0DDM-unV gsl4bo@+Efb%_*r.6# hiCSjhC`"S+UCA Aȓ)S()Kd)7Ȣ}D<7SL>3TN3p<5ߪG0i1NЊ tʖ 6|JgX+,FjtarH}2f&̪ l\?Q7 .7j 1+Q e"u4"a F/ wXaI2 xl%b>Zw>ub;rP*#5&u9a#V072oXQRMq*uG,是D^.3ZWiϹݞye ?+M,ź~j3-1蹔,v9Mȉz zʳq-/fλLo 5sS\Rs Z<+٩l7P<ܫ';]q19d6ؼU=Aç{n">B:5Iꁲȳ}DD EһX/. {7 kQTuoUEUEQ QTue1+yYb_z jhDѐQ,KhT7L|Snm1]H% ;"p7fZ 硍oYFS}SC\S>w&qȺ)F/\ njIg_?yVN/0v"֡1,zG>/MOoFP,ugcmi-|0^"kUoA GyJy'߉mö~ ۷NafuB6KQ~j9Xk'ϙ:bmYruԶhĪɘTtIRCZTHbPU8MQ$e+,HEA~ )a)"fR@u)!TR jk*8 g++i"ג룥jCu ϏsfvSWٓZpm+^zϤ-6H;+QM;*i m"kHZfT^͈S#ZK&y kbdԵ& @ P\E^T kx@Ss-˽X <\ qۻU⯬EVI0ZoJ}MVʘ `I؅DCu6|<^}}}xEoMN05'H-7z!Fd3:^ jU#bPB-1k:\\[2¼Fg䂟l?^x D#QxHB,H!^!25dRSufr4_@ / 2^P!M?^%n_=kע_~Y"6b3F-iWd$ݝM-hi36Eh><lP3{ş>5[{?Aр%p ÷Gx'!$a0zrkSƺaLSwJP^*4;PQf,e&)2mb'T9 "S9xEH[;T+h { F`\%^nEfŢޕ=f[df łeZ)j _->f {/9vd ypT* HomJ\S{KZ $0(MKov![VYڪ56낼Fءx$b>I <|l:{4;w0*됐·^6b}h2T%@1P6!TK#_&g~aq]r+bߢVeóP_(_&q#@u&SrzZ(=Nwn5=X/{(5Q(;& K$*Yb[r1W_0Ex2!sm;LJd"Qh0`GL 6B1S]Zaht-ΞIhm0L VqDwx7aqTpF')ՉUAd{BUHp$"U,:jp[Y9A! T`cB)olU଑$:[oSG8| hY 8K]Xr, o1 րrЪ Kd|21.:n}3o2:%xH/6Rp@ ^zCCe"4}7JX?s8D ,Р M? :e6ڡB(†쁡 uQ{>c G7nyG&_eIGϪ(dT<s7ݜzw[}t@5 z0%I'mr?)?&8W*[T y|^h- JPV|ܽthxl9Gݒ}"Rzg_WOϿ~E?k!tP3߅?S d &Ͼ ϤOV{} }n6? r~:KKʹJO NeđSg {:~ %GFJAI=ӑym8fUvXoy6.(F;(~@byJ31܊v 'u ,^C}"CYaR5;1%vIT D-|u!Wx剋` M(a&v澅+i|>3z=3+u<>]}eK3BڧE'tOCr9 hi$Kl %bF'" /&5+8#Aq nb89$?+-zmV#⮈Y7]B%Ks" EE|5d 8$lL߅y oe5k(BkpdeǵN L0wjPnIv>؋5 tP'5 C7ڄ{Er]N SmVF f=Cǚ\9舣JHY[0L%SmY+dVP])rܧ(u C:Iwb7\pA⧌ zˈ$M<ݖWHϠ=Y`*1BDzGrQ+I8.tziզb4‚ɩ`̯ù,EL>~-73$s2̽Z~iNŹ?톊HBkj+"vp|MrNh/H3z4al*3XCn19<39"7ezr9)q.?)Nb?u'l_AFR۩'m޼@{\F]d'T9d+-Xz=9r8t tpXglg5ޢaٍzkE, la@L)*F}WuqS&Ks\CXGӟQ@x47$j"b[2#RFr \S_FKKi {)l.ְ:LQw5auxf^UnFbV$Y{TOуV6"Zw+̏;X[l fErKqj#>(^3r.3L.[Xp}dm9  ɶvGDG/OXǫ*tY\f*iE,˾WXg ѠĎ]N#Sj'ΐ,Wq}>z_ջUgokoITM> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6835 722 0 R >> >> /Type /Page >> endobj 718 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [473.361 660.5538 508.627 671.5538] /Subtype /Link /Type /Annot >> endobj 719 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 720 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 721 0 obj << /Length 18 >> stream q /Iabc6835 Do Q endstream endobj 722 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6836 3256 0 R /Gabc6837 3269 0 R >> /Font << /Fabc6838 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n*>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 724 0 obj << /Filter /FlateDecode /Length 3121 >> stream x[KoW@3|?ÀmX M 4`撿Dv5R,ֻtI|Z(OF|*L DRoViBkqyjT P%S֊ۯX%⊸r} dcWFg@Ÿ¨T ,sP q}yXÏyZGPL2ژ3VGWǃ҄`Lpq=n8Yf+bf{)re!\oc#R:`E!"1!Ʌ$<QCաK2'ۓ˒4Q*z&TeZ3LĪ@6И/PJsgczapNt( cBbqHzXPɰOaMM.(0,Kp1q0*6Qr9Ͳ2Ч8T,Q&jeGHC &G"crd!*w,J2=4 [Z,i#jjS9#BG5PD2"6P?Άٕ5b 'Ikiq;%0vБ9٢I>ETH M*Vv lH:3Fk-upp }}:NEc aVrXCy_5OWXvHxR/Rzu߄yM?rUJ(T%>$~)RZ?UF%fHZs* {ʙՍ!x'p50{y&~K  9MIz0{ߗk/K4ʘ_%?:x`wHo$ RdHT3gWe~5X*T>\o$ϒ{$Bi=ogxY_dyJ×K=PfXZjܛ WN[S·z,WdD憋;4vpyE"eUoWB=A0[4\ {>$ mql/Klm[ +X͏^wƹ!:g8_8'h|[!}zXSo@quņ |{ pdУR3i2hˬoAw;ཧ ^dAɏ49}>01bT4=IX,5? @<aEW7O4u)uE`6Y57$CcH2*R? 60g\#hm95KX:C`򋶇/QeH5#F%nkbewd:VAKQd^BA>bP :w ,m}'Wl;<_qp߿-FR>lF˟-8(c9 T̟_x)qw¤ Ii ZO`zϤ7Չ(MMCЏtT,e "c|ʹIruP ҡ ]yQ>\ ٶOPA2iK @ T+#@ضgBg7`bsXНw̠!pZt$u % y4bTiWk3 RKh ] '<wD'+a,*|콹Gܛ{iН'P䌚?&D+SPU `qٹ~gMo0Nέ7rA >沋O|i2Uϓ!h \O XoAA־s>b7D\cm|/*s}cb5uCYo|i7zMVb[ɓ> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6854 732 0 R >> >> /Type /Page >> endobj 726 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [482.799 311.4654 518.065 322.4654] /Subtype /Link /Type /Annot >> endobj 727 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 728 0 obj [726 0 R 727 0 R 729 0 R 733 0 R] endobj 729 0 obj << /A << /D (unique_45_Connect_42_fig_idk_jxf_ytb) /S /GoTo >> /Border [0 0 0] /Contents (\000F\000i\000g\000u\000r\000e\000 \0002\0002\000:\000\240\000H\000a\000r\000d\000w\000a\000r\000e\000\ \000I\000L\000A\000 \000O\000b\000j\000e\000c\000t\000s) /M (D:20221102111814-08'00') /Rect [141.953 433.1616 299.726 444.1616] /Subtype /Link /Type /Annot >> endobj 730 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 731 0 obj << /Length 18 >> stream q /Iabc6854 Do Q endstream endobj 732 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6855 3256 0 R /Gabc6856 3269 0 R >> /Font << /Fabc6857 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ%)|:S֯޽\:H9,hƐ d査=0'/cX"#(j!`aL9 }', $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau35ŐLD}%l)l,1aSi ܟBd#UO\05cD9 ?^Wb>!%$]@QXy Ph'-:A/}S endstream endobj 733 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=64) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 734 0 obj << /Filter /FlateDecode /Length 3466 >> stream x[KWـ~hd@ia/lRҌfw$pI~V* vtթTY*@t|VߞrV=A琲Wϟ/{cv?գuape]ŒaV`W߫O9 ˅s?{et65YwSOο]H L18bg#˵a@1qt9ek(-83Dp4kw2&ǃSA|cj7 kq oz>3ҹN=mpԮz&Y"^ MqBlnܢvg;L+Ix҃z\ ia3a]G1,AA솵|P~2c;. >Ly5H @~Q`byz4BR U"D0P1^N3~uo, @S0·'(GgrXk':o\ڪK.ЄZ0,X8  zl޵աX{DH}xnj+pbх)eyt7F舩 2}Qa0mOn긧O4cnmMP84D,->6&b}hD.V;nME Q86Fs&G$g{aI:a)d+O]ҋx*V_35n tJ#WqwUZu2P'V%}N]^XouPԤi[g|UM"_W[u}U)[jJ(:R$&#L$4&]Rîjk/`.z ~f,qf-TOGJr/BHd[pjFL%/%X0齉@1ηbI5 sqkV,-%ʉ~hn-6MqB!:B\TJ={)*SFҺkû"LBtC9DT]cM>'Wl*~֜ښnAa&='1Y&U"Dg.l^V_%sNjZmZe)+/'pcG):c?ݬ>iIPrKpM>f\eʽ`TnRzFMi3cH^ȉ"- 7"g[9}ɪW$VSV3]CtJ!/gOۢ!_А>jCiD]%Uڌ؂*wVS+)Sc{ut`=3wwK%0C' Xf1`)63T\Nm 5X;cs?^/yH.:FDlA$k'_劊 9mHFe e4+.?)7?}+_q[evBJSfpP ,ޗ2U'Bt^ R G nSjGR=N%Q٦{xw|5Gۼ/i!m.k_ 8?k ODL'G=YK%͕|ŏBWY9mPbrS Jv6.7=I% 0v)kst9l3 idJinj҅"9()ڧ>:۸]P{Vj_k/dGҐzMb'"ǂ]~T? "P49Wd62j}t#کFC wi˖cՄs,a}W$S?]@tF9Zd3C5٘ t)rS^R=jY9r \P# .vUmjkZ搦K,IZ)\IXN6,ywۙNU:zF9kMIT0H>Y#[0BĽ(Wv$e1h5 ĉ !P:I("Mΐm#E0BmAс^W 2= QйhA.PBuBeG!/q2 ]#,휵@$XX>FW P@`H BsQ2mbRf\m'A( DQ>*m۫dyIEɤ.y2 ZRAF)U=mnș-#זfZAKa mH+Zw$h]ҼVtu̙e]|Kc|82bDnO6„yT 7Wsz5S@H&g1!8ˆZY<҉ؙuaաֵpU28g~4#szny $c' eQc~Ә~n}|޾` UWk-IH^KmgE"ae`>?p#lXM~# z3$ ))KI>}O|"'0ҤBwB+yuWE癟nl[櫯QI+U]^Xv>8Ndkg endstream endobj 735 0 obj << /Annots [736 0 R 737 0 R 741 0 R] /BleedBox [0 0 612 792] /Contents [742 0 R 738 0 R 739 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6873 740 0 R >> >> /Type /Page >> endobj 736 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug908-vivado-programming-debugging) >> /Border [0 0 0] /Contents (UG908) /M (D:20221102111807-08'00') /Rect [308.757 485.9578 344.023 496.9578] /Subtype /Link /Type /Annot >> endobj 737 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 738 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 739 0 obj << /Length 18 >> stream q /Iabc6873 Do Q endstream endobj 740 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6874 3256 0 R /Gabc6875 3269 0 R >> /Font << /Fabc6876 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ%'th_{8t CrY*!?wG?{`%N^2 :nE.FP(BBq˜r9NY~IdNW3W( 'Uk9dP!s2@}QF䴫3^J(QMwĨ2R%4 ~UW<'!fZ5Y}'ܱYIZ)Yv0m11:}ٓ#f*Z yp3S~Y2cy / ̧9 ?ɒ F08` j2*WLj$sx2U|ݝCJHO;Ρ\NZu^lMZr endstream endobj 741 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=65) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 742 0 obj << /Filter /FlateDecode /Length 2926 >> stream xڵZKFW٠tfz {ò0/J]3TKGS`g',fR3zwi߹]|/?%sĬ$^SvjqU߉?@Q(S#%&J:y-~a"ߺf[SP%4^wRAN J٨'\ >>yz 0KA2xwVc PC Yc(' kY=l߽/414ZjO<ZTm/aEzyNw_ry 21-kk}&ryX7))U 4G%B5ef ħ_<=`^*,S/tO t}RhsϮX=}[xbSWEwkȡq-~YdFK%J6XlM]HUu8%xJbߩ"T(&h8 m_vbc)Y.b@d[Sۃ ƆoI|#nbiG`n?p6eRjyO/Q9k&+}ժf3RFRN 2b኉G|&_^"KҬ/2b&_j@iqJwUtEњ= $M l2eon MAZT4Zz>O~V UKgm)F,_+,k:nGסXZ|LPWO[ޢ@9؜fnrTI#Vjp^Cq4⺶viDqzD r8^ ޯ "t  L,2vݲxW&n@WT 5L2i?""š.aXDs7'R.k=x!wUES U1 VLȜ3V3&TqoS\':ɝǹ=5D#m􊝊ʨVz?N7$;b(J+\gl=0N n^_` ֕l+NIh"Wd^K״ҥ9΃Q AvqVlBRJ8ַatSQ>^fR{%UnVZ-ڱRzgԻ\:3X'd o;X1t]X"ou.۫GG";Qɝ潥V^ƴ\$/ ?% xYI{mE~&Pn2u'ݍyPo\)>Ae=9`R=" =\8@ N:cSط7aud?I͂/qzp_)&:`v=,8s-[EeO+SSNoԷDqSH-JfpG4hY݌jLߜN^gĺA{ U{>8Jy_[u+mY =8,/ˍY12#_&7"_2] a!$~.UwyU:ߠV12ۄNZEn/R;%O,< { S Pag8dIlbbH2$JZ01w f3xv\F"|*^6ϥ :'zR+v4DІiPA4q eJ7t6u)1i#8>Ph}Z:"xk.9vk5mhP_t3=nTy CfY1(8[/Y.4%K 92谂|YJfneЬY++5 ծ^SkhwFRV1;qxZbŭA>j.˼Z Cmza49߷`ts#xN pdP5DPI25D;mBoi}MPm?Mj#;|C40 r4~#RqNc.G9 r#|@ӊk?V츤NV51tIzsf,0lNw;NU^'7NweI% o $ppڳciGƦ\>V̽ XK`j\*cx& _.<]!0̌a!0/'+2nbN5`i&@Xx4Цڏ#_* Ud L3+:!K]C@qa$ ͷ`;VPn,rSIr>A0\  y0(a"o0gl&T0*9[ kjYzbpDkdJBQP@ҕ/!g~pd4%{p'V-Q&Ϡc#=XiCh8p9=eF.\jGOe0c\[:َ `+Y|_^I)&_+еY;B)_-S>.Ԯ\o,`euu'9-R>|֟C<&Q6>w1keO{˾5]U]'&E36:<^3cG @ߏvOW8ٳԠIWI}_'-O/A ﴨ+Wk`wfFcTδ,kU򫏩˘UWv> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6892 747 0 R >> >> /Type /Page >> endobj 744 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 745 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 746 0 obj << /Length 18 >> stream q /Iabc6892 Do Q endstream endobj 747 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6893 3256 0 R /Gabc6894 3269 0 R >> /Font << /Fabc6895 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQu[/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/}` endstream endobj 748 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=66) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 749 0 obj << /Filter /FlateDecode /Length 6259 >> stream x]I%Wlॹ/@.|Հ/3 .'Hc cRKEo?Vw2iT)W7+_aRjՔBV~鉮R.9|QJʸևr5f'O˯)AݛLgzJg*sMW\_<@VDBJ]iĠ*y̥:76tvlUI6n2("܂d) 48`Lutr|^/1fhʴ_Ѯ'Ty?tGi_*A5HyeTƊ&Psq5/oYPRt'j菭zoT{!&WI9Qu',鿴0Řst4cAe+gTt>P¡k΍-F$;IQ|J )'6D o1q->Uh\XOr6ܷD&&+&e2qY28LlL^dq"td2Y0YG.ADgME>~næ8zEl6(cݘgs,'r#egf pV0nM01+IE & 3"N֗9R+kU$wjZψ $ If $\H2OYh K`fM i)_HCBc8ҎCL r(1DL9QhlBOx]tďxo6abG"l^ުI0i#KäM&ףFLgUHHX3mDc y ,gȢÂVi7N+ hV$qVa63"NSHdPR1kR$-)k"HpԎUƒ?z50k"t]hc mCԮjkGWk_)g!ջ@ kvM`܌:Ql)f6E']b^Gb6@LW2-U$wY怬IY.>#:!AeIerQFI>EBrIza}9clH`H9?^r4K bDՆp彐bS8R&}'Ra"&\YGHAd}$74!HVb4]|託7Y4$]sd)ƒnpQ{)RLte9搊MtfE:qD\CΩ-Ihؾ셡 }œ{jkC#6E,q&UMHK9qC"/t^8W|{g"!gcioùwM⣹wKD}mMkF t`*laH d2Nsl=joH28Tr**+zOTyƹZi(dK~@k?~yf {X, trEk=d8*ݑ.%o*jYuvL,j;ӽCFosSQˡPۈȘJ\…Q>Q?`~$lޤ(S;z\ٍ#ľQ#/_+qRMnćiNc aWJµFƈ*2g8c䓑 ÇRvd6]m:O [cHEcyc) 9bF.2JlCHn-6 B,ƜEUPG̻7N!<r#U) cI]FU'!\zT GXm.gTwp[H=$/ZTN1?;@Q!aO[CT#оEY4b8B{Ȗ܌ꄳ=rwCL^ i82e/ 8|UAfd5w%DSb$+GD 2]"9A=9.v5' a* URmdAB`BLu4O9(EŴRibqM$d ȏy9_o=r~/M9S.sN* Ɖ?٘L`gjhS&$"VS'JbT*Z3pv--$3i0'~ q6kEΦܝL8XnO:&:zfYl[4QJ4 @8ކt)"\I/0D(e,ZX;W[za8AO ,kGo!nx ӥl^'" L]x hj%:RgiCi=E\w@VBo4ܟriGǀ_ 'O/wݵӐSG=.S(g)r$rb*ڈVIڧ4*SRQIħyx; R;|=s]̩a CJeiL h> kićqM:d#0%LT#h\ji~ISP= ϕ OkW~ f\HKt]E#9ʎ{HIF G"]YMSKpJ҅4Y?+=Abƞh}!~AT_9 Q+=_zqӲ6@gR4S#ٿ}v~k^م+dc?R=bW5kd64%LWD4qWn,hB=wt5~VD4v{R O q2tC>R-5f鼙w8Xw G4!nd-_8>\Ե7~ʊ:Pq-Kx"MF\ܐmT̙}Zp$Nf0ISF0\ʉG./L.t;Qn$exj^E?h|EgYEX1%׆PQAִ{dVa ׆ƞ`:x(:3ɍX0g#SA$9 4!pHC~˱iu 0E,c8^8:ҟ%i3X.85vdùR92&^7yA+MS_P4iA `&m" 8N`ؚj\M\s~US%V`\ !ss*YNVS-8csm~y>cO.G~||ǹ=iN [_@׼R:{jI_;Ccwg3%QzE5|Rg~Zf>tǾFD{%$ryo^t:G|+e-]Ցm Dx6/-/z endstream endobj 750 0 obj << /Annots 753 0 R /BleedBox [0 0 612 792] /Contents [759 0 R 755 0 R 756 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6911 757 0 R >> >> /Type /Page >> endobj 751 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [387.9405 698.35 423.2065 709.35] /Subtype /Link /Type /Annot >> endobj 752 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 753 0 obj [751 0 R 752 0 R 754 0 R 758 0 R] endobj 754 0 obj << /A << /D (unique_40_Connect_42_fig_nyr_15n_wtb) /S /GoTo >> /Border [0 0 0] /Contents (\000F\000i\000g\000u\000r\000e\000 \0003\000:\000\240\000H\000a\000r\000d\000w\000a\000r\000e\000 \000\ M\000a\000n\000a\000g\000e\000r\000 \000O\000b\000j\000e\000c\000t\000s) /M (D:20221102111814-08'00') /Rect [141.953 181.1577 319.735 192.1577] /Subtype /Link /Type /Annot >> endobj 755 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 756 0 obj << /Length 18 >> stream q /Iabc6911 Do Q endstream endobj 757 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6912 3256 0 R /Gabc6913 3269 0 R >> /Font << /Fabc6914 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'$DS3Rȃ;6+1C+p|֦b/mk: S[${JLh*$RG"e*)sm.\gyqT;/d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^/jj; Jt١Pϡh#bwo, endstream endobj 758 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=67) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 759 0 obj << /Filter /FlateDecode /Length 3745 >> stream x[IWldq tuu0|r1%?{$Ejo1]5'e߉/1zQ5QީdNwWƨw6Z[eW>>hMQY{~TGe^cϏ 1Ի/e[=O& S1-%pӹ/{2cL=ƀT_!0G$"u$F.Tf,p~ar}iqeKU495s&'\yGd 7警6j6ókEBƛ(t7! Bu-׳*ȏ X2і,}ڑӜɃVT 32P99G39OͶMe !B "E .~hVx l4h? iq 2ҹ#0-ڝ6r=m3[0eQyGMh\ѶB4*IJObhYԳ* uSP"`%t v*ߗwOJy×bZe?P}*^[pk؉kvkcW H8SyL:W ` ݾ3~z&kbg(5SX^bz8 q)KW:y^{9*c(1| > o|ُ;?/8˜#:5X&O=z.gąsRB@Ԑ/Lei֘EW1;(Ja&R \-R\ Tu48Jaٗ2sh>HcO0FCTH7K42 OqtRD<5 5kG5c'a Wp('O'A ۨSX0d t"ciM[5#? PA ]V> \چܵUAn[x3Pf)HSAPxA? *I01E# %ED8Q .a+<Fв3}]Sla3g%Bڌ{S˜ܓx`XuK/uɆP< :jQT:1JD6FH1*S>JEsrIp=Slg|y},{Y;沜~,LA1JCi (kse4ZOKL`|r}{#H[=kRo[~Җ:/KG$!3 vr6ڮJG[==pyx,ʇQx&F t+x@⟖##߽dʷNgyҭ;UQ-͡&\eev!dI۵nQ4u\K|> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6930 766 0 R >> >> /Type /Page >> endobj 761 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [423.3935 660.5538 458.6595 671.5538] /Subtype /Link /Type /Annot >> endobj 762 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=ibert_7series_gtx;v=latest;d=pg132-ibert-7series-gtx.pdf) >> /Border [0 0 0] /Contents (PG132) /M (D:20221102111807-08'00') /Rect [220.801 296.4539 254.582 307.4539] /Subtype /Link /Type /Annot >> endobj 763 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 764 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 765 0 obj << /Length 18 >> stream q /Iabc6930 Do Q endstream endobj 766 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6931 3256 0 R /Gabc6932 3269 0 R >> /Font << /Fabc6933 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?瓯Z`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM3 endstream endobj 767 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=68) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 768 0 obj << /Filter /FlateDecode /Length 3781 >> stream x[I$7Wa l`|jOۘj2$Kfg =]$BM/D_1l0-IHߧU~sRk?jìjN!Z+_?ʟJy8.Oz/;73'C2:s*.J&_g{*{K^Ѫnm; !ꍫ;sS97nrTyaّ6ƖC7<ĕ QPW|3ڠ}W1XP e BO5xCƈN),`Ƈ{Ef^o\d6W;󚾂:Ȭ(%3-?ߗ|KJnFŖ.r.JP`]m>%igHE 3uWp3S䵇i-&\pU̝58MK悽|h?ҾD|}g3X#߅N}\QNZ =EM)s|Zğ52;<O^1Z~89QDC>O$)j~= 9MN{bd d|(61,r Oees1JA Ә&E9?o:ɂta2(3KlzRUSM LĮ0.HC}+N>YR;u2VXVF"Z%sbTڭNZY;m>n[xmص-j< aаHj2@=\*ʗ}If3B ]JHJRAq0ds0Si*:$,ڠ$v PՀe*ZgmX0e`S |W֙ / ڭ"|&ri}rx?B1I*"[= v-V =~l2R+6XFZ&PV#t~؄ YL9k4UϡFD%j&7 53J2Iu Ϊ)ߏc&ЎTFwTa` DTpY%{6qܢ A#):N]v= ERP,)xcÆɬ%*TBm5[OuNU/%F'D9)]@o nl<9:7]8.;E璌z،CyILKrs"+c1nK!M<+YQf<}JmHSded,} ʆ#;+sʎ-LVGu8ЍDk3w${*R%函S瞭ӕ_.ErqgDm )"Ƈa3z> "H˨.^q)o'|VoJj!m,1Ir+E[=_Q1iI v{"ۏw^̯FvPZ(&jcrDd7ug v˱V2Sl>tD"CIb۷i$c$Y5I vjfI%m!R 2w$r0$Ʌ%c ?8e kfY?ls# ): A( }Rhq:8C%K,L)ֹ0ξL$r8$1p$zsH5&x8:$\9$h1g6G$]p=t~2{n}+o\g}ص׻h|Ǩ`ӌV .%2ഴ7MMkccqV"QOz]:uz>.c Z"_%ەު^Z.VoZyXJ\6 Ucc+7h5>uRm,!09̣hdFч{KCXhG݄kqG9X/G,Ib\3pKmPXKÌfb񽂽O&@V2L,[X(VJǎ b 6?>~O3[5Y7K{kV1Οם覆YH2n5[Ch|mxujYlmT˫h-M qyqix+:fmAS̉O`z'ʡ?f+~RT4r.z-<:תfC odrz#uCl,%JlHGd$ gKaS0[zt(.j菑h^ ia9B>ęj{*MFr4X8 2YĔU!3LiE5TkqXvGEFZ}Մ_9h^չ0hbrMayPx${y]j[OxΘ]1C۹镮<k0\i5$f]{4I4<ȥ,*A@9-XV+βUd+P'^BUZ|L[F=0A#XD ɐgX%d Lf19÷k<?4]{hK:x<S}P2$`)QD*ƵHQ͉ pK,{E!l0 ZRgk(:'S b9Q,;>\W+)_;~U~\G%|ٌ3[$pPdr?B565C$"MfCRA LTMux!4CC)A,=$nc5Tl^ 0 B5Є(hLvԆ;J[.""Bq~"J5:{D% 0dƕo~ UeKYDB_3m`R@.]" Aȡ ]yQޏ\ ٶO~@kLZP޷-QRAEKm)JD۳rffQ4]ws])3h ֭ }e]zCb!F3UBڌ98'[6jtd#LEr{LB^BrrFM T{b\M70m;p\XN]ss4>/o}s1K*_¦.jTWdl Iɥu^0:v_a/`<}]^&~lvzEf+O<, =ω ;]htiTh]{";KO !=4^+ф'/yYkӚZ>D ƚj {,M:'IXFSNJn`6O6=ʾk"UF|e.VF [X|\_.\/$ȓ endstream endobj 769 0 obj << /Annots [770 0 R 771 0 R 775 0 R] /BleedBox [0 0 612 792] /Contents [776 0 R 772 0 R 773 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6949 774 0 R >> >> /Type /Page >> endobj 770 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [493.81 112.7956 529.076 123.7956] /Subtype /Link /Type /Annot >> endobj 771 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 772 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 773 0 obj << /Length 18 >> stream q /Iabc6949 Do Q endstream endobj 774 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6950 3256 0 R /Gabc6951 3269 0 R >> /Font << /Fabc6952 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9FgKHL_%ee8>϶F՟5ŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9O_'Ŷ: endstream endobj 775 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=69) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 776 0 obj << /Filter /FlateDecode /Length 6712 >> stream x]K8rW@|?B]U]z؃۞,z \E0HQJS1)*(QAF0/R?&3i;ПT 4oOn2f򨋳ր9'\}?uHrto(=oշ5ƕf;lSh<wETcXJISV}Lwm 7jlbDFSzNh埩Pģ'9Tf dJ``,ڴz:OĒznkM5,u?Ґ `ĿO?O/Y{%ue 3Vj"D -w1֗:O1iPJg+x+y3?m HNvi{Iΐvjg x_yeEVlRb:N[hfӅ9<9_d9'ڝr!h 2вL=2Xfvb.4/43F= LMHh6Ll Di]06ty>۹hc0{;}%me`ʩGgm^3a:Lm^w䯡?3\{:_KJwp)BJ3N>AUq۬~uUV_]gWr6 n9/D<8G.F4;"CΡ`?`^}yLjg{C CseKCU,'{o q].:f|o/zg[c+gUnf.v3z% ˼Y'C-sYvG/>܃ߓMҤ5b F=ҟ1Q.G%HB_OƼb?.N1o~Y,[M6a\yXgUSWf}sVT)S@K}6٥D-jbT{,(:6Y}` bЀ] `C"g TFLUkeP0C ,a56 xpc;DJ+%<-+٣>Kև5F!)תO%%2giŖGlKJjc ctml;f[u/NV}mUbim5le*nC[7g5O5 8mr]1f/ķH70Zټf33嚙>z/M6N2J'ўdGOYjm=-JJPU5>=ҩؑR )bPԇB]`>6q$mcL8籡 ӁarzD<^PJ Vu,M-_M*pƻ!]Bb!֙HNYP4l[tʯfR`RqQ)UCjVO{cH.iqހuꅥ⏶dU!Gqix5f4Ǘ+Ձ#dugr|1P SUMsɜDVpa;QxjA4nhn hw$Cg(_5tLHF ]f4#5a/_W@j c'yP-۶N }hl 5ѮjZ *KS(CN@42ug>hMux()㍘6ey 뚍ǹ=,60o0O嚲fg?x[ɽb;Ő"AiSKCBbl{ԣFpp;_h! !\3Rc7n 'Yn\Hd\h8p"L"ӫ@.^ snь&$NT)>9c6)JnƘqc}@b$-rR c%{^IPV7:Շ"t .|$2?4tTI5Hn'g|ދSi*E`49y'D\Pe2J[ rF}Ə_+ΰǛ7\9X0,_ _4QQ,Ckz>O::mFý AToL ʒyI7t`?n}R?ZO ϊɂh 0cS%cCrm "R6ݛ+_n䎾;kڷ#.%yh UL,n.^B1i Zw <%Vŋ#woX"wif] n +2XQӠ&*iiReݎ0#je$hO<֬DM۵]:=^Q3?6ElnD)PQ] n"ڈxK(Y7pzDś*Ϯy_XfG64(B&+JPL޼`w9K#`%9&\1qc==`Uh(3c:_p5He.X9(dg_UԢRB5׮(Ӭoo ꚿu1\1t?.cpol`u<6/͑,(P'_2ZӿMa~sf>GuCwoEt(*u{0">9hzz\–3+(y=kcm)̤tBDmzd&^X(QX/2o lV6Z9ƁBaCN=4 ȹK:Oa;tȏǽe L2.:F49Ն.VLv t4cX`粆*IzS'}R(բ~&WN;U_NGU'7XT( ,[l;wX)V /r@# ^7 l5|uv1huLy/FuW$I#m4рdzddz"\MֶN=pe fLNH"@xh_QLt2S?|i9wS؛cRKsF,WUE? *:נ|ln!o9u" xQaGwЎ*IUЏ$X yoQ-\S2^5eaZmxRU͠[0iZA_qiXhFC'_R0kR0Ҝ]]ƸlY2~*U6IqSǝ]{kOfm@M`!nVHl (W@[}ϋ'I}&K_ZIQe4Zy}spK^> RQwISLfg>n3ailm-=>v;x/cYs? ~/˻tJ~yo|X<.޽" =4{e-߲D6qbW6GԄWW_EUK躣Ѯ?]ySˇA}2F|aGp;CaЏw"qN+%We endstream endobj 777 0 obj << /Annots [778 0 R 782 0 R] /BleedBox [0 0 612 792] /Contents [783 0 R 779 0 R 780 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6968 781 0 R >> >> /Type /Page >> endobj 778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 779 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 780 0 obj << /Length 18 >> stream q /Iabc6968 Do Q endstream endobj 781 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6969 3256 0 R /Gabc6970 3269 0 R >> /Font << /Fabc6971 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݐM|:S֯޽\:H/ JcB2h7r ɋb `6P\0&U$c"Kso"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_I٧j gVĉwlVB+0=knT-=&CO4{rCod%#5JVe8>϶Fvf^_ DD<<%C9j% Os%p!ɒ FPs>q|sɨ\u#URԫ_zݝCr<3/v҂bwo@ endstream endobj 782 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=70) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 783 0 obj << /Filter /FlateDecode /Length 2553 >> stream x\Ko9yq $KZ`=̮=,$HCNFmFdzV_U+>LhVz$#>v[!(k퇱uE1*5 o`+Fu_iGX+2(C!pLz>MuPF(0pI7jG\68S6֣l-m)Z9Ur+OJrj6},Ebpޭ;0@Z1#C.W oSi<().FԷ?ԇ_ň6s+:"h ,$yTHB,z{|?BPfol ug,M's]ͼ@Auwz(; pMz}m9yq)x_q;Qԩ= Q0]aNv\z #j7A2).HzeIHڝ3hRz 2b8'u7lu< >m,.׃{8'--kH%3VXD~ jbػRTP]+ȹ"5ʘa?8 f=V.0~cBn?v7gRsnF+/+dt&=tt xeVBGfcF ?ڎCndn18J>B x% Ǡp(m\dpsYMiS' ռ^L뤾xNmN"磭-SfϦһ˂RWC)K g_0Ϟ~Ezx+Hy#Hhkz$5oOSͤIQ7`Mt˘6u'{Imime 7^1%m>m_eUh =lxsi&}](ssK-GAi‚O~1,?4]ߦJX d`yY_ٓ^;—5E<5pW⬳Y-&_S_O,} ǧ<5瓧XO."-5O^m9o6 DktO}Zt1MG=6.]B ^Mq҇G=s^k/u, ȳt:,0K..fn|WG/3L3wrn藑j@7ƴ2_-;Π9@d3r1p۵wVUyo)(_^ *uH.:S3Y_?69+ij :['E8GR l|Sw >J/*Y՝ZŤɥ@݁5z9w>E3v<1SS*GIzϙdN6W=M.}RB@u)mv_c:GSWsN?n\}&5L9@jEֲ}!rm9<,b)^}0;AtY@3/%] ꏣnK$<;Cӑ,c+#J—|j ŗFr.@Gj>GR<} G:@68<Itp3 0s`󨍱`ݱ~ՙ3H[#lfg Oh8̬n+IG1c{oh#ۦ!J2$Sm74u֩k4Q;l}zKnJIE`FHF*5X.\Lh+vf ɜCW)r88@gxUvī<[ *Y!&0q~cMRf0!zNQ5ʐՅ *cLA4JC: D/p"ZxhdL'dԆL#x?2!:yR)a<$5! h.!e1qBYqFH#>ȸL%EHK+  O ؚ&}ciͻ /0TgDǣ SQ#4R;ZytdB&4v*BXA򡆮!2[A󮮌jFљgM2u%K%ݻ25If8C7x'Gʄ9!w=:;E$XCU SzITǕOW&XD|"I^K7-K5^IoRx uo3Vc\@3!&l]?&3X,CZ(7~ü}BG9+fzM]j;^!ұJ^1d =^F|z ѺN=3d z`扞o֯bjxS˚B{MY]pE]kIZha LNSnp~b 7#)Y}Zu-۾# oAWGc&Tl1צ endstream endobj 784 0 obj << /Annots [785 0 R 789 0 R] /BleedBox [0 0 612 792] /Contents [790 0 R 786 0 R 787 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6987 788 0 R >> >> /Type /Page >> endobj 785 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 786 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 787 0 obj << /Length 18 >> stream q /Iabc6987 Do Q endstream endobj 788 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6988 3256 0 R /Gabc6989 3269 0 R >> /Font << /Fabc6990 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 790 0 obj << /Filter /FlateDecode /Length 2474 >> stream x\KWle= d],fx/HJzzFfx-T]4weƿDWUiFyIO .ݷOeZZ}M}A,Sw{~<Lf6AǠ}ؿ1 r 69o1zq񀍴Z{8w{uv[zd<n/`}1)&(1&WFuWKy ~tA +0^Ehp. ne\܊>XnZ|%!i%q[2Pb86'=Xߺ_(LjQ:!Ke,]pau sX\aӕb[7澗8/9 7Qs&D\a OHa#~3J_xfS0*BOD}`uJtU\Vc82sjMSkܐ><1k'd'ZV.X͂rDWB͘BMO4LiA` HGBu7fPo-ۜmPvOnZ߄+ ͹{Hr.Ikx.[@&uxë|&>XpSf׈S㸈~!b)݇^c!*i/QO}Xsey;/~. Of]G^2|Osȫg}Wyv3K-A+]>`Ӑ3_~%e^`B>=DE 6?{7wO<׽a'>e_Ud{jbO6zRD&FSJCxZ.~`'CoKm_}GdCrڎ|}>o;%A~nDyzJ. sOȬeSq ZOn8G'w8'_vkp[-3sNG1]׶{5ёcp`S!0ɦ[c3T6"{Rt#e\jg! 2ٖ&C9b6хa#P܎^ȥ@ ``" zb3nm-j<kC(*Gw,c$-6sOk̳';klzvϝ#X#>coH="!HjH~຿EWƥ?a5VEX݀؁;@/C~Ѷ408*Wf_D^kDNcB[ ܠ ,vJ\TL 1tSzIYKv/īNvg} JR d3osR nJC ܃!RWOPhgD(yD AGא1ҁST%wrhP)" MDۍpvr \T ڶU5mW2kAJBzhH LXRё9!lە9E+; %EdQ Pa$+.<N< }f]]baFW !xmBKWD,iLI"\Xl/!Ed!LEv}2'sfB1y jh #FSB꿩ٹ3A! F.ng] t BbS.%:pֲZ+k)H &ҏG*30.!/cN|'n7 ?R:fzyEh>/}10drC=k8Ԭ[6{pQ).p,? ;Ν&}{cnaNc-_*xtF t#I_&q.w|FSTPZhǍn^4U5?Zs"M~o!!8+:̛EQuΣ\aaR1bu b |WE⋌ \*.܈(;ePLu> endstream endobj 791 0 obj << /Annots [792 0 R 796 0 R] /BleedBox [0 0 612 792] /Contents [797 0 R 793 0 R 794 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7006 795 0 R >> >> /Type /Page >> endobj 792 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 793 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 794 0 obj << /Length 18 >> stream q /Iabc7006 Do Q endstream endobj 795 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7007 3256 0 R /Gabc7008 3269 0 R >> /Font << /Fabc7009 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n(!(|:S֯޽\:H%_rPd2n~K$V-T0EH(.$c"Kso"ɕ jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB Wuţ}"juZՇjxpf Rӳ6{ik_KaRGcb>tD'W9F&[:WɅkEYΌl iT`^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|4ʄivP(y Ph'-:A/} endstream endobj 796 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=72) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 797 0 obj << /Filter /FlateDecode /Length 2443 >> stream x\KܸW@kK {rrb8n3iEWZ#JHmxOE)aT">x猟ݏ/B;eR=ޏ/I:*L&a:Az'R9)fC:QJڧ1j룔Am}=#=b=wq;]gG+sy֮+=}_DHEOM8'{o$i32!y ˙$z.jXLwIn?E_b͕k֤{hl.V$d @̭p*]c33t_,.TKETљNwF]$7[xiFrhͬt\cy֗SG+ϑQ7/@Ncb,4F=1zg3Hor|O!iLNv(1"M͕sQpȽ<,@>m `Le7:jvBCMt*Zu%H>kl><wj*R/ Ê8=\Nj܁ejn%:3Wh|n ZnZ ZcI6ֹ]eDWpu;s?P^oSZS?ͭ\#lk{ly*Yot4ZȞ hRݷN"-Q e²h;\4vYAvs <޺"י-Xk̕j1mҮAyWl˼ҩ7r7@em *PBY&VҦ1Gٜ^/y|Z תwJwէl% / J֥+^G҃Ú魪_MXC;nCJB[ZJ;VURXoGטS?]V ěU]JRO\Ct>ih87n2rU/zP] rſDߔJۜmucPeМ)Z#-9?Ⱥt3:uԹb,_xi;߹lWB'+7%w*ΏO]lpcі/ I)LH^;-_K .}Q8ot>胒~%#9}0du;o.&8z{ޒ 0B茥 L /EMld3!0gni&N@Yېu &UнN.Q4 g{%2S0a؇̺IfpPdSdydkG@]\`Җlo93(Jݭ2̠\.9t=ftp&>Ae!~Yj}Dޚd4(ؒ Vl4dA*LɒWb1+o\wAL(pAG%C2d`EλQg;vOU,սl PeKu.`ݱ|YN{= 0NŃ?71"W|F ߐ {YBK(`EŭaVZMX̀؁'@/C~^408 WfbDJ5X^ 'O !w-Pє jl= K*&5OwcfM|X݊Wn+v'}J d3~_#);ڧ*<3gA뉥4 zKى !PRN Ns{ILEhJ::.hGJЄ(HT]ig'iKŝ瀲g |1iZq%s 6 @5US\=RE 9˰H8ٜi]dev}\7zrwiFTC6bZ8, ȣ]>ˬXdsE\ONįkIEzh)R0f6l;)?LdG֤2i͒c/KX,ш5}JB^P@ҕ/>f~pA4)Fxc nl' O Ө?=⧹3ggT"#JRBf3K9ƕ٘3AK.Qp\Xҿ/!hzίSy^MRz_GbU.rsKPfL ͓hތeݦ2lZICnc"cf-5gsMiXQWd%aOI f>JqmۍF3X CxC$i|S}߲S: J*Ekv;mL\j*Ib(Mb~ӷ4+::̛DQt};dC"l4&c*ff-kp1*72sE>H^2*{B endstream endobj 798 0 obj << /Annots [799 0 R 803 0 R] /BleedBox [0 0 612 792] /Contents [804 0 R 800 0 R 801 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7025 802 0 R >> >> /Type /Page >> endobj 799 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 801 0 obj << /Length 18 >> stream q /Iabc7025 Do Q endstream endobj 802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7026 3256 0 R /Gabc7027 3269 0 R >> /Font << /Fabc7028 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}l endstream endobj 803 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=73) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 804 0 obj << /Filter /FlateDecode /Length 2474 >> stream x\Ko6W\`r7*z(zJS>$JvպXF9߼II+JH6/ДFt*dUsG2i)%> ~cozΤ0w˕Ii=6#tJJoqܧkj6CTFJ[X)8pow+N^p~]c_@Fh3XFðnNnJqM vޝWObP% D7e`)=EǨMD'GI1 eSƑLf@f cF0,_A2N<$<YΞ,γ"ޟ2E]b#>s">̋g@ld2\ZK:U=5D,bh߾f=@H*a;TĈuzF~+̵g~y6_G: |ʑm(kyfC<3K:>x8^o6#S77c^ƋG2}AβcFkXVϔ6YR0!N-zcZcr]DWiFS`vb } &Ⱥ;^0RU]kq` %\}KPU,_%)p{Jar9Ȩ ȥ(ԸZBk@W-Dtxi`.z>4QPJ`bRUe-d VNgQX9hUQ9qA vn^|2yDK"YIb 6=Z="M 22,<*VL燀Ce4e!2bF ˭tKoT cn]ݬ*| ,+xN4A4U׮ _ׯo/'ײ>\reY_A~h>f|τB E ZeyYcQWs|?Guf2n$ ϯ0/- i$.]x9/(_ym3rS~{۔OS7;}Z}J&HaǫD5iuZ2p{6 Jv^NײlkƷUu`cpNloI{Ká 'Bh.ZeiڎNV;SI+;o۰{b­nfP-czsYCCʹ&UydguMNnjp<3cdس"$^@q;kK+ŭ}FtJ+-~:uS%V!v`5x?GX˜ȓq 4m @S,C` *A͂TaJE C7>p,Ь '#x6O+6': I%0k$pp:dTTA)0sHԹXJccm2}񬵔h8A%4>ˁbżǑTdYxuG TgKB Qv= -wʆ# 'Ť~Bơ)<FXڐR@,XXATњbwu˻H2,"PDdsoqsA9RQ\!Hm-qQw&eB,y2"e.'3ӱ"=sA s|CA!凁,ȚTfp8RSw,u5)= \i.+D*] cgtJcbd8A7f4d&LFv7{sz$b"Q;(I պqs+q&18Hc]*:!(;kW&`W%&d<" f*IM[y#I-]dlz绾yyOFɫxC$is|S}> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7044 809 0 R >> >> /Type /Page >> endobj 806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 807 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 808 0 obj << /Length 18 >> stream q /Iabc7044 Do Q endstream endobj 809 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7045 3256 0 R /Gabc7046 3269 0 R >> /Font << /Fabc7047 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7} endstream endobj 810 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=74) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 811 0 obj << /Filter /FlateDecode /Length 2427 >> stream x\IoW<@iM\099uhL_GRjqXU؂'.o_((b"0S ' Yـ VV~RJ}6`Z=~&I>:^k&cL5a_ 80ǎ[\o6#؆gCG,ǞwWPSh\Nya(r|_'ޙ:܀^G(M>܏oO/ߋ6Z_)S+ 7R<փ+ xulܨel2?g'ͨ a#u*1Lg`%6}S0gPλr'fgs{S\̬bC*i06, 6jl^}/IQ&6T_"۞y. qw[wtV!>;c:~YSO") Yݜ*Q󮎪%@b# ѰbKxYbp=|p@7&wke5{[f =SCNMʱ99bj;>ETV':fݬp=F.hMk"Ku8.%/"1|UیBr%¾!6~M*6iۄ8[ywb͋S{sUxP4yMfuU%sScɫ{PwAS.Ylټ0xۊ{[]Si.*m` yD}TeVSHLrr)KrnX?>ܺ/>O߾?(%G 9psw]B&ZwPu!n\ſ{i[׺.\|cEBhaŸo2nFge'E[pg/;=xkofmԿ?Z+?Q?۟eo~E#6 mZ퇘 SP?8_!*98Ht>9\Ƈ!nT`WOjbO6zRDX@#aR<Rx`26JN1qCȇާ3&>vN1 XrO׆eҠ@`Rtu#Ϡ3!Z `fgeK^kY2} %\m=Br0Q!Eƻ2$vlΊV̰3gVBغcPm3$myZW⎠Gl l [C$>kHqp`ګ/8icU=թa;0d;x/1o@}⑲r0hI.f_;@40iA؇bb_`Yn# >W{-+Uv'`f<"1:LJC ܓ!\W=zOň QR ݓ=$5D QVqLxvk$nle`!CYG}?1CpK+2 M ȚXVסm@B4#X2vEDD B4=([p* Ru۪tgE䚶+@ )O=5x r,1E+;b"Y(?0_y nush>Ӯ.zbE3!XmBSWD,aLI< t,;c&">{Գ#Hdc4l`XiT\[#*Q'bBPؔsiE/{/\*۾vyGedX'ԥN u@^u͂:2o[e8DwkWz8fVT٥"(3hNe2(MѺQYF|WY  hUjY]V6ʖF ,k{ endstream endobj 812 0 obj << /Annots [813 0 R 817 0 R] /BleedBox [0 0 612 792] /Contents [818 0 R 814 0 R 815 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7063 816 0 R >> >> /Type /Page >> endobj 813 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 814 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 815 0 obj << /Length 18 >> stream q /Iabc7063 Do Q endstream endobj 816 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7064 3256 0 R /Gabc7065 3269 0 R >> /Font << /Fabc7066 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݐ&th_{8t CrY*!?wG?{`%N^2 :nE.FP(BBq˜r9NY~IdNW3W( 'Uk9dP!s2@}QF䴫3^J(QMwĨ2R%4 ~UW<'!fZ5Y}'ܱYIZ)Yv0m11:}ٓ#f*Z yp3S~Y2cy / ̧9 ?ɒ F08` j2*WLj$sx2U|ݝCJHO;Ρ\NZu^lM&n endstream endobj 817 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=75) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 818 0 obj << /Filter /FlateDecode /Length 2381 >> stream x\KoW𼀴d%pX M 4` sWERYX2lAR5ՓEZkTM&.9o\eZZ}]sP 8M*v1o]Қ<:"z\@B׎򽏸g}]9q8'q"<%ǐXyHethS$kHT2".H8?Kpܻ" [qkFSѾT?bϗdpL+ Waib8<#( aka<Ǒ\|*!-ZeYŹA放<3d,Yiw?6 vl^}uJ;7Vk|%*}-OTTf.zQ,ioUCRKc$Īa feOQ紞Drɕ/aؙhDsxMhfjiZ,ՊLu}$ăz%}ɽ0p YM;аTWEym簊`'Q#B7. ╊t3a U5Km@V{eד?f̰WcS1f+K%Ʃ!&wfS$) M/n(FQ[gSVr=+GjT +l>Cݵ^#=ρ~gt!ˈǎa1;g4<ԞBf7'"5:LY6~w9#T9oma5/aܜy4VTSO []{_DX]3znb˼4E2ӏLy p98F~saa=%ww+]w*98'4.9}8;,ݾ"UbG6zRDXP#aR4kp풻I[ܚ4bY׶@1z~;簷e%rs. 7eE?]6 l%Z Yɶ4Xy%.4 KAu.gi\ @6*弭g>۰{:+V1v޲ ̨C͞1Ͻ6uf>HB2a3&<{Φg7Sl%"/ٿ!l"I|6cEWCp|E zDG i< _S+b3oK"?jkDzNcBn[ L0 ,&.ͩA>chSϖce /ty-^u oJ os $@6o  1ATI)1sH{TԇXJccj} }Th%4>ρbuܣ= E%XDvFH1 Mn1H릕 )0oi8.uMPwf|yฆN2\7=Q rU A~xNy:e0ކB?L/ۅ7|mSa:!tKecՇzЫRoYyRFYO!vФ0?S$S=˙ nGwCHҗzWyNK=V鰮]wHy.iD\;?y#FEEq(Ry&S&)V7K?QlH|ܘbY}VՅyOr/&f]g endstream endobj 819 0 obj << /Annots [820 0 R 824 0 R] /BleedBox [0 0 612 792] /Contents [825 0 R 821 0 R 822 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7082 823 0 R >> >> /Type /Page >> endobj 820 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 821 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 822 0 obj << /Length 18 >> stream q /Iabc7082 Do Q endstream endobj 823 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7083 3256 0 R /Gabc7084 3269 0 R >> /Font << /Fabc7085 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{2mEQv>;_oXo`@ǾwЂ)< }ߕ9p:൫D|h|x8t C4i_rmA $査ț.~A$V-l!x˜Ti, $dNW3W( !r&H-'Cf'j2@}giBxg(eoܷ1uGxE 飄fѯQOj3Ră+6+Irn6ZoK5>%CO4k.dKRG"+5JVVK2ef[X]M` /d"Xm뒡5ڟA) ;d#9):c dT.*I"dx)ED=nOLH9;;2FKh8Ym^m], endstream endobj 824 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=76) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 825 0 obj << /Filter /FlateDecode /Length 2102 >> stream x\Ko6W@ 9"Q=5CSZ'ɥ+w+$(ry\Y}SVrԧ{hVyV-${+q{V.Ђ3?nsގus%uL 1s4&XcP7ƚ Fnq9H#7?F<q+sv+cPЁJ?2ʡ]]t7_!i@@W(X2r+6B`:sh25B\MP>,fC؛k>t&럭 `|׿[Tq7畡'Z>sWq:cFY*ufW:ZUY@xV7PUf%Ja=ʙYG"Zu#_39^MPLer\M9^3@-964 *[Wc~?QW^]v@ b9HduPm8"P.31ɶ̐.wؚ^?>!Xti}ySE?9j-)ljZr|`D'Iˊ#tI[YmV'Wa "oR-AQ[BaƥO2}`[3Ӹd%❿H\c9 O7,\xMlaB+{yȬ%c((7Pc^ZsřƱhϹ=҆d9u!=gToARY哤7J|- ڽ ,N!jrѓ"p #:$Ĥ)OAɠ]Jh~ɥ5GΝX!)¾MNX̌ {PoljЏiPS̏Ȭ ;ux8F6Fx 'i^ C#|q4# f~;ppIG?dӝ!٘{( 'e7tb4X'zY-#VyH`f+m=`Ep-%\{r 9Xh$d3Nܓ]N{'60Z5{< ;6N– S5q63g,A϶J $gs6^W?Nz쥉Xy@Щf<ݔGD~%ID*ƵD '], !w-Ь :KX92$1 | mKۣxKCxUvG>I%) bwHtK3Z JIC ܝ!h)WwPigSu (y D.=$\/$+2Qu%LJ&+5[yҾ<dܐWfıɌmFA~3vtY.Pg&CyizB> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7101 830 0 R >> >> /Type /Page >> endobj 827 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 828 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 829 0 obj << /Length 18 >> stream q /Iabc7101 Do Q endstream endobj 830 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7102 3256 0 R /Gabc7103 3269 0 R >> /Font << /Fabc7104 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ endstream endobj 831 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=77) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 832 0 obj << /Filter /FlateDecode /Length 2202 >> stream x\KܸW𼀴d%3 999kx%?_IǤcR0$,5Oe_ϧH*(h2Iyç|~T6-ӂO7鏻Y< e2TcP_i.F?gQ"Iڡ?hv}LMx>֏y#K8Bs cq0>>tϟ$|'Zu6#a9!6oxB; Hp?6smp& U c#Xi;21)F_MmY[Ar=]Htsvcn~.m5q_CAKc]ÆRtZ;_m](lXha?"%I឵Z4n߂gmǭꀓkIoMeQRxuٖꕛPס(0vj_CB;:UGn;-(OB)mRe>\C]k;pvB/]NK-A+S >`ӘӘ!'2UB!=FE8ןPsћλ8$G0׏ ,E!d'E05fN)um$&*M>adeqTvƟ6^Lέ]t2e'dK2^cXrFdgҨpH):̺Yf0Pkd}63y%K&m>pkssЈ!_gu^ j# Ѧ!BaMw dWݹl3Dsߤewh4%-dA&BVzHf+Mt;`Xln0p"OR wr0Q|^lXŊ[;x65{Ƽ ˇj a˰Ӛ4;^MܵK8E^3C2& Dm"9ukk5VZFnD < _- ~S"Q"R6za8 be#l0AC36hY&!A>chϞceͤOp{+^u oF ow $@6o  1Z9?̽(@,1x~>x6x*N4`\ JP@pj^$q$q *dJ <~F A[*s>y]}ЫR҃'Sy[d !QGM8p[EIS=˙ nGCn$ˀܩ}U>_S:֕TH%H<**:EQuΣ00MXF"EFrce.ZBVnD\8JЬkvv endstream endobj 833 0 obj << /Annots [834 0 R 838 0 R] /BleedBox [0 0 612 792] /Contents [839 0 R 835 0 R 836 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7120 837 0 R >> >> /Type /Page >> endobj 834 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 835 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 836 0 obj << /Length 18 >> stream q /Iabc7120 Do Q endstream endobj 837 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7121 3256 0 R /Gabc7122 3269 0 R >> /Font << /Fabc7123 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQ7G>x|1$ɾ d_ EA2T>eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽?m endstream endobj 838 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=78) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 839 0 obj << /Filter /FlateDecode /Length 2698 >> stream x[I6WdF04`N8a/HT-]Ue' -~JH[￈ SY1 }Nm_KF|E|AKn"ލ Ȥx0/f}d˓Ji#AJrC7-_6ocY^ǵDž9;y?Iq}'pe<\wevS Nfn| @aum95\Qgtt2fnt3KПo{]l%{$=*3I+w]dugFLhC  9ɍ.|1BוPIXWtq?^P!銙[)lspsY.>oB^cٚX /LFonjB"Gt'X-pQ<:%LwQ=W~vl#[)%+'F+))FEK7}L\%ͮXV_,bBm2͚o8; f-mL߮.d6guij*)_.VZ#mkMCpO4׵AQ;sqkZ~o7hnX "&h=yhݼ'vߗ ~}%>P-iþcx7:2 X1v}V[0*DZ S4_ -0u@ 2wJ%Do#e-z eB j'c|.~- =+O5c(+O3Q)B,,k񮨶m~<" syQ 2!XЖ_P%j{BMX1ckvkaf9aUٵB \Dgn_R K<ڞ*@)*~C2u2u X*>QQ!7ay@\#0Y Pv.{=IVPfG^}Q4|tD=lF=^bU G>7$CVHHC$wit4o࿘#O^eMjjA` R)`rR;El\ `8܅r؂ LIؠ`[*>.@j! sX` >WSv_qow.BR>l  N1V-TaQI|` q|)y0h5nKF@<.N4/@K@}Ny6`Pc<BЄ(uvpvmP!0 /% 0$`ڲS %hZ7}z};sRE oe("]ɴ {6$ AȢi ]:([p,RmrRؕwL->7B x*c l+sD BcX\fp..zMУkJB^Q@U_B,i,=΀bl_\jgP\k^=LjH3*z׉%uB^3˃꿹Yٶ3AuT:k*&NP64[4ȕ8W~BSm?n3j?v3кHY_#"nt~1=n9&=}>k}9wz*.=8$R}(RL,nŐv w׷6y:XB$=H>OH,OX6_@-JK5.JlWKC[&wBZ| 'hꦍXt& ͕팄V7(oH\QzQŲ.F^%Q'@>IMY| endstream endobj 840 0 obj << /Annots [841 0 R 842 0 R 843 0 R 847 0 R] /BleedBox [0 0 612 792] /Contents [848 0 R 844 0 R 845 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7139 846 0 R >> >> /Type /Page >> endobj 841 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=ibert_7series_gtx;v=latest;d=pg132-ibert-7series-gtx.pdf) >> /Border [0 0 0] /Contents (PG132) /M (D:20221102111807-08'00') /Rect [505.0245 535.15 538.8055 546.15] /Subtype /Link /Type /Annot >> endobj 842 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [492.4845 336.6539 527.7505 347.6539] /Subtype /Link /Type /Annot >> endobj 843 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 844 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 845 0 obj << /Length 18 >> stream q /Iabc7139 Do Q endstream endobj 846 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7140 3256 0 R /Gabc7141 3269 0 R >> /Font << /Fabc7142 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ endstream endobj 847 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=79) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 848 0 obj << /Filter /FlateDecode /Length 3958 >> stream x[K$7PiP4tUu {=ò6ؗE(Rf=zƋuSLx~Q+4vtIŪfT*jO5çqs19WvV=إ-;{|^ˈt-НicMuO?ԇoˇw mcgի #W *=ǒJpDf2g]YsYkSa16Yq/\:[LdbڅK1dv'hN{s:=̝x:c)x9U6Oeiı΂ V&ϘoW5.>My_}qڮIQ_%˓B9fhDj4ON:P\껶,UD;)4&^ DNβ2ovfb4_2I^nM"BN k m,{drI\ ʇ묠pC3ӓUs}[-?qo^*HX8ygy]e' 3\ϰP 0fŏEkmuqX$Qb(fxDB׽dǩ(BR+lKye S;{qc4Q:+622y?НnN]u8U+botZqgx0{X!E\m/#V[+R*ւVI66V äSL9mkIQ~^1+‘0qJ^HES՗*@8ěf-F>X lmk*5ƗFYDVBװ:~Ͻ" zqkcZg3v2v)޻1tрGΚq[p&ȩY?ɏb%mf\bV͗ ݰKp@F t0r)gl=Tv&-;] =GOZGp(//ûM[qDYbc156]-,M؜MqVz`eȓ2h76ʰD3SCS15l4@xP}y̭* gh/o0ѧ,ꑅgoMLǪs2mB_%M՛9,d ?MqV Wn}|\1 wZ"c68PE=T' Æշ47\ϜȴYTAhNE 5œ4%]*_uKwᕃ2]Dz mcl9Ȓt#R_B׃_CM nyYK=BZeqosڧK_Fe 4)j3Q/V'4z](,3Q9 &Z)<`Ku&G8u$_$W[5WR񅤎vMԞXO>aÑqcfH7%P4H| JiZ9@k鈛ԡ:$4^(!V?34/hAG![{ ^'N`)ޘ\!Dω^4AߙRGRJV: O.>ؑsy74f*=2nȤw?ﶻa4R?o;9tKF7C7䰥,,9/CzYh iQՌ uVuT#)̗SCN}>"?=]{a^ӷ[nQq;v /R>WwayyKD^@}`op)?ilRI,r-`5_@.!PIBzq$d[\ՌǞC+ TfDGQXB 62)KX>SM$ y@\DAn;AN N'y$<:4 kׂ r42 m`LрnQwcf`%"ѐG˄m -b}T;k=13A F63p0\h' r\#SY|TѾ>[|R+^/z9|~YS=my_}XaȿGw^Z~Ln/2N<"?;0a3χs/_+7gg道A&A2)m&+}mhR ˏ8KIpcnRm?N7]Ri+"ģ6?!ƒ <#e%l+1+^S}@a endstream endobj 849 0 obj << /Annots [850 0 R 851 0 R 855 0 R] /BleedBox [0 0 612 792] /Contents [856 0 R 852 0 R 853 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7158 854 0 R >> >> /Type /Page >> endobj 850 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=ibert_7series_gtx;v=latest;d=pg132-ibert-7series-gtx.pdf) >> /Border [0 0 0] /Contents (PG132) /M (D:20221102111807-08'00') /Rect [301.6455 563.75 335.4265 574.75] /Subtype /Link /Type /Annot >> endobj 851 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 852 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 853 0 obj << /Length 18 >> stream q /Iabc7158 Do Q endstream endobj 854 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7159 3256 0 R /Gabc7160 3269 0 R >> /Font << /Fabc7161 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ|:S֯޽\:H/ JcB2h7r ɋb `6P\0&U$c"Kso"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_I٧j gVĉwlVB+0=knT-=&CO4{rCod%#5JVe8>϶Fvf^_ DD<<%C9j% Os%p!ɒ FPs>q|sɨ\u#URԫ_zݝCr<3/v҂bwo ~ endstream endobj 855 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=80) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 856 0 obj << /Filter /FlateDecode /Length 3631 >> stream xڭZKo6WaCrXiv'A0 ߯HJݞv0d)X)?':b/̆48߾:ii~y|LN~"=?ʓոrOm,+(ژ=~Q\z/9| ڏ gFVdJ;֔h +hv?$* X,EƠ4A!>k"8Bg#k<phiVeb]Ee9bgtt#gTG0@. z.!YiXE+uZy׏2ˣH ZPtϵ{.5 aHnznz LAX6F@l`=nc.\Ke{,詠l.Zll,WCtJAGbֳptTYVAD?L`SxbYH&OM.&GA#tO6Y|Ve8vf? ۑHG9 @TpŤ" iSDgŐ~c+emI7,ͦB2c,ܱ3l* (qeoȨnB J;.k6vpJFSt|އƃN)R]m7#Fb cK`u<U!7%MpawDNSg 76ϪRt+8S{œvp`Iǿghhaf'x(rqHNq5`ӧĞm7^CP˟R =E;WTjۯ.:-kfy׃"vN  -giaa`91Y,SvHXAonnn}!fvT#[ZiR*΃ƒ.fO¸Cm->T#6\E}9KMx *ε[M!fl3~:N&Ag*/ cUЩN~|cčԣ̶CtiP͖d2Oɧ`X Uܛse7=vqd׼ΡJsoUiS`wJS2+lm_ITHSحg| *)NHJkH=to]u).!m໺(*dbs:-n7;D䤩޲ӳ^}jۍ4rL)г)ݕXH9uw(;;,59﫢9Z]_=l{*V;I8-!ڡ+jUl5m+]QoK`m>n?x xZ9\Hb?#~eE~8]($'R<\P&g(ByYbBHQ.@`KK[S*{1+ljgjJ:yp{}Ά 3cQ!U()TMq`^ءրq~?L"u@06HN7d&6 P [r=r#JL*DM4/}UN\^[3avZpxM60vwcXeC"}Z',j 1gisT4&W26hcdg*fDЅ(m B GPhkGa̦.H 8*lPmB<5 Zۭ,ɐC9GHc8h\[4M‚kO=/cH@4&>:93?OtPȈLkCYFIE8!td:Pq. NF s|~8iC:뵠: nS4RuZ͐#[B4K¦aIW4h:Zɑt-,F4K` DM]-%qgIeW_[ 7 *S#ߌ=$d%q!돖A%ظMLu27*+\`ZK5' zP>sϛϭFW9TJ6>׬4}kY數%83cWzQHlh*==I24|죷b{3Q+Z OApn1> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7177 862 0 R >> >> /Type /Page >> endobj 858 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [454.397 271.3127 489.663 282.3127] /Subtype /Link /Type /Annot >> endobj 859 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 860 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 861 0 obj << /Length 18 >> stream q /Iabc7177 Do Q endstream endobj 862 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7178 3256 0 R /Gabc7179 3269 0 R >> /Font << /Fabc7180 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nPROvջCp<I e!Wi ZHf;|/(E1qdbE0EH(.X*Mw1)7JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fgѯ'$DS3RwlVB+p|֦b/mk: S[${JLh*dKRG"+yk|\[-48יq|mau3j ޙG>x|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ_ΡLH9.;2Fsh8-Zݛ<2 endstream endobj 863 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=81) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 864 0 obj << /Filter /FlateDecode /Length 7052 >> stream x]I%qWYKq_B]]  ]h ./d2z[V1Lf06F4;';}ejuf ~UW?3}iy2z&97}e'mڿGCa:YO-ӗ?\}C0sFE_"]>^2=%TDEz\l鍖ץzg<=RalJ)iavT(.Bz_iPPp)uZgs g]lkR0b1='_?to2a)~W=9B=Qɺ :dL_'gRC7]zMcoڢ ^ ?7K^vyLaalL}-u's?hG?/9 "@s.qпAU6UQuMNwRUQcl),>,aЫQdE֚ ,5R^Wҋ/Z QUN:uEx?VWE=+p@$hs ST{Iʏiy4YQ.Sh$ƧC?&ovn3vd %Tg#֡$Cn.}'J&b !ԆL(7qnvQTx23Tzi(wF514^ȶ#e8qS<=W-SpoP9[!xRu9irj/Z-/4`.^  Lg2!X2u|s^iGhB$!3<.\%ӚKcdyK0v`wͿ!nZ Tw6N $gY=^~,.L/0^[EuL͈7; p6`.1M 4V=A`mm3Jj ~GQߦ?۲1v)rTK3 =mev,霧h~1!栾q'P ܔCHn*T"` -ʜ5t"0xUw;׍V?QկVl! MLw1f bLY_#^؂n!Ot/I#Mb` 1nA1` ,4G 0Jji<9&!ܡ[c;M|;*ձ{A3lnTCHa{ :qN M5ul 酥Ml ɦ7}gx[[ E.D'Sʌ8:Wo)MCmC#GԋdC{Ԇ|8cMPSd4`P<1w, mz Ah2Mo@GxFz[&BS9#F!@k ܇Ӕְs$o.RZDbB2خfAI/SSW#H'2tJL,UyR)DGu[9@ۭ_KڋR/-q#Yz G)7MrTr6w9[rdIiބݻѻ a_\w!N.6ٱSXȅKto[dF"0f$ !qgϮ 1b\$k2Ckih޺(۾ˬzh0ދH"pX9 OByMՍbuVVoifv7q18t5xz9nkkPHi_w=i a%#b|WS.,a1Ud-lsL$Ao,8w1~5ptœ9[],ڂJ0QGL[)0=jPGt*FdY#bYRM۵A([]@RL)Hu\%mC.jċ[GT,Kf%/G @sjf7 J"l,@/"KbXDUpHtH~gXb^5J vI Īr]`5=Te`Vgcotcmj.P_bQ7t=Xguus]iDJf3R>~ $(h أ5h ̥KFȯܠZl79q+bfp ?}kWU 9WNEҁeRo3zU̾\]?\Rp}xivd L&?p7d w%zb/T3,A=_ATͶw݋>xvcd4Y^b[u]|Ȣwo52" sNNW>uWմhD 0ƕ*+DuQIlSBK:0dU*G%oԀTZHqD'r)ƖھP,[m'Lgn$xSSHe;RE$[h~=bX0y u0JpYaNbO;79 "HӔz Q5E! HӔ1 ]VCֳ#{׽vja`R*خm$Q?nnЩqIJAuOԀޘ^lf 4 )lc8@N\34f<5oȱM䄘$Iۚ^ZXڴauǭkhh#* jT]kȢt䃫Gtn\qqOGW&Y@ǫliu'`G6cqhe ncm$ch͑;PXa+6m6cܑDEmau/|hH Xx嬎)|rnȹtGClZ$_dD]eiɓ\ HRºtEl~Z 1 %S+)Jn{!@b%|a9۝@{Ҹl]N8hF6)N[;l%%e iYHi纽6Lm"oiD& )SKMqhݧLHu鞍6;hܨ8qSD]v$QbATCHg$!TO΁XW\X5j-|c&@4 N$9N04J0a~μ.wQm=@E 97ЪsIWQLuS tU z/fПΥ^pE=P7joŏQ0mqcpA.F #kXB;5GאVs5>{G *;=y&Z_5DtL_dz"޺3Vv!"2rTtw&Rr(WלR[nYu:dIbJO^޴0,`ҹz=jPb83r~lT1#,qdljQd1vfQШob=sEox3ށz3->,9M/H/z ̓NW kmU}QhYv!-Aڶ@u<$BHHl, I(-m5H&9X9s&aC! m )h"/Px \X=r26>"06U]s(jO`K!ʞ?FR$ClGnic]8pRGzGn!pń~k,42ul:WmwG9,6g,5XYIutt;?ަM"`9̩y|NI C? p așEj$\`ywp 읊n)r&ޙ 5Ļ#^s=:|&bQN!A;4Y&8oOޱcZ C#a]B6i"Ѣ0( vu6bR#͜Ym8]=)Rޜ7 ђoj#Kt֡oIib,3y V$jlvvpߜzHȣ !88!.A cJ=%&؉9 u/j5Rc?>55J3|?;W|%ǝ Flɡ!F}?, ^p>(NPtF!n4̓4YS߄ YnY=E$X"=zC _JuŊ2<~ޏϵk+_S%U1 mϫOntk̤v[ap=%1'rCq-f1ʻsp,|ά^ӸNA9˄0L4rw݊+ju4&V!J83wB8rS%pZE!Y#%drmHq!qTp[ "7$Ď 2.1SeZh_j+)# ԧgII1E. b!i-~'TxG[]\ _ O+Kq+%?_L\0"e ,d_pV|.H DiEC|#B8OFLj8B}%@>g+ohݻmLBYO4O>5{7>KB/vdދ8yx/`|tpSw{")en]^UɩTp]bӊeХaڡÏfycT ƽau SR'׀#^cbSَ[@-ǼP zP*vL ^@R9sF{eֿ &>a uo;<9nI)Tcc 1Ċ怬#6LʸBDD(L6V+h|KbXa`xt7ήt5,u.Ao;yY!UybԪoCUo[I|3Fus&sg1-lMwdA^NyZH_q-hPnd3|d@3?A'[1.[ oT7tA&12B;;LgI l${ 2 F E]f%7KȰ=_rYڝV\S\ͽcFeS/nIb_}pϵC>9бֿ֌nqv!a_+Б| Wzz$vOz/E8 yϯ{~5tTY_*P;CcwOFokh@;NF\]`dKKb*ش|oKiA 4ڕJO0OZSr_TpD"U\cT'"a8Ŷ endstream endobj 865 0 obj << /Annots [866 0 R 867 0 R 871 0 R] /BleedBox [0 0 612 792] /Contents [872 0 R 868 0 R 869 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7196 870 0 R >> >> /Type /Page >> endobj 866 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111807-08'00') /Rect [458.995 343.5539 494.261 354.5539] /Subtype /Link /Type /Annot >> endobj 867 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 868 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 869 0 obj << /Length 18 >> stream q /Iabc7196 Do Q endstream endobj 870 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7197 3256 0 R /Gabc7198 3269 0 R >> /Font << /Fabc7199 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n(d w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$oo5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 872 0 obj << /Filter /FlateDecode /Length 3555 >> stream x[Ko6Wa@=M6 '@rٿ_n{ڞƓ"D`oerußìAK m:lMVgsm\1< Řp#G=,( )_XtBC:`ݙM:S}~J7:RDoU%1< 2Ǔ R&53I0@@VܵYO6t:0hamw8h'w1Xb=żm=4҂3B2֜n R DbvX g[;Old?AN(켙6EvQS)ɸ.f$63 yL~_4/~O_ F EaU9b`-u -[uLb!ϧh 06[Dbh9I8IX`*ęɭA|l߫U4 YOf&LVقF'43*@Oø,3[ ;!#$g.]}?]帙c8Vjĵ/dsl NC!ȭˤYW'6 Δ/lF M. 6eݫy"J]PS7TB ds̚>l^(0Tקy^@ @; qOew^C޹Sr>4>0=IMeL1'6&gxB`> [N5xUxvͩÏ-OU}3&l ܇1Xiyq!+xjFQ98SƉ&@~yf-|߰؃chiMl炍uEݓ)Hw03!}ݡ]U{afK)\rm"U`u - r>(٤^[f]W͗\HC ' ҎK.3H1 -X38eX}mմ ȮK9AҦc(ٯ?7& c͖˰!Pά4UåhPʀz0잘z -wH0M !o6z44޴]0*e0BQcCF n54ϸ~\Zť}S asZMT R$N"s@oۺ?^zfqiQz}~amͻ`T滥Nm9RBxqQX8dػej.ҫ8j9j8JT+ۢၨ{ډᥬ(EU(P uRI **z᧱pQtp-pKDڕXC1ڵEqںD#UhYo򘏥4f",LʩNe9&C*vQVo#%̍ xVy5]Tq7ȁlϥ8=SPG;u*}R%3Ȏ9̘9Yn/ijĀRJ'ptļm{]O܉9|;˙r8mjcML˛ x|%w]鳺跿7Vz&pݳg\>HQT 9r)Cm lv[x0sF| t-9t"Gq}\r.%wᄂ$}'3rG.Z|g} qYw1];:pu~QQ>7b1Z*m}׆ቧAWkFܓ.EBv!\Txڟ*m?]r4ӆ' iN%`՞ F]MP%ED?5=I[3P{Fu/.i;5F^6!Sɱ`z"v5Ԣ*PWs/le\Q Byl.VFvрPMڲ%h4\4b]쫆htFc8 2^_utkt dcrπKNMjYas9fXRkUḷeM+64]`IVAY7r'a9Y(*Yg'r*Vz= j#צ$*GO$ǬT-KrOo+̳'9k2־4QC|vQȿ!lEB"t7:Spm >A3Yos؁S" !G|t s mkH͸fI4]g$p[,{ `= vDiku T\u":w ˯kNȯwzߟ"T@6o  ٱ#bQW8?@!➔+I;2XttD BhN@1m<=qޣH0FCU& G'W Tn Muo>]e)S@QD ?x̆(S%8 C88iR[FeH$i2C'rM= P n"(FpU9)IA2iK= Υ=m n߯%¶1 -nș-#זfZACa mH+Zw$h]ҼVtu̙e]|Kc82<btDd#L: bQ/`%E29%O Fz -. n0ڏaEzЧ*F9cQi=;AK*Ov6 gIvfޝچ bn߫|1Lt? uYUɳ5v Vtt󹙮*:> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7215 877 0 R >> >> /Type /Page >> endobj 874 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111807-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 875 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 876 0 obj << /Length 18 >> stream q /Iabc7215 Do Q endstream endobj 877 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7216 3256 0 R /Gabc7217 3269 0 R >> /Font << /Fabc7218 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠL w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQ-~C2k,`O6OaePDt / CSi ܟBjdԜO\0s251" ^/Ej;'9 ).Q8!h'-:A/} endstream endobj 878 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=83) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 879 0 obj << /Filter /FlateDecode /Length 2800 >> stream xZK$7Pi)􆦡k|X|ݱ132YU3/mo7IJ!))j?+W2o?s&S\ٹB^o?0axۿͻ̷ޚ~odּyns.6'ÃdsX[O}9[',0/2GO2:sydT\@||L5odKKpnْ ᝐ67CF!Cb*ꍚ}0 XL>GT,QpBB:{>vi.|%eQe(YhUnJmp Gx$ dc#U?sq4"̷Ȁ+ǧC̦EfȶZ){`{sjDQB /Җ+qg3εӓG5w  ZQRl[ U6K(%Ծx W8R_uPqPR=dA YXΖVb1pԺ(afqN.,'vgbj="צ*XfO,sH*–c۸w8ӧɲ G> 7$ÞJ o@H޹ 9\ٔktRgPÛ[45!B`~GX=JDjƵX΅=-!a,lг`GU(ȧr =:'Ow_sK5_st!T`aHtɎHRe{%RZ'V "PIh/@Iǵ#-lWIl E^{]ŹR~ 1QPq-/ MDQ)prp98"t?Io7`4eJ5ҁCb6i~rB,#y2,E(5&!eN3R3IB8G}W5n$" HZA2kAJ@JxGpt+0ǎ_S7̖D9AlC+3x 6l  }c]SbaF+W/+D+] %<ҘI",T(W';a<*|Gsh ^Z$3:DBTXUK&Om2ǸDa<!v;7A 9W+)BJe|Ы)Rpʍ. IG";. m^EメXljjUv}Q<{۾!!e> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7234 884 0 R >> >> /Type /Page >> endobj 881 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 882 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 883 0 obj << /Length 18 >> stream q /Iabc7234 Do Q endstream endobj 884 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7235 3256 0 R /Gabc7236 3269 0 R >> /Font << /Fabc7237 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{Ihy㮞~?va"6> }0SxAo}W4hނ׮nwwdth_{t M4i_rƠd2n~A$V-l!`aL4GCID感D+%̕')gƵa(RɐىP|}yԬ9*{RvaT(P;b)^G?+QO T>Jج$Va֦b/mk: s[({L̛h*dKRG"+yk+YZ yp3eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽?&p endstream endobj 885 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=84) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 886 0 obj << /Filter /FlateDecode /Length 6265 >> stream x]I9rW<UՔ|h _,1(;˷Hd{0ȔZ*wOF~*[ɤQ">?Z RTtr^)c*j7T=/>{|(>^kt'BLO<'z#>UJSߚ/(U~SPZa ˧ (u?0* Ẓ(6TFFhodRVzEH v?}AZLVY"MSaw%S2˄կJ+ zcLw̠W4I([Ygr^۔91}8ۣ8QZ#qt /BmGQ;R˙ݛF=5F'[s,V^j!*uTJ{Dv0,Bva>qD5y,- I1aaB©fIBV.0Ra^4zx &ˋ4i{q•a$\j#㠤Q A܊س /D1 CY(s= /6?J^©x`LMk#T>GibGhTCnuu\y* Ӗ@jQXjB[3?X!B3r|uq)aѷ5:#Ut/N虀Kv596|A,zUlztB 4!=_Li~+D#D\%H%q[mZm3LmM)VM+%A!Q֧PkRa "A+M,wI!̳E~$Y&qU@sW~]cCTkEafmD\yG06^/&$}G qyx/ؗo]b7k3).(q1jtVPR;*oTqRM*.QREJwSƂSZGBP+jrl.]G ֞ =$E[ū>`B54Wqy_-Gg6xD3xY6xh]g Db|n@a::Ĉ؄0eUّ(fpɘOsY n">^$qqa5|+h !b#N903HiV4GxHONgh}0?h_`}PX6njRNG⸸X<edÑul;y-jJN.̍Mjp`"bPB,Fd M"jVx.M^yub15:3b{DWlr5ln"8 iMԭ+2PQ0F  ͐ i*jg;X O1"٬ըlk1@atK闋lyvۺ- L: [J%qO*x@*/z"ƸLW#qCqZ[Yw$ВI*L 6ܜŜx`|Üx`|t\l<%+PX,d K#,@[,l?qx/kPvQ7#gu,u u曦cYI]BW z Q|RJ2nC߳ɣtkXfrXPCNDP,R7¡. 0ՐPH%܉伲1x+lsOj.+LJk}U6/\Ǹj5ҤU<k[έ;#hό=xZvNm,%f`wB'CFvddfY 'N 9 0Y x S,j\*uȂ0Y URw ˀYAeDӃY'io\sI#4X45A|$p]!(A[$R 5k #>+sjp`Ű5dFN &83B8Ktk #kZ8L bIF7c<\1 Աlr֜1niAb-'|¢qQah>E C`) X rG]0mw`&o{tsٜD.OrVC4Vve<*W_j2K[{% Jd]dbcT)z,.OnPv2zG8PONe acKE>kȁa>J1V-+8OGV[enPvbhD Xb+ Ī6!Gǃ_ hYdʣy0fq8 Y8l޻r6c@عbSGږul)Mșp|AL uwO~w9gO~w:ӛĵtYz,M\׭3aA ;XH$LP^>2ڵ"C:"WO*Bc"NS͕E0KjgF^jaHw9i3zSq{k9aHdr*vAi{@ÌstJ++XX/vU].X~F;f]6|A/60 lq %n'^ s/d"`ĝaPxzW-V m9lؗD#[ BF,Srm `ws~+l5شm7#.LMs|=Wd.ޖnc[=m'Zb)YH e G)6wȡ03V7ۺf3F*t%NͬNn8 w03H 9d1V4iqB`@,mQcu 2~?O%]3eu.r$,枤=g\Ӕk}*} s6{__^[?$ɲƑiT׾p*nT:<%4vy҆kEA>Z2R$3FsGJ?ZϋY+DXGf dh b[’Vesۭ$UBDx"R1MF7l..9֝r r0$rqnp7W]O%W NvT $mGG,9 76S{ԍ' ;s&Ɯi6vX3C`=d§F_![WVD.&?h<7{sCb՞۹Ѽ#hIҲ4FZ.K4Vi&+4ݫyCm B<䫘- 'ޠgl1/6~7lir.1ȜuDKPamU_2@akT8b(@"!kȼz7VJ H]/|k1yjPU('.)W^ MzSH.&d6הVߎxt 1[֖0 EL 8d͔{EC#w+b@Ț XQc\6,T6TJvE&:Λv]#=ԓjC"fL,ͿָG* x엹[IHl6̴OR?I9K:M*o^q廛=N%U/SךSHudk{{GFZnfo'4<]gr^?_ӫ$!\?_>˾+{Aa&})I €̔DΪ55|\g~Zf&BinNsѵ8m瑟.ôMK;S]'4 S+,TvEO]ՑmZ1v2b  endstream endobj 887 0 obj << /Annots [888 0 R 889 0 R 893 0 R] /BleedBox [0 0 612 792] /Contents [894 0 R 890 0 R 891 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7253 892 0 R >> >> /Type /Page >> endobj 888 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111808-08'00') /Rect [487.98 660.5538 523.246 671.5538] /Subtype /Link /Type /Annot >> endobj 889 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 890 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 891 0 obj << /Length 18 >> stream q /Iabc7253 Do Q endstream endobj 892 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7254 3256 0 R /Gabc7255 3269 0 R >> /Font << /Fabc7256 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nȬ w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w, endstream endobj 893 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=85) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 894 0 obj << /Filter /FlateDecode /Length 3021 >> stream x\KoW@3d%nwrf3Xx\U(g1Lljb}ZjǻIͪhdӯ^䔵|PcrNT|3&q~d"Ϸ8 R?wH.Ťvt+OƌрI,vGЎ ;9©OXS'JN.9`5w213k!p{ g@F !ޖRFQ#f `HTNP*dvƶ >8j|a OUmG3L!l\lff߇YNx!G'1's=c}eoLbp(ۚe3ʘ:oqBi%F}: g<*|-D>\_OF(ͺ3λ:s7E3Z rS\cD檑>N$׼9^Gγţ-}/ueTqs&@Ѱ_Lr鞗#LN#4vv>V3 lu%]cP O )4ץ%ctEx_:_&pmԲ)Is߭fjlSMn79ᛷ U&]ǮnhB9?S熆}Vzn^ xY h(c#-llDZ ΪNNqBѻ%~_ҽ ,y akgTe7 ޽| B$.[+*@{]YPn>?RmFn.9rxAyvxqM4p]oߍ: 6h?k T=p-iV납9$hO$v}]`盡t]k5h//RFxEn{$X{4[7xȦX-6\~h1wMFr=(_^~C\t9=*9yΆIgp0 ǧi!}YˎAȣ|aKq4'Re8fDs1ɻ'c-:ezRh%9l, `)+mqx輶qAGR!rQ|Udف;񊅴N>3Ƽ ژ%e9l{`,&I:G;r|C36U Tyo\&٧BhK;f:.Gj^i7hR+~\yQ&8Dc&G7J.{ȡLѬ:Vlf ɜCw)r88D ī^W;zwx?ߢd@5/LNձtr=bR,b`dQki Y]zɠ8tDBiHT@'"K u3 SH+82!:4BP.IMȂD-ڭKv\,B<&N(;i&'Eɰ`iiG"b [SG޷jG>tTR!WV "P+mQ&ZG;Q ܣɹ:Hm"ĉ$H"婤T .XҶF^P*[B5KR&O6 hZر5ZOhӼBf4u%Kɒ])c$3z[h<#Yz>,LjЧ*tM2o htKJ<~ey!s8DÉr|8=oqt3U{d > /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7272 899 0 R >> >> /Type /Page >> endobj 896 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 897 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 898 0 obj << /Length 18 >> stream q /Iabc7272 Do Q endstream endobj 899 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7273 3256 0 R /Gabc7274 3269 0 R >> /Font << /Fabc7275 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XL`^_ DD<<%C9j% Os%p!ɒ FPs>q|sɨ\u#URԫ_zݝCr<3/v҂bwo3r endstream endobj 900 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=86) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 901 0 obj << /Filter /FlateDecode /Length 2482 >> stream x\KܸW@kKGarrmK~*{&v`ȒJU_RCoûH*(`2Iyû~T6`,ӂOWgy4LlRA=}y Zi|km͂:|ro1ϽA6bpބm=[:2WO?zςBF]1#ezV##:]SAO_S7ա+XM>%>k;GK\IRi^+Bښp-aQkb08'⇯d}KKzt~@ĺ` &Ε~/LmѬ/sIt-]&דGJ$w>y#4׮ף禵}ur?kG˚oấ-tJ˺W4F.ް>>uLJ*="ٖ?OC>`ӐQ8_6Z_|'`|\.ɑ7> `ELq6!d'Ea j$<99O=:[mRNdmp)1gnhLb(@nYېOgM}eN/1`,9k#2SriPا̺If0PSd}dkB.eyA#|}vGh`PS9fP/O6ls8<&=Ae!~Yj}Dѻl4ؒK^m,dA&LQzH`d+Mt;`X7p R wr0Q|ndَYm`A5jy!L;1I–a[5 Yݓ5hv6xpF,Ϙa6H {m>_\[{ Xc_OԈ xt;<mKrE,`FeȩO"R6`8 belf4lв`)Mr}ZR1|ML}`>7 ,m"}¿'#xUvīv'} JR d3~");溵<3gEC艥4zOʼn !PRI Nݳ=$!c4TMQ^yB|vNhB$nle!r@pD3>IdF͜ƒk Ă%tM {_C;RXFeX$|ɴAXry/9q C9P\oɩHm Qkڮeւ<ܐ =3ӱ#sAضg7bG1@)燉Ȼ\f8[Rt,uu9=O\iWk \%JglNcrLNbxS"'{„YT`o܃D^Z$3@ˆTE `q܉l\ۙ#O t BbS;(%:~}ͨͭF|`i]y23 sN'r7\"4Cu#L -gs]h%zU\j7{pI/RfYr<7$vǶƶ#7Iηc˞ Gw,=Hҗ x =G_SRO:64Z/ tYFhrMIϝzտ;fESy(Ry3L642L*FSn2QlH|bYCQՅy`˸Ьkz endstream endobj 902 0 obj << /Annots [903 0 R 907 0 R] /BleedBox [0 0 612 792] /Contents [908 0 R 904 0 R 905 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7291 906 0 R >> >> /Type /Page >> endobj 903 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 904 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 905 0 obj << /Length 18 >> stream q /Iabc7291 Do Q endstream endobj 906 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7292 3256 0 R /Gabc7293 3269 0 R >> /Font << /Fabc7294 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [nlmw/)RT1B2h7r %er1"" Ƙ3w09'JQx5sT@<ٸs@?a(Rɐى9:Y#rU ((P[QSqfяG~%DS=RtriK[Zߖj?+=ʡ|~72ɢԑH5Z yp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 908 0 obj << /Filter /FlateDecode /Length 2320 >> stream x\Ko8W<4d%n;Z`=̮9,$&Uj㞅[ [T⣾zSV*4ZDWUiFyzIK}퓲mV~WRJ}j`0?N٤b{zNk{KZ8AQ>y~+{3`|8>>EKx7CCpi(۲˜җb_` ;A!K1ACcxlnÚ`~~׹2N(VBkK|5},iMJّ6ۆӦ,ޮ˙J9&'DTugn8נ#d&\?ͮ\rZOG+!tSIGas<< V0ZOzŏVo&9G[6ŽLZ΍嘳{0d:nfj  mo&82V MR֚G;\?]ڐjgba v!cu] 8t"ɾ:/3Bf%:LK;R {F:tj+zsxEuAIQB>Szx5_DJCNNtc2T5ԅA~` 臽⟣gL)+I՗?}Sӫ]-ğ¾upmx$̷Q-˥ ;RAL"a玴zJc7BJwMMK?%ޝ;oVY}ވ J`]S~jt ۨ|]e}A"샍o(ιM]#ug|óO ,I!vd'E05fN)5Sm&&jNkSzV&8;\JL%mh69v "N9k-}f$J^cXrԏי0NGɥ^`Rt5 ZU&y ]rgi[әF :6403K{I69nFGgO }Z[#e\򪵐lKYZY!ɒWb1Ba#عPIKK*uOX`Yo#3>ī66U[x gxxI%)OfHt̎ޙS &!zfIQ:b)M=@/(4ijSqBhT@<"Ss$y#ҁVCTsK;'4! Uo76q2p9D`>OQ3`a%cXiFk>J4[>ͨ|T!,g ""_2mVFj\ɫBP(]{Q>ɩHm Qkڦeւ<4#.'+3VRё9j9E+→"Yl(?0y nuKj&zbG#t+ !xmBKWD,viLI" t,+1/?g ~& Ϣξ{ԋ#a1y jh #FSBӸɍ9տi%ҺY`ap`0p\X)6b ~䈍 Ck9Is?T+HUʂPy:e0_>`5į.^ޱ߅\6P R!KBJ-~> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7310 913 0 R >> >> /Type /Page >> endobj 910 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 912 0 obj << /Length 18 >> stream q /Iabc7310 Do Q endstream endobj 913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7311 3256 0 R /Gabc7312 3269 0 R >> /Font << /Fabc7313 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠB w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQ7G>x|1$ɾ d_ EA2T>eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽? endstream endobj 914 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=88) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 915 0 obj << /Filter /FlateDecode /Length 2157 >> stream x\KoW𼀸dHNX M !i`璿PSv{d"EWo)ߕUb"j4<щS8 +'k~wݓyo1QO/sIE'zp9~*c1v{}K{(-=hGdx'<3cq,ε[p7<}͸߯@#}u,nӨvB1-=v0r{PK}Oh. {,+PimTu+13Qٗ7\1+@)*û*[WTQ[ v?Q6g5 ,KkM$L`s. s%K_i9uLjퟁ@"К^U ׹c{ %ZuaP۟lzWӚuu`jC0 yZe-{lՂp-Hk}"͙ʱg%qVPԖ*rzU7wػ$/'I\Ӛ .] nTЬ%4o:ZUqv2Gsf-_Bƅ?VuXLMhϢxE輙Z~旄5+QsދS]7O-Y#氨RMnz #jZ֕(je[tMhp ̶~`͎ZldȍN[½Iܗˊ*\:DreͫZ>-i޼*iv[<P5s}#flp_Y{r=(. .8圞b|O@&]|U rB'k{" ^3GoQ'&/F[pr9?M q5Iu oN) ICII;kzژdLNIdw>p{\zs<gm$Omr:`X@؃Iډ hJ&:̆YfP%9yG[>$o5'J[v-c[7AC:20hK'tA65.SـgD}ZQ\ & [ Ŗ9 ,y%S VR>KIb(f}W;(^ux ",ERI >l&2;$pp:gRTR0h DJ TTh%4>/b<<';2zCU&xuG Tgׄ&DAE8;- Q(!'qAe4)<FXZ ,,khVsG lIH("5ӆLu]%(G: x7^j=9UiT;l =X-䒧;R||p!~9TLFmweN1M9Ed8P P*a&+\ % 'B_XPr=XģktJB^P@ҕ/1g~pJה#r~چ|?ɑ0ax>ebQ/IKPDqF+(3b U.LU5Yb\;DFg&D F|,trL2Uq)luWwKAQ[)X.:2!Dle6 : *C1!="BeoB[qiO/d鐏LwTXuσ's&.O̳HXxTܔ0dv{n/),Gg |N(wR1x~~ 6a}LmY$ܩCyTL0nE]:S ߩTe[s27ZTW˚MX9jТk$dW endstream endobj 916 0 obj << /Annots 918 0 R /BleedBox [0 0 612 792] /Contents [924 0 R 920 0 R 921 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7329 922 0 R >> >> /Type /Page >> endobj 917 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 918 0 obj [917 0 R 919 0 R 923 0 R] endobj 919 0 obj << /A << /D (unique_53_Connect_42_fig_fqz_h1z_ztb) /S /GoTo >> /Border [0 0 0] /Contents (\000F\000i\000g\000u\000r\000e\000 \0002\0006\000:\000\240\000H\000a\000r\000d\000w\000a\000r\000e\000\ \000S\000I\000O\000 \000R\000X\000 \000a\000n\000d\000 \000T\000X\000 \000O\000b\000j\000e\000c\000t\ \000s) /M (D:20221102111814-08'00') /Rect [110.3665 216.25 323.695 227.25] /Subtype /Link /Type /Annot >> endobj 920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 921 0 obj << /Length 18 >> stream q /Iabc7329 Do Q endstream endobj 922 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7330 3256 0 R /Gabc7331 3269 0 R >> /Font << /Fabc7332 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ"w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQG>x|1$ɾ d_ EA2T>eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽?  endstream endobj 923 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=89) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 924 0 obj << /Filter /FlateDecode /Length 3534 >> stream x[KWle `{6Y/YK~+%J=;M0$(_UQ=wNy431UK|>b|Ⱡ?̇o5̷gǓYò/&y9w`m(|&g]lsC}5c8'>N|G~ׇ)wte:VWsx5+J4b/W\D+2f|}2.U0K2L8\ϩ^0G¸,|:?0%J_߄atxVcuorq:o0ۿoe#4ypm%D@B:n(U2 8*FZ׏q $ ]MOմgC2S!/Jw?Eo(2EfhgqNojM^Kzo+ǭ,s)i1%^wiAvvHCD i%D*?K%r>&ѭި~;<25B-H=Ӣ#eE.80f&A0?e8!@ipOß|㩪WVEs}k!(zȔZIҀ(B-|ZmR@-It B]`^pMTXVnk4'Z l H9b,AaPaQsydvL< eGx0h,@:0[FwZIJqLض@H'NFOY.wPƥ%~U8\zR TWNr>."Sҕ:?2\=\86cV2{7mU1 3a Is..;٨=X-[u–Y`41n_'d%[d՞upFP`҇P uu4#\~ϬKGlyItUӻ41QKm4R ds@DYi8WZpq9i~N]B;%*!1]] g}I+ݳt?yEoH0D@ ~IZخ$zut4R"ѕqy:Le<'ky6ц+as{ oolL*#WS6ǍtC Dbbnl/$ fH>G2 'L+Y Fp"cJ3w]I-48m>cCɏ:L( 7+8*9V]#bDZSL`~Tp~\沀*  yW\i}0"ۋ#?CغD8Ƅјsʳ+U*N3͸É3AfE7=^ A.u־,;~(P!9G.U_Z23氹YJP! 2 ;6+Ű&7zQ99Qw߇+T^sMk.n'b8&8a;sgNX^T.8D)JÏ{64e0B'PblA44VHM\ b 5ϒ$#h6W)2 qt"4R)s>ZsNi+1d$i_2˾G0ۚaiG1A Ķ6o+H玊 N#@%"6 +3u\>:(̻Q|s˺P3˰fon˖;v7+oϤr(HUޚN4ط$m +C't֏u_hڪ>/<A}teV߶HI[} ae$;0v8;ùqd= qlɨzSöA6'u/[|AXY M6 hm0_vˬ?i+j,oW1hQ?˔~YΰPSITɍSϚT\l p{6qAtTmy endstream endobj 925 0 obj << /Annots [926 0 R 927 0 R 931 0 R] /BleedBox [0 0 612 792] /Contents [932 0 R 928 0 R 929 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7348 930 0 R >> >> /Type /Page >> endobj 926 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111808-08'00') /Rect [487.584 660.5538 522.85 671.5538] /Subtype /Link /Type /Annot >> endobj 927 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 928 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 929 0 obj << /Length 18 >> stream q /Iabc7348 Do Q endstream endobj 930 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7349 3256 0 R /Gabc7350 3269 0 R >> /Font << /Fabc7351 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ|:S֯޽\:H/ JcB2h7r ɋb `6P\0&U$c"Kso"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_I٧j gVĉwlVB+0=knT-=&CO4{rCod%#5JVe8>϶Fvf^_ DD<<%C9j% Os%p!ɒ FPs>q|sɨ\u#URԫ_zݝCr<3/v҂bwo endstream endobj 931 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=90) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 932 0 obj << /Filter /FlateDecode /Length 2983 >> stream x\Ɏ+x@ P( W0v>>Ѩ6}EԒKMfvRU )DJJIoB v1h4-IIOIBkqJO_?@Jy:NKDqx } dcfg`ż^HDcm[Ήu9ЏVd1gxq\9o&Ds1k!]e g@Q !lķ(Lm3`\`;QTIGiۦN"0{r0j\~Ulg) =)gH:L3r=tTVƌx>ѧ!4`Rz]aG*2lB;^׍~e#< O-1< jLg;mX''FM*0SPTEjl U.UbɿRF;Q@R t^fA◬]UUPEMo]]&7V W{E6y'eW1 vrhGc[zi`Y3hZ{]0wFQهU?l )*=Zzk\͑ؒi'$X}|!9]$-m?iDr4Ru| vŕ7t4M7Ma_TГƫ^5AIeS#(}Ҫ̆5)8uQjSM(״ .{r - "ygKYu9.CѠk|ŽTǪ5&[Ѕ^ZN 쫝![c ,e$jK(hS5lW.! )Hҭ'ڕ6op2bh蠍rN^InDBAwQѣsGku?dԿ|I]ږԎgG n*G#ZOwvG qUfѮ7=7 ֔?V b`6,K9ІK<Ц`WudH?b́ULDo1r}ԍ4t(DD7!:'OP[mFt4Y ` *ܘIZ\zT W31YVW_gKNTowjlAuZKDrJ>ʣ+ӆǖ a HnqiB(B$meee(iric&DsE|S3q4 hk}2&Dx9:κAg0ƥsduԳ5zv]d-Z"֫v'hPq$%L6Q7%ҍΞ!wǺ2Pe}DK.{[K#[Y롁Ԭ 1MGrRy@/rW! eWo- YvβW̤[FOQB5к#f$#miYxZ-<)Xr9hmw9#OČN EUC*ɴW6亿E ŭaVM܁`S< _S M*C &_Tv9#hѨd2EXr3-:N|Вb,uv2ϩ`ꠟL9Y\`n3?+N~8Aow_d@5/LձWTNM&P{&iHKccj}9J@> NJC4 S"Z4w\( a*FLɹ:Hm+ Kkz <䩤 J9A>?***D=Ҷ(auaȕ-R#0 hݜZؑgՕZoH-52H6cQC(-e g3dM<89P&bq}k8uz%QSÂhe]iL93Mg&D v 54ރyeU0wK3W__9aTi2 g?B1uxB5|yZz۰*t>_^^ӕMgzAP, qZW,zOR2mO[{SP;ؕ=5Ѥ Fy΄Xwb֍?WF”nvIi|I? 2tg+ftf\mo*|T⫎DWJ.ZՉllB?Tn0h5co endstream endobj 933 0 obj << /Annots [934 0 R 938 0 R] /BleedBox [0 0 612 792] /Contents [939 0 R 935 0 R 936 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7367 937 0 R >> >> /Type /Page >> endobj 934 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 935 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 936 0 obj << /Length 18 >> stream q /Iabc7367 Do Q endstream endobj 937 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7368 3256 0 R /Gabc7369 3269 0 R >> /Font << /Fabc7370 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nPw/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3x|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ_ΡLH9.;2Fsh8-ZݛB endstream endobj 938 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=91) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 939 0 obj << /Filter /FlateDecode /Length 2359 >> stream x\Ko8W<4d%v/0f7{F#e~U$%N2vlrJ|WO-E?TM&!9o\ϯeZZ]k}R Sp{?jC}y6{/m)ms-Ii<}wr$4]w/sJ_0s|:LA<Lǹ<6ؚ|1!3kmﺧ"Cߐw•ʳcTi(R;$'9h,c_G{{] ǽxZХfqdR|U9*'5jn:L̒Z)vir|i ޸5B.gcOzs;'bqŽխD0G_$~rLg . qO1n ,a3I.WqTh%WB"MF 5DPx,m& iV6>{+<8U3-_orC=+, ?U-͚j7/nȺjE#α- 1Eod_h4JDڔP*{SL ZqFU5q0FY[kDtmNef]G "۲~-QXeQ?.X}j,wn콄ؗ00n$fGt!}]ͳUT+^kA~|F%hiGvc_l:]tUlbHFQp\.ɑz0>tzV}Ia: `ASJ4@i?ZZ0rP'`Koo,tzcyPaK!2&e\C֓_#냙=ɛw]\Җ1;uV^AMCtA]r84D?̲%+P6"ṏRy{cY/|ctVbeXP=c^zmESCreiMyVdg M/&Z%"_3C2& Dm"9ukk5VNX݈؁y!h[+b3DO"R6za8 be#l0AC36hY&!-A>chϞceMo*^\%ݙxU_F|ٌ?2[$pp:eǼiRb#3h 8tq!4J*i @}©{Ǒ5dt!*;9v4Bώ MDۍpvr \%/ӻtCʜy^W^ ЫRo҃'O}ye !Q~פ 0NMLO!KO7e@^O*QԿo)a±UKt03y/H%_;g"MPߩ]w+0iTTt7.Ga;aR1bub |WE⋌ \*.܈(̧Ү(4F endstream endobj 940 0 obj << /Annots [941 0 R 945 0 R] /BleedBox [0 0 612 792] /Contents [946 0 R 942 0 R 943 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7386 944 0 R >> >> /Type /Page >> endobj 941 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 942 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 943 0 obj << /Length 18 >> stream q /Iabc7386 Do Q endstream endobj 944 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7387 3256 0 R /Gabc7388 3269 0 R >> /Font << /Fabc7389 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n( w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucNRA fѯG$DS384hgm-־0Z|fOr;L$u$r!o V΃sV7Ө#x?d_F<<%C9P2,|<30-s@,`K'o.Ŝ|B揗bj;i,'9 ).Q8ΡRNZu^lMZf endstream endobj 945 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=92) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 946 0 obj << /Filter /FlateDecode /Length 3158 >> stream xZɎWY@s_,:>Ѧa(@"22+&dkhhTUT.)2J.Xj4WT.ѧ~\XYԗߩxV_~ջbZlJs:EVפu4ڄWBz%uww?kq?^.c7֪`כ;\ҞI'R}g7!@:׾_I mga0c5 /BEEכBoH=1 *v|ЀMb{#icL5AHDp<tL @$&8<įGHp^loeہՁ‹toqJ! JGBpc `~2Y"DkZ+ժhFs_W\%6؂ ƝBv07Pu?E{/JwNJ=qeZ˺B4ԷoA . o[2д緔,%m쾎ۗ-$ pnR~4^wO9]^u&X#Obbgh,mLIcH6GףUIg9ޭ^UT#ĸŊ veWNr8aW**FڣͨjW5pxR1tqPSdu~>)cVJFK>MV/!u:\3zz=vS sLJ Ҹ$/eeK9}4}ò R]""VRcgC1g/$Xwv2.y4/(;IJ\-\8m$4@m.U'?*T upm icl 5lC]rW|Riܩ' ͜\ NͶ.HIŜ 0Β$XPwA+zV;?]9^͙:CtLWDӽKU.m4s3a؎0\KR6e|=$%0:䬀ҹ~tO{+P(PܭXg pjHHX=-!b™2x6Kn5!* ض T [6v3P@lBZ}VQ;6!` 8Pro JjmJ3$<<'nN../&Ѱ/blƒyd ~D)Be7S`&b@~T? *:p] $_u[q Ӻ`}GW;X0<\aFӚ˓E]}nAR;Ì'Aqt!O׺nmCfYP5dSl6%_ BQhaͫ%5t-!oЊ q^DID>"Unzw+n*d^Gmb>z"6fHlUx:S-<)X%K1>7 G1c274CmhB%6-_SWR+@3t& j؁`Cɍ40 r4,)|I#NF\FMzΔ=!]X AG4eMŢ=r! ݤ2GsCXn ?ޕWݐw[NP^u%tWJ@6~N{v#`S[9?@&枕h IK`BF1< XJPZI DP ! SX7?L6C6!=M@Ak[iG[Oi c"@"@|B>NVd&M"ak",lm&#* UgDGYTBPdmL˟. |K!rdwF08Hm"؉=$`%pAM%ÕVRAKv\9 9%d4aRC'+ 4AԺ)}]SB UBڂ84gW[F;d(Alq e*|݋G{d(A-( ËVbGOu0a\t"?v&h"gD)-آtam.9?;[YUyoa髣s]-,&'t2-YN#aZH1Yc|kRC$w}K}b_tY2;Lh]jEg쉐MY&}QCtV^b;A +}ӬvGR2;f~ڤMSNWEGO><*&9Z3m^-^ם%}SI".FI#NDXf+_70h5ؿZ endstream endobj 947 0 obj << /Annots [948 0 R 949 0 R 950 0 R 954 0 R] /BleedBox [0 0 612 792] /Contents [955 0 R 951 0 R 952 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7405 953 0 R >> >> /Type /Page >> endobj 948 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug580-ultrascale-sysmon.pdf) >> /Border [0 0 0] /Contents (UG580) /M (D:20221102111808-08'00') /Rect [490.114 256.2498 525.38 267.2498] /Subtype /Link /Type /Annot >> endobj 949 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug480_7Series_XADC.pdf) >> /Border [0 0 0] /Contents (UG480) /M (D:20221102111808-08'00') /Rect [122.571 227.6499 157.837 238.6499] /Subtype /Link /Type /Annot >> endobj 950 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 951 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 952 0 obj << /Length 18 >> stream q /Iabc7405 Do Q endstream endobj 953 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7406 3256 0 R /Gabc7407 3269 0 R >> /Font << /Fabc7408 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 955 0 obj << /Filter /FlateDecode /Length 7656 >> stream x]Ko$9rWyJV{Xo>`c`A&QvzJ cdNMf ?ۯS+3SS6([=}OL_m .t ~H]{*ϕ{jC`볛l]zОs )ePa4j /'Ü/\_#ԟd&xJWЯI4&E(.IIr0&.IDm(Ks*Ѥ̖~,1%}ԋ.oj˥mFzpUtqI\B/~UJ4z^ /itrwRCZ{QN/X5y(fLLun)̀Y^M4[J"X9irPVp/vmo]dsM N0Ic vt3ݡT݂*[Q:]Ru#JWQBtNPLdwM94CTqp 2[]MƆD:+J7RVR ݋RFR6>N+dj\T$25djPv.Ox^IrlE^z^wJMzkO]S׿zu;69rzYFMHjB,\"1JÑJG/4 Z7S`"uF7z"դPRf:ÉwIz]C*"Vkǐɩvhĩ^ } EOL ec@PSyݛ k`}ɸ=Rv ΰzw@:@C:2z8ԟ6xp8{GnB %ZE6ߴ FJG5>v=]_sI\VF7ۍXlۋE?珜L/fEx:Ƨ!|B6804*D\I_hiٌnJ2LUYFRʆ2'[bYo .!,it+O_}gNh|_! 9Jp1.TەTR\,PGaĞNSWGљ%aQ!-[< \#DBšFa]"tK!x:yi_5P 9I&lk1]єRIvy #Mɔ<` T߄ u#_1\X?8߁HcdP.X *o0d 0JլWĩ_*CȡTxL`jP$3j@ahp 2:am}Mh.]CÑ:cRC])7i?4rhe"/.\IlO `f`$_ʇ |@b8%G%NjiNrx23/!6y=rԃp\ִ^u'٥!mïV(|Xʹ:Mg nř=زs]2Z4nSfxr0ē>}WbaDx 1\N8e^ yIp-_Bh@qu%_Æ-A b7V T E+ a(8ɻXAJ/_:V1 9QgM^jvaX   MpP+I1q^.!@; Tz:=(J]w<:~ܷsY08R)vF2Kf%~uzTR-o xֶbO~}m N̡|UlM1(}ᕉJ1hP;[RC! 9V- Wv5[:5LU̵L-yDDɰK 3p<g|3Vcc 5>לtDEҫ+:T/lњ QN%Uځ{=[K q[M.ZX^g!C5B]KFsZH@fjP3ҲzXd;~=| ^ +FzW`$XD/$!9Vq" Ƌ~'+4u<֜lŪRIF,\ÞD6S !R4H*_4bkruAF$[s䧒9I7h 1 vl ۨ[u+Â-w 𒛜2èoKED TFX KYƠZ3sr$.!\YN,, oY]4p/ h-Cwc[vk[KW۴l|F[6ݔ缒+gkn jgӾ $+xĆdFes/oC S&Gw $9l J5 R"/TeLV-Is[UqyZҧ^n{4twi[@aLCtCtˡD: G /+gXWp oU*S+ov +1i2WUpJI*ԱM%v'|Prd MݬsюOY.z69$a;Ģ#Un/j/( kК#ː1VDj,92'cΗZ]jIrWN H! +G[cY -v;XU@M~ ^Q&E֧H6YƆ7E\5.kA8xκ+o]!ծGxˇxa4*E++9EgW+>n-I#!a!bt]p! PJUߨ øOjo_zM&?K|ۓUFA/36syOȎV'ἶN8b[Ikkvm}O8u6RhJ>8 sR~G=7U#+SKuyw;@ BL b[fȱ[*ْ'UfJsU˕Tb]d]3H[HP74Z[/c@6If>oTz. -,gbα,_./)225TݫV9[cccȰ䚋3>Ff*d r/t?fQS{,v[+]z *?,}$9"n 0 Ih ϵEyظO^oݎ`ȎCO磆X46Q$]ʹRLzL9O#:,\n_)Sx4lXi7HtN6gh~EBTT͓jx *:d~:puIbdtG1QIfapsx^2R\<,(ѥ?/9|:Qh4F' 9VLqk09`;یW L+W7_Ven tE2W?76.e 'xȖjёuuڶ[ldOD/ ~C80 95D =у/$go8gj Q,3_G:hJwcE#OYVWn#e..LF~H*.X![;ǹ&e `GOiqb$/یf.z[rM"ֶ{^eBf_LC4Fxv99NS3QcaRhT]aQ_R{6yLzԲgʅPR5 w[>,cXT* fAB7NN+XK@Y*O ~svC Icgj_=XSaU4"dWr;^s A -Lwd (X${"5x*,,m2 S2wlIq-ЯOش$"xޮ}z/,8^,aBkCuJ*L#M>la"\'y05m=XԾ1Qf˺vzA% ~},trrΩ?]|]؏%V*=F6E1c%4'b߾n1OLƟŠj¦s>ؙF8áXv*XoDyvQlv8 n_5v26ta>g!턼Pw6'8+r u8TW^[rȉE႙XLY&ֺ`yXpn:B A-[ʈ@mw.70B'0QPx46:ywXSrxUlL">Yё a?T,-|#G:kZ-$վ28bϪx{3.e׍ʼnDM?ij*LO+j0*5|'1 c=F 20VbbQeq~X%$,qvƙaXYkJGJ4yD2^>u,u[(NaDquNU|Rˌ& : ؤ)~.R98ω%Y^ w:zk7wW_hN68vy.5Ryhas-V n@j68$Pi5Cx" ;=H?*gLM+f'v:+ um=×i1-J۪8" >jl 8 ct/Smc6.w~Jqm@Ftd(ւ_ePkLJN6ǶMc >G`|aM! %| 6fHH%JWB%%4o P1N$kY䞇E:)lcEIgR}W^$G5=\9Eԇ=L;+|$EwDeh& {25y39O/bxs&~ziJL=XW y0ACuoV-cJRw'fY ?S?~LJhM[~KVUzX?] Y&݂<0Vې#t:EAM !p2q endstream endobj 956 0 obj << /Annots [957 0 R 958 0 R 962 0 R] /BleedBox [0 0 612 792] /Contents [963 0 R 959 0 R 960 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7424 961 0 R >> >> /Type /Page >> endobj 957 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111808-08'00') /Rect [501.6255 553.4539 536.8915 564.4539] /Subtype /Link /Type /Annot >> endobj 958 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 959 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 960 0 obj << /Length 18 >> stream q /Iabc7424 Do Q endstream endobj 961 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7425 3256 0 R /Gabc7426 3269 0 R >> /Font << /Fabc7427 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ[#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ, endstream endobj 962 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=94) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 963 0 obj << /Filter /FlateDecode /Length 3020 >> stream x[Koyahf4a{r6vd7{J%hLw5Xϯ-2TH}:ͪUL2irpZqyEm F瘜S_op7&vL{'}:&wL4HgbR7[Um 8pNXGt[E'a1h[iƤ]N,\e&l r\jLiyEXrN?dolc*A), ];pȈʱt3Cnտjc]O{ BcY aX!~?;!lPVH`&gw٨f4ϭÃ݄]0hdܢXw85esq|7ܞלX)\zEQ֝n2WL]lȏUe1pm0Ris0}SF,D*E do'K 2k)\!qJlr {c;2B=?@ʯByksmwww/,\zX])NF$ %prW0v @[0CU(TX<]&JY'_0o|Z0Xi|t>m h`E|3DxC|M<ƌ& Ecw>јaz%jǩ7uĔh%.徝ǙUSxE=$B(]+K +Ee'v(rO.AQ9:5RMNFSC^)ujDPDf"ٱrPzzM>tb;T##4kql0o`d( Q**NmȀA'!ĉ~}ೂKM 6Ű5¼!8¨|Ϫ2yH:Dw&E_LW&g;'l"J4EE'Pwd(;H̡n#Jcstg켈 <5-RsylSXio0 |R8;忣U^l}}ɷ7W `=W;qQ Wi+$~U7 wXrfW5` ÙtjeKKKe|2C4|Fr Og uXs0el<]Hۧ*$z9}Chrx/xn4FzޤP- 3[j h7ܿMAׁ[׀jwnic[4jo]7wܳpL,'y\okpJm^]pRlnKԶRX⮜yzɔ8/^-_A>YMI{xK>}־)iK{0-śզn*v F!s: ן}v2ɣF|59)oti˙bާ`.ly6_ǾfMĤɥ@Ӂ~xQc vE:M:3C]0Ɇu]{ [J G6%*-N/dVX`G`2T|ɪVfl3Jjٜpl _-G3#B34hH'O4˵`fFs1ɻ 6)yܲLsD)-bɗ6 [ci!(,pt68``tEG٥<5EOJލuP٬rvNb%q 6́u^gmC}̚IlYΰUz:[==9Yd31?7G39c37,ÙmS$+$)UHm8~hFS`V>>PKnAУKEl`1111"ildtma.U,»aL0ЬA :6˚ u#r,熱fgxQ_uFsNW;]k(*E!'Px+ߡCҹ:H ,E9jb+-! J4LjI4_/V"`.{$~ c4\e"Ӭ+::QQ)]M@A%$;y.[)ʆ!-I"4 _6d- H /OP*$2*&a(" FeNW,@ȣ(Q>ǶS}pSiy@jR@N犊I,)FKJeKfiRL~6 iZٱW5ZOlh`.i^!k ں%IdIgW˘ Xy(ꞱB{bMr+|LG+Vtdw> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7443 968 0 R >> >> /Type /Page >> endobj 965 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 966 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 967 0 obj << /Length 18 >> stream q /Iabc7443 Do Q endstream endobj 968 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7444 3256 0 R /Gabc7445 3269 0 R >> /Font << /Fabc7446 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQMޙG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}3t endstream endobj 969 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=95) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 970 0 obj << /Filter /FlateDecode /Length 2286 >> stream x\K8W<4d%l f9,$:$Il-݉0IEPBW￈5V$`3> ЗiNK/GgULd:_Zz'Pe6e\Ӣ>xF~}{p澻&~<Gy'Kc`/xQǔCK{:/V)&q`Mc29i捎[IJ!a=`ՀUC.s2a7weOWIJh~t9uƼFKͱ?Miy1<73%s3| >Ym[c"ڐS|ˢC5n3i߯\լ,4͡iic%46F]A6͡W͡1WИ͡WE慲Yei2x:bмP%4fs}j[D^@ bϕW@I]ѫ~zl}/+QrF0黝`MevZh]>ԁ?e .}O~t>~9[*U0d]Vʺڝ}}y=$0Рz!XC$ƴ2 NJ;SI+{yvzo A–bVٹ';lr2q12#XϨaV>K {ŽM\[Z_\gMKjjVBy=OqE,`F/ƈDjN:bBn [ L0) ,**L@>chCϒcfO}W-^W-^W-.4+$ f<ЯiSM3*LJCܳUR:WmkigD ƅ()YD 9=$fDFoJ::#{] x'тj<ْЄ(HyNӖ; |1iq%s JR  K F}Wmq*e8YE¡L"+=@.6e ʑK519dl[ veyԞ`KLz2\R9*œ"y1yd3_wPH' >&Nk4 }b]EbaFW !xm@JWjX<.6xk7ON ӨWs{0쌊QdDI\Ȭ%ԙc\V"L 4փuA/\gϫ{oLRr1ܴin@k?sb5AMtfӛm=v;n3.n)٤yM^pZwd%&Kӕ<'A_ h'aG-֤|o=o>)~X(/Hғ#I< _4>}*ϐ{Go.;%ӠԎVNߘn!K%"-EiOQ<}.bEq(:݅sN0H0)MXb3|Ӊf-p+/de&B=ds=@I`Qu endstream endobj 971 0 obj << /Annots [972 0 R 973 0 R 977 0 R] /BleedBox [0 0 612 792] /Contents [978 0 R 974 0 R 975 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7462 976 0 R >> >> /Type /Page >> endobj 972 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug908-vivado-programming-debugging) >> /Border [0 0 0] /Contents (UG908) /M (D:20221102111808-08'00') /Rect [418.24 325.4578 453.506 336.4578] /Subtype /Link /Type /Annot >> endobj 973 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 974 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 975 0 obj << /Length 18 >> stream q /Iabc7462 Do Q endstream endobj 976 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7463 3256 0 R /Gabc7464 3269 0 R >> /Font << /Fabc7465 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQu[/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/}9 endstream endobj 977 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=96) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 978 0 obj << /Filter /FlateDecode /Length 3274 >> stream xZK#ـhF(C&k5+>d4^vb="[!P;-$>FYu!)|6/xe3ď_~YNXJ~s$ Q/^?W*|]= .R<.7ڕ6<:W欴^?\F_kpݵ<[6@ϐ6_Ffo<$4EqR*?:=)W+f5}+KQg斊 %scɄ'lBgR˂׶ /% j4ZVSl6J;+ȦTW 祉QTJgɡfߟEIʤUxRuj6,Q^mց%"?mw+9P0%kWR:vj9uܶjd 1-95X TYgq7=nuӣ݆4kmI˱h&+T;4A85I&f ` F'd;>]F9BO=m=vBU_ oPRwɀrp 6@uIKvN#H:5+KCز9`m"CiZVj8PҘٖkO "os[x$$-{Qj{=kUWYXdyRq=ܭs{(Nw3]7ΩmlaS-coNKK @Mu/_85PW67ԏQ#.0Zڸw*QI7&0jMTf QB̈|'XCǜ:\nx+AЦ vN )件.K𗱈-2 %>c@C;!5hilNߎ(dJ@g.fa66'Xλ X}cCU-H%j|ˠݯ-ꎥ޸twsjcSu#dn7]FO1nִbcq r7qvt^M4'[V }9g?^aZ؜oƸN:t'~'raXQ/nUnDC6l0"sCR6 ;BFhYaj8y䗹ggOM/ŠW}]_YBk7GH&9(_~NgzFtBY۾e[a$@L߱\Hj81nyS2p#]V~c]_zYt?EX/4f>x Sӗs`HP–s?2y IƐb[~'*'Gg1X|vARDA]@x"R&&&iC2Zx B#,wӸs{\ZCyM?䑵P\a_'#pыX6dŏ0 K,Yg -ל9YfvxF>-t[FPYSfAddQs0$lG8%C^Lz!G-@uq$bK[b3be?heٙ<ȥ.yK6U{ZJR.d<xͳr1Y[/,jmA`KMity6dgm蟇 G>#7$ÞCA$$-=V.AoM6: b؁SiċC~40+Ѹ"0q4hP2rB2@n-&hZ vR'+LŠIg>~ǻ7ֻ|zŭ.߸^[rw?T"l~NȩL՘; >O$Ro ^X<@ϤD (9">V دI@FkJ4D#.BBx4Ƃ尥>0B'0X_&2bN5`@ZX-CcO*e sM)KH3++uA,+ x &+1~JʓDeYb"t}uʌ\ʿOv+;p;BU2#{$i|^8%>{Yl(N +W}o=3ܩjiFgZ~[6ybY}TTt)I]:wY&wyHӭn58(.6*CWˊuhU ٶ#EU1}]x endstream endobj 979 0 obj << /Annots [980 0 R 984 0 R] /BleedBox [0 0 612 792] /Contents [985 0 R 981 0 R 982 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7481 983 0 R >> >> /Type /Page >> endobj 980 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 981 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 982 0 obj << /Length 18 >> stream q /Iabc7481 Do Q endstream endobj 983 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7482 3256 0 R /Gabc7483 3269 0 R >> /Font << /Fabc7484 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ|:S֯޽\:H/ JcB2h7r ɋb `6P\0&U$c"Kso"ɕ jE3DTZĀ0 ٷGӮBxg(eFI%o#\\@Bl(Y}Ѿ? 5Ժ@A꣔8JRhgm-־0Z|fOr;L$u$WʵR̃sV7ӨF#x?d_}`O6OaePDt / CSi ܟBjdԜO\0s2*WLj$M ?^zv+QOs(R]@p%4CZNZu^lM@v endstream endobj 984 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=97) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 985 0 obj << /Filter /FlateDecode /Length 6481 >> stream x]n$9v+b=@%e`/S7.{T}% ny쪂L2qxɘ:I/S3SS6oNu1??uqz197}߃>^K|AE׼X Q} nm\ZtaNaӿGqiA /u`f˧F+2AZYjңrRC%?$Ung7 @v1;k-_0:8TއCƏ &$\~& zξV??/U%{$D~t|9jW9Zpu*xF5 ]cҋw$+S L3HC~*kum"A,sja3`πE,Bg:>LWG]*3]u|sA/Y.el=n|Z_ q:S/5۹x"٣e xJ)3 >Ke<;G=٣ϩQׂgfJIgڣOM!cW`"lJ7 &XFe0^tةZ4Q(-;CsآΜ(]e<܎$<_C@0t Rs~{oi:05 @\q*=ܳ"tUζߣMǷRkʅ4;> YIbN:鈓Og'\sQIP)WGn^ ]1Nf=}Tpg==+ł}D;)0:͌'Ep 9#GM<=gwBq2gsߧ?A'e Zt>2A (O`gr(`&̤ߧ?S}r L5rYZ tLHsM@w?` Nb!~HLPGKB 9KېPpe@98y.h ϺjH ) xq 2BMU֒ZY0Baa 蘮C gدHQoI6nis&wąg ƉD!0Khr}Ѯ(Kam ӛP'7@#|T$i @@A8BC}߰&? M7 C!CGhjK]-ɣ3mv90jdQw?Mn$ӿm䲠g-t#`>_ht]hXhѣ!:Z\g%GNW K{`ήǁ )a.6p `rXMij&i}!04BB P7aYQ Ur?Mˍ5y I t -瘓rs_T"_o% W\.#2bنu$^+?ysn Y#1%|uLBΔ@ϥDž|fH@e5[P{;N__ݺ}W7wMg|}NЇNзn#G'#6N(*s Pw8S‚4;C )ZZvT >M&^Mjii ZLSGk^˧;lެ ,nUG8l1*緑4^s|}sYNUSQ\GP#Lo#uuɊO/yq ǒ_O#-I$>&ov11 ʵcnҮXdrfro[qO=ulBa6%@B`#fKlت A/O0}ߜi )4fL p2T.k2*L5#4\web&RY~uJ ?LKͷJInKdw0^Z"njmO9:ߦ NJGXoh{1W tW\B.Ghmv`l+_a+=|..iYכK} ȑ[ZY sgJRS[j1:5GV2mWT.̬~'^:fIԗKD=~ɧh,՝0N7ozK̸6Z8'g VBNG?USUUK}To)kQY3GOuVc۱9+lU܃SYʖ3JTንlN8=&2#mpVu"~^[2c$QFYa:;VN)N挖ds)6\m6{j )a-B&LA XXB>pEvg6fl[HoHt>o֘%8lb]$dz+  e[17 A0gܠ3;ã*W8Ã*pփN*go5.3s ܨǪ̗ 歼{ur>,us\u/FSO ~Zá?57 [4{C/J}#JKi B&Z :ݓBLi#WJLz:TbgaG5K;NE%+w"d?A],?9]'1+"AЧZP2dP̉gww.mx*`>mS(<SˏOn OUBOez% Sv#T+F>-Kڃ:VgV~ >J*v:]%4f-4J6fUHvYWV$Ƅ-mþyi_IDCs`|]|_Ƥ`ZWG}j*%M-^7- m}Vhl1"/\?A qζ.D5Le10q0XV5ſi(mS|L H>(! k1dRhm/ I- S|I: W︣gCVGiBܶ!*j=%F4-q_3]2ւ1nLt5JꌍLsmMZ$03iaho|yMؼrgg7ظ.`N5ן К0C~Wc&w2er1jM#Dh}hDm $4i) s9:-ܗ}M*w>B`{\u y'ۖLyH1@L~2j>\2o[KjhӰR>fR50b1)-M70;S2L 7Qi`eWVI\UcZgax(j.nURmYśzN+l1Y6e#Uޕ2"BVk pjJC}ԺIM+FRoߚvq?&Uݽ.{lsP-VxAZ̑Pkcǡ{Ħc}`j\c)ڜ̒ ـ%9`D&HrQ4c bLcai&NrhZf lZMΑPaIx֯Ѐ X*$Dn*#pWun! A5@^̨KH%Wt=nLDԂ [&1 0lh$%LR06Ē'%16[\$N3fwjlπZ6FѧXjW׭Rkё@FB y i*07+NRk.GTah;YG/O{uha\} u\eBԁ'q=%/JZ.N%U0^Ռ,Uo%J&sLd<'PBKu`^:u ܾwu~)D5ӧɛlE3\=l:P5gGA@ͺSw1;YN~0^8_ccu٬IAsCo&`Rۗm[Bɼ%̑P,<4hڟL|_~63%k5׿\5q: mo2/_5Uح,LvxD73Q?D\]ܠVJ..2)ͣhaSw:u03J; lĘ]6'jAjZ ˫KnC9 %1&Y(Mv7,k&a Op g Ik\,/Z|bGimU&9!m}`2 E7`XHsKlY8t,>;>1Ը > #q L|,AU,hqm耻{Ȗh + 3Siu$d1In.^ݯW#MiLHM=r 8.l BZY@R7xfԸqC5?Aɝj$\h>erC}*wA;SS5|Re)بK(-{8w9-wˀMWH`{fm;5KkGvsї-lӺOp̰TWK{dlc6{VoNP˽քBϼ16,Wswm'ΰt!5'X (q#ÙmzövX6F#{``jqQΑtdi}Gð~[(,'{o:AdeOqe}RqDOl#uDAf(c4˶qA`e7wy^uN{ϫ|y՛7P*eœ`N]~ΥE7JF'@nl%\ ZeA0AuMjY]ANޣ$C5wu+Og]N/M clny;ScZ+HgPj&uݲl 8H,uKz߇Z*T5*~LpyOGL˾Lhɼ}K<.%)"3[{X okkwhDW=Ɇ9spFC̥!Y[iyA'D4?(KsvUIيdxZ~ذA$#h0< fӰF7WBgGm-}Ū^cѴ=oZ`Th&݋?ŕbHRۡC^^, ۺT| }X^\[k})}my|q}Q$Y,!Z]U۷{zx:[ W#Ib?ڧm`.K0dH!4Nm|`cs:xfTEԌ6eo:}Z?£yWϓ>^-]u Xذ^Hr2\͕0t}/! endstream endobj 986 0 obj << /Annots [987 0 R 988 0 R 989 0 R 993 0 R] /BleedBox [0 0 612 792] /Contents [994 0 R 990 0 R 991 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7500 992 0 R >> >> /Type /Page >> endobj 987 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111808-08'00') /Rect [423.3935 660.5538 458.6595 671.5538] /Subtype /Link /Type /Annot >> endobj 988 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=vio;v=latest;d=pg159-vio.pdf) >> /Border [0 0 0] /Contents (PG159) /M (D:20221102111808-08'00') /Rect [438.9366 135.7614 472.7176 146.7614] /Subtype /Link /Type /Annot >> endobj 989 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 990 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 991 0 obj << /Length 18 >> stream q /Iabc7500 Do Q endstream endobj 992 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7501 3256 0 R /Gabc7502 3269 0 R >> /Font << /Fabc7503 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBhš;[Tqf&VUjj7ze_Vp8جax} -} |ﻒ0NA<vu4χv͇׋Cp<I e!Wi ZH&3M|t#wJ( :n\f  cR9N2&4'&\)ɜf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 994 0 obj << /Filter /FlateDecode /Length 4020 >> stream x[I,7Wlvi2`OÜ챍g/ВJe^x0de2"BjRn1'YaZz'gM I+o?.0)o俞Jy 8 +y*y6~ 9z7.?_J37Ax ))]3g ᔯJgi~C4Ee1T=8YzRbE[IFUJwh*S.M~2s\1$zLѕmDgD=8oS7*﹫qWώfp\D+ߙjmоƕ kwTQ@HГj g^1cxʉ. ף ٩MEo7伦[pqQŢ$6~JOҢ+oʋ9|&9,$wkRY tױ{Z WÜq!sNKcwXMT1w@/- |h>ҼD3.)} ϙ%9{8y3Ldn5vdelg,\znmԦbz9\Is! $[ Da_/|&), YIt'Ul\+D ЙLߑ*d27O$8OTFey~Cf|. ЧrҤFH`H9iAlr~m-^K,1;1ca wr2F!G5*' #/Ewx хt>P*۽js7Ư%G?XnELK,3C WPT?/in`S}"Kש|J;.d+} T7* hQ);dOZe0%1۶~gb)0O]ͰeLhhjQf0XKK͙K>T3XArVK3R[jӘ&Lr[~9.e*)B _0pha)!ʼq >x/jۜiT` ̬+?SY'.B -1 cnPEkWsjstk#mDa+46qLfo37ufqxyjOm6\QJnCcZk*MZK~M)m.˘MpU8MXqahK@νG*1.!KHzѬk-V*hVjX3,QkiR ,\:͔>/%0NW[k[wqxYM(͍SQ 7I+6fJaƧnaC-'+/I'7;Q7ytUO6c0v@e>+ƺvB+#ښtI-ExZ^llT0 W=1=1 %WIA+F߽bk*'wu;X;/62jvѤY,5{X)؄k,{03]Wքw#XI- σ-=[\Tu]c_?՗;b{+ Л&঄,$Bzl@Ɯ(Ptך34onƦ@ujC/[%>,{|vVW.[>w y1gAW؇ȫ~plvJζ5ӰkAMLݍ# KnQ:?ͳ]Qǽl*nvEVm+걥h7\"t6/a]q9݊4f9SpFs'>jvUF[?`jDj e(Jy{|$ԇwns -Y6Q=ϓjnwV UUtٽӓMo:,Io1 b: n6n8ijU{߆"X|,ޭJN ӵ&r߅?_bdw'n#h.roۮ^Y-})_ZrƷ.;y_l2翺fyJ7vk|/nϝ5LrF{W,)Ku}M+uCmiߎʷj}/cL `H~sЅR{w"j|W[IJ&To;:H6+UݎNY"v{p(48qQrn8B=2=vP #P\uHʊ~r_n)~vXk*Az};y%]>hWz?2 & ~LI9JT:ظ>9M;EwqJx>F=i氹S @F;td:^)7.0!sNE;0dGOj:b(2 ^DpOxi)LNvTדQ~Ӊ xPQtݐh2CA޸XmfxG^ %'h4\PXduV;04):,ol#FEgV6O~H-|gL.5la=9XlK GYᆇ5Ĭ b˃&rF~ ²(, e?mZ tbE%XA= hA1uIBa+X|IKs:3z&dȳu,2I=N|RM}_A3h>fNC`򋶇GʐO#0RHQƐce! &`Z- GlJk(:'Q =4@r~+w_ǯ *\GE%!}&oҶ:=TcRCZ3.&CRZVQ5#@<ѯBC4eP%Xm=-=$c4Tj@Q[KI03j"ֳGBѲ!R@9PD hgTD)<FX:H]&ZGwP-#XZeH$ec T`- 䐎tЮ<(T ڶO( )OwBx 8[TƓc l%LӳEAX,n˻f8[C3:ʺD^ <fJi>kKiy߆#0Xl „Yd ٛkНПP3j`LB>2X92AF>3KA Ab*إε?䃋s;p_[iK̂f( !0_!cFj\*SycK!:pkʜ<_^\~cOn4<[d[37'O(X\G&xA@MKh\=KG:I>7t`+8e!WڰP]DL>{*%k;&׸>,XQѵ.J]*:uf?-]/2],k.bT)dkEX1'ck+e]Kb endstream endobj 995 0 obj << /Annots [996 0 R 1000 0 R] /BleedBox [0 0 612 792] /Contents [1001 0 R 997 0 R 998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7519 999 0 R >> >> /Type /Page >> endobj 996 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 998 0 obj << /Length 18 >> stream q /Iabc7519 Do Q endstream endobj 999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7520 3256 0 R /Gabc7521 3269 0 R >> /Font << /Fabc7522 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ >)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLOۏ}bH&}%l)l,Q.d|<30-s@YM \0 `NF|B揗^|JʄivP(y _|۾{w endstream endobj 1000 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=99) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1001 0 obj << /Filter /FlateDecode /Length 6613 >> stream x]I8rW<4 @eV|O>FE5_wz<]ģH 2`6A?C3CC6`Q'VO9&熯??ug{?uH:?S2\Ʒ=hF9z>CAϸINkzR|:4K:2AӶ2Wqf<_6MSݢ9}M։ևiP &R]Ԗ&¸)lzLA /ڶ_ծ73Ue;dLS,)9'5RhVOG̔$tmVASʪ_ӽT4w? 6'MI'WͬA*v%2yG㌽nR1} WNbV,{ %7 :hq%fŊ+> ;4ԮS(ɨLY3ldr0F,H<ἢɮk14]qڌs?z~j3=6S\ 0=q@.VJ.Jex43CE5rK.(r!U7]YI8ab?l]q#=3> ܻP9- >睖XrH4=mO {q1gsa4, )J$/FM4h>jkK$dr! )W84 a`#> SP߹)ZG@˥)j O1 h# @΅n?6⣟atV "H_p]a0:?SK +ﶙ O48(-9k }]h <+50QZ@7C"^h&#`3v BJRzhsb `DF"4O = `ױf*x#8hOyܰ#"y \qw}{xFaVP]!puTXNJ Xqռ6,d4] hUE!2X- w8T׋솨C4]d&Czǹa*/#F'0ǩxf: Wq8r5 \?>㤢d/xdps |1wXuQ0HKf_JLJ>0։t7UU/SF>KsDPl uu-1૥@֌!8$qCN>caBcaG92 Ԟ 7`9d/PX7?#SN4{햀݋mćm~"Ve衧DKS]\ ncWIBAn:SMU]C7tAȋ&b[PUר NJ7&"nv\8>aѰD m8UݼCe>bh9fYMFF3ޥ2e PȈG؜kT`RmXWf,gX55\WKeVfp7SՂ[ ݆m^oFܪkp;܄[un/!:n^WC5g"YL5s2p^H!]?!o"BS#qpKsUbŭT j=bK@E#fkɷ C@k : K: D+u*ցmmn$!z>W#QDU]P1;#FG@)GnBwǭ, uFfJcU$rI!~eKrtqsNbU fE'Zĭ}S> Y8 Kx9x`7^=(XXM!rfC!E膮 mC {=xGfy ;GaWX"~#U플F8 bX6s߹U3xu(ّho''A+ 1gs-ɫI($&]@K=)tL$lHNjNd0 y n&W7QX;SBR1U{T\w[H#-}vE:P [f`o$.'9rV^Zl57׸u|q_W%q*sq*K\]JUM$+`{Cy7!O  Cd8ey'v#\ }}  !I7K9ciYϼWx;yl=4jRvB+ҘW!4yф[K49z˛(l TTW4*B% v;-a7w&5,Ck{ؿ{OGu ϵ͓7 TJ]+- Hx&Xmb.BI, x[]w7 iMYde~+0Y%x _-$(7+/>S%5z$m^uV>!&PĔdC0ԑ-,Rx1SFGm̄4G.L53OFAE/_y"G>z4ujZPwX^QAZSϻ\$b>kAke-趔M4npX>M{(|Θ+N!{aG$׌ hͅƫəёN8f- ޏ<6E")4b߳w6c$zYZW@&J;kKJs8h%<>`A MI8Q[>-B=җEBt͎Lh|$(jCrp`h$I& 5XĴjC #F7.j9tz0f &g԰W%qX!_[5ح Rvq '2ήj$d?؏چw$#jȦkLť mrTNw2<?@ai(9(\ sqKs[z姚vkɩ?0ř*FB `ax!T+ 0/9oЅ l]i{y;4\ c;ITW{VgɸOu,#$#"9CWtCgugM|Z;~^}q4L]t=ktBOECz0`8rѕH9&&z|#DO_S^xis_7[oߩh|;.]y 6W#_|(*/x~ {~dOK%: R1PW>yDN@a/ ڛ<@d$Ӭ!Hsrѧ2Թ MYV77xG2=[Um  b\q7dp/ʂ6if6|2U?Ibi|WIH>ry@)'zt$~DDN]M,qN p bUH:JPfgۅrAkURi$:]$.nҥA9.3(/j`tn :uvrxZv`* ut;]-m”$Bؙd)[̗P7v+O VSu RH.fZen5 wZ{>t16Y ^fC; kj9G~sbMQ`Tv|){3/uȪKJ>&ކ*wL+hy'ք#hk`8YwR[3$Vfڗޮ/V=,"x{I"2٦-}=3ytiwvGMI;|V#SɃ%q,tJ me/B\sf4)ú%e$r3b}с1U*U|lP.c*SosՏp0^GU:9޿IXvQl׊~G M;WzL RY6GY[n&X]HZuP$$Oa+/x hdi&$缾pMruwzN4uQ48,۸Rbv롶pCL(XA[bҀx5KX>^y߻Z͉)#:hL DV*gMZE|BF@"!-bѼi]uh ,Ӫ^U߻ 6~,?)lX*NS. hD,)"s֯k;KVP$k+-c!D\[ȀUAdH}5e$ ZEl&y޳Y3=Xx3- Xj[mWݬԥ~M {[YIx&8ⰸ~eO_ӪC>]?͎=7pV{hupK~̚;}}gV#\ ߥoUnȎwDZ:{b2`yy엷O€NX[_kF`3;Ϟf2P_;׼ -L|I'j۰O?#,^O 2q镜yT'~Z/snXrذ|Tk;r=ѾQGwU_x*#uHNv endstream endobj 1002 0 obj << /Annots [1003 0 R 1004 0 R 1005 0 R 1006 0 R 1010 0 R] /BleedBox [0 0 612 792] /Contents [1011 0 R 1007 0 R 1008 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7538 1009 0 R >> >> /Type /Page >> endobj 1003 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111808-08'00') /Rect [423.3935 660.5538 458.6595 671.5538] /Subtype /Link /Type /Annot >> endobj 1004 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111808-08'00') /Rect [268.167 147.7538 303.433 158.7538] /Subtype /Link /Type /Annot >> endobj 1005 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111808-08'00') /Rect [122.571 133.4538 157.837 144.4538] /Subtype /Link /Type /Annot >> endobj 1006 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1007 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1008 0 obj << /Length 18 >> stream q /Iabc7538 Do Q endstream endobj 1009 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7539 3256 0 R /Gabc7540 3269 0 R >> /Font << /Fabc7541 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1011 0 obj << /Filter /FlateDecode /Length 4123 >> stream x[M4W0Ղeagg'`'; K~J_=v a[*IED㗥ŻeԤo/Wh?A*V%]^,@UGVj/ãayc{#WC\^Ù3cRkPh$ .#~\#ъ'6_W|s߼|(McP=S;Sԉ $QX%x^`;lG91E2jݹ'uE,T*YT=Uѹ#Y2tǍ{FEY7!Cά^o+m4.Z&㔮W |o\ 8] tO.NziYDf W5f \ Or\d*)dc͐{ > bE}! c_%.~%|:. "aoX~j )4S~Y1$SBO /^nR{O/J})\113r s/McgSTB8i6zi 0?v,|f~i^|9W|wgװ?!?(!ދӚ$?RfKG\d@"2ZUĭy}a%tFaY;/jm8׼ox.3>dfZn Փ)b:!%-&leaFXVkAn۞vDnm[ڶ2\0lxwT~P_*n)uHfT:+)UD0%)(/4Tݼ{9KčzҶHDl?,`ţiбh./L5Lޠ]zyckOCbv.v;m$ 50oLiWAh],n4klyz ᠗6'cb<v!bc"ޔNBsLMHIx&Y_vB(gL M5fyܑmX1lÎQ #vVάS|QLCLK𢃚eGJp@-N߭?S Pco0:!EL=2 L='34q"s+N(<|Dn'YvIL9J!Hn&4S[JߋiU=) uv18 Ff8zޞE ~._Fw{nnrk9Vs??kGvGF1%f.{UYyޞ?usx'qm#09+@]o!#;w8\!?7>|ޝ>WvcMro;"֩ŭVTbIu5dո<Uf8mU}Vշh>XYq s8?6`Y^:(u)C0un є>>NN~Vq*8ɐTR}Z^- De8z*V)Qϒ[ F>)j\ↆͤǶK`!*+6hqhn䪇3d;3Sk*^**^^E={rw8kؠWN>`kӚhĿT) ]TI빝n$5.`|*21-29ac} \'}e ,m9(7@YX‡yT%jLfN py8:"FVFΝМD }!>+)>ІH701m;2MfPƭnfw ZAK.mK7ٟ4::h茳y{yz)\3!e#H6*:{YeC2wO}wDUÖ\t9I-ݣ<̴VAOHFqs{#fY0AF3˟rޓ`YM>+=V1Qk' LC͞x6VA-H>I-M6 YݓXZ[bS#XIYB"ItU6|oL8=hm]vAnv`T:y/ڱ >*UL~6FB?Bb\$\)w`d 6`;&܊A0 D牰Z/&+ ˎ_qf* &h;-:Sc+".oZ{[̊;Vyn#Io؉ƅ ?G Bxq U! Q^ 0+j"=4z;JJ- ;C D'AǩQ`qP.ʓ`a 6C.XJ72R%(2s *%%BڕDZ9T۶K` e ~ɤpTN4o.`SbW>al, C]wl^λfP8[p*Wu <X#e%BڄseQ|o22hmژ(l óAƞ؃H$gdB2LbY΄qW"'7V&("rQO zPħj7熓-t>-Χ  WYy+tj +3 On#.'}eCvkxKוoNЫZo[w΄Ţ|+"_ 3@gA6(_ ΄zYyCUkYSU3:wY k S];PuX1]ؼ^fUxQ~lY+V'וY,"zb&04YL_H. endstream endobj 1012 0 obj << /Annots [1013 0 R 1017 0 R] /BleedBox [0 0 612 792] /Contents [1018 0 R 1014 0 R 1015 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7557 1016 0 R >> >> /Type /Page >> endobj 1013 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1014 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1015 0 obj << /Length 18 >> stream q /Iabc7557 Do Q endstream endobj 1016 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7558 3256 0 R /Gabc7559 3269 0 R >> /Font << /Fabc7560 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7dUOvջCp<)k.@Br!9h7r ģ1H[&!#((`aԜiJSo"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]dߟ}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>?d*u$R&o2VK2 ufg[X݌wf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rE[z7}I8 endstream endobj 1017 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=101) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1018 0 obj << /Filter /FlateDecode /Length 7483 >> stream x]IHr@ѹ/ @Oj45i <}_dkyOգEdf\w1ƿR˗3KK6i?c=5z19;}gyCjI -yϧ߫h֘6Ɇ5/؂>B!jsimФ'W!?J>̢1 Dם-yE6m*z?[NG6Y pɘmI/5 Z3/~_p耻ߟUZyIkY,_ p.J?f &8` urk(,}Yʚi~12fMMJ횓$" ȲrxTVo:/Ғ2"̬BVHޟ\@_b)g֒,S x 4E 3Z!h.A@9]`v4#G*Ef{0\r'\GAXW 'n-ؑhBT'wtPqzMZ-0UPI?xdրFM;xIn_m!{f}U[J2z 1!D:q_SeH:\9}#]S, E6hHI##EerS.!#weG-` `0 Xˀ)ʂ"DxW&a$+ݴm3R!SJ3'~,ZJF;'Y,*3' YɆƺ V2>.0z[yIXItg{X*Vv'/]4לk1)˫XC=oK\0mN>A\G?xQPfmfV:[=_ϩ+97.^_؛f4;*=pž${5#DRI^ RD$P#}Jt>7C06T٘C ʏRElZl+y<9&k:}%5j1HլA|LIuB>\Wpbɓit` Xɡl>CsFdWSѧik:LZrR%A9/gLjGx(7lJz,s}%3H;24h# m4N?H{q!v&M_RRxL6u gEVLH74h/#yVm:M25J[+15k> LK/&dl$KNa-3dO[ Xb:>gBk.h_c]nQWy}:Jb9XFr73*S[K: +hK'31裀~Q[_*F>DMdůhVNN1Qh-~~<{+~cS3^C:7Au(=Y!Uxx{T>qΫvIm]:,YǾw&T;&zKy=crYr*/ޜb^' SuUaMт/@=ϓVWuQK=Ozq.B]نTPw AMxC|K<,]#_Z=9{k2s8QX7OZ$'Kj@.`buu)~|aT?/BE"FAGiX2$sB2q0F̶e=ScxbkR+OT(A]Xޤo7 |MɁ7Rᝥv\ka瀰2L9؀TCs`n ~Y[ "Y .0跛 ͦϰ0ɮLu+{}RGMɇ5)46Kψ%Z~=הkt\2dSdŪސq[ݧbֹZѠuxq2*'J+K!YY^SVS%z69ܷ%۝3\\C䝗C=8-փɴM=;ZǙJN4ZIgΨXa)0;vAVv̳4Q"AKJ'5K*@tePTšTS3 * {{*ƌJK}wv9ZuHِ mn4U ~j`@ KS/ z[?vت(«JDWg.!$(gWڴǣ9A*yD>ӿ}MzzJW:iM_;zHKEUL,1a{@`Q,>u-ypb.y8#ZQ>6ߍԗÍ\h_ҊiE_PEc0 ^;q 7Z^xyB=='QfƚS2V.*ƩNiS)-&ˁ&Niy̰3Y9#‰ݵ`hKz/7-PV/xʻC2ϱBd%2{ 5Խ3~jZa$9߸1Q'"8PvyY=A8 MHv׮MbY * zϳ;r.[v{C 0uwE>9%Hj$ңgSsGlL_n%;"JJ˜eCqq1.;.U=Y{#=f,'.-fz3)xcLAMVeoG"ODF0<5)Ba\-]GbUTrVۉS LӘH\Q-$@G)_ hDU=邂q2ETwSфSQft~\Z޺cn{wuf.쨟1@'T.DxR_n4ךnGϪzgMOz&gTu&Kzr.+Т* GfxJ\-˜jq<"In 7PoUOе"K,̵{ ay;pL) A+:i/{ʶ6esXZjZ k;'cx (ޓY >:qnpHɉ {-Ӛ^ ͦGѸH03x9sM;;\FtO.='t N+F/h3:Oͨ<7V%yWבPMp_-0bdHv^]dW^ƣBS_^d+۷piPwL$>n4zmn74HO.(SnAC^vMkL6%to >bBu{\/4 2^CrVw5pG# LddΚy!!dSUѸg+©1܏`+qQX7 eTUo1M0rkyrIuVd;ƪv)٫ U]'7@(`[f-0.CCs+*;Ҋ}Q&5^_vKMf[ k>P1:{1eu wh6[&4ey-~! w 9Ax*~_NI6C˿置. fi,N=t:\{ҁSZz=H=תggtieOurٯx Qr뚁u;oų'hPSf*d [`\*Ĺ^= 'jiW98FhK*o7jWjozЦU{>c#ƨ;+JJ @/UBrȔ1)Wugvt,J|!e-ꊷ 3RVFqsW\&}ߪ6Yi}q0\Y@vP)Y_/e-;ƪ~5aa85o,v<]w1:RaF_Ms m]M`pu~Q&z.уL-4A_Nҡu $ո_}&h\.0b:ΐ2v|)3R>Ї<"#'Ҿ Nʣ=ǗqjFF\^o8ɻK| (<ΏEIcs6ޓ@{UUH;zk'N4h>{eMˀ-8Z [W/9F6Gkӣ乧%l(Ul'\0u<XVi7,O<:48&͌Pձ1?ieʻ1-ٺ MP,|l^zo&旛]θqA#Un'6*AmqޣX9F(y!zJ>M\1klv,5w.ˠ7>dglr4u^^T(5WO/R0lbn! J<(gWe 0b^йt'(~t#:F-'\}M=vn/{>Nxom1ul]`W'l| Ƿ(7xvP-vu6>dM j`UO2c_ȥdZ&HU L2gf4lhiGMhܳTq,La8^V[F902׀I򈅇L.r+&; Z;7=F}^-ܒ~9m~bxor4^].ƫw{oZ |/GUrQॶe܃(׊lߚW 3Z ٺ jK:+j?=]WKwMtVVю*ԷE*L-β D6- m4 Zˆ@sl0 v *y3 uÂǟR6Tq ~]>W_7MfC]4Kc~@Zsvi=ptdT``weKfIq#gL^x^{hmHqj;M$# n|Mw Du]- AvՌɱp,6`$99C&qz,7mO.lΝZ3֋fUo]Kly\I/% zT\>OӦA~5R;ǞᰭYN+463֠|&F?z_ai?WT 2J^G:u:ʟ~(j|oKE W.; xo~#B"{A̒<|K׶Z&%S==a2|<嗅/%Qjcgtxi1kMۿ:*"sqv4}8/&%+)Y ?_ endstream endobj 1019 0 obj << /Annots 1023 0 R /BleedBox [0 0 612 792] /Contents [1029 0 R 1025 0 R 1026 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7576 1027 0 R >> >> /Type /Page >> endobj 1020 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111808-08'00') /Rect [92.937 323.95 128.203 334.95] /Subtype /Link /Type /Annot >> endobj 1021 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111808-08'00') /Rect [407.6415 323.95 442.9075 334.95] /Subtype /Link /Type /Annot >> endobj 1022 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1023 0 obj [1020 0 R 1021 0 R 1022 0 R 1024 0 R 1028 0 R] endobj 1024 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (IOSTANDARD ) /M (D:20221102111814-08'00') /Rect [478.9875 366.85 549.2665 377.85] /Subtype /Link /Type /Annot >> endobj 1025 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1026 0 obj << /Length 18 >> stream q /Iabc7576 Do Q endstream endobj 1027 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7577 3256 0 R /Gabc7578 3269 0 R >> /Font << /Fabc7579 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=)W^.P$e!Ui Lf;|/(:yɨA:n\&#₅1Ls4$dqNM$R9!^\y"/)b6M CZNNٷGӮBxg(&FEG7uߊ dE4 ~UW<'!fZ5Y}ج M^RuTkQ>U}IDURj)\Όl iT`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM` endstream endobj 1028 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=102) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1029 0 obj << /Filter /FlateDecode /Length 2995 >> stream xڵZɎF+,hPKs݀Ɯe@ϋL2IVJd0X^lY>*4|ьN%$-?5ԿV-SmUO)Dk(Vk.\b"s}?gXhJ6T敮XA8:+Yd&&?9M#8 \ Fs~a^eXa0c4~gcqpjNXK2P8ܜǃC̕j\s-r24NSw*ea5ұrx=ZmӔ)"ȇx ||>*=e ߂_rWc_pz TTH%\,=NTޯmٵ+BocL ׾@š2oaQØab +;b9^H!Ҝ}t1;벗IըYhm\ݑyqs,RM-7{.c\?J8ƪq=c0EPY2ٞe7q?t/o&p9* <,]NV긄*:#ᢁus&Vte/4׸X/,9xAvh?;*F2 ;P}/ s5?S~]rhOٯ(: ȽI{Yu+ BI]Dr?^. $v*#T+{{nI\xZo ÐJ*1$d 6IG%3ݒYN}2`Va-:.nyIk`uH6,fG՟ ʹPiPaS'mF_;)$j6+=+}YFk 0ڢ ŝLF" =~kٷ]vНNWAjprH+b6di8R1R‹}FV"{h_,()f#cRLدQ+_i4g ]J$ZU&񰓘JlHH@嘥&fY`tw<=P_n im%Ksu\j,G :Del\5Ú^g2Ŝ;Ͷ j&0զC/@E ]˻3JpUۃ!6/ɧ;k\>Ȣ]ѩ9d + T nx)gbx芁KaO柗.)9_hA1ܤcϴh:"&RܴӋNb|2g \P79`mƇq>Z>x,WP˓jIGrarqw)ҝ鴵R(m1i b KkL(Sά%c48$(L&rhaRAEJяgXh+ׇiL@ ê+,#5R3O<8n(*cJ-l;_&fQte6XBBt]̬ۂbAK$LֺK,81-~'XTW2T<$cnǡQ}7;V[b΄P΄71<`$Knwj,9Ҏ+'EvJ1]os(0d|S6:EF%z>'tEodG^'C ;$iTb(QNa'T=Y,`Aw&]fb,60%>Z'iIQF}p{\F#E""^䞵}a^9qgb@cyPߩ_:a8C1slua5Lk=YvƠ70]vwY$JG˛E 7h`P7iVkZD~pt/n3T{eew G ])XZ2ۼy%V. { k4K ҈[T*r0U#8" zB+=Tՠ⋞e^{mCmzeViM<{r6z%7|$oh=Ī!J2ߵ$O:p37f0X&uju ;P/i`=h\+%F~ fk4)qbe X lь-+_Y ʊEQ1t(ϑceͤEy yoWݒwFyNEy[G:#3[$pp:gTO5ؔHܳ '^&OՉ旑OJ9PRMNó=$#c4LjD#.@ J|vOhB$jnhӖe $i]5s P V,@5um0#;*? U2,*PDk L'YBr(G: xמk=9W4l[N ) RTsG\O7 [I';¶3heo/n(-"E T.dOޕ2iݚZc5\OhĚ)sy_!( JJ()ْ$2@|w 2> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7595 1034 0 R >> >> /Type /Page >> endobj 1031 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1032 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1033 0 obj << /Length 18 >> stream q /Iabc7595 Do Q endstream endobj 1034 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7596 3256 0 R /Gabc7597 3269 0 R >> /Font << /Fabc7598 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=hx!(ߟ|j.P3Yuج wRuf[l{L̇h]}ID*)sZ yp3ΡDHIO]@ U8Ρ\j'|۾{wgj endstream endobj 1035 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=103) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1036 0 obj << /Filter /FlateDecode /Length 10704 >> stream x}MH~}*3+h`U{٘i 2H3䮈Hf%\$HI[߉?2`nIq +˿~ s l-\s a'kcWEkSŶ=yϗzOX1ӹ_ |/xwژ :4b\~:ˏM~^}vYá|\[h%8y"ow3pO< }əw޶%|.SZ9|bL{%a[.arR=|$|[ z[is.Ş+4m-ySmg[cY>AIjP6~oNͮ6qTcŌz_zqZP9+'4v,E;.ptFAșrшM @\ |$1[\3{- ' (  a+s.`"o :[@ xO8DgJ \RP |ƕkKc5@8_aՂWX5A&H@H(i ?5f PFJ+!Sm_zm<-3jCo@d7(9!(?;E,akk W*q@5fFV2EgY`dӣT4ωS\Sqy;Q\Qq!!UrTQՠIb&Ū@VB#}RrDL!Q&iBF 3c g $Q RRO=cX3x`anw Vi!4T C-'*z4wZ2GjG( 9z G0{Ȅh_iU)]jeI3ky,z#vPq`78itټP1H4Wfs[ªD+i2GFזqUu2pGc0RFbf1l f~e(}cXar_ќv0iQB넰0L^ϳgGJUvgڥREᭂþUp~KwىJI{l)<k6Yr8 s/"<R柦~i'T|o e0esؘxc:{[tmk6qUͼR~RU3V WT)<$xH{-yeUM}Vz-;rsTh\t[DO!s )nkY-MCpCCpvmXwwTjIؘ<3ɵD$0鍃m*¦;Ŀ2,z*h0僘o&wk9cn",snzeHt>%ߘLS3@ 5("7l1-49  ,[*\@G_Csr@D^(? (a0D(3zF ʪ-JshSk ,g*Kt<199*20lE^;]}W >"?sG륓A5JNfc)GJ:&fL44s?g꨹3m4̒ڙF5LT 61cTE(B0OK+8,iu"on}Q'fkAk4ؑ`%QİIf).z@OхD~.]<.p IhT+-&RlKZI"c* riYWlSD|\a244<?ukIT5ୣu$te R24),0l/+GiR\u!񅧕rcQp g 69 <$WTz!XVs dd(5HSxmzl:F;I\ \Ϋ:qxGsקFk*'.D~.IkzE.lʕ$Eia&-NTUm)]3QC*w/nVZHuDʪA?;Hyƿ^1MfzZs父m J-sr"LY3^PG5j'=V+=frx[;r Л8E9i1d?Xk{d:xqz.{T;-X7Tn"BSu>qGN(2SD^@fNb`D4_,0%sqt Z֋\\hzwD/O*zc )7^`q9 C&gHKm)Pw@p8TYa颊H0-WW2#n}w*gyiX-85/mWδ+vx643j1 } 8UM8ۂXϤ/އ92^T `Ḟ軏>G60:o!X\q\͚#VR ,~[O;t]Z]Cӛ(Y5߀>7aVK~3M`ON=friwI@Ӭ673 }i1"`-pW!<`&|ov bD+(J#?`KbOY3v.Z;Z-=cR2W|suMPepGV/7`zV&}skENdMЕ),6+3RF] X;<SDm9ɑ*_""Vjm;{ҩ^͍oyS;V$%]q ?M4X]3!Ì(tNTlBwnOyvQA *rùd3kփfV >v*_19};5e&[D?zKPj]|sϋHs}AkG^z\ VqfZY'#i(R&ld\ $ . YQRE ()ACOaIaSX_i)h"r\ ,\lea Yi74`̥&# J΀ȼ mt^0& RD*"a~~C˰*D F¬t1 SW]L4`H{L]Latk0 &EbZYm~!5Cџ W QylJJiAYen&RhVs8D?]Gq5cɱ`zJ /tQM͍ǴMGkXh NPʱ3EtƲ.\6XQ'u$:E1-_jI+ oؑt$skUUYӻeɝ٩4un<؜?JJs;;JË8_ȡfN:(hlzɺ7e^UERb+_wT l4dq^Εu}DPi7?Q2⶘ }DJCgy4Zq1=]Y!䪦~PILbNLӑ\9 ZS̠&7Jݑ\;e(G(Bβ`!{96 pd7u2O`~շES5aLFJZB* z<0}4D8$,'3[ށӕ! M _#f(!)W AϹX&YWI|VLnY_{e֨`͌GEe33۝m("RA`'K?jUb̐9vX}'zoraPscqռsq`nlE ͓UmC:C/0$ѱBAJ AO(kE'Gͮ٣ zkns3"<|XH^qcvYQgY6j-t=w;[׃sh ckGCFWxyzdؠy xݎtW5O=NGy.3(e [@?:d=U`z9/tT6ݏ\׀c)M>B8p.6edYi>Z/2u*a MFDc^bA/$LëӌwF,] &'9"UF˘T~ 9d}ѝZ2qkt$_5Xitl \p 3N׏8)TRV”tq=Wg?3FI"x|sZO}z˧JJP%nFYysV46eWȜ̽ dN E^2ט| A^D9EnT!`95=ZfUA3?_# RINU*ӱ/'i[ [&PDWy}%@3S8焭0w!7K›>IEB13$WN(\; a|Nq 5K OR^t㘹]av%MBif7}ɔL3⻌ZEC:$cAJ1Ev$sCw/&~C:t^R:޴@X֨HV:$nL>sw Dd2j`gsx5l;Z/Zj0ZK4Ї87nRjAi)صm4IL;{0m_~3"xvOﻞ"WBKyuDw8-}L.V\PvdHɝRfcݸo Hhal>ݕM2)2 HVʒ[/2Ũ==FW;x}V1Z[1b zᐯˮ8*7QxCrz#ϽȏzlLC{g-rWx%.AnV0ra) 8a;§iӣdO$fuy1}٘6@.HY3ٙåxgGĕ+agT9+cV>#IkEƮNqowͼ,HghmA0,յ'f{C|e|{nyr0̟4kiz)S1mj67k\o+/A;o=t "M=Y@և^3:yْu9׀+F^sEƔ؈g eJ Qa9Z !Xi-bCdכ쟠rH6:,t0 qJ&X,Ֆ@L_yj>?®) St_ƭdT3$=yE;2Ph'`B'[{z~mцO]A5E_z|M~s+N5Pc7Tyb 7ڞ FGhqu! slhAR@8-'ud~kT[Yg`R{j,W!Y2KCI\yPeБ|~+MG;]!pG{q"p̝  "XH7kʿ]N{*<)wP4㊳@Fk~wҠy }ZGxoxf2Qʹ xp!#1CzVkO 6pF[f,-AU2Ǧ}q8J} 2zMlK3&H_d^? ^t3a^'!{]x7w.-=EhYߕe&C@|0{Ui_K${L:]u sY*mv:!vzL`+5 AtϕgV^<;yuw,≰\R~;↹UާGthj_#M{s_h8K0< =*WzgkY(p\er.Hۗ'8XIȰƒ&9adm΍$Ju% Dآ ǿJ1k ìE@HE޽6խs/C7M>O<{с~;!$Ag.Ja08B&)9r,/F,%{v Co v`|gJHX.~~B~F=nX ~Uz=u\s?U#myp18 2acMH m6q98{f9o `8 H~}L!ݵ7 ps=?^˳E]9KQޙaC~ oW uLDpW}^f7+;fD@,nݤdۢܦtH]13F"YϤ37%cVK `sbH$0aVƤܳAZhu6^M!Z ާ$)VYO;6Y7i_BgRӽ=(w 4uNGI,<=NGrS$]oWdP[n{X= &j d;a"*2T/mnqЩrFHSC+9vt|.ZCTo,ⵊG#`K}_&rRb)Ң4V@fDڈQ0-=N̏XN8HvZ*~ZO3~:$ z"# <Ѡi&;I-u,GFwM͛i6(Tty9(IuBd~:;yW3[~V")yFx, Z=&GK"+Bn:1H'2pbX8n8C#M& %3>ȉ]we͉߲`F  TM윀R'e9"d k4)l+XaK'hCBc!ORLOI բ%&EӁD>nm8 7chZ[;@'qPX{}x(Pm- E Ȁh8gn9;+8:>>DEy5TI5(_K&2_7WO:wg-i+pfWz5bXko :Na,F#@xR;f5v*F7Th.c5Lլ jqW%;`c<|pqN8,uXiٟ2?[Lw?>W{? >eigu]nA'YsN/vEflld1X`$i/bwoJ gR#|ťux*CZa98QalsZ{`~K`ۂ4e@ TEǤT8-x0(̯!RC@Kw}.|2}}zLiR7AHkA0V*d`7nEgv'3k2'08uxj*XuͳĺLb&)ltcB'7 `x-xX,{*_-F}qdTD`=òl BS9#uX]Zޑ**ŝiQ3Sdۤ : &ğ#K?` -&P7va^xL*V>enSnB#^KV;> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7614 1041 0 R >> >> /Type /Page >> endobj 1038 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1039 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1040 0 obj << /Length 18 >> stream q /Iabc7614 Do Q endstream endobj 1041 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7615 3256 0 R /Gabc7616 3269 0 R >> /Font << /Fabc7617 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݐ>)W^.Pr_Jcȅd2n~A1H[FA5P\0iDD+%̕'I"fZĀ0dD/P|}{Ԭ9*{0J*q(~S1jqbG /_I٧j gVĉwlVRVaz֦b/mk: s[({L̇h*Ȩ%#5fe8>϶Fo ۏ}bH& d_ EC^B 0)xp´!ld̪'o.U1" ^/|v+1@w. (s(_|۾{w3v endstream endobj 1042 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=104) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1043 0 obj << /Filter /FlateDecode /Length 3699 >> stream x[KFWP|?h2`1x.L)3ffag($R!S(!@ITΊ$_ӋQg)AsI|Hp?l$"~O?L/anWƼZ/<"LL} ́mLZ /܉ʝ 8ϫ qLZɓ&#>ssEcB1y*|~rN):x_a..Uoٸ O֕`]*%#V}` Xz[8|}9K]:vOIm?>KEʣ_}P8ș4'#t`Ąd&\^tǔY0HuNfsZ񙙕 +D3,,ƚ0YuNV6yOlKڕ=?^YLIfX*qPM1E(כ=ܴ*z'Sգh9Q pQ,KMأvPG>BɎuOפ;U V#)2<>fV&HÄBQ$E 3ϣbF]#܁ [&VdBg:NUmA_qɮQ۫lVBU#msZcͭEۘǛshv8ؓޗ=l\ +g7#_Sb.Z}Q9hM[{Ji)^R BM1qoޱ1x[.@raR*ly-bP-̺>QUD ~K]C¿\W$3&\ mQ1l‡I/F\ܔ¥]yEWwwMc=ᮊcwMq3Th4rMӎڿ~vxЃ im/o[ h*P,?%t'kZ{vf ѵ\jUKvQ[8A+@Aj@jEr~"dn)_CƥCfn O\8~Q(Z^WvĮVzc=®6+_l咎6 ѠV`M,rVa}uf]O%U_*ME|ncOh,f"%p7;pax ]U >0cNEL6;S~Jw&@WT[~FSnrOΣQD rA##q)̾Jf&NyˎցQ|߈ n)甂7d6-2Cq mF)9 mwiKEFӜ땅FvuU뤙vh`P9Xm .{#*U6=Fkl3DBkߥu:8 @G[,ʶy1.z^5YIaV'-Oe^Fyor^,;=a5:rP=^}Q2z":/yXF!=Y;X.hpm(6zb42ʈ^{+)R!`Z \i0H ~HٸI4HTw2r#lAc$$vJz*&O" =Q灰o~~ݯNWc A% -'ppD XKUXT'3~@$^QYոMlv8ry!4.aC}bJ >?:2N$N5`頕`a  mPۧP-2$"]YYbLK!tw(GВS1jFx {SˤNy !.'Ù^PAiA6w)L 9D0 JtlN3h< )zMb!̉2!xmBSW  Ya5#2?Xl9fd& ܌=fLjH gT^3#J…,jgk%rme" ?5Si8.uI#$Ր͇GO_[Z*L W଼c%+ZW& jjK)H4ɦr?L_ ӞL7y2o *+ѕ~cO^Gy2Ǒp ߉;]VO|}#B9%ғ$]jϗI9o?uduZ䕆^oVGW̬3ciRgBRf3#\fEEt Ry6@پ"qEF|eŲ]k>豈̍ɬd~u endstream endobj 1044 0 obj << /Annots [1045 0 R 1049 0 R] /BleedBox [0 0 612 792] /Contents [1050 0 R 1046 0 R 1047 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7633 1048 0 R >> >> /Type /Page >> endobj 1045 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1046 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1047 0 obj << /Length 18 >> stream q /Iabc7633 Do Q endstream endobj 1048 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7634 3256 0 R /Gabc7635 3269 0 R >> /Font << /Fabc7636 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{Ihy㮞~?va"6> }0SxAo}W4hނ׮nwwfIvYzr"i,*A d柫=0'/1H[&##((BBq˜Ti, ?$WJ2'ī+OSQk9dP!5:Y#rU (QIwĘ (R%4 ~TW<+!fZ5Y}'ܱYI tM^RuTkQ7^U}ɖDVWrm.\gy4;?d D<<%C9j% Os%p!ɒ FPs>q|sɨ\u#URԫ_zݝCr<3/v҂bwo9 endstream endobj 1049 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=105) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1050 0 obj << /Filter /FlateDecode /Length 6772 >> stream x]K9rWy %|X _<`10{$Yٖ=-Q,f3/o4#; UiR_^d.ZV9&禯~{:=?E\7<ƷǤ06)L_:` ~ #496h3}R>}@03Zԍ% Oe 'Pj~Shr:mv%<l/Vt/ZԳM& 2>,#/P3ϨSg4!|:l~LO?HxRiK0~V8Y3[oirv..4Tz;{-Պ4lbbY*ПvUKnZ5(TE ]iU%}yP3uT3L/2y5LO9.['Aм8ivBMzkh: Ir@`f\`NM]t9MMt7IMM۴7Bd?]qynp@kx15ա Ҭ4O$8[+ٛ(gS“0s@) kс@泏s,6 CR {LdXj>!)T/B OlR֡!* ANCCaTglvkIf4-:o!03Rpٞ\2qQRƝZ!VC+^|A9̅  sݿ sHP*TTnۢd]|w6iF,ؤk13<0^6mn^g!kْu)A?fb07ޒmˬᢲ{I.Gɀ#2u^PhmufcLT/0bj`Dt^mЃD+D6* #sx&b\ӣDxt<a4;J$V0A%)ڕVCV7Y(TdQƥ![Ztt : Q=F̫m/Rˆy(P~1^a$`c ꘳ӦE\uI(3&2&Eo) FgH)7෌9ck ! s >dS#Kntsx6 yTkdmk<5L0]x r#kL`'};]kqծfZ&5M<'Yf/{AYx :w v ~ʣϋѸԕЖ 6k>6xYC%22S)di $nwsO`^|Ƚ2J<.Ն\~hW,i:EކikRF Q&9lws+g-Ⱂ5XHYƣ.vحPyA(}/Nx;82:ر#aJUtdf]mc+T`?w)G&P@qh 70J TAHdl*AOzcjn;d!{cʻ"t">,m| zZKstQğzU9YaD@M;A]#uF^mY紿cFP?" sJy(Ð4PѼwGY_nϿ knɿ[οɯV]*;zW.M*q&osCܡGrCkFz&|CyNEQLȹ)߽.J%'f}R7=|H;iO8\`xϪXIݷ2:Snq ZȗRHJ <0P׸`7زP4{EtzhwRdxP75;Y7Eh|lEAhs;*u*~$ h#H)+t TSxK< J^6nLQI7#C쁽P).cln\6&&zVDfVx/Eڑ0l z\(3С^G D^:ҟ=G L>Y !^u> & `xq"L6Ǚ; : #蜀!a_UgE^#C "/xS}Yh}M]\ ?A 涉o7ƘL ]ޱy'px ӧlw/?UW$cpGCv f5Rfh2k[L19ZHq w6b3:C)nOTG&^q%؀:1::%c%0`nue1EmL2.R"&#+.3.T9W r !CI }K|İs2aS厎y(\Ԓ̄"YJR5h̹X%17 O| ԠRzL.ȘofXe%ٗu>نCd'wts GKRI5ojZr6|t,rM-%%Ȁ=yO~ǂ6+ё)yKBĸ]O^\70-+l !IÃv5 z R:Xh`$GDƌL/ކ;샛OSZ2Z>6vFaN^V{Z@T2ڍ6 Hd9ET@V]e6 ˁ ƝzsnMXn5tUǑH:7& 7%psvGv/~.jL!jx{Vo"EV僗- 0 s)/ʛC0MLV8@<]EC48qoRݮ49򻂞%n]xpNMIZ×rGJ]zk\Q{w+Ma7\`V Vx诶S˒MO iҰ,헌} ^򡍺FpZ[xe٨*c}V7Hjo6zIhN wiWy=-pũgL6Jٜ!v|#ۢ]){3fA~2ԲXwD_wy$t^ݫпKxEP2"^t F,eL0σgVOTZhXLv5w@Yw+V^%w:aX>+:Gx)6Kd)ՋI,9gWICJԫ47gM_e4g!we`(Q٭+(󆩔 R=-7Yu$`]Rge=kR2Z2\|YyXIDkx ?;sd83-[qSzwōt}/TAy^tŇΙtVMxSZKVڮ1ޮi{5NSE/&_@vzFaP z{Aq3ş5x󎋇XG0ظuX+X/89Yۭn_Tܐ:j0"wu<( 0rvŁT _PΓI3UB目h= / qOnһAywClhv sF輭\ "YSf3aTJ˓2|H5: <a507ZGVIpdf.u +_L@f$Wڋ`@&Gݍ[ݚ@{⡛a=4,TW0e-RQBy4z>R&򄩧pە&y|C8.^"0'Φƚ^Y"|gZ"W*rSG ވOmbbfyJޙXJRwBZy+p0q _5 ڑD_K RlϬ⇗XuK5:5UjփM禼)XZy-f9_i1|'Q u&yB^K^ρ^6Vj78H %ĿI7gE˥?ijZOzW6%w0Jc |ˆ&Dν\v0jD{齨Vs mYIO&yu* Y^cݡPHj-%)G_b~(7/Wu`)4OaZL`ؿX1AvlCH[H+$l (hvh# `uMavewƅ7 xANT-o@3%GCͰ p)x/xWr.,O/kZ-ZT>hxhކ>KrG wpoN8gMO+jZ0́1!wSu#R4ib MOfKzQvgP"/-(! /S>fH9gdIwG[}=Džrg@.XSEy6_+S͞/^G л$ "7&H;xŭ4N0jGґ'e. 8J\^~!dG;W=i_u??=aN@ee[8~d%o~3hunI&h/h7fS^N!5Y 7{$IP1TZVM&ZvIzTQƄ+F4Zo&C. 0 ITK1U5as*jQtlGmT##:2ӯ VjZ,sG۠s<̑YQDP]*@2 \3S U Is="X*S*iRhযߑfKfIzRbf-~]+W))5s JdQnD˼|Iy%$>Oe˒D5b܏k7ѾX AKjC |f0:B1ثnVr5<L86T F%lUqex$qKҒ;jczË-c6T!+>6ȯʵQo>8DVyz:[pza}Rtn2?s=;Y~_kZy&sg=fi/O[;`6!'Cm~4{Ody?y zj> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7652 1055 0 R >> >> /Type /Page >> endobj 1052 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1053 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1054 0 obj << /Length 18 >> stream q /Iabc7652 Do Q endstream endobj 1055 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7653 3256 0 R /Gabc7654 3269 0 R >> /Font << /Fabc7655 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=ΡDHIO;p%4CNZu^lM@x endstream endobj 1056 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=106) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1057 0 obj << /Filter /FlateDecode /Length 3266 >> stream x[KWliA d6Yn%?_IR{z;Ϡ!Dz^we7)Y5U2_Ó |`>bb6@EmN03$^K=Ǫuo-f)c49?,f:4<γeaJTNƜݫ< (lDޚ^ P,-*%D*>sdYE87UgY>O_񏅟}}]XN!Ʊj!;ɛ!W]xI,#fmZ p6XԐ!UAk_YnZ/,q;%k8kсcgWgIӨGG8$E~NQ/K .N{Rrhb,b(Iᰌ9DӍQ01zXH:$!kSMXYDBjT%2CJxu??j”G~.֢ euJIѤz ,z',S9>r3wkxSJ3mldW=Zn?\Q\vjvH? \9#V/~d"~?8N(H.ؑ/z6Yl6mt2鉫aܹd*o5Rk]ݗ9:^wu*aDZ8)v#|Qq;mKTmCgcHL-ȶWisaF ^99׺SznO^ZvҖ :wn8swop\qQ ZrV M•UOCh$}gbr B4qxZ1+|a!Q z`/(EX]l*%)1>k Mr_< .(RjPT-SAÅkg-d\px˅c7Z]_&k_{ٗE|}͟1Har=WT _{LS{B6cbhKtJ_$MԱt57OD6|=6Cۗmױ3֎E<.rz<-o,83H&]8hyAW+O'%^{g]Eౘ++ӯ-|=[9|}uA ,XZ\9$%]%nJ[-: ,G,\V3m[YV|= 6ˈunGlkfdҗ!& o*lE۽ ^qɾ96WB5i1Q-k1^H 8cMr5eO͆9M~'Mu2ؖx6_υӜX#nb Fk`+gkO|lO>.߹{>%VVݥ=LsO}Һxy]An珆zm9SΫ4yqP3xӶg4߬uhTMMc/M' j=o=tkt̜_+ *o/6c7[n|RzR!<.n,nR텖}Cڣ aZJf oj3e?75?c\\A1mXܿl'7G$ǷM l҄|wl`&bvsMK4yEps{+hW݊WB4w]y{\O0ʗB:$rN)ߕ69w=j ;:[3&EFS |S qg/ȴ*Y'w] 8Pi~'>Ff&f"E]z Qsf*F<&>yab)@(Dr N{v0 8"XG>Qܩ |4eGu+:&]aZȏls쐃jMfBs>򰈥VatY..Y7-tcwùn*o2˼Rh%_8l, `X68`YH^^[]+T*rQwgD68<Xu:8_Ne^FmCm̒IFڲat6=[xrFX-T 6pi>IL;2FT&ҊE#G]&D'сF(- YEu N˖E e! hX #EHK;  O ؚ:ݳOwP*3**TDj:k?G;QQ>\Spb`C=d<#U5BuĈvHƚbyy Cl , "̟K}Ȋ/mA%c/k(X-5:sy[#h JJ$Ȓ])cO.eb  Jp<pZ{NH`5TQj[e0?_|e(oiKI:' KKϐq}VF.2$?:N?rF4pcN{&OЇʬ}x+ "ww*P -{|r>UQ~BwT?!s8w`ٚpj[*k prN2Itύx'۷pPj~1"K5X9&`c} ?n.W']ļ+:JBՑYc׏^ |g9,Y endstream endobj 1058 0 obj << /Annots [1059 0 R 1063 0 R] /BleedBox [0 0 612 792] /Contents [1064 0 R 1060 0 R 1061 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7671 1062 0 R >> >> /Type /Page >> endobj 1059 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1060 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1061 0 obj << /Length 18 >> stream q /Iabc7671 Do Q endstream endobj 1062 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7672 3256 0 R /Gabc7673 3269 0 R >> /Font << /Fabc7674 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠY>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XL3ۏ}bH&}%l)l,Q.d|<30-s@YM \0 `NF|B揗^|JʄivP(y _|۾{wF endstream endobj 1063 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=107) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1064 0 obj << /Filter /FlateDecode /Length 2670 >> stream x[n+j=U^,0`IV@AV4ӛ~ΩG;AP,:}V(46<$whFySHOcIsѪR_~Q{}P̴z2Mf}t ֲo.i+tqe\MZqg]9+;Ӯ;8̫vߠH ]Ņûc{fgLs:]]v|pvˌGIÏ9ۈh{D*rE=a@QuT)%Uik{xsH^i9J1pDkáfTL!)Lr_$bl[̸ 53[:%T ƾjPhD^#: :J֜,!n_z_;K H3gi)r.λUn$ߞp1͗(;uެg0$vѕoRZ=|^ u(}) 5q?nI/񬑻>v6~Ή*/WPJfgڔvfr嫞?2Gb&;S(AW:!*9ظHYtEXN<03ǾfGjb/6zQ" ,֢;GHL喚6){Q zTѶЮh8ffb$B@CC |lOo0^1Xq/귙a{Bgݨ385LkYqg5P^d-3& mVVh(pKM}ä%t`gݩn*/24ωD`K.ywBL񥉜GY.Xj6хTCqApK|^]9hU1"Ev OgW,[j<)2jC&Gwԏ1K& i0i “4M/&3f`|C3l*IΨR=#XVHJ?jyϕ4!! 9#3 k󜜪4߶*NTP^uiTTQ'G ZQm_XS4ZuN0Wj;O>d_ޕ6CnI-wu ˆF;͗5BڄxY[X-93!op'ɑ2x>:dQOp,JЋDa@BWdpW3ǵi%q yQjx5/0vp ,Ul}_`foV4ܾ~ m&g?!t\T ⾙;&r-T " ݗW3͗obT PA|d:W>bwC0*c72o!!o0pl3RڙGgp\E`r3MʐLo9 7YW%. 3 -,c2-䖇UߺU#W,nmK1?]ĤoYFgf⻦_u/LC.\ }d12+~ endstream endobj 1065 0 obj << /Annots [1066 0 R 1070 0 R] /BleedBox [0 0 612 792] /Contents [1071 0 R 1067 0 R 1068 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7690 1069 0 R >> >> /Type /Page >> endobj 1066 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1067 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1068 0 obj << /Length 18 >> stream q /Iabc7690 Do Q endstream endobj 1069 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7691 3256 0 R /Gabc7692 3269 0 R >> /Font << /Fabc7693 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"9 " ٷGӮBxg(eFI%o#\\@Bl(Y}Ѿ? 5Ժ@A꣔8JRhgm-־0Z|fOr;L$u$WʵR̃sV7Ө#x?d_cxy /K"rԠKh*2 'LKBV`ԜO\0s2*WLj$sx2W@wP&O;2FKh8ݛMz endstream endobj 1070 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=108) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1071 0 obj << /Filter /FlateDecode /Length 9423 >> stream x]I$WY@/@ڀ9-\Ȃ2 _'ɬګhZb1NMfT 4O>ɚ駟ǟ:?'~uwZ;~:Xm-z+sOӏ?IxN0ӧIϱdgzKiMfUlce6sb5R_:D}:KmϼW? uXK1M?7Bo4k P>EKQt4{y,7l?((}@Z2(:4`z'?LH`SxOx?QK4X-/g˿}'3\JYn/1$cj?!smfT7]j3Vnc{eA3}ܛWdI[jW2݂5v;4l2I[C?<-hjT6 cdHb ~G64Re F )RF^_)GljcF:;_qayN _KxePUX~YFbn(?tn uY'q{z7WJ\VF 3$fl% DŽ#՗zX4GtO-~T1ch)x%Th>)tB :g 9 {A lbpV G)#aIU#L:B0d0%f5Kpb:OQ!@,O=! L2]:{h -0 !sTTAfyF(&ETR"7, @34<$^{ m": 0#-aPCkX:=fx-]m ,_Nל( O?0r @O൩`c hX >V"O's-X4)-uI$ MMn>eM7O]+GA du9?/b4[Q/F[60..1p-ٜo<ϳH9ˈ4qM 3!iΒ\N$S҅Aݤ >Q悆oE9D.HBؐK"dY/52k|ݭ N{: &+u1oo5b9b3qڨg) 7ܪ62v4'K#s& SRFb9‘Hھ/m$ OeRwa-``F@~ /%|@<^7܂~ڜ_]q7xƢy\H}fՀۙ&K.Zry Mrk_MsKK.£\"f"3Ȥբ#դek(ZS hLn$;{Ӿ>7Ȗ62!$kطE˄/^Wx[.6mfWON"MzWf%̧Q8@f5!נdy;oBtɢkV/a3_f5F=N-ӥsvU,5\nAZ#BM@ʩnY4p-k8Q=OV\ پ$αd1ǎ/LB96AUaƔr-Y[fw9]ږ7>LVp:BI|԰" P lMSekmPqk]#isE} vN)k(9 oã0QA~3:sEx\zwaK![ܓ䍚řO--"3(Ewȅ47_2nPpm}lI8ҽUM6209urNr\t^Ck jJή _` f DtM_-(3DŽP{*wC:iuL-d}PHZ#iTɊ=ǛSDGL(bZŠKr[5Ld3l>6kwu.4k: cfic=ef ( LvH5cF &AKQe z5AW4#C3*[,:"}ᴢ%ЮAynXRUtLБHI:8p(HH $tϊitǜbᮤХ@FB0ei1Z.0f.W\JΌKc̊%}M40`lFؕ%;رqȡy,( -kTS"EA baޅTmr 1l!c `7@p!i+%FL@ڧsTl-:K$LKOu"m8bnԁ Wv9[݉\ʡV!#M<ԅJS"4<@("bA/"%zNIo$C per>>p 0DFWcDǺĤ42 ,}%&չ,%\] tGO'c ޶oQ¤aB%|pxiyenXp~ԾKnۜMmc^(X\GLb!ڄKoFqA5d]j=m!YjpYFhe*HIlɁ_Q#F?:!I&>kJ{/%Z}#RR/ʉ/՜IE+^iģ.MI љ9XZjH2=UCߊY%l .ɬZ^ hKG'T(~;f!*";4Z`yJb:lu+D=&%0zXP1g|kVX[u@ڕda<[["Z6T3II|~ c!uʊ_Y%lJvpºY-*p |(p1uP]e D `V_P$ [x.f&YB B+n +eL˭VG˿u0P+e iK!Jἒ**ޓaQE754 {$ۅ]O.z.ɮߤ#c:dSz%"=G=VăJ|0X(m(_DFeAk j8)$c U!8:JZKOIFOm}&y :2 W b'e6_k]r#əuRE3!p>hīVYJYmq?Myּk4"Ee[cYԉe2w;O^v;+/P.hah;I$[đ9p쥵W$;7 :+j2MlZ6kʎ G\ kO'pPOμ{\ﳬ󪪛aKF/_A |L* Jn+4ʇ+,[fy_S`炵iRPq:$qs0"& N[0ęʁW3<{Djġp廬Ldջ$ˑg,NEȠw9]}O{gP1? I:cِbf>Q#Y'$Xt'xQǾ%I(e݋QK"]&kM( _(wӵkJ"zIɀ~ ~TJ)\2g3TrMv!Q!mvԎGdMՏ3R~xukr+XSˬSݸcLԀ, kЪ$r~Z'ܓ}RmhYkC .,}m3dzlw[< b*Wttd$!M$!XJ5o#εLVιc)9SFzn*4_zFGHut8ݭ0h1"jz@VFYTK WHCzhض0WJq\mxEm=8G̢;x=8(^zZqܔ)rY H r&MqH8QnRwDu}ė%#_vU{n<;f{*ASxo/EԎ zi$r=D6l=gۀa9߂Y>0-~6޾VC/SߑJdqlVg]qW6mj]lA7N D=t*OwHvmQد}|]umg_Ҳ.zBqgFMMvP`g7a8MH=F._vKuR)aK'؅"i}tRPO#i!u |.j~hkG;UxzGi̇(mh)&)γb!Izj޵ѰYKzY jq]!42EÔt/v~ R;әO=~!2sPRdhs~V H@>-eٚLeP"9z}; [I.!NH.#F=D pGTa76fZf.$񬸯{tҘ[ilҐkRHvՈRFK=NIt1!HW˴S Vh9Wc1aD"j&2 H*ydg,%0kCC8`"鎄RZX96&5^.HT(اkyE2í~qa3%B${U\LPbB]#*pxk>y)yjt3s(T4֦42K|{IW6 M#t8~EmAn3o'Ņ8+4SDK}'wԧ` 9p'xu=3x鼴Fh?:2bYgGت.AGFsYݴ!ǴE>kҘ6WmC(b$ ;SY=џggg-2JmwvGA@ ,1T : 8+6YN>UOH9UW#7dA}LɋhHjP䁫5HրKt"+Vc09=l b<#ּc}5cTK)ߓ驧;lacJ3^5.TJw윺xC*U9l^ wu.$sX-L]-ӇPp*c6~SU]wbfw2=]%U/$jAqAcɩz= @BBf@Za&\r^<ڒ6-LTKm$]g|+;s+ĬiR(f<:>=Df߸#*ϥB3SiDEڏIQT4(T!u|J J3V-G;NTQBz1(V!mb(Lic7lwZjXqX)L`00zXR?3Ovfl lzloa6m`:<e:_w3ۭP0&bv~Ќ+˓'LmC#)M5[w(`8|]0uYبi GQHmh99#OK"2 diJzlM-[Һ.xMye ko.cŖܪ{.k`:`:ü;o ϢD'Sj]iw^s- i0ȞC[3k|#XE "IpiK%!qrktFvg)Z>H a5"V<+lzܙZת`AS$IՆNC[[6Ea C {^;o7/N_in=3rśsKQAcZVW>C\$dhZPXFvNB b@&9)٫>1_A;nqֹ庂x ~lgh")hmvYgaCHW2DHKT uCtfҘ)q0y&H>Bk;8om c ,pqs\*ȏUD2%]*4vpq?,ݢw2*O4tY6&,4̩aQ 3:d)$yr㲑QdeEI#ۭ{B+xQ3p 1_p8ؔ I`ǯ^.̒4J>cNNŎ\epXiF"UIP ׭=C#P 2?J, 'eJf%7Zw%cJD |lqy/"!, ;U[Wơ%9 6mTfmXimd9H0[D  ~QHr_4(xza}Oct?hP#rx¹qc 6XMcK8Ó~XJ=~?h_>~O/{hyw~0aJN( }dtxj18CX#~lqQɢq w<5AhXbFt#< 6c}FTu}F n}E vm>0 endstream endobj 1072 0 obj << /Annots 1075 0 R /BleedBox [0 0 612 792] /Contents [1082 0 R 1078 0 R 1079 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7709 1080 0 R >> >> /Type /Page >> endobj 1073 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug899-vivado-io-clock-planning) >> /Border [0 0 0] /Contents (UG899) /M (D:20221102111808-08'00') /Rect [510.211 114.5115 545.477 125.5115] /Subtype /Link /Type /Annot >> endobj 1074 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1075 0 obj [1073 0 R 1074 0 R 1076 0 R 1077 0 R 1081 0 R] endobj 1076 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (PORT) /M (D:20221102111814-08'00') /Rect [311.6445 90.2114 340.184 101.2114] /Subtype /Link /Type /Annot >> endobj 1077 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (PACKAGE_PIN ) /M (D:20221102111814-08'00') /Rect [440.229 90.2114 513.335 101.2114] /Subtype /Link /Type /Annot >> endobj 1078 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1079 0 obj << /Length 18 >> stream q /Iabc7709 Do Q endstream endobj 1080 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7710 3256 0 R /Gabc7711 3269 0 R >> /Font << /Fabc7712 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ endstream endobj 1081 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=109) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1082 0 obj << /Filter /FlateDecode /Length 4572 >> stream x[K6W<@j7 \n`=լ0_E|Tִ;h%ňog;߾ flo_;јPyv0뷧yNOOw_<),=s8~Eb]$S |ߘc e4#3~CΦ)y+B$xq 6.i/S#*xNJl[1v"g65Om^vj+ftX<͗!?* vgl{TL؏:ȼ˗r@~JCɓ+a.`?vLˤ'=6σ3B NCusmom|z53(ʭ‚qpZ'N*f\5,p:22HrpD|rnj>O@`2i?iQuJ"O覘A8UG,z'4:>Vxeb0D'}?$=gco]ۭ{=do ǻB9>aJ[ ?fA1 ǜ?ǖwNn(ͼ>f^U^\7شW*U;*(eoeo[ݭiV[M&4mH5ㆯSNյ]m Qd7kRƻʲJD&QZpp'{[)u?AhUIH? lPxԸJ4vFEm]55#:3AC^41:1L ~N)^s=ǙDESDJJy½e 3nO, ~Ɲ7ٯV+uz1L}v^vF{h~cӥDs*6 ks'8M8Exw{\T !_ _+!2u3S` yPӱ@bZ+7z'D֗D 4uŘ  G|ɇ0;-YCOIFS6! 9[r.#(sńV,xk2h&q3`yʀYl-Hģ5kp y= e*VCjkq@B 'cLI>tiqޤ繠>"SPt`٬IY> Vv_o)0}Xg'+s. Ď]z }K2YaE`(88 x,et@7ߤѷс<pC*Iȹp,sx UZ#a;9@صcɈċ|`ap2ϧ5,vphw×!@47ݨHc'o88q`7K!10yT߉{dc@?|UN(eEL#hT!'ԭ !e ípV0baߐUh.0Eaؠ%kq) $IJ@#c(Ή!E0#C}7i̩JaA NyKuqe"{78H9r[N)*U#K7 q%ׁG_犪XhA# ]FR :qiSx0=t, BC5iV#-_[1Mom]8[I˥v n~:bbwg(q/ uk>ks"tFx[F:30iEsDb\PLކP[$ut:!l/-)s`+F]f]ۻvt`Wl  ,/Hѫr j Z!ћ: ehRfmT >?ra#@<1du)bvMʤcnWM sah_ d/zmipX)}ALS<}sjͰ6}凚⁓S'ncղc\}׏N<`Z,nKyvm7O W9[8EU܎ ]d(6մO,0kA;r U.dXUrZzM.Nf- 3-SCщ͏7[wg4d =@1]D?$IpT%H$1kP1\\o1~ڧt}k a1hc<_ذ9 8uJ3qa~z<,K5;kǙ8x97p_bb˞jp؜:j8(GSIh_ʱ ttnOIu5Dѝq9$RO{n:u[\<)ٝ{wvsuTʞqeU{~dEk2gk~8SGJZ3}_ìg}kuo ,׷ce+W7웴S>\.ye5~KFWp󉧕(owuЦly,Z2ϨIR&H[D5ҍ5UnJ5vZF6^/F(y+–xқhr[2c Ϭ{q}t& IZ&ׂ.4-vT+rU '|KO\$VqzHV\^T]$J.ySޕ`mKW}7k.\,jiZUv!: 7,w }ԉMwk]⩕̨Fݍˈ]# -YC?(< l~)͕=y:ms2UkTlrlڨގ ֊MXw~գh#+OD{˯GSBv|Uʧne]mIb>@h,"4p]ޅt dqh 4!0>AG{(lW+LLRɩA,2Jwpe3A(~u(Sp~(W}"?p^E32=OQ6rp>-^MЄ< CޤwnIzuH.:fs*h5W)KYVC\hRXaF8-&uXx>OS0&/K^02)CLu)X0, Yf}`'xÒ .Ofa%6OL$NM b{=LP$4Q;ah,0o1؂qLJSz0o h;4s Xbo3/29L!7\[hο r-Sion1̮i+mG0F9yw<\8$\cY>,n3}|p5K+Xz9֤]c+$=RFcѥny[u )y#œ 8=o6zKv2ILiUSUXKz<:ҿLe(٦Z=S,3Zj"O@]5-EYv7E^J]NسGTM +a i DPn8P#@"'pg']+m!j\7=HBO'}x~(i_O[7~Q 排NA2)ď-0ԛwZDX#2j'%&dB6z_gōnI\+̓m>Ku@ݾo$ F0Z~ʹnQI,$za e8I=dJQ endstream endobj 1083 0 obj << /Annots [1084 0 R 1088 0 R] /BleedBox [0 0 612 792] /Contents [1089 0 R 1085 0 R 1086 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7728 1087 0 R >> >> /Type /Page >> endobj 1084 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1085 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1086 0 obj << /Length 18 >> stream q /Iabc7728 Do Q endstream endobj 1087 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7729 3256 0 R /Gabc7730 3269 0 R >> /Font << /Fabc7731 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ*>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ;/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}  endstream endobj 1088 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=110) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1089 0 obj << /Filter /FlateDecode /Length 7724 >> stream x]KuW@W~h$MY8EMƱ/ )@U猢 G>f̤Tm03?eCz2Ӌeր9//ZԾ']'\hϧOOposf:00}o-G}0@OiCO}aQhC:4?qlew1g?qK)i h`zȟ/3趌Qf:cR1e=9m:}<FSnqT|~ !9``|q%`ez upshnJsvOus0SfGJn2>: 㤐CV;% oj94nj 6 @Re XYH,eJ~\ e}dgGrs,oR4<{ @!X=UP.W"Ebde$Bi$oWKΔ%$_&#^ ^!s1.lYP-$⢛!:7;ykg@4`K=ŃCFV&~cK2vru3ރ}SQocia!j` 8ۄP4K]S˯ h3Y {u$(Y{>Ż)Xš%:HPT4/Wse0D#qDRIg)` V5Q0${^pʷKkF/Eq+ԉ uGG A{!ze,,b\<6Kn7j ):#tbQ]'+4<,z; %d]Sm5"tJU%JHU.~][oɗ:QV`8hLu%-؜vɜWi^(+͐uxiNu<]Tu|Qcxt:schKS%DyR-:s/ޞH8gxrx~>8r:gFst^dI@k^@o~gJf/zɺgE iA?q-w9׎>`uΡ#g@a6i` }9F0n\["x?Ы.ĴӋLʻk^TGE6f\+V71%տ:rʀhO_&'.]@WT;b@W%->wsYS?Ͻ%P{@тT:!B09)m.t=RS Rs%qUrI}#C %FB,tV&Bw+;{p!Otl$x/"s :@L.h7ݴJ!a!SPBrAuCX(~)iKµ-}ϧ \pu$Z_ן"=Plh;~CtJ p7O"gC$ɸi⮞Qr)BEɛ8P$1w>ӛ @"1T\gt]h0U59P,[hxJ-b u/CJm5tIѧ7YoYwTPvJ~/;fbl41X$iS~O))fU 2TKY3lq> )bA7$MIjO.lf]!0X$#;Eg=[^ T%Gl. ֲ%;xЅyqLE!To#ɼ-Y<#7n_pmR]UF%ˠQgߘ 4\0=YxT%Dd]k:RS\$Y4ת5 qck5Q0 Q)_[]zz2,h(SƖ; "feYYx9):=ȷ`Z9G;yxBdaV"?#WuQ'oKK^ D|D%"~CiN5@(,?qVS][X,P7h1"?g%:jr=ц ^rk̸.& "їp>&"Uiܬ{、VA>\;\r&n3wZ,ALMW+w8TBˈrM,98e޸ڬ.VY]0Yi1 웁@, BΘ tR):-I;gx9f$8P%`ט.445P*: pA{ 61!F zRA|J5[𨧬su0ViDh3DZ~5DXTdeHml.jx&d6sXho0p;&Lbwe&oQ 9 JVN+5 tۊ.;+=qLJ BH丷ܩBJحRQCtbzCV ^V֩5B Ά!"qX 8xy9hXh;\#OWh5CnG*zT5%1N*U#U8ØӕƋ:&):mºYi‹U.Ve7Ef)jIU}Jn]AA}-,2b,፜=d7kKsukY/љ]5,\ ϫkTͪaNacd6{O! uq8=#j,O5(| E\6lgLjyO kn>e҉qb fuS %nQN4iz k>P&@dyp;0 UOѡp#- ɪZ@UigqCdTcgRum+B\jR[p]n?Z)E5g#zV3=wy,GlÒwjŤ:8͊3:V(U&U C~LU;bK]REmhfDzHY+?PKQ;'jnտ0:֧:eɱ9@M7PĜڀJejA7f?ѶWKءOSF4ƺp)Al+y+Y%>DUTW]׼\% ?عzp5Pې{@-wf-Xba_ G@-RUwlYÐB'l`ș"Xv`/iqf> HƂ(!J+e::-  7պTL7L-Ӧ-P֋ \ briH>uث3xtfL]0?ḖmErgBu\[/שo! pəPo*6YSd~yȣ\6JߕK6GI)ȫ}h3\)mD 0_k>,Yc'0ktliN_rG'v eb,'=5: / RCi Ȓr3g=+Gf"vY^UGV,=MY45| HmT*EЧ\w\ޜMچPaR>/3&&y_unnn߱g4՜MDd9>6&Osô.xe2P.)'_qt&s>_?)rkw ~YAh8s1m6JQj1l4'4.}ɍ܂@P 0:K0/UP:§} 7[& 54uQj0/6.NRDA4=zk[dw/W~^ |+hO[GP`/u] F&tXtM"*] G{  Ƿ܈<ďЛ;] ӟZw?.Tk;qa$ $,)% <qoU@ğ~bs{K~ ;l Dљ!yґYWD4œYT~XG?&}vV<A%@3aQj#xFx:}pݖ$sI Sa)38Һwg#ǜo r x@}5|gj:>w!0M2<3—̓"֜GxKWcvq+n-3 ̕;VLB!"dMW0Sd3_k ط%MQ>!0Y3^#k0GKxX*w:@SOϼ Ri{ <{Ft|nIamԛQ>tdĺU0dgϔ=KZi {?s}s^<<|o^LX*s-0b4鶺ӈBDl;y0$wؕ-zP2 Ҩ oyNWN;ϫ7>tqڤ2A&rׇ~9-֑jXqP\UΘ |v) /Hk%qچVF&hS+I;*8]bߠ]eY 1 Gpd>35\xh5NCF`@U#8:@e+Je,֐ `gC*O#s灩.A:o)=L!j_少4fQ &\8#m,z%)˷^[W7] ~ R[ ]3Жݮ;Bcfiή1i[ gX"99B&Ųgh{ SP[*OWhyڛ:-<&U{lKs=5KB0tUe|o ck1m\%zeLm@yoOn~luq_ao_*\#Sɹ]/zIIG߅V[ ^jڄ~Ǒ$y='~W\.#b{=#f'?OiZf()jv0ڀ^嫝^l|Jp_d+SZq2:<7Ju5oSf:*"pv<ɯcB˄Ȑn庅Y@$48+A+>bLPB endstream endobj 1090 0 obj << /Annots [1091 0 R 1095 0 R] /BleedBox [0 0 612 792] /Contents [1096 0 R 1092 0 R 1093 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7747 1094 0 R >> >> /Type /Page >> endobj 1091 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111808-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1092 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1093 0 obj << /Length 18 >> stream q /Iabc7747 Do Q endstream endobj 1094 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7748 3256 0 R /Gabc7749 3269 0 R >> /Font << /Fabc7750 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nPw/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1096 0 obj << /Filter /FlateDecode /Length 4264 >> stream x[KoW`~?AF `  1 m﫮&b=&؏zWwilg'>ru\,AFqgk?lC}Z5K4qi1|~obLI x<x9SGX| y̏ gm(߬ \ҿG[[/OȭR ͆d*p"9Vu2vNb,熲k(m;w,軶dߠɀgaǿ7);ȮtJn(K?]KRi)q!B`>Nq:.|~ h-sNٷϵdxi(Á$ςӇӿg-)?!ͳ/Kٴikof/ttΡ.`_ pg,|$@K!Hv~Զ=>}'.1`NamwSd86Af @ـc u ͵/blbnveAvot(1N/, SX#8D>ʣy9bd7]dsh 9.V6rSbTH dR2uL[K~ 18 1 '@;4sꀰ8og&˜LyJ¡:gdrqq6@"d`]v| x^''s Ď}zͧXbMB4mHb Yr")ȶ~) 44{О ZA>0i)J *ern80f#,H@[7aT`euv%#6lEzI@~R!/g *n<.@K&-)Mw* 07AllMT||B?oiQ6IeiY{x+BOם%p.҆9g V)mkL.+m.*KEK5.~1B T25 JC^,lDTXG 0Y PBAǼ5uP;lvÞ`pΜHmZ`{IFG$Ws{ȸM\6,:@7`De$`Pw2G%T BQ6ER zsSy[.{Gf OK V 뇼]QlDž<-ض¨۵G0\Njwң!axo)==$x^l7RiJ铺R}i~O֯}p9iӧ\E>  >~@8˸Z"6d شqnKz4o)T`cw7RO=$Tֹ|]h\K`%Űӻ"X6J~1#0'KAZVBʤNC Y-AkjC[I=LqRjv9moOΆKlbTFpʱiAj jC}G/^ ֱ`"qch^=.3}:h4?hog4mbJ2d FvxvZSĞaj># iݨ.00s)b\+F=Y&12LXU ?xxJ[<0m}bn/}U lVQ8> zV OrÚ:?+F$ț}e8\K!#nQh/})KaK̙TjݞSoA>rpOs.k=q4&G 3\vr=??*~?N\@[A u-[ˆf_x$Fxn/Owv](8:/LJМ9N%8[2eT91o Mdd"f{ډhP2xsm5+*DT|NPm×;!a}]-;af8)٫|FՑcw2}Ejp ,#D͓Ӵ{ 㞰~53QFnb^ۇ/)}a9W$n\|zzb`q2Ipl:dE:V#n<-Y| rS^ۖӖPk $TwO$7Oiv$KݦS7< s*Ȣ̧AXs>yGxo<>W)2Gn6[kT x3Nex49q;oZ^ ǁ.>2;TK"͑{kmJʂDg?Ie!nmu쵨Q?Ob 7t7(CͶi1Lu%mdM F3YdU^4g B.<jӊ#OGyZ&\+F+qm͖44Ƞ'a)meu:d)6뒈牶U wЏR~~~[~~Nw_,J=#i=80]c;W@!r/+H I3c(:{֪5w P:u JRyzGK0zKY;LсZWJe#{Ls]-k ,T~:" @<G0^!Űζ2fKAu^JVjeB$#7'GS`UJm;tbFa# nbCU˶W t6콆< z@l# -brTX*>bryQCl,O.'-x3bha@iڦ#w55_Hj4lR]lD ]%fy)1ywb^@ E9\=9unoM߃&;h b,%fE ^V aLDH UQ&4҃n8R :!?"#%©+0&dnxV1?O"[,:<~$J#iX %,X N6&S  J endstream endobj 1097 0 obj << /Annots [1098 0 R 1102 0 R] /BleedBox [0 0 612 792] /Contents [1103 0 R 1099 0 R 1100 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7766 1101 0 R >> >> /Type /Page >> endobj 1098 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1099 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1100 0 obj << /Length 18 >> stream q /Iabc7766 Do Q endstream endobj 1101 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7767 3256 0 R /Gabc7768 3269 0 R >> /Font << /Fabc7769 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ |:S֯޽\:H/ JcB2h7r ɋb `6P\0&U$c"Kso"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_I٧j gVĉwlVB+0=knT-=&CO4{rCod%#5JVe8>϶F_5ŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1103 0 obj << /Filter /FlateDecode /Length 6881 >> stream x]K8rW@|?F[|0|qg}_(2鮞AM&)Ȉ`0"~̤ğT ԧ?=ɘO.ZV9&禯~ug=kRN/oQf/>6!~T_6Ɇ9_ lCB!|D v]^T9}0`9xEw߄4‹*p14O[f[ |5Fm'[=,FO}rӿH-θ6`7>gSCr6n.)1Q]֔KaUtcB})'jځ(›/r^bLhrc-O;8n^"('^ (͙ bwM Ix". @HƦ3s󤍝f@ hi20 P%N݈eߓXvH,+;QO![\Ejkzs ߌq& y*%49z*lP\xH"ɹ"7C9PmjA92Aw TC{zcҒ?U] Q(iڑN.m T8hWanQz᪙KN;X$%uvp†Vs% ʷ;|;RA!:Q<8L3JnPJ̤wU鏤*HRTH>Ujv`bM^\5W D333S i,scebΨ)jJ {&P8d<+9LG$N4GRNR P9j)+V;MrIIoC͊GtVę]e@:KME#h]ݒFy)+dq$š5u޽&?:ȍ&A@eZ,㰏d89֊?G_だGrqH/sz烘\u(m7\W X~aAֵ Z5Pc,2r^k'(ze/ M:Ezy A  '9_{iW-7K:#8C=]i4ܫL|%s;>^g ψgBMb%Y*Z.@tFWi$5]Nk+?Lʡ&8V7ueQJyut!h(*RiPy*Ds)|(՛=:]ڏh[GVIɀKݷlKO.<|DSbm̉<(.x%@:-OW Ѕ ;k^(Ɗ;I&K@$Үpr 3 d.[ڎyg Rn:V$|ctGp$/AG| D7 ?3w_QCHaf$R!aD?q3īs+?=JU6>*a#.B%oKDɷu#t\P~[1vt:A ^x86a(r թTi򓏳;Y\D,M!E4Yko$^@YIu fI7ﱷ\~RF'uq0pE16,! @ ,4 vcSDF"1wDŭ4 ӴУTorwI C"Wp$U=9y'* k[S&k:ٝTus4%C~0*Qߒ8(豆%WBRPMH\iX w@7_d}= 2+ ]EJEN-4au"!pHNØst~s؈SMP 8 V.q ^D"[bo x=#{mzA-5UT.K"_5n =:=1 `yuJ=s;eY xRJ}j?H#접*:y<$1vX(O`e]3~0 ūf0ɟsAĢ壘7s|ib!x31e2q6/v)Y? T+Ѐi?@x#{XojBhSxKA݋]CKxnTOyأ0!3səu y0GۋzUHBuw]$lLY+ϸ*3̮uw'V`q2Ϊ;]]o޾3m)1ْ._M̱ ty+]i,CRyX{s5fEۂ5uof&]B2 UJm3Vꮂ39eRkv{t˒^>R3ʭzS<ͪM&FJ-v฼|4;R9V.Us)Å:iZ},dz£6sl458eiQ5kG}F(z񤭭YH2M!_jZ rǮ#$^x0-n=q=J <([\p =z\1Z6-{ٵ cK p1CnII^ Ԛ |$n8fR ^Mª㤰x ӳ)5X9Tp;%xg y107KraZ os)nತdIϜ6G`;vՋTiidK^u>n@/hLg-13$d0u%E1F'"[!|~duܤXıo`?X?=zb?%E},'iW&c2 o' 2UqIu 0<&z䝟?*r|׶ۙS Z<6-勓[Zח>Ti}ܧ ;}Xq,#<*־j>N{i;: tj\:_?}WPLbdvd؇~arj3+,b]#մY d >k1d̅7:Ph]L!;?7MA7ɥCN-N|޽4\6:ViX=iW_ш+Fz22R\GD3J+QF\;ӏ_g>ylB[9O0_FniAXf0;cEo0CPsX*eU]{u oOFU$|YIB lV[qQ5 ꧚oPG|tܴn;wsݝ t)+VʻMʜ^^)Oh(B/NߦV)Cc3~@Q{̍m/oi7ؼAvA.C]E a[iJb[\_K;a{2U@e!Wh"x09\R#!T|-ɣڛt+0'' 1Н+|¾(:-qf."udna$^;%xJj+>,PLN_bЮV)=W־ЈMa5f349^Pj*+NT S5e8Єg㬧FE7{Lp.%UY7QN2\goG*3P+Ⱦ'adFlޯh4bٕ:cXӑkjpaq CVب6B: HS2n)J40 (eKrxXmo`}¤c.mjIze[س*qkVqyz'Tcf00pU`il;)NLy/͜X$(1.27C~|OiЧhEMqSmvl>`mx㵮Ԓ/kiP[2=" ~m@ՂV&J4SmiuӶS`5]\yZ/CUƉ݀kG 4%.L)zrQ2ْaMY9TO,_ 6.Uzzhްqj *ڐktۘřRS؞cOB{\@(f-/1o߾J5r<-6qQJl*/h_?$[::c6NCgp:q*~iö :ʟ YQjkkzX <x=j~Пu~zd`h/r/_&`^ ==@2X^:H)7\%\R 5?FO S3F@0%ib+ I$A} ;4m>C( csı endstream endobj 1104 0 obj << /Annots [1105 0 R 1109 0 R] /BleedBox [0 0 612 792] /Contents [1110 0 R 1106 0 R 1107 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7785 1108 0 R >> >> /Type /Page >> endobj 1105 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1106 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1107 0 obj << /Length 18 >> stream q /Iabc7785 Do Q endstream endobj 1108 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7786 3256 0 R /Gabc7787 3269 0 R >> /Font << /Fabc7788 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠZ>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}m endstream endobj 1109 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=113) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1110 0 obj << /Filter /FlateDecode /Length 6150 >> stream x]K丑Wl |S TVu-``icO] \oDJeVt;nפ)S~$ ?BӏoSiQH﷟ofRjSYJy@1R:p4.H5}3v7z^LaNۏSDN,  b:z1]x lR.xMH/YNƸ\Y4"r#?%IǩK$N!$6hI!n> - D~Uk?sqv(!qʭP?X{Ԭck^ϛ֌St۪bB<_έ}/ܼ|ʗL̆%' Yz=:);fSmJ xIfH>,g6 IY=g!>>hfy^ө[$"ZFEsZk̢1F]3; [62\=N.W-yR ]eV<2Qm# K{~X U~9+dEROpKM9pI>]y ~dLwkBNo8}أT2[:_⥊?Mx7}chPZ Ac#t"!.l!Y NP%b*2u ,7TFnH.2jP[/oWEJɀLJsT [xD)YTX5R0uujD-3wpW2gŕsRL,̶ XTkqO ר$rE8:vMPKJjq8FP}VH)ȡwՌJHn[ i#51wLňH^^vL\L-F(N$ۊ&ĕ;d!]ElR=ki2fR*p(?k|yXyVm{\ p xa4]iDٝy~5Ih"H`t+J-nv[ q]Vl(@5뵤EȝVO@}c~eʇy82]t%TYYθGw΄p־@8L  p,DrrZ0R@FOPx"LmkM:oR,:۱Xbkƹ2}Y1Uv_RH]!vX?$610Χnqd2&_ʱȒ-3h(.aγ %e_i71ֈb*2:b)ˠghTQ IFCb=#42+968 ;jm:nĊO#؉M%*IP+Ñ^5 P WEEKl+ 1"a)sO*{lC11X{cý;b\tUYa&|5㏈N-(:y}`un(j8`=8 st Daf +ZJ\#2շB#f|ؒv-EpXxFhnyEGLvbfkob1L7 VZX!dH[\}^݈{ju#nF7Wq+\nĽp[݈{fwL]qU7.٩n ?:\݈M}ՍxdZ%GN1iU84nN ?&Lc22e2}ɴ,6v2RAҞl6U7Hs[I5MҬ7kZ+?ba$RK-cqqL@c.Kp.#d.mIT짯HU$\vt)/QAr.te\G-" F& ů٧ӻ:U%~oY })SaG.,.R2ON6 m/ 2E3)iIT>50:_ENE.\C_ b'κ (Bcbx>o^h|ŵJ-)_7Gr̯eDvV&&;Z>Bowbu&_Aׯ:;1P9N]p8f1Fa!G$ Nv~^@e68φT|[Pn}qvLNW`NSh'(>$J;'Wz]+ΔW囂 tYv1+0Q}6AW]DH[ # O"g O V/m\r#Ą4B֐D&j ŵgыi?&|"nMLv-Mg@y_0(RiT0mM 0#%4hJw!/x) >hPv=Kz qsAU/溜4xgMgKe#60pcrFuD ˤ?kR̻:34z-P9 i|`}ifp5JzbQۨqTّYPvm`  3BhGcG QR`5W+  ֚ď/ ʠJ0i2_ G($!::1;Hm3y;T=DF+А'0R |Y @EiÌl,k.LbQc0&A I zb.W$'B.T`v[  )&e/^G[/zč[:o@{ٶK lY=0+m%.I}h&G:S/lᐶXo>u5uߺ҃Hf;& 7ئ˴>eYjmz;O)tqylgީ(ElBTM\^1<lCA ia\I&I[?g=3\m#i̴ WRb+3ېk[Q?9 hw흝k;/Kb+=wK&#cd_ endstream endobj 1111 0 obj << /Annots [1112 0 R 1116 0 R] /BleedBox [0 0 612 792] /Contents [1117 0 R 1113 0 R 1114 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7804 1115 0 R >> >> /Type /Page >> endobj 1112 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1113 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1114 0 obj << /Length 18 >> stream q /Iabc7804 Do Q endstream endobj 1115 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7805 3256 0 R /Gabc7806 3269 0 R >> /Font << /Fabc7807 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n(2|:S֯޽\:H%_rPd2n~K$V-T0EH(.$c"Kso"ɕ jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB Wuţ}"juZՇjxpf Rӳ6{ik_KaRGcb>tD'W9F&[:WɅkEYΌl iTְ/d"ר`O6OaePDū2,|<30-s@,`K'o.Ŝ|B揗bj;i,'9 ).Q8ΡRNZu^lM9 endstream endobj 1116 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=114) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1117 0 obj << /Filter /FlateDecode /Length 3092 >> stream x[nF+jmz?A@Z ``Id3HSvf$[ Ⱦ,޺soiPBoSHZ|x"BcNm߅KF|NA0)02I"!Ӎ(;H&)Dž=>r &YɃib'G>mWShkA˓UoFyA}".~FO s0VV=i|N̿kx" 2gWH3fzֽ}sVg*w+)lL]0ޠGܡ2wßC8+UƸJŘ f'gџrcOƒVhwF34f:=Jgi4,Q# IDMy%l@g/Ҟ'ȮD!%R+=WWɌD85ȕ8 *(3 +, b]}fl3YVRõi/jH+h[*y&嗅GvmVX4S[Wk Icb \ 9UnY9%tT%ZXZy1'kY5ͬé),ծ]CU+hVw TXIkFg+*V(yo ۘ5,bӨldELfkxsy&gTd~2l4Lx&|?(坎8hzePgV>y<LOGJiKfoF\3EY](Xȍ :BS ۳TɎiMS $b.So3>^WwV}9}oW;\5+$fJ ssu,={yQE# E(fPitMhJ]ˀfais#؎pڟ F<ͺ0F}4nَɮ-˖B@@B{,Ê ))2,R kц흠G>vT^TU&!ij_,|KShG: d=ʇj6܎@y9:^-O%=v*y#p!~:WTFab͕5E 9}N\Ytp^ȂWΖ6CvM-hUt k ;!}uȗ+?$ c4k9?Xc.hy1&ϠÇ<[{ije zdT~ J*nfP߲~eoN"|uIuX!YmDzџ_{s+[Bۢ*;&uY}S7K\驾AyLmM~zLzB|ducזgp? /j]!g{=]lY1oay8YS}ڙGg]P嵕oR,*C>_L[ˮ-I+'n*AaS3*lMoIn e gX;w<䧋+j45`cUFVh6⋉8潠.df}Q5 endstream endobj 1118 0 obj << /Annots [1119 0 R 1123 0 R] /BleedBox [0 0 612 792] /Contents [1124 0 R 1120 0 R 1121 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7823 1122 0 R >> >> /Type /Page >> endobj 1119 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1120 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1121 0 obj << /Length 18 >> stream q /Iabc7823 Do Q endstream endobj 1122 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7824 3256 0 R /Gabc7825 3269 0 R >> /Font << /Fabc7826 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n(;w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1124 0 obj << /Filter /FlateDecode /Length 9464 >> stream x]K$mS@~vfv!9d0fؗ|GJ*գ߽_dzRER$5m2'|b?M flVL&c/Z~5Acrn;>ӟ:=?Q6TQ WzoIq.L'/no #@?Wi|?/Fx/x'#^DjhaN4=E %]am})1eք5-dccH _ﯓS[49?GK}'l/e6aWzz#/ 'LLs(%Ń; Zc5f;@-6ybq$JFKGVN<v95 ŭ&]r,n}@Few]?NT(&*4rD5;9Bab#- 1 )b`!4Ra*;:LhvBJ]b|pH.29EydZxֺ84+ߣ׭usA\%D@لLh),50h]iFudY@O@8,`s,$2N,`++r EFtbil1Ϫ_l`1F\x*F[Y~D.9?Tpʇ6anS; #X#)ǚ̼?sȍٛH6и>ix4ɱm- #92[g x4."m1-4Zheif0qo)x9)]c!ͻkTd:\lfh!+sfTN0Ţ#GczA5RH5Rk)YWWQg gUµ!? ^%HI /k/]"'I+)-ejrYHJ[-)Un5m|~-i焠L,JY2im!v]]1?LNH}-M`8& vŶ.Q{hfD`NuN3Dbl&v;4d;3_͂|We!#Oi)9 Y& 9&[٘YBKΞ.EN#; )φ&E D/1?B4o(C45l6P%xo9k,֩1Fb2&V`M0rܠ&\HSg=<5N\uH%Մ$z,7ݯ_DVm*HKQ{7 /:tU.oFEV)9Ld߇YkKi9J֖sTkqqrM7>77 -M.UYNmLjۮݿݑ\GQ"cq\:mlΓznKV(N d~D!<&):F EEvMj4Z]Ҝsk1҇눪d6+eukZ1 YZ͎DE%Mŏ$7ILSj5f"Ѩ WhWd{aok$?37Q--cT荪Z=lNi[|:R5uWߡh iOC/) 2| zyHѸe~9hs:x'^):Mі?c jZV";o~<˻W*눈ANĺGC^*t0t/dFIJ^PG˞3 Y@oW:trx&ŕ;V=a [t|EGֲ6hBv 87g"542ѦjbMuRT{\=OQVraFq#cn>CiC2IPnSt;$ cT"NX `N֘W >l>wHyZy_W0z }2;H8:PQe,616>B`se>z654pިaÁg{j&B%M m0n5%X6 P슷+;G5Eᩝ[q`tr} YRsPV(Dj,_~Ok^pW{J#똢vR,&j WnDdf 5suz<3<'-6 q~r*zo3I]5cYֻI0TD#/+cc`dzO%>uOn=f?`>D Εī$^Q^>[$gY2md ݕ R F'qպ%kGK IAbp}(b^92!P4},uC]1fCְA]5e]ky%[MglV_S@ (ptB#d~i( @"#_:C$Y7E=lE@4_ig6d nbdvxvso`LӌaZy>x )S.әmB27Mf# ׅQI㐹X@v:Ml*73=7G9 ίHc؀\U3TaFEgEǰ< 1([1.:;>j!i*dabMen t1"L牠7GԖ=< .[ryPf>:őqbg^wUгUWxEOLu{W( i܏˫ݑ:͎=Xέ~]8qJ층OŞFؽ pK^=\^4-$%ca }ZanA)b̬?BYeҡX#_s&y1y.a*jlj)š+Ԃ=2 n, wcܩyn1ذK1HM~E%,WkO&UXl|. b8VH5$0 K=Ӌà.u91Er89cOLU?W=:^k=!DG2-d܂O44{ݎ!]D#!B"(ؗÔrR3u[q#Bʊ[*D$o싵4ȏJ,6<6~>ǣ v{0~Z* u)=zPO6K~G`ӌ* %&hP"j}Z*ߑ}1:5Ȝ\Hq2!WS,c7V}h%vqp6|WƄ? I"Ofsj?rucϱS XHAah̵{##)Bv3ą§U>c!?6R#$%4į8ZyKocO Cx6<W!Ë"_"JgO..N2Z [6f^LD<##,A+3= '#֏13nNcnI aF#ׅ$(eE* ?ʵS{!(cҠjlnIzomqf0| /9DUtY`JO&vk-7oWG١:U^9e'-);q0錰YpᵣlIkJ[ڪb}j(Eyl6\rĆzt熀Rz}j}Vf 8-x1~-,˽N$Qw@ʌZx} U Ʋٺg(Yyc%Ԥdݱf;W(7ǜ:WAgV*vn>tʎ .*1U=ؕ!QBrM9!Q0}ZSpzNcڔXO|p5&D&j6/5Kr6ݱRAZng.ʉQOx1XƝ R=VơĊ\3_o a68$!Cҟx6L[z$L_9VYzgT}7Q 7O1kOEm!uCR%{\5fZܨ[Fxҁƌ/ y@q ^fӭ K権 NW9Gojf<w0>~65xz->"_{290=cX֑ XAM(ǭ.6J~S5p [otH &kԾ:[ۃ8?szIN-fi>4kFPH;GDh@Q|=zF{ZN}Ti l0W PZM? r3dGWru@xK8=J7g lT,<ξtW=}Z㌉2ќ@U~G 8J<K-Em90\Lcu!KoPa R t,ie|\?`^ALWg-p? :Ex뢉 l's IPrDH f R &Zs>NlbC=0d9Wp|gΈ-XM5秊T)WD9G#Ti`G 㤒+o[SAPÈENLSn[SrB~JR6!˨ -`X֯r;]kv̸Tg&Y3-^ShxP!>(fYƸ0Q;@x`hF>ꧭ/`$5 {zd7ďv{i;tV_&%q'Y(ϯmZa0l>3e øi1dA\bi o+- F 5-o̒ %∿Y~G'(M@1;6Ta endstream endobj 1125 0 obj << /Annots [1126 0 R 1130 0 R] /BleedBox [0 0 612 792] /Contents [1131 0 R 1127 0 R 1128 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7842 1129 0 R >> >> /Type /Page >> endobj 1126 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1127 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1128 0 obj << /Length 18 >> stream q /Iabc7842 Do Q endstream endobj 1129 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7843 3256 0 R /Gabc7844 3269 0 R >> /Font << /Fabc7845 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQu[/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/}F endstream endobj 1130 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=116) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1131 0 obj << /Filter /FlateDecode /Length 3816 >> stream x[n%W:@|?IW7, "Jc&sd7>4$3ݬ&b=>Rǹ AZqfcǟ.**q@^%j;`gKxox3m~s6Veb̅@}ۨFYL! &P`IAyf6b8A8hJ/US>ǟ0w=`_G 성M8ٯi!9b {pndC72gpschj?Ho+c4$efo/W.)zSQZbM3 -L iE9kyd-R/&LPdAPqe(U sP%Ubhp۳fרôBgFش E"5mЎ)͔3d \1>Ѩlos_xcK*s*9eM#ȂYذd䬲sa Vk^2'!+M@FģRN")N˲~+ͪB}x ZA.`4`)J Rvnn͊{LH@7 bVġ d@0Y!9 g 8' *lN@w" 9Ew.<06vnޔH%'tL#i/_w 57*ZVՇ3ZH'W)u+L*Qm,ԈX!}CJ[Ϣ=0S⢲d,R8UU씎RaPsbd!mH!Z,B380>IC :e :PŶElaEV`pΜ@HZa{HoADJchXaä3vI+,ǝL+Q D/ `Ýp{Q"Q΃2ԩC2ޒ*x# i)!CXJk@{!)>X8ۦg!k9yG}[tl 9+_t4o:NJH p8ٟWN/͕/Tl[R,sc һ= h|ӭq+bvtj!&N[hc\"K#C`$C^F5\Fd,VaI/ߌg]K.rq(Ԁٸ6^ unS)ʏ"$ XS͌H? 4kӊ)}h܈=s4a`_d&kuiݒ%z%=Fuxt,qkt LyszGfIzDe5a4nLwxG:{CɍL; Hjjjjv3O]R#{X38v\_DSu6p9]]zZi\n1%OX#0=!jBiSf-!? i؂B& ^?: MrE86a5:4\?9ҒjõJj?u1 gW9Ә3&(bR|igG󥣀Q @ɷ\*_,8QW;gD2-LM>mOg0A4L0PwʜJ%H7MHbcOx{`a3b|К/\6m|rd!|=tFss /z}77һWj]a+n+S7zr9c+KV>M>=cW[p*.#;ź {O&?BH4C .j`X(ߧY>#ݗ,u{9VrFU}+j_&C/o|7}ھz=Wvm-K[nBÕf6hERܚdmycXC!ruUe$hVWϓw?#xVٺc-κȬn7Ʃ8Ƕ#* ]_sWxp/qK)9E҂<%nr83׋>>Gx?e28`Iox}ͯ\2\u>+^6ײߜNq^J[C| {]%_6|ͭ~ka h430"sr,*6FAIKI;%.lzT+ׂW!!4bmLN%Z6&n[=Kkup.B3)!3:]W))/g[Y 1dzZY!7RKen vF@ 'oI3#RR[JT˵bI3 J&vި =Cye`HB4v*LzGci4[X!<x6V,;YsfL%29ٛ8>nj„ jjS$?n^C%t pWm65KMW@X(8š*KXz9VƞVK6tCx!;/mS[r4ߤaDNbGSQvṔkkmm c{=‚')pәCFMeӻQ?1G :c2f^k[q.LLٳjY3"Whq 3lG$ `/%7¤jYd0WH' #Gn&j˞YB`f4dБ#JSXN,PІ.H:ڂZYAHIص;}zK띾pzݗ zRfԾך~JWX#j0-$:IlsT\:/d,zgq^0ndA*.Y--Ek]NAט&XAk<m8>ݴ" @:>_dG0^BVj[S} :)ZaSyB$#ZX"+ݕRա|bjC`<‘u4fb9љZ讅< <W/ %u>E.szQCl ,M6V:g_ v=#w5U_oj4l*R@ jj/Ic6~/{(z=aۜ:&&z].;M+K)K1ow(ȭVok"cӶ+qO !+zNI^B@tn_v|nGt:؎Iz=O2yΒ~% $ׁUmEwrAu\7 endstream endobj 1132 0 obj << /Annots 1136 0 R /BleedBox [0 0 612 792] /Contents [1142 0 R 1138 0 R 1139 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7861 1140 0 R >> >> /Type /Page >> endobj 1133 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug899-vivado-io-c\ lock-planning&resourceid=ptg1581600633388.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111809-08'00') /Rect [360.226 357.75 377.188 368.75] /Subtype /Link /Type /Annot >> endobj 1134 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug899-vivado-io-clock-planning) >> /Border [0 0 0] /Contents (UG899) /M (D:20221102111809-08'00') /Rect [201.298 343.45 236.564 354.45] /Subtype /Link /Type /Annot >> endobj 1135 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1136 0 obj [1133 0 R 1134 0 R 1135 0 R 1137 0 R 1141 0 R] endobj 1137 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (IO_BANK) /M (D:20221102111814-08'00') /Rect [120.5742 554.3 167.6432 565.3] /Subtype /Link /Type /Annot >> endobj 1138 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1139 0 obj << /Length 18 >> stream q /Iabc7861 Do Q endstream endobj 1140 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7862 3256 0 R /Gabc7863 3269 0 R >> /Font << /Fabc7864 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1142 0 obj << /Filter /FlateDecode /Length 3165 >> stream xڵZK6Wg!9{ne~ŗHIn?A[R*zS '>DhZ:+f!/.`ۃR<)~ wEJ{S? n9k>A3өo|">N$_Sv=hqT_TOMtZ ʳE3b-)wn /$(eR㈟s8>h ӏ=ʘWAK4((HW`S> s穞r~A2eAKu)t |.yab}3!V2*<*Yvb"JiQQRŨ-%Gy^_by\ˤ4/F_خ³`d| cB-`Y»e?Y7U])?`]!7yrl, fV㋛J Fh[I&YͼYSZZL_Ӫ(k Jl RSz[ vXTXXR-lM2(M[5Of0+,LUճ} ,:R%gUǹ:,R7,⣨lV^/Ve4oz3U9_yGroEz6Y$6ƒέp!RzF؊"Jn`յ ֙,Ur]OdyT!Z\]x;{%2i vxi3}Uc1d0h=v\àFXje"a/nۚjVXC؀u sj='o 1_m[wo>HAN8R pxӬ C֤&]@Af`MvŘ7woC!;l>5\<-b\/f-[EV?-fjb(*k,F5`Inz;~"UQqﯷ}5YQ[[>CFC 0y Gkg+vU.Ǚz"*uy.O[3זSsq+F{7MC;f&R&E,J';v9VSnm#P/*qעvNGFq ^㼒 gTPZu;\cӪM>ęd-55ugsˡ/[9-z9wHTӒkFiD}:y<V MQǼaWs-yEIrTzMI.NŵFFzo`e֮uz^o+c".Fli-vW7]Nx/#8;"Tf jH AF}Wnm+n|b (~ZPW|6m!A+ŻSczTn/]н_iռ h؊׋R1ٽfΚe[pخOɤZ5M(ִܹ@s?rL6w~uZ =;瑪1)j>YWI/(!qT:Q_@I5 xzd[ǩYǑdPQmX4;9 = Qy;E,- ʉ#BX ,'s,绉ӠXRx0tt"N1iwGT>AȖ gCi}SrRrd@vFy7S5fۆP1@y;FkZ%O%*y#p!yTuc l;s& sf fAPR,Rf8>Y(hĚ%qy_!M( JJ3?$ K4%sʑ 9?Xm7@-Qe& Ϡ‡=f'/A-5{,VTPU e0Ǹv"';v&:o_Pմp\Xꗚ&:nי|?QsijWK-\!W{)LC*'[2.K1ƟTzcЗ*ϿL7T|. gFa%o;˲GOhXϗ7` o|}#B=R] Gt!?4wݰ;FMXӚ{,r窩i^F"r'.zk}ZMw<2\)4ucbmU򅮖rmKl)n;~h(=^ endstream endobj 1143 0 obj << /Annots [1144 0 R 1148 0 R] /BleedBox [0 0 612 792] /Contents [1149 0 R 1145 0 R 1146 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7880 1147 0 R >> >> /Type /Page >> endobj 1144 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1145 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1146 0 obj << /Length 18 >> stream q /Iabc7880 Do Q endstream endobj 1147 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7881 3256 0 R /Gabc7882 3269 0 R >> /Font << /Fabc7883 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n( w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1149 0 obj << /Filter /FlateDecode /Length 7688 >> stream x]M$qW@ 6 @y#K ǀt{A&YU]]գYgbFf2cD0$MfO*vuZhf ~ʆ$-g?3UÜHٛ?>hm a:X;Wim"p|}#9j$Y:d??Ll3XHK?wY)SO@ x'V?Ό8Bl]+pkvgsbF} _cK)u0F.ev.)<EN_'7An}✋ h9 DJ%F I[J%I. 0_??>˗Mc?A|!)턊Ş3O~/vK@0_%Z5qw@\2Ka1 `Q"Z֥WZv|IzI2GcT|4E(.e4 ؉_?-!A$ڠ,:S$j =:9q g8`gzQA vF|,7G?Nβ:Ht3(ɯH&HT(Jk@F@hRB*-sS85lhVB29::~ǗJiФXB c+ (y"Q`?bQ-[D,0"uYrj4MLFJRگZLa^[& L٢Y64/|5}~h(Vl01!S`*T$D;A[ b +KnbmDtu?bĨ;3#F1F~j!1ItlVV8lђ K\J+ qZb) _8Eͨ"U1-03X-TF󶘪Oh&PFR_bO"^KkNMDEM3*gi۫k7Z zGo]a  =:7; 0&[F!MoQH6BR7 I(^.($uBTHۗSd9nU䠍>QL,+{) Bf#jY^&/m3`VyI zSRl41z%$z?I;:#irJa|V̓cGFhWZ]/iVE4 I0h>I9S]MWC#F(MxӨe`aoNU067=cױZ g4o$X}jjyaκ@:ztt&RwzԬOzhY?FCW?S7hrh&#:X zb 1%Gz%.`9JGݾM09ȡ3|.o=rd(7]Iς&{#>^-'38eJ&ie 6I8cg,FBZSMmaD8F>o4'%Zze3>'& (ogj6yg!CNgN al|U7!JdM]6bPM7TX2Si]rJq))y\]@?K̟Fpn0r.]pEAL )Ql!MJ.lSo8{ڱ{BWٹjad+k&Ww%]FNS\Vs5ouXa۞cFEIEei}IxpUtv(ZքzրLSX 0hFKFY %UCuil`"b*6vEA^ y6J/0jױbS1̼CsR u=A/:xzQ= MRA?Q1 [S!%L:y(T:6ԮM/\ N_u7MIʛ­M>e^amMwOaA/E#/l9 ExפIV&\60$Uc0}K,8ƴf { P#^^sգ &0db?&>ruVlu@7dd/Yש;-z36 P/S9V[ )R kix+q-2wWLu4QSp,iy{Ʌ:Y]#@#W0n´xX`o\0>A4$=OHp'-5=ĆU ^a(s.ֹiZ:v`x\1>꿞|*D\՟> ]K칲'k Fׯj3׺uqj `66펛#a_b\(2rq[\:I$eDڸ(+7Yɵʔ,~uHp~3VQ(CvMELү"}7,BLpPو|^;h؝)} Ҍ{R<]|O*90fHOw{|[ueȭx3 c~]~ CtAUP|Rd0H>[BpK\a8]IA$`QeVPPne}㒢sa6,)[glW 1M}l qԤGɛtsY+oC(مLڋ(U~kTfaM!AmE3݈ ^`ZL/aĠhM8ζg.1UoJ3b4u><_$:,)FyΰWݯ)ww !he`͵x/J | "0pYgujCe݁# Z[FS 09}>=w,I)/nav84l9|+;{RbЀ;[b^w4 VlܝaM=ܰ3;L bmԝt̜e#!c U{ߤIJ ,oIg~ЉEvV JԲ4ƫ^lj.AA >0؄+P%L@𽅆ʄP (ˎr/Bj\rPo^fiswqdL;:Na,ՆP?-xMUji{Y>ˇ Yq)u"@ ~#3#:Ѯ]<Ò꽼>!fhjq9}?T* T~-;xV}al)qNAAє)u}Zj]˜̌e"6ﺦW[TD=E/pr_#>"<,g}rB 38C~9`)2a!_4gclhJS31H?"?m ܉1Z񶍄LuDh$qV0dP I0KR!Fڡ9$4֘$y-qcZ}r}ڧzԚ I˾cȷ,J9fԕT8Fu2\X|e. 8T+!}gxk9BK82%/9ˊa%J_m-f}\y*JNи&wm9\nK0vިkJX Yelke-3f,"+*!LJt#mY)dTu0usD[<>}ėVeqH4TM`wY靠bSZ7[jC5.GC)OZbO6Mt0l5Jܾ|XS6wN1a6Ƕ+9 X.gν4sbP+56 N̡RۯT'$^hhb(C&* rs;t :2v?-(K8UQ(ѢIЩtN}սtR_u?m?O,{w\̩kGm0󸛯:'ce0ʕ>9dh=LBp!kHW$~ װQ :M=lSmZb^Mu_d:!P7dB`9@/2IhkDZdX/˸5O TYF # 猾6jiFU[qhAi:KȂm7E'2H ] gH/ȢW/{JEף[s]&uwԭ^fK ~˿~m⦡d道eȗtA+o.y@'F3Kᱵ2FhrOiUU{=bD݈KەIޮ$B $,݊<42$4Ʌiʭ>9/ʢq)Z62{? endstream endobj 1150 0 obj << /Annots 1152 0 R /BleedBox [0 0 612 792] /Contents [1158 0 R 1154 0 R 1155 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7899 1156 0 R >> >> /Type /Page >> endobj 1151 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1152 0 obj [1151 0 R 1153 0 R 1157 0 R] endobj 1153 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (PKGPIN_BYTEGROUP ) /M (D:20221102111814-08'00') /Rect [439.844 441.15 549.184 452.15] /Subtype /Link /Type /Annot >> endobj 1154 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1155 0 obj << /Length 18 >> stream q /Iabc7899 Do Q endstream endobj 1156 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7900 3256 0 R /Gabc7901 3269 0 R >> /Font << /Fabc7902 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLOۏ}bH&}%l)l,Q.d|<30-s@YM \0 `NF|B揗^|JʄivP(y _|۾{w  endstream endobj 1157 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=119) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1158 0 obj << /Filter /FlateDecode /Length 2384 >> stream xڵYK#Gʙohd0`eO0sٿߗzHƳF"?k ~;R4ْd;;m~,uq2T3嘜ϟ@qƄAp3O9տ:oK1*;{] ^U;7% B4jύ)RN}g>C/S\`Eǽs#$[p; E`^O6S}Z_~GDi| QN"46TJ1C$C"ght ҞGcmXx26O+Umw1ÀS89VOI<oksZ;/}Lq^w:_jf5*N_ƈ$snr{lXuz;I8.)ʕj?/:5?ڪr;Gn$LI5{V-H t[.5 qU qe i]pЃC]Q>WX;)B߂+:00@|nPNSxjĊ@e2 ')m$/fzUH+Zy\:$e}g'{j8uK zw1]s{$ KNqVo#f;<ϮQ1:ϣVVkmif6qg_t0~;3ձGb@Ē RyV.YlVߕ5_FX`I=_pK/X{D{SK'_p&jvR%SdCꎽO:XM80p&dA&29f^v%"H'="@馄h{fW&Vj2*QP?V./Y@W}huA|~l$cYU>-^syB:+aH8uL mg0l7 o$^!k@gږEDR-$[Ē/K r(_dQ$R;:}]z[ӼB4uKZc .rkj\8C]ެ=NʮHb2Zf5ҵ0}[db-'_Lp_~ji$.W~#M<+PqF)ӟO*v#?Ω4~/}ΩJұ^<6zAAoJo~'=dO'ŗ|ǝWwpoҽgV !3S~Ċ^xO-)}ĭkqLG[i]&?~yQǂ+NtQ]{fV|?\푕>)nbscmeɶ̬0g endstream endobj 1159 0 obj << /Annots [1160 0 R 1164 0 R] /BleedBox [0 0 612 792] /Contents [1165 0 R 1161 0 R 1162 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7918 1163 0 R >> >> /Type /Page >> endobj 1160 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1161 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1162 0 obj << /Length 18 >> stream q /Iabc7918 Do Q endstream endobj 1163 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7919 3256 0 R /Gabc7920 3269 0 R >> /Font << /Fabc7921 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=?dQHL_%ee8>϶Fvf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM& endstream endobj 1164 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=120) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1165 0 obj << /Filter /FlateDecode /Length 7851 >> stream x]M丑Wl e~0˶= ؗ^LeVfVδw()$QT1>`pd&IN_!IU=8ML?e}YkPcrnpW!KǼszOOǿ5~yn:00P-xOx;06(9jH'|x3X+6>?GGf_JISШjXNmK&<'66xEp|%eBHV7@Eq;s4B~yڵgSg',B*gځڠaW\?|C{I^ ($m` `=P*%>տf_*us7.^ Ҽ?uQUQB;@<@sho甁)%@\*Kd54u2S@)_Њw)RPZL bسaLV,x+b 'V{JHk\4Gt1d .XK6\ |Ha3^nIrW"]'z~Pk琚g(J16 upvK `9Zpe4%d*FK2$urNoCQw-J^)l<wޱTOI7K1ÿ Za%~J*.Y euԚ !+s3|X}`t 6a:% J̋Jj+v V-@rL}<6՝؜bSݍ6}؜cS݅Ml{9miHTKGH7'3v>ZNFeRo QwDY(*6eӮp+4liz<V(}&C{Ib`vQqrt5ojM z~$P{SP|W\ߕVTH7fqFZݨ[0<ѭ&unUɯtA~ݠ[-ݪ.˯;uLj*<?^OtlA垎8QR:f) ~yDK9QzžwZʌURU\ x8.1T HDDSh]83-EָՋ> &CAAY XV+h[_Pb%W-0ԙΙh;@NWC/_X4^`-?Nc\"oOqM8ԩ>ДE 䠾O._=Пic?X{yo8Sl@FUnq\Kk* #[X^KX; ,O!1\Rtc(M91l$c;y0CcjGt«o^7s.!|HiyVZq (=dgWxN R!Q?M};yW t-!q*x֮Д͑!O᠃ ej#Sb8'8G`>?''mght?ܭIImgI5?T9m VS(#Pq%<[뮔86`5s֭e-v8ǫ8| @7UV#w \ }@qb{mݵuʋ[,[U-(k[2iD S(Gah/LjQJQGj4c}Yc;WI+iA8b>9ZB?,qw R5ip< 9rod֣`t~Ի=̦e%ߤx\gpbfDs2- flNk{3#'WfkeHf~oEXa;ج%vEt]tF^[[ބ h"t-V08'r:ǵ ¥շx5\2`P ^˙H{ F2kP{ ?"Ɨ(`P.hm0s0RZ6r+9-]Zvj9\lhSK &fMqj÷vVԹ0*@{o$<*qr?A*ϸ|^\#j a&l/KAR 舘q6me`-zJ;a:TɆ9uާ|u 'kZv`ar!ht1M Ww0pMʠc?@Kŗ| bcHy w]a /0e_^9M4xpk\8)z4QXՃ"zg 1&_'b{lP ei3u쯲(.K-{8h a-]|oA H1#_/E"pȻMxUeNp㲼M5"-NGJ iuF_S;7#ȒssZwP+ \6_Li54[Ux"|ۛ|UU'oNйqj$_Wtʲ<$#iFJ Lc|{<V74y ":8zBCd ~ Fq|u੮:bbGܜ8A-LeJSˉqWi>Hk¥=הA#i߱효ES<>0?zaFD=n k{Ǯ!P{ӠPe,qP5Tu^Iqjء^m8ҠwT7!F/g Du&O@O8]gU7dC 3 3ZAE( ܲO.ăKL" 13'Jssy ЦcF +@30 co,2gpP/AIqy 4vh_Sw lgru>!A<ufCy[XK`;$i|Oyu<ɵc[vT5$^ڟ'ItᛝQoS:G\RwC 6#|33QX1;pCFhμ#wxKNEޛ/ B2cZ1SM.;IDJ.DmJ1lIeN%d@*JF58:8i]}"J mGꀯP-:N!6EN,G$z ar87cSKC-y0kUb9.C^y.S8go-}U*|]3A:8f6)-1`r b2#fKv/92k P^3$= IEf ݗ>82{I wUdSN;-_;], h <~i1>ay;F~w4Lnjzja1|lu{S*/o2]VH8k=O1{+thGD5řR~T/J"v"3,6Gjt0׉l7(yfXu 7×_&L@~)&6:+_ת \NJtdIBB@] +%dZwqObWf R=z! T bi8צfGtBf96 ޲BZ`̰A(:꾦ڭB4HU ѶAJ4$+Yg&%'k|Q%s{|fYInQE.wUpc1tnۥN Ţ Eg26afϣLKZ(4U5X8 1@g:z_h֪-g_Y{l\I^,ZB3[f%cu\jۓ390X46wuAc2V%YR~fjp̫͆̽kp͚&TTMD:E^TSTMF:B`/Bw%P}OS!6l@r0L us*u)sy 9rO|{q|mО}sgnTuy˳_7W ڌ6LuO˝^!F`:dep*%muXVTTwILŚi|miUu'@~XB6$ZXAF[FBsc4U?B4h>$LC ]CacI'Ce$AƁbR5:~'V待f-F @khZI!w|m(o`A%7}g['RvsՕ嚒^Npl}u ۸նqSwV b Y->v0kAZ&KU]9X4\uIO\o@%ܖt17 5WyM/%+G]*n2K8ɈP 2w8;e#@ԅQ Cm"I(d@X8;[醝8nl+p+o1F33n[/COߞ0u6 R28Z^ᨪWC -ƶ͌v Yj`UǾ;oY,İ-%w=pk k+k;Eewb{np@sEU觪1ekeze _\H_8_茙wr6\{DMk-۸saKZ-4QnQViy4k]@fIY^ŧ'Hv5OSn->bK6NWƔzcIy-,TCJɷ^&nZ^M-n8]-K#OSXnҩ6:Aᨄm úQN!eq)U/gw 䄧|p g_-\ʍ}2\KN[rWiؓb#m׸ /F@eMORf)_j=! dCwZ|bq@* 1Fk@8v8n6^𺰇-]loC*{|ye}KԍY' qgx\VlnKBv 8II/[[rɮBԞȦMͭXR>;ƜE1XʳXLEI'a8$6\}pH~\~|+U\k*n[2i-nBLy\or=zMx~GAMe*b_TkkuY\s_*7Fcy3Y:YT;BuDyxrOQFbT#y6`..:#ޏ&Ob'y@bcfQ%p Eߦ1WZD 2aᚹ[' ۛݾF \[0A09,2 fwU0w +$E@V~X@/UrYɛVE_Z%D/S߆31uj̢V^ f'auM xǝ!Sޠ` }U ^xhk f83/i{vrQuAf7'ۺR|T` Xn޴!w}Z 0*|S略VobNP 0Ph㛷.ԧ-vg[H={ئ{:S$d*jG@N E7'Lf`ctFCcdqF>4K*R.- K %7dke`~VC N#GC0Q2,AqvNR;2v,@-( GcM( Y It:'i{{w^NW?n?wT-gPNV~im\cKUZfԕChu X|h)(mS7cM}d܍ O{]a Qe3ʥ`W&HANn\bD2냞(Pi%K 9r5lhlfuaB_@[VepWo. FJZKQSa*rN;Sx0k:HH. Hp@2WMF6Z-Gğf:ajmQ:D/G:KtZ TlavL_'4k jZFGRF!S,)'˃ ήՕ's9.AIjgsy[Ѷբ_u7 ״=69:Kg 'h駸2 |Luǒ-e/ Vyu@ϲ(VKz%<3Ue)zikt%:/"ΆW;Г;?1?_ysO'bϯFbOy/}A2H.'C+ǽ'ZyxqxN tCn+§cetxn-\ne5!a-2䖶+͓<]?@ -St+:Xа’x$'!+s~beѧMǡhY: endstream endobj 1166 0 obj << /Annots [1167 0 R 1171 0 R] /BleedBox [0 0 612 792] /Contents [1172 0 R 1168 0 R 1169 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7937 1170 0 R >> >> /Type /Page >> endobj 1167 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1168 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1169 0 obj << /Length 18 >> stream q /Iabc7937 Do Q endstream endobj 1170 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7938 3256 0 R /Gabc7939 3269 0 R >> /Font << /Fabc7940 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7dOջMp)\Br!9h7r KF q$bE0)D,1g$a$SO"ѕL jxyL q-\Lr2dv"`(ξxaH&E d_ )2̼d9 Ԝ F0p|sLѨ\u$sx2W4/s(RevPBN endstream endobj 1171 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=121) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1172 0 obj << /Filter /FlateDecode /Length 2649 >> stream xZK$7Wl\E CAWWׂa^7iccz 3EHWUT3v$H)jK \~ll }͜.OV&uSI{ ޹cO>ܱ^}Uq8*.@}rmߕ.R_l84 ҥdo֧>N3qzST,zM磡Ա> L2tcom!yBC)5'w>++òIi bO-z.Au9EdHNG#8CC  CIC@^0"+!C2M~* jL\pLL,_@Om~fA'QbɑQp!sz祭`ozy?hu>-yz._'&/&>?5l4/Ԋ9t8J&\Y!:\kV9p2 6ƌL {bY 8zDb;6(S\Rbk!p f(ΣQ꺴2db yyy`~rS-XQ_Tݲ?_וZq ZZuΣn;zRj藺RMڅ,K_4"8^LR1kgDюĎh"5s>"wi8g$&QkxhXK5 SSɰmjimG%x;IP%SxZņ(tr>I`}abN̕]7t)饳~^E5a8pF*<ޭ}([&̻h^Ubt|^ؿ]nQтwb_lľ)w>!ڙ`^U7$ci‡@lfVؐͷF t% gQk]EF@xWvQx5]W1X]cدΆvE6b[/1*/??jjbHTɕlhs(BȑLD\Gd(ިegLz0O aˌ'*:*=vi*΁2CҎ~Bmp[ )xM4~|)"%qr,li%2`PސeP ȡvW'G|$S#jK[T ='77` z7-!C-ٸ+`s- we9}9f\jDY05[Zʣa7"f!kH *zN.eYNUB`Y#ZņZ?E/6Rڔ Fc /d힞*s8+"{-[f G>CEϦ%IK0.j(D#?^۴k(8:Bx<* @q0hFg e6r lq F6EkTTP OzuJBAb͠U~ ~kok_{ůyߟџTO w~JnP¡\8?@^,4HiY Z\>-@<"w'' !PrO  IEDc5Tib2SrF>'4! 2oGu g i)K@9HD @?nf'ƒk!`a ] f>Jz * %ˈH$1Ǟi2C rDI A(YA@R|\k7a&HsLdт<u*@';8¶38n-#eYvbhe,ӆ-o˴\"hĚJy_!( Z𗬙t^nҳE`V? Q=LV^Z$3xb%w*\W=M[,1n-!;4`Ұ'igS|ףr-(Օh]zEΑB;5h ZGKݾ# #ߧ>_Qņڿ=n(їNtGvnh{ u7de7߾Ӄ'> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7956 1178 0 R >> >> /Type /Page >> endobj 1174 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111809-08'00') /Rect [92.937 189.0664 128.203 200.0664] /Subtype /Link /Type /Annot >> endobj 1175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1176 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1177 0 obj << /Length 18 >> stream q /Iabc7956 Do Q endstream endobj 1178 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7957 3256 0 R /Gabc7958 3269 0 R >> /Font << /Fabc7959 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n(>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N tweBC (cμs_|۾{wm endstream endobj 1179 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=122) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1180 0 obj << /Filter /FlateDecode /Length 9747 >> stream x]K9rWy i {0|q@c`EL棪Zz|1xGp}2'bߦglХ?G=~'c/_n:.;mMrnEk>j2dt&]a>A/Wx՗,KrzzdH/Azq]{gu3.ȳeu*zvф&=hܜ}qoBMO/Կ3~¬:0}ű%Û=:dǎRW.ur:)@|:ODxLP5e4e87utDOwD?ӀN&`iJ,;rW'C(YUM9%<9XCЉQW.)9bv.31Z|r5b^iٚѕ h\τ3PP'r"}~yj*.ݶt6#l6 c Lp̘`dK! 4%/Wp.wI.#(:SX̫,ʳznJjilb"XG3D-U 7` LyD.U.ΎiN=tqm3v/Q.o_&5\ha6nPBEtC%riܷXĩĹĐYب#Z4.mR@kzК:1{~"hYf~ Vf6!@zنZ%b,Xcsam;_[>h-׍s6LYÆe@'8?D:cf$:S<҈%b/neu ~ Dz$04,b;.sřEh-􆜢$'eİmx3}9ׅO8uO|WČAXj]ƛl<^ugZj9I ɶ !J~ yk>8VF{2j0Vg-sZj$u,c*m,7?W4SDx fR{7Jre@}4Cf[)s'Xb͟4C.f|f"'"UD0^Zk<)"O *I0x$.*Zɓ/u1&sX{5TV;uo)R,SOȬxB+ H 4 S0mLҩA(=4-pUCJnaF4mg~uѓsE,Jh)&G+lE,$Lv:~R;u>ֿMbS)Ҫ'Or/-,2^c WpQok og 4[#F@Hšw(AK;-Yus0~#a!vk䵱p|:IBb-)7U;nPoG?[5M"^7۠15F:Ӻ.EXm24VEX+h|=HjT*a(p\,D2~ ޘk5 \*[XS`lh0ֱYCU|7 )? ~9nb zz |F,7;]2{ riYDXj-L˱x ~{NE@w x;Z LEHBa#SBk2 Hzj[\YY݇ u `t> W%1veBH#%8 p8hFA.9#<0"yDn9/WÕbÁ7d Б/@`h6ƒnֱ3G*r+d ѐ7Imdw :2SxEXEMt$[B[ek1Vb8Ҏ[W50^"KoHhJDFɅ8n{|"yj9cL늦roVa`ߌ>, d $DW_S?UV8iDxo|} #bGm*?}jqR 'q.j'H]5"4Ljj$t"$z;Uo覯%eꆙ;7) akwc;8߈^s>3Xm|;谥+C+6#bh*ذ:VAsݐ_bc!ԎG 5#ENE8+`ˀj7}4-Cv#\$IDՕpF #dcX|XdaƧ; qoYXG:Cz`LxUt{^HM̌y=?O_zF,B+! "zˆKI}Il0'%p"E_M,TIc9TX(L.δ''-u4ԁHHc8  ,\c7dT\X~\VUD(|A{^ ݁SN%\E4祉~O¶W;̒NB$MV{@QX^HOgۦicZ".`PIe͋d̦DZ4\8{xV5(cP"uΈ-̜gqZSX['9.!zUS;oRC#՜SHK>ܕĂ"|c:.&x4薐'5=k2$>][=6)[e u:Gw̴IxgNjpl8^v,Of)ڐ%2'd $8xQhnIjkhqՌ'youacKF,Cϝ,5VA[r RX77F)yZ09FƓj2p38ZKN"JH7JT)A*wqK-'A4u kv*4 PGw&¾M+o{.X+ר zE߉Y,dO83SgRߙe[rƞ>jܗ?b)$Ѝ%*&.`߰[;K 1.طp18~>CI;}Jq65jfFRόȡGejʟdHwTDZ{~]UUA=3|Cr+luȰYߴvPr ɜQ,h`ۻ8$2&1JUlBDDFcsOBpU}Զv pkuURM߻"cH>xA{!{7נRcv;[ ە@x?^0]YRp4'2>ӫw0U0cBlyь>vxjbC1!GFp50K( ݒuFr\̋P:Q͵ e~CEuXpwYLjHZWLbZ^;\I$V`8(pj]FLq œ㷏ni=pD]Nj'Kc5A}bO  R8O;vDh8(A<؏Ԇ+ ϫZ6jĴ#jrP&9H)Hƺ6kXE$k?K-n%2K)pQD)vШ{Tp(xǚh'la"A$e铰QK cĵ19&z 0H<* E QF4 +gvj>AKp[8P[Y<9ŀE$9:.CݾQւ^Z$+lEzPqN2F,|]߁)<ȞRjبsOY2_DGh湵}9ZO})Bi 숨!In_(-:3ח0l+axZNI-[|ġL\ `e-7ظګy핖PeuK,N4D%jk'}\9S*{KMi1+iXNN+pʣRLY,;jphK8u`mP{.|.}e&MDSKMy2I F=Wxt!Qrj9l)6F)"0-}?}3.¼4-BVvBҥzWM8KBɾ =@¶D"2DJ-)m?#ǩ\Z'!й F6G_S7>NPMXyePߤ_v)87?=$S#ÉDߋ:r8FIv&e}| 35egpW|gṛ !Zqzm$C^]>.~mBI1ڴta*u>w;KW_&,9]{|}]\*>&M% .<#Oۇm@yJ+Q5@3$mP "|X}%pTo:+>?LY1xHEՏ1Q[t{xG /Eڵ/jP$ӟPi atdq+؎ZXW-=̞pڼxOk^ˏu vIEy\$)T /;./"AeTD PԯY?|[ AQ~Ÿfضh7 iTxiyزJH8Ã0u+0r\~:r|!i mT58װ,_?Wұv(l%4'z#,>3='5?$} }}ܰ7doL{mv5c898`: ^? ,IZ륱eF ~nږO#))̓rX]hҀ!_t}'+oLyQ%Ձ1ukHvvd07ã4uQq;{j |ecG f /A`:☠Wy|F:K#A4䴐:~'?$LPyEw*@Zz_ 8>f#5,}֏s]k]+$9 Ұӧ&.ߊ7@^a#ʤRr~Z"\I >HIё%~U- F"`v3v \]6&]Saeh~e8S6{#?!i˺dH:IP,ݔRkX؊a l2gG-0֭Zά4)d, (@'?~v,Mt;Y9$P)iS5OrJi_?mjl-\k?s10 1/8|L\xm5"JCiFek9Al7Q>YTfngwder8{լvB[4@HxJK M݀wg(= ʶ35ZW&:.v[Q~DU2i#[շzbꠏQozWmqz"GύfGsCܝV10D* 쀘 ͆p I](5KK7_尓j1$ > f#{z3/UC8ʟUr9Ycj靄^߼^Čj.k׬R%Zeb[X9j\'&G ,";+4)~tDK[~;ojMô< ^x>6]_۶3Ir>hBl &'e_% w&txNuʜ2G.9^KTծ_`w?{w:^uNUg5G2$R/~vrܑcSWȍ%i cL^Lcu!HPăP(N(Bi+@]Z1@+P?t#U#Z~l>8$v@jGnyluDPNi8Ԫ#E-{@@Zmxס bI*Zqꤊ* H^DBCc\y.An) REu誣(trTHȲ;u e"Ζ%eSDzͪj\%x3p -ZaWإף,U&Z3K$BD :;acܶLt󍡻&ugd9tyt.&e_وu=zJ.zG/&dU( vy~LU[8 WDbcu`ʼnBu U#Yn[ C}C?D=IxF+1za} y]#]q]e׹VVӣnn\DG{=*?>_kq gO3q}mץ5;]HP cT>>dzi% ˯[MOSIRanL Zd~[#K\mJB#>0r\qO2=kD@GP endstream endobj 1181 0 obj << /Annots [1182 0 R 1186 0 R] /BleedBox [0 0 612 792] /Contents [1187 0 R 1183 0 R 1184 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7975 1185 0 R >> >> /Type /Page >> endobj 1182 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1183 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1184 0 obj << /Length 18 >> stream q /Iabc7975 Do Q endstream endobj 1185 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7976 3256 0 R /Gabc7977 3269 0 R >> /Font << /Fabc7978 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠ w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQ-~C2k,`O6OaePDt / CSi ܟBjdԜO\0s2*WLj$sx2W@wP&O;2FKh8ݛtp endstream endobj 1186 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=123) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1187 0 obj << /Filter /FlateDecode /Length 3074 >> stream x[KܸW@k c`6`AN؆a/XnuO坁 DzlI}SFio蔲U↑J3*x5"i߫_ǠQ.Z;ettP_տimV 8ǣ)l&kqZqjp來GDJ_jGtKö] wC,3yfrix C,0)q`۹v@]Crt*]&epҰh@ ̰ԎT{vR6:Obmտojaf=L{d; ̜"IA &$uiN IFۊ1څNcٓ.F =65~ {b\borZڻu8O$lUTCyI8r6>C5& -% q֮j=3.S6V]T>GP|(]2p:1qYlqw}S>:E*>+5Q}kTzs9z(/Elc=@#=r-mHwmdCz1j7PD'$ 6)c0xa8!PMH&?m'Z *\1nz=fW`i%Z6{2ٽb52kLJ4MsqvT\Kyx ;q#QW *M"> e0~@/mof@2v Rh =}NAANOASλTf[R-$-Jvd$IJ#Y*xK} v#OG nS D fu4%FUt#ϳЬ~]](Z~|)4J*pwHY28/]8%#ĺy~7*Xwq`b㝚~Y} sҚXy%ܸܲ^^Ɇvm|G1owMjS^|nބ%h˻ߘ|N9)^E9W+{N}6zH]ZmcOt>{ބ8ij`pEmbK*k18P9RwuUKdd z}DɄ3urig&&X[hbr 6^18AA>u 0 lg$1]}7Y Z"HuTVhmP/oY7-twݹnƾ,6_sP]XS|i&s+4prjI&؝`HHt76rAn[EDDh,wcD֋(냕 nJCR"(A-T/\(0E%G]NTPnIMȂh7.!حe1QBPFH3pB>.d\&MHA",lmZbKC ʐJ(Y lv=- t4Gyߒ8Hm"@ :dOy! p!~Z+*: ҶhÐ+[B5KMϥ>LdEWi5A%#/+ޒZ(kL3&Bڌ DZKd\)c|m93\ sG ZD9fڣpL%$ FC-1 -fqWSJdە (Ao 4ރu =_ե|MC$CurU i`q¬~>b5DoƌʛOL g)A J=[u ;lj4~ڤVp‰+VtwZvYlwoJox P?dD=:,YLx` endstream endobj 1188 0 obj << /Annots [1189 0 R 1193 0 R] /BleedBox [0 0 612 792] /Contents [1194 0 R 1190 0 R 1191 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7994 1192 0 R >> >> /Type /Page >> endobj 1189 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1190 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1191 0 obj << /Length 18 >> stream q /Iabc7994 Do Q endstream endobj 1192 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7995 3256 0 R /Gabc7996 3269 0 R >> /Font << /Fabc7997 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݠf>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XL귆G>x|1$ɾ d_ EA2T>eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽?z endstream endobj 1193 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=124) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1194 0 obj << /Filter /FlateDecode /Length 3028 >> stream xZɎF+,@-M`[Ɯ4# FˀuߟdRXjE&sybKJ;O*VZQlj~Ow"RN}zJp~~ &ͻe1WTS)7Zj6a:wݜn}׫F/^nOk=1kLq REHKjC;lϣzng{#.w&t^Kqtmx/ї뷓x}m!-QF(qdw$FSebs5QQ-tE יQ6A(a~2٬1Yo6~;ebΤO5Glht,IAY-D 4*Q-U$P1GitN.Cl sZ;fbUZ\ƈ7މlC3 W qQ Tq#BA'i^=6ȜյTۺ!B+7~E,qF bxA#woXp.ӓdH^7סz9@ĕϝgdEq n!3suU,c딼 b]{Ucso`sMǚDW"fq&(N pNqo|P(:,IyI.PsUsFys1r4ݤ8-v:7A"jѣ7nᔩ#U/tUU nlWaBO f$d/B![l4r-Xz:VgcBU ^uDMhZ/1ʟݶ7Ẽֵ<Ƅ Ss[hmZQ%dm~, {Ħ/fos[ȧa6-giAY_츁\s r}R-krDžYkDvcqVܐAlաD)-EĄ\ .IYn f'2t [좰Pْ{ۈ%1LΤ7t'  nܚZ+cH2qޚ nMXN4,z-[]lE[}D3:ϸPϷ4zW{gۛʦʸ(!8㦘P栐7G٪];oË g&ԯG$]V~]@ؐ\tʹ10 __ ']b4ɇ)nbtNr"?gY$mާ` /M9aTddOB`. Lz5YLpFj,iշJ3IMx#H7͵7ϓ`CCaGTM7@nch}~Dy`/TaE0 5T^Y9 l"f_e2k4cC[톝6q UW{5Œz;Aq{ɻ;íl"_eYـK&2V4s/*4%1pC?  <_77r-hQg8lYlv YBV@{^[m@zڐәz6$cET,Y-{'cfLg%E!돖mP;kK%r}e" z._Z 4 Aq<&ﻣ\ kʴ0[  :IL~I;ɹ>F|Eއu= ;+k^뺾{zMVjUm+=X,O'E0|{AyN <>\~Jkeԏαt' Ҡ~&EO'% (Nѹ|x/-54c߱4\EEߒ <+*鮈$:o iu3YrXپo" "#~0¬g?,[":x|J&/y endstream endobj 1195 0 obj << /Annots [1196 0 R 1197 0 R 1198 0 R 1202 0 R] /BleedBox [0 0 612 792] /Contents [1203 0 R 1199 0 R 1200 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8013 1201 0 R >> >> /Type /Page >> endobj 1196 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation/user_guides/ug474_7Series_CLB.pdf) >> /Border [0 0 0] /Contents (UG474) /M (D:20221102111809-08'00') /Rect [491.3185 181.7601 526.5845 192.7601] /Subtype /Link /Type /Annot >> endobj 1197 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug574-ultrascale-clb.pdf) >> /Border [0 0 0] /Contents (UG574) /M (D:20221102111809-08'00') /Rect [367.9315 167.4601 403.1975 178.4601] /Subtype /Link /Type /Annot >> endobj 1198 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1199 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1200 0 obj << /Length 18 >> stream q /Iabc8013 Do Q endstream endobj 1201 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8014 3256 0 R /Gabc8015 3269 0 R >> /Font << /Fabc8016 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n(>)W^.P/ Jcȅd2n~A1H[&!#((`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R̃sV7Ө&#x?$_cxy /K"r!/dy%JivP(x Ph'-:A/} v endstream endobj 1202 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=125) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1203 0 obj << /Filter /FlateDecode /Length 9768 >> stream x]I$9nWyѾtUuq7xT))K 3H(>Iӿ>RS))4N'c_=7ɹߧxI/N6Oߴ$G|sOWxկHR~f.~,54@C|77j +:;OӬv:匫Vғy4r)LGO6_K ٚ`JPrE ;'{C,}}SSM6l) A*38PWlE4j3~1 sD.@G&baPA@zN1[iC\gHmX19GXLsn,D {US Ah>j?OL;uV8;b1,:Y(S#D#)GCSnBw }!$W#4w$`_,ёw$h<-xh$9Ďֻ9XS {<PYѨ @ī@q&l'N%%Kkr!́F0٘iuPHSp:B4jKh\'˺-IXtΑ^Cfl).LW"6&ך니H"m6xD; /;q@x9FH_@CN=-y|t56L8A= NPstԃ0'Lg9A9 Da^n;h^I)4'Q ) }2]2[x`Ҁ;tu)Bmg%&DkdW,tZlb 9;z~kxԭ xmޙ̚>eOok>s`C&Mf/4^z5(;ujWa4.(=a+ֱRpv4q̆S:"m:2`X'W)ľH$C+?ӖnS, _=12dD[TSx#wV'18 ɞ p- H~LKtA*_&D.%Ȥ7ș~%7O Ydl$T.ycWބH`ĊU_2 U4xwzc#Ј[hnuϜQ4"ֆhQDt?mNGXΆ|1ԩ{7;dSC̜\|ʨV74<:Ϭ7zuς-MCzouwz!xىa]^?$}^lcClN;/>J !nojhǦ"oՎ`[v,|jm|<ш>Ҽs~ $ei28,+\)DV";}%w_RP$,Co"M0М -7&ޑ?Dϗf`mϬCvjnQJ1F^l_7 [ !}C|4FZ+funR EnIyH5|^ߌܱ_|?xV̡Pri+#J'Hngs7x3&IYvc7ٗ~%F8g҆`ׄz^-i# K w1cv$ !j9-V>sziwdJ"hĥr+5ܣ-U']x.ĝJ5p7iSdƯשv5r,`& ̚ ;:+5+h "^uuaְqd/>)Aœu5x~5O$넘?S6q?!ăXX p+mI)Y6A W.j6Hb|d-FҷRLP=ZBiH@FDż{BbdP/3YitQlTO4X>vJ uZF7&,w\9WlHu,/R"u=!L ? 6H BZ!\@$#)l퐻X-z7w O!-?&$k~>j JšӒQ'y `D )l^(FH'!t2>+BN*b0%Tȑ 7Ng Dy&)%8@75@."+A|ƛڠ(8!/i ^JV# w'ڌmQw8&i _$lHbI$NhV>Zo9|H$ ɼ=gQt%jj'~fW<>5]䒋H*rB80(gX`eNMR| ?(:1R<` -d@L,o DsY@JMk~A Âa#҈ܺ`42&׌F\hs4@tr8ܢCx0`Х¾!(cKpn3)R=ظ b4 Ӳ[jDc_N E6u1PUw þ93(ӱZ"a-jŶtD#mՉ #8wq7vUPk6 6:uYZ VT75qr!yome#E%";WLXh>Y"x1v76֛4"(wH#?#4x9S?@ {0nCcG Ñ4&-bQOMC鬮9kH)F\. ܞ$h;0;C]u& nt)TݮGlHl9sKߜgEK'eǔlm'jҩ~Uo 5²Ư5H90ՓplMGf|ەSlGd=mvF!0 7+Xm ,,sZg4M5]zs1b|nFݥd}`$f,=R~ᒱ#λt 0큧U5r!ԳӦ#FNUkپؓ{+_pݪn5Z"JEOښqSv4ղa{o(^?T9q+oEm/n'YM$Hƒ^Ѳ*wIٿGUMT;{dJ O ٘E磶u}ׯM$Ue~(AA o<~2͎WRw3 $LlfqgoUIݐIpoƙIHƙIvgDwy)%KJ꠴B)vy cƬwQEzJh!yݗR Ƭ?K]Fqs). QN<S72{X.H\pw܂դcSD&,67,`YV]'rfQ30 ,47s)A,p)өM!z/OP9 AL`i:}aKȩ@ZS+˖kmBE"d K%# c%5L|0g+˔yGO/Y1\<!MX$2Ǡ'אGIK-b)E3.: ԣ.; #.9 åx"ZV xP $ּ B>xqE_0qH;P:WLPyhMp&:LY?O 8G~*Z !'H..GKdwKx9SUK]ٰ[}֧" b6lhSjlTz4rsIa= Lu1P@"5?MY8qL6b]R*'g|6Wʶ HJ;)stA ?9lpGqrxCzjG0$+A|5ĸɶc@AA|Z qox^++g\694E֍:9ř"9@`Mn÷ԙ;M=]՛p%Xqku]w8Ms#U'"ǏYTbQPE[ V$o d+VR@Xy*_}'U_|]zӟҽKN)Vݖҕ8 ڕLF9ySs;yS{fȕa-JiǹTKGS\M;(>Dq)΁XcILF7> goENjZOѳBD j ϓ([je^ˮ㘤$(6Z[{~9ޫ2CMnv¯gL `6Ɏws86aO&գ oa0VS§o&l)_3X>{^'!CLC`vn,ab h$g&d,>,Vq8˅܃`qŞUܧm|fO-ֵ%k[g F}g΁7og}S` aT]7bX+DX.}:uD&-5[%+"G݊SRYi2o@1q_"laS[vcsY|DF)-;H拚EO1w`6דz:_~jU+KRomPoA -VݟӔx x\k UoTo qT9ˁ2,V]ވV6å:ߍqZvՎK^K!X]~q0q9 ! rQ8G;q6\t{ 6$! +d] 6µT{"K3?ʤ곘vjfhy7 iHZh̵.JA7m,u~/ETۈb,8ח.Rg#0ZqD):IۅAK8#\jgŕ q+-3o$Srmj@,iI0&/\/a.ćH>9˕ݟq${r7VYj ᎙zݑ y5M!Ǘ=ޑzcyœ1k:M@! Ah0b3W隿W#TY1 م%<6z <|lgy_PY`N u\cҋϭ=(KEz83Zb Im_d$2jiRՑ-JcTz9VG$+Y,|ܺ^m(jAmË#[՟:Zf_(g^MܨD4&Le=r:U^XzWaL0Q2L :WC(hhϰHU\}7ڻA7NǗEOB¢H:Q9xڪL rr8ɕ(ov5]Xol$I/{X`rGQu]}6#XFs-;&\ߒPwcl+Ip(/q-I=M.gEZ݈ #^bЍ`6*N5"|KCZb]HUg;_RP&U{~`mY!6<B*ydzG^' vcƀpmNmb22-rq:2o掰bj\Pcz;Ԛ;1w$nMf42θ4ӰC~9hڪ6G*q͝V$tˁ9$}&I楉E5eynʸrpFgGYF  X!H@#\XSX:BXW/"*j1UB -<>Kj!5yO ;Uoq x`5!zXIПV\h=n [MO KLVIӫq~X%,9fv; G넍p ðkch1G?u=DK R / 8hg{u7-0EÀQT L7t,#9`ю0.[5i_ D)s 9\餃~öNUώw:zrnxYKl~VSӎz3 (/Ĉ-S_]4u&Kvn[BҬlC `sFZg{$~Өc u&lFyM4Jm8> `)(i4>Vگ+pu_f,`#\sMKL-Hi x13Hd*+R-ЂMf.伫P*8'&1,΀7B&sߚH^=Eݳ@* *bsjJ/M+y1nȓ> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8032 1210 0 R >> >> /Type /Page >> endobj 1205 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111809-08'00') /Rect [440.4325 669.75 475.6985 680.75] /Subtype /Link /Type /Annot >> endobj 1206 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111809-08'00') /Rect [222.7205 655.45 257.9865 666.45] /Subtype /Link /Type /Annot >> endobj 1207 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1208 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1209 0 obj << /Length 18 >> stream q /Iabc8032 Do Q endstream endobj 1210 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8033 3256 0 R /Gabc8034 3269 0 R >> /Font << /Fabc8035 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n >)W^.P/ Jc(J2h7r @`j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5Nq|s(dWLj$sx2UOc9ΡLH9vhwe™pv҂bwo endstream endobj 1211 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=126) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1212 0 obj << /Filter /FlateDecode /Length 2955 >> stream xڥM_RӁ6yCia ^SU*ْoGc\/YO}WV OTYʖ@moAY^?K]8e&o ś19Կ/H" 0:0o^h|4>y\rR,m! jYiۥi-ʕH)X/H^\J uDVx=M2 aԵ "7(@Г"AlZDTjE0*ul싎1?kV XI+ Y+dM!@|%pݹ?Rɬa _\2FڴaAG%֫E~g;7ۊe1O*%葨gq qG{OMvjzX3KA\P\vہ5^7yU8gk栆__Vѯe0hIcn-^Ne[Ba}Í ,>3#o`f0Ba3Af'QVJ7njM<,O ?~SA 4 Q~u)5G[OQ.hqDof9jؚ%ڍOM;VZtD09搧]Uj ($$ޭDsȵ:pz)A7^((n^)[ʼnWV/~BT)k[UZXږ֊}T-AIPһ7]Og<_؋k 9D/XMHn+h(fxX*ɡF138SdĀ0ӹJLllVu@͆1{^mk78mK%/dѥ(Nk^˺[q~L63/\:TI 'Vp:~|⃥KiRa3Wyi?O:[Lj3p-j1\XOzʧi/8UϕqG.*-T!m6_e^(X#]1g85%$'W?7 )5([kW_jB^)}cTe~{&t{U q9D&maSuh@I"gP)!|LTYB:N1ӭiF'=gj2?h;9_F 깝cKlFU(VY}J5|fG.}*e+hb#!V`E7i۴pvd0=Unx^2;̻rXP{B?d":&wT:X@ t[:w8t q̖e!YI<)-8?` &S9}罡;bqZ3UЪX'r"uHԌtC\__ko'm6= kx4nUGt:skȻ] lsgkkoQU!D cr=HጷqNhԗ~솮 jdE2$4yX5{iF,IT {ujgJ;]cl1sj'2 `8E9\h{mJ_|;^j ]I^KĠON'B"mCqw.-";_(}kB;H)e4zhWx_u&$YK!( [Ucpƽ;܋6C vIowv28U|@gkg2*KI?ٴ d]|lv:`Pj_S޲9 hO=b!4>`*P@:y$G +:j lcww6(3x?喕R5 [%_lXa68ud},^[(x;{]DITcU~W֡fى;AZ6"b۩@ⓌIQd<ځD +QS1$l'{Q.{NCl Y Eta+ IGh%GFk,XktIAQGW|IQm+0/ؠ?Ƙx'|׻G==Hi],(-e)"SkNd7'X~{eHcb>ŕzzEwJNv gBQv|!S[% Ĉ(}n a~W# }#@x^+_NU7~lv~%#Ӊ͋swa.7PrkW%(@xpLg iQ=є!~r_\Yu$ ÛfTeckҙo*ڑk+īhAI5`ɝcE}LF`%9Dg1mGOV'Sf.x endstream endobj 1213 0 obj << /Annots [1214 0 R 1218 0 R] /BleedBox [0 0 612 792] /Contents [1219 0 R 1215 0 R 1216 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8051 1217 0 R >> >> /Type /Page >> endobj 1214 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1215 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1216 0 obj << /Length 18 >> stream q /Iabc8051 Do Q endstream endobj 1217 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8052 3256 0 R /Gabc8053 3269 0 R >> /Font << /Fabc8054 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өwf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lMx endstream endobj 1218 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=127) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1219 0 obj << /Filter /FlateDecode /Length 3484 >> stream x[KܸW@k 0  M!X֗W$%JYz$UQՓJ[̤}Vfw*a?V]mfS֪v3_Nk{9wg9Vz(m|xi| !ydmZS}0*hxwMuPGz ?1?*!QS=I0/11Sk+;y0xցC㷰A\tQڨ =` ¡ q*4Y& g0  +Pq# hS!TA7MpiWE 5*w@N)>6Yv ։qO#ș ٍv1D&̊dayiz3rDυ(?e6حp?l+#5ۂLLvc;ѡ 8tBdE+,ym.DNtUY5~[|mcٗEޒyj"Vڿ YdJ5]O/u1zXzVg%klc8s;l;U1k9~2M?o8ĪV{jZD0f98_2&ʄa=̾8V"BQ>Umězn)ku'M 3\*H9]ɀQSŲ<,e䎳RiS`k9W i\Lkjj@@'x)e:MBq1]R^.vѽW".& 3A+ ๕V%L-X"|ݶ+֖Kcį3܅$]]ݗ٢ʔש=zӣ|iT ݜ 6H+_.r^TL3se<7PZI@3A}z,S /arƜ}rlnIT*{s<,әy>xy/ OPS*PʸҞJ: @OCK{m,Z툅2_ɏyin^75Ɍ ŢgQɯb@Kp&f?m2]=_-⠣-  y!QosM^ < CҎò cFd(aY3%Wd[ @E@BvB臮es˴:A8TvV24rHk+S"FXv`EC` g[.vh`ߏ+As\`{'feSrcy3乘|}R5g9/jNZʙcfF 㬜~biJU9zqya,oؔRU[ !KuSo i{*'N5j7(rk_ T8PYYaNBCpA[` ]+8t15@֪cc,-ZwunU#E+zIuY,վeE}F0&\ /t~g ֥~kJ^#77m6 ;L5O);Piޔ{5JXH=X-o!G7QYڗ27}@!6J =mKB[r>bpA=TF;q[ B }G5;fDn wMLX9%"6\~imuunoɹ3/]zi:pEZyaang?k n,kڭ62wq@,|6ֆ}4֌o;wa-6xu;<Tpv/$|<÷bOC;Nʁ0ٞ+gw6XebNa7~uh$]h}aF e ~t.z3xqY ߟ.đlx:25Ϝs5ẠJ]c˜4r#Dluip!~J*:m{gN&L/n(-r6%?Ld'J&nI-u %`Gs3* !D)]%JglIcLc ,?YɆggv{# E"w̅rZi<ƈqٹ~e‹Y`!n}2pG؀xbs9sn_?agXqZx:2{ sj_aKB:HNB7t.t_N:~Fn]_5b^3ORf,${6Mz~k/F>bSPEptS< BLjUK6Pǥ tYfި&hƷ}ChpuA\uw#CضA+Fbe*KnLQ*%v,Js*d endstream endobj 1220 0 obj << /Annots [1221 0 R 1222 0 R 1223 0 R 1227 0 R] /BleedBox [0 0 612 792] /Contents [1228 0 R 1224 0 R 1225 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8070 1226 0 R >> >> /Type /Page >> endobj 1221 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug949-vivado-desi\ gn-methodology&resourceid=designing-with-ssi-devices-aa427406.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111809-08'00') /Rect [458.7145 368.2539 475.6765 379.2539] /Subtype /Link /Type /Annot >> endobj 1222 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug949-vivado-design-methodology) >> /Border [0 0 0] /Contents (UG949) /M (D:20221102111809-08'00') /Rect [92.937 339.6539 128.203 350.6539] /Subtype /Link /Type /Annot >> endobj 1223 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1224 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1225 0 obj << /Length 18 >> stream q /Iabc8070 Do Q endstream endobj 1226 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8071 3256 0 R /Gabc8072 3269 0 R >> /Font << /Fabc8073 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1228 0 obj << /Filter /FlateDecode /Length 3143 >> stream xZKo7th4D@߯f?dMe- d*2JėI(T2LzezLa*gz~Ӛܽʸ+ޝ5,dfܤdJ$"p-އNc٫$ xxeL|~Hg 3s;\ʺ;̱kRe0 ^'梓G]=aĴ{>9 (׊H'=;+Yf ur&GMp7۩m(ڀI 0ceߠNjC5 G,9Ŝ2o#n:b~'Sl}͜No<`uNEo&y94)p I,ƾN!d#zhkX _'NӬL̳iĻ,R/b ^=&р(Y50䑵i|'fFvdsÒ~P?*:3IWdF͜"ak҉b [@޷͗0||T!,gV C6+:!|,ʑ޵HAo[N RT@\O7 ǣmo)Zğ0D1NV|])3x֭e9Vʻ 3WBڌxY-iLIL^Fp< :ܣ{d(A-9 G ah\j[Oe0c\[:;4`s> ܇"p=Sl||^*}rϻJ~ݕ{ײH[X=-SDWenZO(\ޯt-o^w]5]U]'&E8^#0vfp]땪<ʶO(Ӄ&}]'}Q>ЦgxˎTwJcY?3#bf#4y'ٶNiY]?1oQf1|= %uY`*k*UG`g*KnNPQq,F/f8 endstream endobj 1229 0 obj << /Annots [1230 0 R 1234 0 R] /BleedBox [0 0 612 792] /Contents [1235 0 R 1231 0 R 1232 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8089 1233 0 R >> >> /Type /Page >> endobj 1230 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1231 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1232 0 obj << /Length 18 >> stream q /Iabc8089 Do Q endstream endobj 1233 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8090 3256 0 R /Gabc8091 3269 0 R >> /Font << /Fabc8092 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`w/x(RIE\1%sw4_qR0qddu+*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N tweBC (cμs_|۾{w&z endstream endobj 1234 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=129) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1235 0 obj << /Filter /FlateDecode /Length 7287 >> stream x]KHr@qִ|X _<`1"3$U]]]HB+ N̤ğT/S3SS6[=}'c/Y/6&mp`&97}eZۢ'C_8=6 Qxkfg\"nߠKF =0ďӗN?|QUd շ{Ύl=gٙ)iz8_Q'\MaM6X?/rć4GN'?O~\r/%ġuJE9]fk(Aۦn&c'*t*N'WR`vfL> |7u״¥*\ UM- fB#Xw*A) kgȅ~6zlIުSR})ND H7J UU+.VT,dS" K:}LbJuL(@<'c}Y0\$ rv@(o߇rn/)j%:0@aɹ9PˠwF].ضxrݽ犯3reyO"k-NLŝ7fDs)s:q*8Yh2=ZєK۩:N, -tJ'uNt2P%.QW;UVX_h2;H@9(tIԝtL^qzL1m؉xq$]pխ>TOmCN :f.A+ VB:P.ԲzB}ꆅrIdol9U ^+z *irm)׷ gnLv.Sq%V:f&[ kS&Pr_!?ұz'_*'<5P !ߵnjh0!?ߟ_]q+.W}9Ddv o&jjG8uG0*!CI-Ʋ 47~.zV'C SRA2% dl~KuQ.T'Bð8B%6?YC]cH m> cL=]3?fOv?C7ɖ]M;˙gbC,9֞0%t{ؙS0z&ب\ "0Vjm N\$XIouMs.KCFz>@wA)_Qou@,HH  ޝNS4L&%QbV\ŝaܭa# AN![heC25Xx 8) EmW1U—"n ~L}ZJ,. \pPR:cp[|v&)iS<f."H|[KF^БgzHޣ41(S06%!؁hF <:t~ R o!ZJnA%4/Pc8pQG[C CΙ8⼧4gh0<' @iJWUNQ6;=aTm \SߪPZDޫܮC\ s{(]v;_,uozyޖd%qX41zSjzQlgƿԤ$Ns,ϙJȢ}[}'@רQx'N-O+Q`h \.6wg\[ؙ Q#vOrz>kOJFYw8 PBVGN5QLNIN?,!1,"i#!eB&DJ*yy&SPũxn:chnCu>IӉ0` siۥ#m-ZS}V =iQYlO*ӢqўU>WĹBBy'ͽL ָMU@31y-HSCP@]P \#*W@զd;^d{P|5Kuj=TLYP1mS~<.u3V/Z3PvErzﰆ1$jz?f=k8.Ғ"_ˊ&w$[R_!ŀ,4pU*G=t)r#>fd1:gr,̻fdwE^Cq/E~sPۥBEvJ`sDQxγObbA]X=q a3ms.N: sli9]LgmKB0(nΡ읦vYw rع'̜ퟦS,p}}hJ@fp|t=1БENx@KS0å*`f\-JZ5mzLv5ohơL@%<dhv5amy %Ȼz@`u8xiĕЮI? /1s >7^@ d| `iѱJ?k܎a Owz}i;vX4ijB5+5ߴ^3*Sۛa?ҫi :.43gHӻS?{ ~PP`R#Y3X;(\։6+~+ [*G'_ba`Mv)\UV=(}4Xޣ?nyfZsAܛ9m CaS Y,˚fܐ \h0$jofR WR^zlv^ Sy6މB5SG68kFA%Wj8)ٖh,S)Wٰm)ר$ИV|6 \{-/R Ոy&; )jП)8cl]oBs]tF]KWpSVq,6ꂃopocV#/X 񨻉K̫MYqi&^T]3po<=qslvbδp2")Cs*9 kFe-Ʊ=!F`sS̄fX#r4RV)lBMcBQ:iVaIRŨ a0qј&u32p;VhqC7∈(-giY^}(V=N0J8c<,ᱽ5M<6uVx}P ݸ>2CN}eblOyoggk8[mPP0g9d JhM ~Abað|cP[A^n9 _[НȁXjբ*sU!w:2x3%y LJAP%Xu;EȜj;f/%! tVgfS~Z`4nti[^\^b˕z5 J=bgޛa^'xaFOX2dywѴ.YP󕜗;kn8=HKRSyt">J>-jD,[ Ӄ#|3͑}ъ5gw#!N6F&[VG'9~BY5,qlg|s+V:;RD ̮pxQWW(qW-3~7+MZjG)qSm9n1S+\Ytpdyi Nծ˳ֆIyn6;xj嬗S\StoI[@w^rf ^hk}i~)ОEedf߂2'vaŗclYFᜏJ('H?kwb A`>X>mO0܀CKCI\\Hzf)~ЭIR/N=!m:0X[O 7<xmM(xRQ*i3MquqahkOtbCRr?760.-vc]ku)ܱ+h_pT9wWp;pJ1/,:ƢvpVVK ZJ>`!X\u~r#dn^p9_rOK]}AP:]~'' T 8 ύ:(UcyZ_B(RoD-h*D-+˖=_8S=Pt0ׂaw a`'?Q@x8w8qA,CaCO `aa\Qyi9XXw;[X|T`}li,?᥽곍W~ YENb#lFٱ3a'+ Ԯs7)%l#gO |hF<:J9 gmzm"6"D[Y.ƙ֡>3dn\JeL+*KmRkO0p>BZZ޶aGĎ4z*)gPVF>_朗 mg&.t;zNg.[o5 2rbmmG jHY89Vj:P2^z{6V{aovmf, 4Ͳ&0m9}\ s鴣2~Wjr~ۥ5d InrDz˙06&ƬRX_%dT Za5Ù_l/]mpTE!U ۨ-|*/Ξ+)Y؇ sYk.O: 5(e?V@3]n3.˯ղ%)m|t<B뷣vDjA<[DC%٫k4keD. /I,? cjdۊf0,6ЭWC&gݽj{WmK؟>]\ZUȍ ÿdUOMIv>NIL!IL,S̮ xjvCh!gZvg.cP-@Wgo|szK5/c~/x؞#@( l-M J\O'= `O/LzK׶0÷d{zdh7ErS~y7z?`KTzT]jG`txj12&D-߅=wEP$:JykB CW!tg<O u#1}s=-(xg_"X( endstream endobj 1236 0 obj << /Annots [1237 0 R 1238 0 R 1242 0 R] /BleedBox [0 0 612 792] /Contents [1243 0 R 1239 0 R 1240 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8108 1241 0 R >> >> /Type /Page >> endobj 1237 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111809-08'00') /Rect [327.677 590.9539 362.943 601.9539] /Subtype /Link /Type /Annot >> endobj 1238 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1239 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1240 0 obj << /Length 18 >> stream q /Iabc8108 Do Q endstream endobj 1241 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8109 3256 0 R /Gabc8110 3269 0 R >> /Font << /Fabc8111 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nPw/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3x|1$ɾFxy /K"r,,dXy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1243 0 obj << /Filter /FlateDecode /Length 3170 >> stream x[K#ـ:|?4 ` '0fؗ|_엤Ydb_Q;~bՇOÌ ^eC~/砌Q.Z;etPϟ?=i<ų9j0ةƳO^8χ?jp\#ޘ۸,lEq_{;?٦Rt)Ou!D_v^F ˲x^هt)a@IM "uq?˥WqL`=k@caIU[$Ivi8HEM;h l٫Ҍ!y JS LXq,cA` l95C5tm:މ4זj'^&<=*= Oo?ry?MTG}NP "籔TV>>>:='( OLy:m(|?o{cN2_g[q|_߂c<(o+Atg\dwΙ+Q$Z6>(8?q?4蚄hVK1vtl] :ԣ,&Y> =5Yg_{ֶXXixOAsGE$oWx&%)i 2 ~ l<bp\Eφ]8- atWe08є:Fcm(fr9c UjD7'(V+/麘.=؆6>gYDzD$Hf+SN֕,X"*B) kw1#gùMbݽR. 66LT :iS$pd7vK ,ж|bOG݄*B!9^OcXVyB]=^K j&L#@/KzJ1݊osk)+s}E&SBSYkJa}>)yw6|>5T4|RDe/9n%ݾg.#yiη &`7k9Vjc7Lwi_SyUΧ%W#e.1m773*M1Z5șv&LہZ$FSc1d%3RfxaLq!i,Χk|(P?߈Ei|555HePAXV`-H8p|K,ܙ: qǭ u^+|'6$ *?kTz;PIӥIR]6Ted$[T6'ED/.ik.jpE7ݗ2f >B×,Ol^Ķ?~uM3SՆؖisKVI%4RH"G߰Zn%+SFJw=+wouz}X0.)o"SMSS6qn>86 S3`6%ф7>d O/jh] VA\hX^?`GB`bJ3#(ɣlPd@`Kn ?N-qM0J41wƲaLf4lQK@!CI玱Qog}ɯk_ȯw? @6w~JX7K(f[H| es-4.d0F`ij1D,/4 4"yxH`P`mPt dlPmB>'4! Z۽'8kŨBZPviN'I ǤI)<FXYc(XX6|q9eRXFt2 Ce(L|IB(GЮkKpim): HC )yejTN7Wג Y:¶3h27̖`SKX)Zfp֯ j =f,4+D-]-%Ie<iLD`l۱ {;0ax>ܣ^=4ItFCV16*tS=:1;_L Fݓ Hqa=اBݑ⯜@˿TL?A` endstream endobj 1244 0 obj << /Annots 1246 0 R /BleedBox [0 0 612 792] /Contents [1252 0 R 1248 0 R 1249 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8127 1250 0 R >> >> /Type /Page >> endobj 1245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1246 0 obj [1245 0 R 1247 0 R 1251 0 R] endobj 1247 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (SITE) /M (D:20221102111814-08'00') /Rect [324.652 620.95 346.5475 631.95] /Subtype /Link /Type /Annot >> endobj 1248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1249 0 obj << /Length 18 >> stream q /Iabc8127 Do Q endstream endobj 1250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8128 3256 0 R /Gabc8129 3269 0 R >> /Font << /Fabc8130 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶GUwf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ": endstream endobj 1251 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=131) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1252 0 obj << /Filter /FlateDecode /Length 3088 >> stream xڭ[K7ׯ@CrXY%)JGwMO`(CRF|Z(w[H >"j")">^-^]`7OJpPOu|Gx~H4īpN-7JIIe x4_Ye&~^sdJNx'jOzA)rx9'Byqx ź"lMA@(죐RZㅲ9<^KoAkcf2(Z;^\3͠T^r @|swI+O82Sq`  !`2\Q#q6!@ڜ2~> M:2SS4Ogjg_FxMGA*j2`y0J01QamL0E=V Σ"]d h@@l/1!wT(tVz*B x3넣 * gU}l^*3˱G &B+]~H\>B`ѩNo9 uz/k$8ƋAi ]eW_*Ft28_r&/SaN[Jr)C2;td%)(r+\P,{xOmdMؑEZr dVZ Y;9oQ&R}[Xo? WE)tE=qRJCG)vNzb"JqMTYaǓ:Rθ^%,Vj4Ot3eV55`F&؆U5ꗡIRad$k+QDK!`A6>XٲҜ_ҕ+Yix➺/-ekV]m|yO:^7Gjq|(ڷyeEoBk!ݪ},-MPfQFH. J: pJM1̪+֤戋T{)Wś .kA˽Z,Rl>onl9#Y7m@g>q-}mYFq{>U6^vY[3⩏߭yj*i*mv1%[m3Y޷{VV7ڭV߷7ﻈ\~36kHl]wck|OoW7]׭iGix(l \Y eCC-R6m507ڋKyy0EbrYrúCLtz[rޥd^$Y_^ncI\ͳNr/MhZ]%~n#|wU ߬5zw<~~7l F{W2w]U."6)^5}Pic̓ ~~꩜4u:-Gy3YF#cH1vg3'qN2i{B68=8dԐG}|z$@(9J!<1IvH^Frj6%22h7g]'gn, ܪz *Ѓh6`R_PdSh ί #fj16L)&[::TƶDCyG )׆TOs3ڤitmv)JHsp6 Oy~ɂ b=5#л\ e4ho!YpxG͂&Oc \6ܱm\, e'4iL\ܧTA|Z`>D3ϗq E,͖ceTad?/ 0l\Siw=+}-J{nyz/6z+m;=Oe>y@'άJǔNW(w(>OS N([🨱)PwУUNEGTf1tIa$wtT|w6͕?8`f1XbbYO_l2zD!"_>@@Of'SfB| endstream endobj 1253 0 obj << /Annots [1254 0 R 1258 0 R] /BleedBox [0 0 612 792] /Contents [1259 0 R 1255 0 R 1256 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8146 1257 0 R >> >> /Type /Page >> endobj 1254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1255 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1256 0 obj << /Length 18 >> stream q /Iabc8146 Do Q endstream endobj 1257 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8147 3256 0 R /Gabc8148 3269 0 R >> /Font << /Fabc8149 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nP w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'Ŷ9 endstream endobj 1258 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=132) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1259 0 obj << /Filter /FlateDecode /Length 1961 >> stream xڭYKo6W@ 9|@``mg !:A`/$J+ I<>΃#˟H/1Hdo IKpqo2ȇk^7q}'? iy0)IF{{56Zk{A,nWKZS#2ue^;J~7c-mxf{x;^eumk^eB̺,U+E557y1/ȿP jp(0{[ x'p.L#T,aw2]^d*[6S2߈?ǰh )c wY·bՂt鍲=d U:' ǧqhx70h&_~ޭ:g!ݞJuUKﲶe\`z]W/]{Z.xZ+pUޱqM%wc]͂휶|fOg vY93*؛+9G|ZS̝x G &dֿ6seKK}^^q,8hA w^{o[e66Wھz[@4:y`y6 ְS7m~ e%][{]wϵRɻ2˚VŖ"vvXw唹gmPYЊ݇ݫ#LN zY+z3m 03S>Z ֪>>ou ew+oT2p 6οPʹ.{}8>˞dGمFO  SJyRHĤlhi] KzeK/'kɅEx [:A}1$Bc<k(J.sg0#fZ[d}gkX۵[fӓÎV+4IEG ǹM*0a#Xn)6]2(zWzXr 2%&rVbMtA`} %-겨..(y;cᲞt6GZQ6{y!-C\HB2a&!-W\#Zbe(=b l+$Ҝ $]L*6a_xr_F剿bߠ$@7c;KEcw,jٸGI}P(M̰NTTh|\BI lBX5 nl1O.RD?SPl76"q2Ht\D`;_n32nfKkAb` &" o*rd]!RDk ٔ*`P# kgj me93@gK+3L]GJzlH BTtd:mhi{V0wnŀO?dwޕc iݜZ1Z4 rt%K̝E=ʰA kl?r,DYag{<{ CĔ%8DNF(b4U+t=̢0sIsd!"߅nB"E`N;0{Lput܎3#UH|*G}E a,Ý ~4C4_>sl/-UE+kdo9!GF zAW^)Q/_KMJD'&nn ㏍cxީagLwMb{x?TLt[.=yuq_&ՠQ7Kllu Q~05bݻ);Y63p endstream endobj 1260 0 obj << /Annots [1261 0 R 1265 0 R] /BleedBox [0 0 612 792] /Contents [1266 0 R 1262 0 R 1263 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8165 1264 0 R >> >> /Type /Page >> endobj 1261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1262 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1263 0 obj << /Length 18 >> stream q /Iabc8165 Do Q endstream endobj 1264 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8166 3256 0 R /Gabc8167 3269 0 R >> /Font << /Fabc8168 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nP>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1266 0 obj << /Filter /FlateDecode /Length 7969 >> stream x]K9rWy |X _`1{LfeVuUuϴhŌ|x19c2ƿRӗ_3SS6iϿ?ɘ_[s0Y[IMm{C_x7l#6 yNOxkfj_puY<c}ċp]W?~V}@vavձb5ވք:ٔ}bLONZ+p='_6OԿ}qϿISKtNe { 耮 _ssH4]6Oakurj}ҁ<0%kT&b80s1x`3Z_P?4J); =-F&9ENKSQnzr>MRNI&ʩrWɓfRq;: ݑg% }u$O sy<$J8="Idb3IDXb,Ŧs29z=DܝlD+0[u gRt9hmՓhiot(tQ^ߊqv C]"OrI*M:kI^z쒳KN .b9d0Dt;) V)E0%_lQuB0KݺHA%l&9"y_2#c@mn(B`jծzdiʈF˃00Dw#WĖZOB<4Wr5(&.Q#@yQQgQ$'d,{,X0"d^"++RdS#w3N-f̅#Ƃ+N!*K{{/4I~6צjTtR3 mUB,%S\VlJmi?U tLM //tXMj~<&ngnrC1_YBg]?7K"Kݥ#bkJ{h Uj TD{Tq. זCr- e nPIz]xnFrئΗJuC|Nɫ!XLN0K9mHL:p-#j'!9K@ }W+wb~@Y@9Y}Gpk xgEn2Jdrg+82$LsdWDM%C-r>+GUy$c|K1.-?OpuSR$çM+5U&6+#"< 3X׉3[g[Seck u- o:`FD[CXބ Gm,'(#޸(Mto(fc]pv 54v.@p l/-B"WI 9h6&Ơ 8`+Eu_l=V;ԈTDA_RV!9fҐF\+(NI& e`1X.}y 6; YC g)P9:yHE4x6CP7gʯgy=Bg;خ$@ %SV(kL`2L(M?"ar{43 =2 ID갥\[:A[;ݺ̥u:6p:k4r…I]X.d]({Y"(ݦsHcS4kdmo6iSͿX>}_; V=wv+93;j}ޠ Di4Ŗ5){fCx2B#~Kqc_%I6ު'a3; *%8sn12"8tD"ՐSa[%!\Wy=վYLT:ܫu_5s:bG.W+gW[:4Nsl/fBjK("|?nU6Ųfo ED} h9J+ Z2Y&sXBsak,M6}_u@ en%7v?ɥ* ع,CKۡIᡃ8`@g 3zlRms% x^7`\x͗Pe*=@!fN@O`J4(1GX +?AI덌Hkk2w"n9a#`;zkv`52yI7D$hR ihs5!{eK9A`0Ƚ.EXK!vs'V+HH;XV@۬lr~0EMQfAh`B^~RtH]˓r2rm -Fv4"{D׫ d 4Qxy!̿BnǨ1YYA/'Ww'z.O&/u"fM{X,3JM,YUxQ̅?S+19' kɕ)YSaѴ}6 [18&RT!ŦQ4gX(;:@Hcɓ Z|ή_t&@1xo1)jdDo')|p]pcwW]R0/ Zc9)jQi\ݿ!sq'|zhAR.ȃi:7!6)([@,kzSd7'u6`ć woﺗ&dcj(ѯ%8能Sv:Hҫp9Sq:GO8Z=[f16I`]no{h$[!lEe5\GѵyVu 3ZY H*X aw0wndx@liR WxД_(? SCֵņ)%p5Zm.9:7n/ 8ڜƔƞɺ_}{Rc/5IŒp n/ᷙ+V-OB1pSmKQ1C KH'Dp, X)bt(/ QuhՓfqtnRT/;䩳(faSck<%dv+tRTTd2RktE+/SbK&Y MrƉB5[L{`)wwn *IeK'+8'ScU.;NPׅtoz,ڤv][_>m޽[5-jZoj*RTt^~؜! 3)Ϣœ]߾y ]P"!k{u=du5@Kۻ.hqR(Pmz#KzN7\ɶ*AwM[hڬPISz]"jde:}  \ =q-}#%L#ѥ.,Ŧ8,gg3׫cLyi-'YZ,9QqmsNg+CHWˉSu4m-jW2ˈxz"gҪ 57aX]̇b?6. )Jr]I@eK( 3Zg \ĥGWӰRYs1T.V\"ceR۲ ˤ\ޖ^c}m+vzwr9ւ$b?.'!a5%_g(gU,s-}Z֯5ݘc,dtF]NVRJG{0T7]¿#X@}5̿qƣbnCnꢮmuҕouyZh-?JJ~a8(=!2;o`Ђ \Uzqj:$L Юk^q :CL(kX_^`ɞYXڇPX:kRN?%H4`w I!:~D˰vb+k~[u%17'fqxc.~T% lT߈_wqqչ fG.YmDVgiY)\I)%u+%̟7*%(A͇ٿy٥Z%ť^x-"ɏ:~z1 ,X?(U6&h~c@sI;665U$M=<ڡ1aP@z#og4XJ711kY'Zv櫺-T>w>"̆檯8 ;ǜ=y'giq0 |S(hPmڛ}>Bv2wLi V;yE5=n8Pwj >0$Dȝ+P%v#'YqfmAnI0'%~`)bAbx/7]M{fTI8z?4/|PWbO }+'~&x C-󲋃_Ҥ\\zπdADQ|SӦV=)i) m޲@$݌KpNJΌO}Tǹ- m< L7Hj&mC  `MX5}mmD@5vdb~b^{ο._lZ=eQ^h;YOqzs?&-KYR?mĊ-ZOÈs_Xϳo 5O`X?l ϥç [;"uZpN9\^ lCy-O:T "4:Vڈϐ=S5!vT%Tկ hO ;^sl'U 5 C +.0aOsqf1$1cR~ ۠Wd2zfv/߸hTTv~ 3bL1}/@&cliNբzRc.C$o༳nN&f]9AOMC?c UWJ.KbD%q3Hu|Y§wa:Gn(6^C}ר/u0M+;wnXVw$ E*R$5ry2)Z jWsu*Ӧ6 >fϹbsD,ʢ3+ƕc4+t"#n-$ F?{Voi!1 2h2}«зOW?g% cyPc=|zr?z\gC}-="y%8YM?ɜJ.пAj0oiɭmlŰjotg\'} >b4 (wYPFcupu̳;3k1ҩVFd[no '+_\mGa OrLZ=Nh{wL茧W_+ p7z1b́_ok Uox ]US uj+ YᶇYx0uKpE.7 B9 \LW+^&š;k`WF~ ?b̥-x /m=Y/2R|䕴zÜU Wlz36P" vH\$_}H^ΰtPa`eMo^yqd=fΜ٦{ƶUȷF KB̸grSo3w I=GD//[*s-0,1cm3*˾2Y>:"v|)^yC*!\F7eL/w:zxwڍW]O[.h)do[rZQ@ ^j,.i&S6Vl}u!K V)&/o$65KLh' p^Qs}Dg]|"]:z<rvVHu띒 MiF0I;ĹP/W˕J$5ʯYHZE_RkͬU峡Dgj(+}J\tvS3J17f4zQkg wڵ=׷m/[h%~ #7U&ue9ChvyDK}bҶ".tK82OΐXtbw{ORC4I5 uhzYoXՋj1Icj?wLb4gd~eS p5M5J5׶2_5 FJG큾EPj$j?UxSɞΗv>lNl["ۜi{z >9>q_qlxOI:􉡎"O/Rm~0F.&C{ oC6=)e3VZx3:<5Ju9W=L<]bNh:L2Zx7aBwfa{($4Ɂip}fYqxw4&a~C endstream endobj 1267 0 obj << /Annots [1268 0 R 1269 0 R 1273 0 R] /BleedBox [0 0 612 792] /Contents [1274 0 R 1270 0 R 1271 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8184 1272 0 R >> >> /Type /Page >> endobj 1268 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111809-08'00') /Rect [346.3825 552.3539 381.6485 563.3539] /Subtype /Link /Type /Annot >> endobj 1269 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1270 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1271 0 obj << /Length 18 >> stream q /Iabc8184 Do Q endstream endobj 1272 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8185 3256 0 R /Gabc8186 3269 0 R >> /Font << /Fabc8187 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nP w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'ŶF endstream endobj 1273 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=134) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1274 0 obj << /Filter /FlateDecode /Length 3405 >> stream x[K9| , ` ' D6 )}~Mj$Ż Ub[.N:̪h 2OY}wZ~6+kt4Q=T3{5Nw~Tߣsꝭ.! F=\L)O^=78mrT*#gxW6^c@o+J19oN hLVV]FKMQ S]ޒdvtbCcyUVo?RuR|I/Oj&ov=Rq*Gp Gqg'yϔ76y|mvmF?wx1V_۹s;5Lߣ}b*^n[Xۡ}b?_ytM~ArsF孆>c"F(%H# SSPsz9 tnW|isg8w O? P97 \e9`a E-~Qb}7;-XLAs]~ƾ;LuŇWѡ#tRkwatɃx7+yՍJkDmA 6QiE7*{݊?ڇIi!0-w fyPj=dݬ :\&%+Տʴ_cЮ_똳DӬK'?e<9^KKOO-10 _F>m'/(4Jcw6n0v06<^V/Y{/44|BC_٘՜Zd\^Żi7k4>D"S=0^E[݋~r ?3 8u^u%w]6 s/9^>tLU&y-5ػ=E2n۶Lq{6>yjI&"i9d JE[lT%QgAכ$EdC3 rEQ;(MQ]"&ĝh,̱V^@݄..Sza'*Ym&?,+k_+imO_unFzzM4gʛO<ÜIwS M26W‡OE8֢;E-` S{؊tz,y>g[&.#Eub"%cO8ZYQJ6qJ`SxShg:1ITc>T^R(UZ5L$u|oK1CWиkFQ8T+ ,6oc S'Mt!FQ7(gpi5ʺބZRomBaXDio3 x $7_`'S%jB$sRɠ #W2[{tV3Ubzo˜ӝˢvBym"fo.=P+%y}DVL8SS"4E%[K,h9 EoX]5s#_3n g-4`PZhoZfTpN/{>E({/Ni2O׺N))m)ttt-+"?-y1!oNJI[)XLJ#:{ċ u~R_8fRսoi5lcԆkH&r셭z'v'Cèvu޺o̯^;Dt'|iQQ%f,fϟGF×<[JY"P㫇A/FzWP^QڔTw'j ]r''jrC E9^mLc#G5uH(|N!j02!D!B`ўkj ;G'$:uu}pm`-Hxq/ICL<~+xM w!^ eDVCxAf$3׊jGk`s1r7ڰJ4:puLlMOZa% 45# Y6-ீKـfcߤy9cF [ 2xjK3XZy",l^ִ:搆K,`Ť$4rQa`=X6+U:z jYDڔ z5ea+ݓΊ[?`;>гmnrI0 m~d/:-F!^0eGQ#DQ ͂\crL0f lSK<SP Oa =<0xmWU;_˯wJ~U~I(1{$pP:eǺZ C$rcҎRVG0F`3]sx!4S5 `6lIP&_xu;G7B.#n}g߭x̆ƒ"Q]lx'i e("b˴IHrfYJʑ\t*) $S R4ЇQ:\?%LyƜb0}→2Y\NPa+>P 6ӆ5W5\(z4b.4o+D-]%KeY1yvc Nl An/b.H3ZzrB5QaZoi<ƌqorf%?N0E._Xi8._O E!Li}wv -\Ar89 !tR1ֹc6O|BiKms#:%pژ:nH\uYo;Ӄ'Ot[yCeY׬G>g\G!xslϰ3B!dU^pRXuJX'f(|p<>LT׌|iEKbm4g]ټ7]Cc?t&#yͲnb{u%ۅ!@U% endstream endobj 1275 0 obj << /Annots 1278 0 R /BleedBox [0 0 612 792] /Contents [1284 0 R 1280 0 R 1281 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8203 1282 0 R >> >> /Type /Page >> endobj 1276 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug906-vivado-design-analysis) >> /Border [0 0 0] /Contents (UG906) /M (D:20221102111809-08'00') /Rect [182.7795 89.6502 218.0455 100.6502] /Subtype /Link /Type /Annot >> endobj 1277 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111809-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1278 0 obj [1276 0 R 1277 0 R 1279 0 R 1283 0 R] endobj 1279 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK) /M (D:20221102111814-08'00') /Rect [90 539.45 126.069 550.45] /Subtype /Link /Type /Annot >> endobj 1280 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1281 0 obj << /Length 18 >> stream q /Iabc8203 Do Q endstream endobj 1282 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8204 3256 0 R /Gabc8205 3269 0 R >> /Font << /Fabc8206 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n(>)W^.P/ Jcȅd2n~A1H[&!#((`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R̃sV7Ө&#x?$_cxy /K"r!/dy%JivP(x Ph'-:A/} endstream endobj 1283 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=135) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1284 0 obj << /Filter /FlateDecode /Length 3710 >> stream xڵ[I$WT"ZBBz%$[ڹTW10̴̊_,W\D47$}1\+"X z҄G~gCSҞ*Yi:StL%j]G32IIz8_?AKS%) 8j4__7NR b0Hi㈏s8>h \L"%J=g8h/Ls`w&bE닯E-јeέ)У}}Ա(0,(c\lH0Br]2h8 ̕jB` 0+L8CnғG>阑B{Wðh|[p ai]ǘ obiэ&2J@-.> IZ&&̪:h{\`XUfȘ<2͆s#`f+,\15= 78'U}{GC0SQ|_ldZuYe~G=ml甗aa'' ~sRh0WxCL;,Ta#^"(ŇlOͨ͞8X󎃭׊b'߷]{8ȵE@iq^D2p2V eu65}z!` kaeW|ZAݡ 5AòۚZ*>QEޤhRI^EbeGo^\[>Geu.YCDa>j+vFés% _Ϥ9A֩ˁL"&Bɍ5}qWQI8`fTC}*=/ !}t.~KLj[!KN}E$@Vw7Q Ymˍ$zJ:ɂ!nqz愶6 gd쮖YA7[&l,{ȆJ|a LuQ^ClU_{Xq Z_m`t*Zڮ_]7a_Mވ˽J-tTԄ]!wqiJ2p^:M9>QW0(/CS{sac;U|c6یV/qJ[-br]DgZ m;֭m6Gz&[mpz.yuq"jO(z[`c5v-' c.J }m>LZd?#Dϥ85XQ{qRR5-Rƌvp]f0Iu_Zo^:d0jQ֣PbʗU4'ƐwK;N*jbnI$QaZzVN]FϦ`^ϑbXl:;w[;~r5I)Ul)8A4=(&B٩ap# q-5vSɢ%`ږ&ǻe? 9H%[v úTTwz֬Aߩܛ6юrwbftem "o ōu^pBZױ\XI9l%Cjx~8i)RU鸷/[.7Nh(GaOs^mw}j SB{5Dm C_EoyDm"sDC<:v.lci`4=rWWcr(/z "{4)}2;2i?od΃+z I&ٷ="R hp ʪXGo&_Ɔ0:ln鵊Юp}CK~m_Nh; R$5uu,"EjGL%{( ]"ro @*TCWZdM>vh 纣ⷑ$޽ZPтܰk{w/jQ%KR*VzT]*;|=f!@;1w oڗnQy'KvւZO^X{Cw46 c~Lp#bH1?~B.PlWt1Lʛ04 rs6do 7G=#KO>m\9 `@ 6 MDLMvJ^Dj́( :_BYݼpO.w##ʃ( 1!5vp*.WG3&3CCh@7@qYh/HZ{31Cvm<"̎I+<7$-F[,6|Үj)J8XmM~)w:sMv {mπI,'OA#wlFEo CBEHDGi-N[.LNp^e`3@:`FJʕ&iDʈG*i(HE!%2]И`) 48d~ybP$o1=$C9G r0q$q -z MАhC+PxǁJ'Y3(C+Hmp+ۇrQry .(Fr tpvo%SwLR)O%}H B}/ "Èzm1Eril9DPAćNtlI3e8_5X h(Ӽ-&$%uհ#?8 "ΞလA?)Qm*+0x>صjWcFbj"Q{QRW.d-7r3T"';V&("rQ~Ve}Y6B6TTTE?B fCX~\E#ISx SYy/0Aڀ꯳W[ܗrazL_pTzd/i?ntk3 JuKx5x.Xo_g>BoϗvOG]@Gwȳg䀤Cy~筦wJz%RK~Ӥ\%$2-_hR!W⬗֧eTTt dC~,M> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8222 1289 0 R >> >> /Type /Page >> endobj 1286 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1287 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1288 0 obj << /Length 18 >> stream q /Iabc8222 Do Q endstream endobj 1289 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8223 3256 0 R /Gabc8224 3269 0 R >> /Font << /Fabc8225 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{wz endstream endobj 1290 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=136) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1291 0 obj << /Filter /FlateDecode /Length 9308 >> stream x}I9板 D4HВj>tWse]]((3[ROjHC7hX?4|}YanIq +_o?son l-\s a_pM'hOߙ5κӹX7^n Hlqux?MOZ~Fd ܫGnn q_#735YS|^g#J e9vݐr.ɰ+~_ ߖ<%[N% W }@-rMqՁ6?fqMbNR.aUk׼Zc xܐ:t&:KX 9jH^:_c٧|gypW8k9ٖ<'%y[ _@$ԳEq'˿q<У>:jb[̘ (¹䄑R +sLW@L<`p 2XlFH(5k)&>ocZ.xz~@. AE=Hq bIy'd  e ECFAX7L澅yG5B@hQVx*40'ZI$VoN,!k9kJۇ}J| \~ʘM'{ Ɂd]ǣ'Oߟ)!!ϣN}NoC1# |)н@Z۬9,_j ”2G|\̞ m$YNsJtEa< s-c$v|`z:uy"ael0!>%B2+CZֹXBq3PC]Q֓2 VaDw!#ZdіN JEWѳV¢BUN'-A(g~>C\3)9Kh2Sj'5l 2ː6 xH;iKOKA:9*P 3% P &@XۊPHo׆NhG*b[B;%Hf*qb?Vtg^Fe}þ%RwK'O S+3 .<\2%˕LJx ,:3R3<{-ݭþHi R5>Y5;tWW!6 /2OW*r;R5 ؑ i!wj'|Tid].J]THLeL Xl:W`>1?8LFș16D) `9yA'~tdrPq?Џ΄ĒrAU?MEI `D*fOYV:E.?DNu M#H,{}>?.`leƑ;F)DhI?&e1PFa6_Rϱt5$ 诗XUv._jkЀDosH\[I.lx?߄ʁZAA8H\>- =[i6k$*$!& SoqR4wRD+'1:<%7f 9AdE)hl'I=fFι8H3;wWUrNdQPI ]LsUZYIIt`\0|ߋXls07#Vg4A|Ѹd_ScԖd;,Qyԏkrq= GtTaJOoo2>nɩL/,7A^h=Y7 0qز 2v7 -j5յZ#-̆|7#v Bܴ^cKt9ċʫI;/ŖyL8p kMZmC_`}PsqP#$)4{!]HVe+a5ŧej<"Y=4 -x ¼&. Voc:yrm`J~` KɄ"Q۞<#f K$/l%S6գTKɷah{6Y>I,k4X羃mi#څ4*|%)9YX}.,/m$ c'3J]Nk6Y|(728ڤ 06?NByr#*nYlXb(Hl|]ýaZxҁ|vX Ŭ\+E$^LgrbM:ruO>c0V,9Kdᩚ *jq(CoeA& 'N ]H ,%3 խEg"U T/H U`j%qii=_c.7ʾʝ&aInz׼@:!?k 39) %@3Gm+̑FBY]IʁzB<5$M]%7QS`\&"`65M/&䉅i -2@˂^¾h.q̐& P-*H.ts-r=26@[ ̧6q r[;o.0'&ŀ4Sb @e+L׊Bu!E0E-G5)-N$PW0#J΍W\]SvN5 o|*`USc+Yr%e Vė7x+jtwen-JiLDW?[:;^]ɒ(>ݸ]`*,#xr<*O$$/sgGC{Dl-eJ? fzqҢPTŋײ=>ZȡV1mbOӒLcKJ 1We *h(< d-p%xJ%&n_ZACTwI4/3Կ1M:FKOe! [,/pfjQV#E_'ik}p/ 8%0)ZmkV6 M,|?货uP0TJGu&NYX!˳yij5e|W&؆_a7k?d~VQQkųe*'u'~7puXTV$k?-wܳC6]^DW tS5=y\Ěʭ8>8~Do rɍt+!q8/^*U MǾfŋHH-kq"y߮ Ӏ';P!eӒr,XJm=|H=io9gVdzI t{1o%fOc?jTQ)#^U~ZY.S`ZQғbihI K[;ҧ(mf.I1[f/A;g; 0ܝ/|ͥ+C(B`boWakt=* GXۜ!PǓX 1gSx|T]d.Pƴ&٬uCgWOx^~j6lX zslօV_4W`7GϪ2fUnݘp&"|YUk  Z`Fݹ0hb3,{FޚrW}+& kkm0ձ7qf,t2^LZM.)Xoa7Aߍ5IT Jmo钉1֞'9ߗ-϶gsevTzT\-0U:q@l +(aVqL;BD_ %{ iRa$`8Le g[U Ԍ8'TeFB5oe+>EM`_9C[v>1lVAJff>kN zr1JQAjaU4Z9A3|S6e(+:W .n^1b$Ѐ_ا$wȰ=h{[Ax9aEĕas<.ڙkj{mf{K}]+?c/f)[, qQnіc؏yvKŷ-Q4EL&YstG:ƺ6r0MEϸW߯pnrlBX%ys[~3(zy9?ggz8>ϟk?m>Orc>-Wmb#Կ5.|.~Պ%\ L5[/ݢ.s,ECqj 흨LK5xzmo$ěwx[ F"_Ǎ/҆&Wk{1j7x Bf:p?""^] F#<ViNME 2v̜|:y~ ZN&.'d/.{.Hi(0Av?C泶V p)gۜrJ^[Ewə5ʺ/޳,f,&&pQ2.N6mQs=6g 61.z{ ֫F *{r(֨~(g3v Ƭ| Tl39S{ @iUjlrX &iŦiг9)caZta.@pcn~`s'|]2iωم,hYQ6i.SZrU)^JY5šW}( O^C3s哟4yO.K3]oԱ{(kTd?y2@36z!!a\vYRf'aG.h1%ފ^|FlV$mfNڜScMZe97IbĚ3L !+ V~ ,=)q 1¹^vEyXnM cU҄#]PvP<4fI+| /`+87w9^]׼{57{ﰨ"m}0g[+2VGJs{KZG L 6:2V#TXǮ1/r~& -ydبnK~\Q:uʪsið/(}iA endstream endobj 1292 0 obj << /Annots [1293 0 R 1297 0 R] /BleedBox [0 0 612 792] /Contents [1298 0 R 1294 0 R 1295 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8241 1296 0 R >> >> /Type /Page >> endobj 1293 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1294 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1295 0 obj << /Length 18 >> stream q /Iabc8241 Do Q endstream endobj 1296 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8242 3256 0 R /Gabc8243 3269 0 R >> /Font << /Fabc8244 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=?dQHL_%ee8>϶FU3ۏ}bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwo endstream endobj 1297 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=137) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1298 0 obj << /Filter /FlateDecode /Length 4340 >> stream x\IƕWl P@eU 9G|{dJKmu4X޾?f;;񒫛?~;1d?^lmO1@11> ˸nqßvlkc]sr.<Ε+]8Yrv)`Mi87GSkO:}P(_1Wڵjl{8Ej4m!LJ91pL긍z\_'4NI8J/r!N,t~NyRҳJ뛕 ذaxal%];4e+i0zesfXXWT/@Wpv|<*=.^AVwZKS7X͵H=N~_@(U t.`xq-:^K/7阶߯{/?TFQZNj}fU|7P(AsDS8ۈ+SFąFMz"rwT+Z%+lϮIcHKW$yB.+)\c+T"bkI#=yjӐJ14%"6kUz<1\V/?GJ4oN "*!R\;>^k(%ÎX^6vz;}L}5{:尬MwN7.[ ďC#s1k9E]$4u!q|%U$tҸ1u=gWrwxkܕXXSf7S9Y=aգdhyC~ORNEm3/IGlҹ>w5(C{02(ӿbZ"w܏{/{UGC?WYfwT|ٽM?qĦ1)R5XXZrO"Im@7mnv;fRpV[خct[_qտo )ʙŽl]Ρ1ڼʵlۧL؎ N^TN..Sr)ԦS ?s1/>IDDXԏA"4E."s7Qs|}Ұӣ(9z_8d8ħșHę<\Az&ҍfrp^"gB~szߝ =m/m- @MYn:F@jm-)E-4?=8Zq;,x9!W5[ĥNk6nܓ Ȇʼnvާc1b8ћ.zg8?1PX?͉Qr3]s*UYlMD}Yi/eOD<)֐Q\PƝ7尯-"ZӢk *Aߞb;' f6&k朂qi =q^kYB@jO  ^"_8tL;OWUc >D]w7U]DA0fH!0CbcRXB2 :DuC*/)Ӛ;%}K1rkƉdA`}gXY `dw?KqZ!os`9.z/!$&p<єǜ5ϤeB#!:>`~W6۝ TeS 97'^sA/† ;.5 ɻɚ+AèTH.Bhڐ:xESRgg sK%>1g h2="NUjHvn∾Fؐݥ?~d]ercJóP,/<%K|G( *n<!Ei1ݹG 82l5 iG+lNHÀ{Jfv hj,VV\D m ).) !dɴeWtUccQ7IעZJ >LTRiaPG !mJ)iL%n?ʃ +s*Tt[ ׅeĔV Cۙ3jUHDjRJVذm`'.39~)<*sJ5p/,<. 7s7Bw h1n!`a}uSFl/Pub(zO~ɨgc3+hӁRBԣTh#,nWNZ(mW; Qo}Kg]F'LdSk I+]MSk۱'=)(n䳥Z̄IDg!n5kJM"f෪{"똈<\uJg l:#''PC#^ѣ5}*kЪVpڝY |m}'= k,l a׮JY76M5NEVtxQvͰudX t^WN;ToБ&ޡ/Ӯ4+%[K"{i(4\Pþ2XJ3)hS md`3u+}_V @'qh+9HA4)`[?tᎿ%jDR,Ꝍ4%I*GӮi{q7]Xϧ`iȶ4U(a><^.kQžnհ"فcE*c> yrɵԵekjjmk5rҚgz&Jddخ(dKPb '>y&T2E%o HM #?h0N5 i@minu;Itr_bo ١cAP w2dtϐ찎l$0  v:pl(1Z\x#NUZ`Afǰj2؟6*o57 pKͭwfUWP[&͈ŭQxpՑͨ ,R&,@p;HNIN[&Fn4 g;lQxы 6IjSj"ح]#5a㈋t,(z $Yr-Z׍G<;F^Z78C˶aZ~:a&aOpz㤍hUe${f/G6OV fkPn#r`ٴ-Y} 600蠧x鶲#q҇r]<hM@Qgyz/C<;} zgW҉5 V J^x ܽ#5:2vPM]%rB't#v=*Xo'icu48J@Tm[i0/(wXquN 륲]0wkD-ЬݲPHlal!"# R>N#SReYmY*?K>i򆡂OIW*X)'4BڻUdm?K_LRg tʿJdi%5,zրw ce"B mcIp=S|m0g[hJҲi2|ڲ0=ۮK`mg/$?}܏/ $?{|}Α?mcy/,y;K$Y;+x8j>/_nW8=3p29i_7)U/3x=[?O>7V`N^anwPuա[jyJmB#?t~D^GѬQ(a)7 ,"yϐ>*$Be??. endstream endobj 1299 0 obj << /Annots [1300 0 R 1304 0 R] /BleedBox [0 0 612 792] /Contents [1305 0 R 1301 0 R 1302 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8260 1303 0 R >> >> /Type /Page >> endobj 1300 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1301 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1302 0 obj << /Length 18 >> stream q /Iabc8260 Do Q endstream endobj 1303 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8261 3256 0 R /Gabc8262 3269 0 R >> /Font << /Fabc8263 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x~?va"6}{`B V&́i0O]vYzr"TYUCQ?WG?{`'/cXLFV#Pl!2Rh, ?$WJ2'ī+OSr&A!K2?:Y#rU (I(~R1fubG /J٧j {Vqi*J9Lڴ[쥭}-UaoKey͞\w>?lIHBJ.\[-:ufg[XLwۏ}ÐL$3j4>S~Y2c e / §9 ?ɒ F|QH:I*d~y)ϟrݝCr<,*v҂bwo&| endstream endobj 1304 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=138) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1305 0 obj << /Filter /FlateDecode /Length 9458 >> stream x}IHv>~JR] xaw^<͓_Ш2N$3oteC%JfI<ʼn4>-cf ~ʆCZ翫OLz1/]jusLMrn|gu 8M;Mj_/3\{F0s|/9v.3#gq3|_M}cp?Nm_G| oW̼<ֹ9ؒ:k [&3tsI#] ߔI#Νs6/?1z6Q&4s.fL:nVqlfngS2oxOroBs=_ާi7yLg[pbfSPUVt3 NȀ:{Ц;Ih3A}]ܬi3Dg4;meAQ>T0 ]Ω'-CO)aFKR 5q\0PbS s2s6o O1Ceƒ,^\Bw%f?2/@$PJn'՝IWBq˃SB/kN5d< H19Dk@rY) :mFH .$k.W5{X;(*sh@H*T\x^"HuoR$-XxhVJk#Q 9W9Wa;|]GcӯLd.{To+'!R8y ۹9#bX]([ ^*oPQ4P z=nF=MQ+zJ~$1Wr8B{ul07 Y0+;P FHW80ӇdbZAFg3 U6.'@{]nj 1@ʄvfZ% .t9gzG[hQtP&td[1  #9z((ߗ\I^{}=7'i up-ԑJ8+2VG'!AEzVEo;ym'Ɍ=m樞!Z4ތv!RIU`9[Pp|Uh0q[CǪ7fr,Ѯ:6f C0~# K؋Auщ,VZ46 K 5# 3g!:0jWl%DFKe9YubmAL:SgzAiĠJ"Uoh{v FsIWD؉2XI2j1Ȑ с=h"MpT'u u(Ό֟dd(b0zJ1 Ma:e&8b++wGjD6ـzI:ˌ#|5yrP0M|:#CcKkq<6Sx>Ybl'"+-XdEV%!K\zohulPQ"@"@ӥc_z 4iァ=voߑPܧB5ONqjA\1d\'|k9>Ftt\x<'u2Hc/^]~w4a`!#‹ȉvF|aĐMX*ǟ*`2bk3^N,H;VXsdpL [c,鉵Iܧ|Fg>]gE+kmVx( 9[zH.MP4MP4ےc\N1)š;Sk9T~Hͧḷ{ F =|ʩE'Q0>Q`_"1[ZDs&5rh1%oG :{z_q!K]Qt䂽\2+D{*{js<KԉhB4H A}QQGI [%&XXԮ[h\C\1[YE_CdXqe\a6\#VDx"!c,}ϖ)fH.hTJK.¤"'g眕)\2Ft5uep֘VRTsja= |Am! r4=e=BZ5Hd/Jda)^Z2uX?X)ր*`'d40mzSWp  1sTzL0b_-ȿ q.N,Pq`a”0j́+HH'H$$m1"ôMm֟LlYz]`H>6, lsᬤ/h`<)Ŷ3l{ ;\ g X|b#$s I&ybQ~d *N6_EX.s[ܡǒ2<WFH^y|V?=ju@R_Ɇ"xW g8S3&vF}vW" 6lx p{ W*_%60d[^JXV`EbPy_uzO ֩$YbA:ɄR(֏I֪&Ǖm*+ t PDž.>#C8Bk!G-a $X`;UC` IW6sJ&<7[ 3yF̸Փ"AW)uszcU0N։LwR3j,%,;31`[[ za xNS)~ liK,\>)S,I uOξhHZV2ΣK nZ䬮Rަf%T-5޵eʑȵչy>0 I ]HW;,aV~5Ksf4F4K%[MMtGby ۘ)͸\DNdI2Vd`FS110Kr\WGrV]BxkkP}-"뀌xp:$qXSY8dYىSDKSaAFbbdcmr9Mi2#tW.pbl@|WS jc*j9Xω.KaߍԎ@OBSzpUS#f"  nGf4& 6dó7!9f,\HM.yZa,Q%,+[:UFcJ%X49͖vʎ XKF$ 4G<)FuU W ;bfBts T[ƪ~!0pe9봩5%,r 㺡k3~ǕdQ;'%^e1awuդ}\_6|`Ol sS/m1)I!AK↵I %UJvbq6-`VWr0B"!B_]5d8}~shʅ\ \m& DԦTQ ]71ii/ݰt[E>V Lx75op^)Biy] g^.AOڪ*G(?#/dѦgm)T#g=-u/Gj< 򒚽aݽB; "mNQ;yh(["[G҂GTa[$yYL?Z^r]de_+X '#vv0^= bEPoALG$Up l l4hz7 :k׀1PFAeV=FdRM=Z9Ԝqz_ ڜrhc9~TO6:H>!Fe̪R>'F)Rf;-JҞ(ubLcjL=]Ut}UqF@Hl8o$t\!q/Yo#}pF OWG@8z6y׈v/,k/;[ݫyqKXs}U3D.-UH=66HZFâUͬtGI|wdN?Y"`ny: Qq%kB= suB={0𣾒Wo'W\tA(F:EH: s!)@ҳ#IAԶ,;n^|-b_ɯR.M$1ik{}k%{5cOy9/BvЫ&MJ. B5l 7%ucmgڵǙt%«L¢/GČAg6"M7_ɔTz>cѭi(׹<~N8]K٣{?,՗rD@հ#x-Jfi+#vs ^zRPBiFG0!F✽)wV T|1Y@k0C[c=1 N@6LlZi2 L},C.wJpH)06 -ji115W H@+u0m[tBi9ڜƛܢQ.w{: y &m.4kzV'޹5lWĉ\ kyQok'_Utmkq@lOh5 }mA=V.k]^Tx^^1Em@]^Pw[5PSs-?AWkr uAg9N#o=V\ce3̪4wmUp(D::FKK]F*Do0clh:Fia]Oj0F Mu7{YVI`ԇJ.pr^*AǴ:qqXp}n}=|ieu g[k]SZ@cRV%.Hlt]:rۻ;u%ޝf{L \ҥ.ڶ$y?j'L)/~ ޣ5^v͔k2k!+۶HFְ6!ʭ݈K/}_OaY7UGFh..K_uztS'{A7G-Hg7f{`Ps^Oo{_%`s ˅tCyzj:tr@C">maT=AyuѺ7N #;<{ܨѵ!f vy8G#Lɕq݌\;ⵗ /ّƶ\{-렮JZ9ad|nقGj e:w,ӎ[$ `2uL\xTa]:௘`xm`8]\kx@p-gʀۋƵzobkNJ,7Hyď!e}biH>.HgJ].ԏI"ǬTVg/1Q#۶ r$dk8݅sF',]c9:`Xlem{B@YS)h%l][Gx9J*rh&ÐL 1OX؎F6N#_L3^npTw깎d _>bj]_d CYvL>9\=q<7mqL8CBHG qR#Vo!/d}~]־hb/J?\j %˶A!/M7QTR}8%MT&MjӍg;w,[fnt* LK`KxԄPX]-L3']FVV~:M Vxc4nX->Pr 56>0zkEaՅ[b$l:=wr+Ȅq\:͚h,pY K;A?'Z`:=nȜl]iF֫ rqa`d~w[H7K6}wSPJqFv%*% Re%:,{=Z D>$5Y1=4 κ]+xxm_ǠdHs MFG}aSZ{YB̅Z,+)\2NqEڏ9Ae, bMp^t .=. \1"$\M'^î6f WSr-APX ӳˤfR}$݂kǛTRuJec[ˤwK\u@ u\>Y~!rqr2 O։9<\tL|c $G@.10b=QG_ب(CԆzAjc-o^;jD)f#ZO BokN̨+ /V^iŲwlJ^A4:I0VJToo{| *։mb eO~l!%2w9@ ;lϾ).h un^Gv-ʾC\~\1 S-RhR/9k\o|6Ej~αy4O5uzr0E+à zA]݂2ʫQvN˒v,jt\ĶZr}F{<w<;@OƺSݫ_ɝ[q<+\ÍS7 T~9aSjh1&hfExY/ۑ~9n }ńoy>ϲ+xxA2V6ptQsu\k=M KPh;!qT-=|h܂-nkОk;"`Q~r+,v=NEx5Z_>*q?NrPh%.ot#X`R))ܸ9*?_ڼ1u{rx2|u'߮qr"jU()r7߈OU O2T'?I>$F|En\S JVU|e\Kg;nY./˿)“o -t0 |dӇM8_uᗓD s9x$bgҞgBDPj\iGSK~B(8yNc&Sـ g)H?џ0/ vX3^l—&k|ܾo)7W$o2 䓅޾H739qrD"/ '4E Y4&DZ;rLk+cNͧ7+{፰ 3/pw@#sNW 3+8hWt>d*U\ǚĕGU&5-MuEbv_Xw+dIm)xnv/O~"ur @H:u??z{3o_z--{h\g i@67pA&hUaY};*~7HLJ;msU0\]8,Ong}~$|zU髎O#SgJƓH8[W&S3XYȽ2B?7ycq c Z|`<3?̀7) Nek-eV?< y}L\Λ.}y 8|0;VqyEeG. =lL]`N߂!N_ fítj/5a9v`6G㥐P{oǫ^Yu@,+żR˜Pfn45, |-2$W+1\Ia9lÞK,,A8qe"|SR*q1BTXT&* r4a36BM}+\萸s$qcv gw:z_NU/[/'x^ HKp; ;KPfRZ+[1W2^z{6Væ]"77d`;DӱQȀ|EIaVк) ߐE6A4Vbt]H YNL(!u6i]78L3e*Y/ &^7T9W6B(#dS[{j3D !kޜK#l{:@uԬ&Omjg)xLuœs7!mwhmģ૙K~;ZoGoKU] Y3-UC(ݡ/BbT+DVqiX~hAL 8]1\9p9ȝӶB7reumM2ObSILseIrWH­ ,IV\>A|j5"AvCr#=K͍ؗ:jNDƤe_iK;Ȥ|K[r- V;_a_>>Y^Oi CR==@2GqGZy<)Aa^kaj19'dXKmV=-}Z ܕ$e5F$`$_L^slnXA s-o endstream endobj 1306 0 obj << /Annots [1307 0 R 1311 0 R] /BleedBox [0 0 612 792] /Contents [1312 0 R 1308 0 R 1309 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8279 1310 0 R >> >> /Type /Page >> endobj 1307 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1308 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1309 0 obj << /Length 18 >> stream q /Iabc8279 Do Q endstream endobj 1310 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8280 3256 0 R /Gabc8281 3269 0 R >> /Font << /Fabc8282 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkŧn8>϶F՟5ŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9O_'Ŷ, endstream endobj 1311 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=139) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1312 0 obj << /Filter /FlateDecode /Length 3290 >> stream xZˊ,7WhmHhU,{aV=c`o眐nCwT:YYeթOjЬAK #86F7(mlҾPMQ\kQ[}i\)(Fb/ ǨR!getΦbcKq˧;G>JQjw.- Ձų :Eu-]@s5Bc&ʋ Pq}bՕluyE2@=S9kcQ_'z}@p4ӓ4 2/Rk*;}jv6$QNt)B5BVi'0I"oi PJ`IMkOKw-[][!)4uG}DǍ1.z,~OVS} saJW%LU%}߳1¤ O{:$Bϱ;.?6 ߪw9ƍdL.FFw&2JQ|vS=O388r4M|h, duzUp>!b6n&@ʧ؁R)" zh?jRCڄ(A_h}).MEЮAdOSj#aỲ#P]Q?u$Tp|C?74<_ #kx 2y to>,^ E9%UM5BC~oC%M1 6|MϏȼzg|VfK̔80%>t_y-RV_F?321efXOayr:nUd!7 %!E_K/Εa!8l:z9<ׇ9p[HP`7[8.=oJLJcI>nzig @.\a#8Jp =9Mmjt=hk_ DG>BJ vtvsv2ˢH!%v ȄdsTVF2S"q"׊E[ '71tbDu쏿d}=sW~y?>J<ԓMjt?ے7y Ӹ"aT_m|0vos^)ǖ/ڵ6},6G6.i,ww#??#) s9Vr1RӮ>;r&za 5T aGQyNh(^kE)x? UW-^;ܿ$$3Cͩs-|&V.Ġ]w&;ܒ΄(!䩵ͬXbGµI|9iaj;w&p4t$t%rrJu9j=ڽVnC_͚\Y=y챔F+稯Y_|%fg9،5]n(?5-y j=)bh;vd'CkpIMM a<H YSr(ǣC!GĐQsx֎?40}r 05//IDFk9wg,몃w2.T"'4! :7 R!Ӗ/+#B B`4 ZW^qݳ4Tj-c/HbɷKQc(rr)U \m $DvE\ J.! 9PXz|_tD(7ג)F %edLV|-3NƎJ?XrZш5uw-x tu,Ȳ.1yv^!Kn܅E9?9S&/&`{ԛ;y "Y ָb\xOeM¼3&b:D<|Er h`[~.婻l )K3&7d0bR!9c6BN}㱍>uI~B J{.uơJݹJ!캔E_Kʃqt߽?Oi^.۹En(0̤1B/t×% pi]wۏ`d7.35f}wMG<<_q>Yz71ih_~X4]0TbecP.TD8/r`2dd:R^ endstream endobj 1313 0 obj << /Annots 1318 0 R /BleedBox [0 0 612 792] /Contents [1324 0 R 1320 0 R 3280 0 R 1314 0 R 1321 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc176 1315 0 R /Iabc8298 1322 0 R >> >> /Type /Page >> endobj 1314 0 obj << /Length 17 >> stream q /Iabc176 Do Q endstream endobj 1315 0 obj << /BBox [0 0 155.6752 96.8199] /Filter /FlateDecode /Length 800 /Matrix [0.58455 0 0 0.57839 54 700] /Resources << /ExtGState << /Gabc178 3256 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xmj-7 _eɲNBɢtYB!B(3ќKdˏ_/yH)_秜sm|ĵzXǀ~O!?ޣmgb$pN9gQو$q#-#B^uh@,Tz*#P&.-6BɊ%ʴ+Njiv11BZzTuWrVh-BLS.R *6~LҘ#p* hCBL 2WyL e5K"s μBV%"WNzI$^&1v9gc[(zT,ɘz¶ɭ\`h̽N;2L9NE7mϊW1d'^? \;G`2\ʜ=$c,8WW_& ! m#Hlܯ0ko8Yb= %՜}ӋGXP̈,6=?:A endstream endobj 1316 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111810-08'00') /Rect [324.707 280.2499 359.973 291.2499] /Subtype /Link /Type /Annot >> endobj 1317 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1318 0 obj [1316 0 R 1317 0 R 1319 0 R 1323 0 R] endobj 1319 0 obj << /A << /D (unique_62) /S /GoTo >> /Border [0 0 0] /Contents (IOB) /M (D:20221102111814-08'00') /Rect [425.095 189.8999 441.235 199.8999] /Subtype /Link /Type /Annot >> endobj 1320 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1321 0 obj << /Length 18 >> stream q /Iabc8298 Do Q endstream endobj 1322 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8299 3256 0 R /Gabc8300 3269 0 R >> /Font << /Fabc8301 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nP >)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1324 0 obj << /Filter /FlateDecode /Length 4339 >> stream xڭ[I6W܀d2h*`9ms?_2+<.<Ĥ`,_lߋY43-?}YژY[!-~zG [v[)')h ^k{>,U?B[2k-jiyj?߮KᵍYۿT>f/V*X2SgʡzNW_jMh5-$l㍜$SVy] =̓'|K-Oyn{x+>ߠB,_oSm?- e[ Sbkl'?/@qOˏ(bd6@db }'Z\a)֔1z}Ps"8dYΰ˼ర+XEJe5lYkR}a36 *S,Yl^OKa7Wd*0sy(>Iu>ʮ.;|, +^8m䙛*0-ذÖh>.Jn,X;umFxaG%;&chP4Fϗ [b1Db)28xI˞cpc % V8PeQb葽a݇XӐ]<|nvpxh䈢#p Z>[ ,ft-g s9uNCo ]mLZu |B72cP~ʅM{p1'.%9[HB ໇MU/x|P!%qc@Ӡ Z Ym{6ί&@ piGxAhG:G7PYв^\D:1mqhWtvsxlCTsp ;4ˌ|3s6W/?n+W},@ yc9 6wjXx]yeFñ!ww;q ZLq,{XT4 5EzVL=Y /#g:AB-y "pnK.~5 I+|M1~Io95 9u&6MѶhj}bzV}Eq>KK+]i՜|Z9#=>-? 3a;ear}=oWpaDZG^Qwy%U桛ɰW^sg"f u` iVsU"۹0 GݡYڭzK\DҘnnw߿XՊLUz:ج̆oQ5M4r(Ĭ{ 5)Epvst-swZUkkWO#]:|[mK %'[wJSړ{Qᛲ7~ UA"/2ݬ[~UuV AweRu>u K5VZhRC1r#F ď?hzX W()׽圛O#t^J(Jq=]+56rf4JcGn)U9wpş#6XKSMMտ$@gUMs|wS\9w7(՜Ug^(Vd!^B ċ3TQ9Nw%)0Z7v ٸ  `D ]_lqcrM 7\kU/īYD퇻g{2 l>Լ|4U*t#UI?Nm =I$ׅ&T}S ǩE+Bc/0/7e])?O+{y6ھLO(߻՝˔7Xg=llwngQyA)+3/%?Y~~7R~R傑`eA~hr788~a6s9KBג^11?I#cpʏ ?zdm-9θ(O?&l!k+e endstream endobj 1325 0 obj << /Annots 1327 0 R /BleedBox [0 0 612 792] /Contents [1333 0 R 1329 0 R 1330 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F9 3296 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8317 1331 0 R >> >> /Type /Page >> endobj 1326 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1327 0 obj [1326 0 R 1328 0 R 1332 0 R] endobj 1328 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [370.082 396.1523 445.982 407.1523] /Subtype /Link /Type /Annot >> endobj 1329 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1330 0 obj << /Length 18 >> stream q /Iabc8317 Do Q endstream endobj 1331 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8318 3256 0 R /Gabc8319 3269 0 R >> /Font << /Fabc8320 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶Gwf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ( endstream endobj 1332 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=141) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1333 0 obj << /Filter /FlateDecode /Length 6744 >> stream x=ˎ$w~E T.1@wմ{= _vlIFF_A&uYbfF棶oj!o[mS[T$?/MOUnpv1ۗ߶zR')tܧܱM)U?VI}0SW|4@\ۗ TIt\ʧ"w/ϥ=wk{z>': I$/ Oڗ]b 0̮vv%285mv ̅C P/rN@OHSOpX5vCV 2](bG.Yg[pf;(LEmp Vc`4U{B QmY%ۏSX6)9xȠG&Vubq`(:&*+\t0MefƐpbgc[3,YM>FawvH1 q]dNePl6ce2)a3Ql8w+)J~-CۜH @DIP1AJ 1-"| D 8jz qdF!Gf5hqb<oyz`sНv LB O@B iɂ (}CO1Ra"T C)֨@9ܱ 8# Y+H#@Vt*r%Kv%xQ>JEsH8ѡ]{ݔa[T p}.xaح?_z\RS0J] k}`>䆸B !BFPAT]y# b.\4 ٨7UJ@\+ 'l~A)dZukT xNċ/&,4#)KZ)#xSJQABJ}{8pf,Sg<{ %q t:Zv]<eX❕ZBy\![Z\F6}:^ fVO'\5* 90kki_@`ﱀ8?LGԩ?ॏ8x6rsF9Xp=Q1HĄ {Q]3L`jl4l 01j $ؚ: s@UHp_^H*Җw4 m!hLڤzX΀wG:}i_Af;^XI<Z0Цf_3Qe˟nuS )%@ZsE ʭV(u-ވz9FIkJ[>KZiWɣheFXȒS}CtiesJZ2rjzۼ+@<@xzE 3&iG%!ÀsP`0t\ .A" U{W U\U_%kd^LQ#Xdc?v%!9;0ƹ\"%5߽ !+ hѮYcfpZCE[aw,< M\WOfv7 h: 읋Erfz?ttc~eKƉDy;; ;U$>#(v<7So wAR|Ô~|yLlR m>c C'+$L@p< %c6](+~%sD;.VoL4 bRc,^W]@[Cߡ#ҨO[W6yυs.~vI!sc+OyzNw] #tzVi|@"aK33^_k\@rU) wOFj5UU@sy q:|p bsAɜkioϝ9f[K WS!9V 78!gHǰsr+ڱk2F_m:?h覎3"Qv ٞ]^ۓٮ;S0X >I1Sv n.:dBj" Ch&MtԗkT}eܺp#K_ҡ*a͸f$#*Q-8P!`BaHp19} #_1iF2Ȟ?Ė, 3WYT- +qRMHdk$2x7"Uh95jf͕] |tŲUI%=lր]mA_lڋHG)?_*|{*AkT),U \%Ol]BO zğOxgt61=ӣrspUǻ0T%3!Qv2@q&F-LH삳N0:6/*w@UC38 }(658O2T^cem%LĈ9.lp9x˨S"m,"%6Aòp[=CГi9kчO[%7؆ BꟚTznGN⹜W@f8t{*lKmmq!m/4[? V{t6kb,%1'fEu";嚷Dy 'n {H}Xtz~NQ7xR4?Adƥ;QbWvGpX"2aDf_ {ݲf U}W[x ǘ;%}Quj+I@K_nc \GjԺp,BS\c•,9s{RFU\,!+φ Z"LEb")j,Q{d>*D퍾_kiͼLq \sȜbQS/optAke ҅FKtwF۾SvU(VBjײ+2GӛѯXs%@Z/]$ƒlb!‹/BnƌLQ̮MTO?n\ЊoxmlY~ӥZLZQUV栺08=*nYd} qDn: ̒e.d셛.-LsG7_ Cڀ;hgGqEsUPbPobWνJWwJKLp6kzJ[T˴g[Ѵ R+eG.SWHM^^dN7%vɳ7%7^ӗCVgtS%[kJ23(9_Q4~>@GU[3/~JKdL{Cn R30>BwcӢv۵.̫D;RZIyh-p$ek41[#+c-1!L]EeIȃ(@,km uT*!Em2% T&1_\@Xm_A @~8|ö˙ޗ,8nsNJOv8S^g1\m l7Ԁ.\Mb 9yOp)a[-־WjP|lu5Ny~Fm[WF䗜nI\Xt Rs0ǩչC(b g)+}2r:zgg Lͥ\э!einܿL\XP\wQ(\EGgbv3Aϟ3Q/EMЧ y1xx@ ;+fVuv][ #p$B<"!.jǃ-9l7=)i2w.ƐbB۟Ŀ>c*q{nNj=)+>wqp6ԝm]`!:Qxh0pĂHg6Pe1:E(hZiԮ6Fqvj /BO ;Z}EtrtAMg'Ю܁koLzN@j*ٻFK&|ao6}:iĢ =@iqu0j1`P \iGޠ=apxX Ad4P IhCO!<-0_+M^m_W+p*iS8k1U]h-LxV\`>]}4+81gy` K1WޜT6rCQ&(tUyJ7Tӷʩ@fSHELK\iS:xCkw9#vlt55l͞\!D]5K = p2\il`($= g,iM߳=Ӯ>h'jDPQ迕Sc'"$?jΉ/h֘Է9/0aIoF_Wk 9&K5֜cq<3R鐝s5(jW>"Tij QmH H> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /I1 1340 0 R /Iabc8336 1338 0 R >> >> /Type /Page >> endobj 1335 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1336 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1337 0 obj << /Length 18 >> stream q /Iabc8336 Do Q endstream endobj 1338 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8337 3256 0 R /Gabc8338 3269 0 R >> /Font << /Fabc8339 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nPOvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"*pm(<8יq|mau3l?k!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'Ŷ@n endstream endobj 1339 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=142) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1340 0 obj << /BitsPerComponent 8 /ColorSpace /DeviceRGB /Filter /DCTDecode /Height 398 /Length 27106 /SMask 1341 0 R /Subtype /Image /Type /XObject /Width 419 >> stream JFIFC   %# , #&')*)-0-(0%()(C   ((((((((((((((((((((((((((((((((((((((((((((((((((( }!1AQa"q2#BR$3br %&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz w!1AQaq"2B #3Rbr $4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ?O>ğZ[A]QjS*F3` c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II?c/*X8ğ4??r' 'II>,S{=%Z@u";^0GXK+@[y[ߕ >PV€+}畿_-<ioo~W(K+@[y[ߕ >PV€+}畿_-<ioo~W(K+@[y[ߕ >PV€+}畿_-<ioo~W(K+@[y[ߕ >PV€+}畿_-<ioo~W(K+@[y[ߕ >PV€+}畿_-<ioo~W( __?€m~S(_?€];clS(~PV€+ܫLFؔG@_ŏ*~2z?@ .'0TQܞ4u@qdT=Ϻ???Uj?*GOO@vYor98e=C@P@P@PYC-S" w~[(ξ@uߖ ?P}>7€-g_ύo:|nw~[(ξ@uߖ |&Ԙ[(P@t ]4Rd @냓5MإI\YKx%Pm(Q4Mj? 罚wOu3uFX[#=aP@8@X/ ^ ZwSA( / æ:ΕSΓހ?*6/G@kp{PNJa@P@P@@%Y#DŅYXѾ@]?o7}?ƀ4hoF7whѾ@]?o7o(,*ұ=@CP@t ˊYZym2"6g=;P%yX 8劒J{(p PMeIķ1*H#9_> (Epzh?jGRӿ P@t:F >Z42h.b#9>nuP1@E!<hH\ %J"+ڰ;pܒMUe?3WF c?s4P@P@P@qM_-h& (̎_%I6:@P@o@CP@t6߽qky$o+8^YBx%^$.^hc11Bh6-g|-|49 z(_:TeaKN*"@xbcK |o'}1WJ\Cy,G(X^Cmc|n? gWߒhxYnhX]$ oj {_h* ( ( (7Ҁ:wHPy6Ѥjϒ#\|G'ր1nlVKuw1n !Ee1C 4Cjw 8ܐ>MP7Pfy-At1WSف7$L2 %dB<.PaCyI%2>A'-ր9f4f걠E@:PTP@t "KwoyeN>OixT!krI{?fr[@A+h%w [Y]Clʾq@TP@.;@u?W= TE: (iX0}OH7g_ IbTA2`JXF❇T #,C6@MZIqJՏĺR}B̀FpUPN:*}$W߸1D1tj ('JٺeZO"CpP;UVU(( (7Ҁ5R@&Eedz(ѻI ISPG(;I#<]噎I>x ضmN+WTXȮe\}z>_Vԋ j{esbnh @ _ ǧC( (eΟkw,O4[Le g&MK6i o$,cc(np óZNe^¦݁PrO>aȠ> (Epzh?jGRӿ P@tLyf3ƀ%5.'k Цs7pq@NWۥOȮmn鼸`'ȇ'@U;8Ą_@P@.;@u?W= TE: (K0΀3['M5I#U"8$fK{E4CqpFV<@ 0JW7U2,Z= >o~\) sy z(F?&EdP(h ͭlC,`ɰ84'_Үk<]ܤ12;9+zP/H\L i0v4-M>Sfƒ M6}Khm3iqz N{g xwa$~F&e׭{G2چ>bq? TP@I "'CDR,cj cj cj Ɵuh, i#* ^ (Epzh?jGRӿ P@Ocl׷5-@dIfnIeA?'@mԟMNCvO&*Vma5 &px#?Z@b[HѮA(Y{ s@,*u .1DB8Z@A ,Vek`v,RZ(:hW!9XiVy%y%Hwg.PLz,i$z}F2^= ;Wm@Ӯ@vim.ܐ+h-e5 ΤV! х->@d@wx˷7PO@dК ( G ro"k@4Pk+uTS6|=!.''2%QUDTp ܃@tP@Mikqy8&B @C_O?ώu-,nPҿ(A+P^,[R wlĠ pQu\9(%O_@|7(P@LAznv}1~4;Ǩjq TIс;ss@)(uH!ehsEkxWCڀ1 {_h* ( ( (kkqtgh|MR u=Fbl~\}>`@-eP@P@P@#P97赠 ( (oNN. `F2M;~Gi!{Ktc8V A83@Ϧ73%ݲ /4@j[F=?P@P@7X^C ӨQ4|Wqkگ:἖SrW[Ro[Ro jmp>WĠ pQu\9(%O_@|7(P@&46W&A vz4]-Z 9X9^;9r(%Y#6mV9 lހ2([›wSnm+CqY9 ~O\ijn>A*vf ('JڻжJ"{;#\G u=X)9Qxdq>h (7Ҁ5S!ɿ܋Ed@Pscp0FɁ+h]oCԠ"E 2I'@Leʨ26HrZ΂i-Irʰ Sc[}=Y4sn _1x b@EjxXH|( (}hAk4+Kp cɟQ[H ͘s1ܞhh-Gb#yckWB`Yc=9gcQi&3 3z94P@kmٮn-p-ȫ$P^:@ ]$a][ h»=n[c$12S@=sPWcJ5{ހ)iOoP-yZAIU2E&fu(Sw:&"YV쩹oV"{=[[-7A)Y<0X|t8?Κ=*եݞy_ϬGգ x>ԨcIWO rZ-m~\hc۷ 'wz֞r:i֟R] R^N7/]SVߧj7R^Os)Vy$tv +P0}(_oZɠ7O2_Y1r;R:TOhu=^IV}s:C0Xe%{k3( 6wY q[I`g_c$r"B;P=[Ӯo$T~Ҷ,hOkT2 xzPEP?otZ}u\[ZM, 8s@`3\}_!U]I?C@ ( ( _ ǧC( ( ( (Epzh?jGRӿ P@kxKF#u<Ʒ_z̰ȭ)d +9s^P0$%!q|joW4ȿ]Gf sP@qkzu#Ɓ!Xa/4dj<4{}/A-{ي;yl aՈ#w}s}d|j&{*Pz(&ToNb3@vsc1ݴsYNHˏ0b/3]iQ抶3eZJkwuaAm܂@q]8슚p6-0=[Ҁ,i-> +@io?^V/>"uO՘5z@P@P@#P97赠 b[Zi!+K&QnGaCj ]58cP Uޫʻpbsѷ#P5 Vݎ* +@io?^V/>"uO՘5z@P@P@6B6}(4K˻'HU*Ŀ0GJ{KI&C-+1Ȕn9 MqI9Po,_@.4,hӮ|^p- =w:6ݦ]vhk Mh|ñjxOV {v8"y7rNI@( (W1&2J( |5ܷne+i۴ce{PMc[MCM X ܴ98=( Էb^2?]v ,S{-;@_ uPw*^oU>bCZb=Ôl'nlO{7n n.O]E+ߝ ƜW ?> i|xO=><VAb#r/QY\P@P@Pַ24֒Wpukm^8.Z4Q^KF{ˉwS@Pzj1oIi Xɘ&By6RC}gF8 -\ÿ́};7PgBA#  y,GL-B3HikcX9tUNT={,:P@P&lnI!xMr`ڀ'V@04}HL>դ&o ?M%֡jlvV-n$evīzeP@_ŏ*~2z?@ KL̳*$H|?_Zb_4ac|JlO{7n],k&dm'^8?w3ȭ~w\)NW =z 5,N :q@oM?com_=kG_.s (IXit-cKI8txܲתN=e\DxF)܏뵇*@P?okCZɠ ( ,lx]9kyxQGs+^26>GosC4c(=Ͻet ڀ7& F+da7{̃hϭPeGR -$Unqހ59\j} n!O39%pI3=>DG{=h*(4 >=OQ<ҴH"y .3(X𾛦ix:erp2am箫~6Uq-͝iRXKvLS$Lwr0}* (Epzh?jGRӿ P@w^l{Ƞ!J\~ /Е*؞w{7nu-!#q<:{>MlA4Ҭhוtd?c~T5r: GN (@io?^V/>"uO՘5z@##gҀ5Q!0赠 Z͐e2.*zip> RO*^Y2HrH=UQ@}(}u\Omi4*F h+[J_Ҁ+P@=Ŕm ۹=(|}iVvW!ʿ-k=[h>+_Z{h"C{`@ ,+{qbyXi9+.%#UTQ@( zt? Ҁ (;7 ʗH܏ڀ1O?WI)0zPE7v~kiaYn(]qmn *(_:TeaKN*"@?toGuhϿ@#OQƑ~s (͝BCvX`Ь}3Ҁ/9"BkP=>rr(=2= @5PJW?fi>g_L 뵫&x䳸YˆXWˏր+nYM}$^SEp~L呜|z^wg@0ٱ铚eP@aCu4sdW!N(zb;ˉ!] tP@OA4:P@PG+>[{H#{YO{?H巑VI"П*Q~P@4վo|#sScǠQJ(?]v ,S{-;@_ uP֗sn'ãc8aӌZ֥ J7ώ5rnhº~[#]O}{~hV Wr0^??땻?ܿ?7׿(nw/G?M >[]O-B}Bkq3nv yNRݞ<5(ѤW6 (lxC \ak@4P%+P@ @#P97赠 (杧~'dC~qKA·M;\q@wrY 9E6q+P@sLӧԥmj!I%m;@p浊5!VY%HH {9 / hK"*4r113~<@@P@7.t[&kvRg4_\דQ,f.9 (REAzˠ pQu\9(%O_@|7(P@dl,#UF+ O&-GG|@ hJ4y'j?Mv>Z@Dj?MCZEc\@\ N @h,`%kc.0wįq@XMrWlnhc rp9 zmFo ay?4<w ,pdq2=# zԳNҧZ3F=O@h/ ",d3@ Z8ck2B1S#*eVx @@8;R Ks5 8b)&0Br~c.iPZ$V)$`ǾUqSlm`}NK_Z3I"Bހ)Ok`;V?@z&a;P:>x9x/xsL4?X N,=+ F:|Ѿ秓^+׵[[f*O (Epzh?jGRӿ P@tNLn|o~]=@ktF!KE>X&"/P[$32V̟MIԞMc,B -I+(ſ wX`hfVr̀@/t֯ MLqwOl5@F OuuqvSI)@w``v YXP@5Ō5\JP.&]zYȑwf5 7鏥Z/tl K)۱hB04nlAFvSZuq'?Iy}Ni-dr<;A9 KɌI`u6+Kf0o3⊄h67v i#* +P@j[F=?P@P@uv}hf075`Hcd$0`xZ` Z/ fE( x1=_2##yG=sPWcJ5{ހ)iOoP: j84qc`Oy׮sހ,֭mŽaq)ʳ'`jKOuj (zPEkxWCڀ1 {_h* ( ( (7Ҁ5S!ɿ܋Ed@Pv^MDb y A:7:|ڼD0H \.?x'Ҁ3/./}V{Ɂ^6yϴX_qy|IPy-'1La 0==hW1&2J( ?]1>pM'1ֽ 8ssw'U7IR_7w8h0 G)Ӻɠdv]Ekiq+mHd&˧NU$vGOx:[=sXkk]o^yG_j+T^צjkYmϘ<_G}KX$Vm\] a5 L$i(UfcdEƓnﭯCıD,3D@>zk=TYe;[WIGK+=l%VыVe a-ek>(_:TeaKN*"@xcTu.ȱ"o6뿯]s@歪,ۋ6dʲg;Pd2qHB6`tdP5rzmEMdlr̊<ȕ ef]ʫN/e|G|eb@4P@P@P@[Esr-Oc/Ϧ4\c} ]&X_nƙ cP=K)grӰ Bc^wFݶQdU0jGv 86@4Pzs@ v[X$kfn@ͅAwd[OQ Xy|>:P'RmђHsɞ`l8Qހ3 $I${PcMdJZ(.֑|.Ypt 0FRTl^2c0U6eLz _/ռiL%&Wᨵ?%ߍo~*礤w9&EΌ6KUiuݶy%oj\ZOs)5dqԊg[ ֛Sek&{!izyĘC#jjbjU,6\OkE>k[Vކ-`za@8@X/ ^ ZwSA(ˬiv,yțozs@WUssqeqwlbWw2;ƀ2B>$ΒhKB85B5ENgpkN=LJ%CQ%M |H淕:U%N>)ZN2hjfekΧ,q&I@;WO/c0,ȣ@W#ƚ&eXY yGJ͕!'W>*8S抳d/UVKTc<Р?]v ,S{-;@_ uPCZum2 (Lg}r]>i=z4(:z|ni^]G h%n#񵫛KcX_<€ pQu\9(%O_@|7(P@^Zf??C.}Iwo_7:|nw~[(ξ@uw~[(_^=E ( ( (J-ܚ̯ˡ,2ݯ|P_uߖ ?P}>7€-g_ύo:|nw~[(ξ@uߖ ?P}>7€43cx wTIf)( (>j{'&K,I `W)Kz|bqJ>㯟mκeR 'hn?J>]^ژ ( L`XI29VVx"&Vz>tc>h0 (_:TeaKN*"@̌A,hoF7whѾ@]9y%䟩@P@P@eu P{tp/]?o7}?ƀ4hoF7whѾ@]?o7}?ƀ_A f@P@Y+[J4:סn%Ę)rWa #_qG0a~?f.56J$8j'^Ei;|6[Pdw=sPWcJ5{ހ)iOoP ( ( ( ( ( ( ( ( ( ( ( pQu\9(%O_@|7(P@P@P@P@P@P@P@P@P@P@P@P@8@X/ ^ ZwSA(F] H8=hY.,f(1HCe;kn۵w? V$HPx1ߚ6m[wq@ p}@A} K%p,r'Rxϭ1^7Q@GPGրX(V,{cʀQwi@ ȸT fY0ʥ\@IE26*z;P( ( ( ( ( ( ( pQu\9(%O_@l9~@_qamciI 4'>bjЬRm"ܫ=@G*Qy", qހ1Tss-de o~{Ԣߺ_ym(r>4m;%'xǟQYm<14|dif #39€2t&k0Y2ۓf / Cy5zqn.n + `\=z~+O%wo##a`8OĿ67c#.Xsڀ.]O𙭡Td}ơ45-qb+sL{PWkH !K@õkhEȍGA__\kv]vC>ۆv{8@X݋cHQ\8C(^zMs{t!okXYrIZˠ ( ( ( ( ( ( (Epzh>,x6xhC`֢k|$Q^ hjŅu]>b^- ^Wc {5kS4X!  xn8'$'rƥspc23-DMpIt[u (nvH6".yշw_WK ~Wo.!΋.jҼͼ$秭_#V^mJ[kfOF&)2 9r k{p|ٕqяXٕK'3v8'5݄撸:I$R$Z0s,Rs -.tkч,wq4vcRM]ybY'2_X:A9#hνqi-%ǘ[;@?/ː`-h ]-rXY /"VXT 0~\cP.y+b.$Ct8dxre JZsu%̳Ͻyg x(? 7€ |x(? 7€ |x(? 7€ |x(? 7€ |x(? 7€ |x(? 7€ |x(? 7€ |\7P=@z&?^+tAn5 yhnqը=s[ 3Mw3$cw ppo9'g6ъRumirYJ]EHU!PXvf䕍[MNN{97*|\6A}(FI7skbq6AᬍNQԯb]0HU sZVkdtڮcg8Xk#Syaosr(r8vz{ZhS?׶53$0`K`sE7d)ћrºfhrX N=D\OFm77q\=;< NQM>|Ӽt'C$=2 g,8[lGWs%6r孠H$)&T  e}~&Aa˟4}~&l@.o˟4}~&l@.o˟4}~&l@.o˟4}~&l@.o˟4}~&l@.o˟4}~&l@.o˟4}~&l@.o˟4}~&l@.o˟4}~&l@.o5éWVS$ kWuk5 Y$0@ʞ8"3@2?+ o'4gBAL9?̳*ܱ?ڞ3PY \c(ϙslT|5SOhT|7?> stream x1 g ?i endstream endobj 1342 0 obj << /Filter /FlateDecode /Length 3606 >> stream xڥZKo$9~F2b {!ImZK~fό]dXobw4o^>s&ESA2:^@9쪠T 縼c3.֪?ǿߖŹ5G]?ܑRאq*]^Z]ʍR, eHV_@[]ءnyx1qɤUZ`#b^q[K &BImmDrXc*c/"$e92eX|B"#dRs(7AyrBrriĶ Ww-A4"BT^pNuulGA6 ʜj]hJL /&(&@<  8Uiĺ;`!mG_,N(ئx j*8XpY+g _, 0\fڀD&%6K('(!NnnѵL#>'l%홓u׃F#ƪ jtS+GpÚRYkԽ%0\`ˋÌ87U5Z*.Kŭ9հL%) Nd]Xq%ntcV SoK}ߓk+e\aLXN14&h\+qxpR\966`N䔴s_dhP)ÃHgԐiuT>i@^V* UxހxzJXXo\ƇRWUV=7 >;o'xzWY"F`qA=h#6FiKo~O[]W!(4=?/7fͧt#2̧wq{7κ,_wX]j C<ӳ+3X'航X}퓮(K8d$=Z[;t;ƼAֵ2Ѝǡt(b'׀œ HG qAWF 6`ˀe3@x׷!jXLsğ8,7=(K^w<d~+*iKZNꝾS1Wsܡ-$6tcG&N2Bj1BupQ1FT!M@¦L獖>ήsA=.,ݛxw^\t k7 {}CdJ M1%8Q$]Q}qa6ܡNPTE"+5.N;$݃;˷/ &j oE ) 5h|Cm41(r/>͸ mDb#D؜XP.0]eH9G |hA@TaE,J'3 L%OepHNv|ZE#l;_$1{ x=-sxԳAdecv3]2eH'\Z2& 2wGN;#Ț6$MȆ0E$u7'|s = ?7赲*'Kŷ'?GwᣗpwAu:NXP?&:3tQKICUE5qD"Pny>OL&6\ W88t'漏F<6E[D@k*!y-6Z~#oZ)qo#72VTxPB>#I%%Ų6qR53R2P`peV/1զ(=҅!1`' ǠVZ/(.&n+3iHpR ړ]\`7U{Ȁ,`]1~EfԄ=NcG 9--[|i֔98QlzYwE0h={1hu)C7,ll r- pw˾5o/)Kg9;ե,/H]yQ'$#x}!YFu:a/" X]h1V k ԁ%s"G͹6{|sDx y"Nnaeϓ#h q вݸ~yy50m+8+_4 .hkb&A`+a%iS%$>5U F/]-^!l0 vF/Ѕ6SAH:OzQ=@ [._s/k];f`r8(ݢ##aSߒWJ^Hs)}2h # %aa bah^^%ѓ r/P 5;qt = ^a?!@(dr0qޢDPvLl!Ff0^%w?|No1`hy BhFS+; v:tA,Gq&1\^^#ґ m ܺ F>^K<::Sg˭bK1ŚPDhV"MYg[fp 6B?hעS,h^?+U@ha/E"?(+k ݋gx, nHQʅ0y݌ c{ En%E֟TL^_l+8W&("+>ϟ,UB%i_Hu4!F4a hO\gzpS7fAc_n7Os(.7X&#)D ]DLҞDQB3i2~xO] {ǚ>[8P\4oHy#mm뵨AbZapTi+? I UiS]eձR9WZoY7C$SW_<k\k,Ys$yQf^Uyy endstream endobj 1343 0 obj << /Annots [1344 0 R 1348 0 R] /BleedBox [0 0 612 792] /Contents [1349 0 R 1345 0 R 1346 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8355 1347 0 R >> >> /Type /Page >> endobj 1344 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1345 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1346 0 obj << /Length 18 >> stream q /Iabc8355 Do Q endstream endobj 1347 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8356 3256 0 R /Gabc8357 3269 0 R >> /Font << /Fabc8358 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nPI>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5NS~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'ŶF endstream endobj 1348 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=143) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1349 0 obj << /Filter /FlateDecode /Length 3174 >> stream xZK#Wl@4Y|4 ` '0v^_nI];مT T;xDKrJpܶ$知DKW fI 1䏵H0: cpQcsk%+K z@+ɂkBL>ryP׳&1甹h";[Jyɑ]AŗPR>g=-;baKĠ &KcdRTp\5CGzy`+ynXJbcx^W9{/wab9Du4ܹk?=/|]besWM15wd`֞A*=I/9鱦jfoto{=ʋ=/Y:1ne㒂jf`~c4{]URZ)mW LWEګlԐ)|@{X:ߵ{`9x-{Ighm"Z5)hMWMc*kv-Vg3*$ۊM48ڶƽwjHC-C- ˢmkq W}{nC=qyz9bӌqe+؀˪!jmyĕ^[hZ]exĦGus} +ag tqr,Lx[=0Mu{)F*ĐZ4,2K9Zj~m鹎u ܞuR^4yiQm&D߹.nLH6h̶PvܸE`h܂|bSҴ=)( ,o>x2& rłKwsUeq~>6g6\`l&)p{byl>]xY Ia;,`A ep.3e4Wr$)Tx=x6FE E| gր Q޷lW=F;"K(?IeX+:Cg0- ߱w1Iy哃EP$]VܠAM|A 6 9zэx[;dq.v$1˲w^,tAB.,RNtk^Ռ,k k" ݚ[4E RE„z+nE/jLyp%r+ls6% KffQP 0a%?yW ukj].Qs=Z85*sX!( jJX2?8*ٚ3dC.opK/XdL8Ev}5WsVf"p*M `Q3ٹ3AQڷm WW4qb q;vl?'v(_Ysmj+#BY=Z>%}{kG_;M<|n{4o]~jsn|8C _trVqҤ'\xwbSZMRF]'coG)[ 7gi\LiY4i |W͚崨VeϸXf?fB5rw]%|07v"KND*b~*秓;3\סy endstream endobj 1350 0 obj << /Annots 1355 0 R /BleedBox [0 0 612 792] /Contents [1362 0 R 1358 0 R 1359 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8374 1360 0 R >> >> /Type /Page >> endobj 1351 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug904-vivado-impl\ ementation&resourceid=nxr1616477989692.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111810-08'00') /Rect [181.0195 316.55 197.9815 327.55] /Subtype /Link /Type /Annot >> endobj 1352 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111810-08'00') /Rect [457.653 316.55 492.919 327.55] /Subtype /Link /Type /Annot >> endobj 1353 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=read_checkpoint) >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20221102111810-08'00') /Rect [446.49 264.8308 537.3103 274.8308] /Subtype /Link /Type /Annot >> endobj 1354 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1355 0 obj [1351 0 R 1352 0 R 1353 0 R 1354 0 R 1356 0 R 1357 0 R 1361 0 R] endobj 1356 0 obj << /A << /D (unique_62) /S /GoTo >> /Border [0 0 0] /Contents (IOB) /M (D:20221102111814-08'00') /Rect [90 462.7 108.997 473.7] /Subtype /Link /Type /Annot >> endobj 1357 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (INCREMENTAL_CHECKPOINT) /M (D:20221102111814-08'00') /Rect [90 330.85 240.854 341.85] /Subtype /Link /Type /Annot >> endobj 1358 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1359 0 obj << /Length 18 >> stream q /Iabc8374 Do Q endstream endobj 1360 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8375 3256 0 R /Gabc8376 3269 0 R >> /Font << /Fabc8377 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nP)>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1362 0 obj << /Filter /FlateDecode /Length 4447 >> stream x[IW@ h40rp<@AN؆mD-38gIdXLf^Rӧ AZ:}&c?lS]\T/9&福Z[/Z.sXpGg,@obsqiN:? @Fx>h==M*bQik'qO8%ܧ'iÕD\2 $:8J.uux?K*P9Zdo]?N ;*CF`7EՕE;GJ@7օպp/<ݳ0F m*~$ddC=;Rq@l_3~poFD ݦD\c @y%x`.y.ا7zEENuIcx}jStjNiVUstK)&XGrt.w=~yP5X\gu܆O_6ZkLԫ9՗WpΦ0tɵ)h9'6O/8KKP pD3/{wu#`sa1x\6,ȵ71 HS'G\1v-2A%L8Xr42s-uok%cHc+d@&>1~JCF2gn 4K[ѕ a~),<xr+!N 9[:%J䒵 3(@Y? @\>"$%D;|ND\1p'<|bs*ԁjvgE:,X  Kw1i[!qӄМC6D+->m9,:WsIuw<':1 BS&R? vn{<XJ{]gDFm lCIN3&eZ2ϧ=b|V a.@(K& 9c9XPM!x'^|S7/)4!:URj9{z̓E$JD.UD-ߓ+Kv]:Yr5:BX86䪴M&@)/6W\T Z ݢ#$j><9jRHRd^hq!Z'y\)[B]q)S c4,V{Q13% LG+ɏf\W` FT0"2WX0; VY%T wQED9@M:w)S-Bd#xZJ~CZ.,>ǒƅ-ضz\#ka'^ɏz7jHY+*pr b*5׹KB b F%1-,VԠ)88c׀-7.oˡdRZcC^2z-FM(whf_ZYj/B ZX+;V;A"Ed*b'z FJ"7KjkSmmz /lV{>++@9O gh /P'3vϑ Bq|ir3#&#:#"vE*aqK 8#c]^)`0ooֈvKiS6_!Pc`^ .5+gvoڮʅ5!__m. 9pGP/s1p@,q/5qT*ԛky]׿N 0{v4*g\ٴs+wiHZnw/ͮ%*:Q:t^K[vJ]6.=k K"7R=[z *K28\udkGoenhXi/ V?*^Y<6k2A%*^ /飾zl>NJmnk܂n]е[9 nX^J\tac-HM"m9]b֯s7ĤetN{ѥ{6")d)ճRkBVj#˒yK-A `JvKq,N'#l.~FepY~c=!EeDb6r `of -cl#zgcu{Qd X7Q%DhChܞ`r VA;uV5{|S]Y7ި{(V` ׾X6_fYbAF,XSei(˒?=r6^y߃-.y4p_|w{HŎZGAM1y1#XG+1{$iČL}즬Qը#H1 PM`gkʞM008㦿]4g؎ `/6%7¤3TY2}?S RkIκɩڲ7F# `FC;4T4B:'4mA}~յNvӥt_uu7p*>^KkB׽c}y,jKA8t "_6}Ȑ=x4fu'aW;M--mr{@сZW,~T\ʄ?4 Z۵B<^lO;5" @:?_#u2MJ ۅoXS_K0TJHq \H|!\)Je;T#Ғy#P+ Hm7I^^tSg7Z@oQ:#%M͝>EO.syQCl ,)~jQ#x]5(C+:-/oҥd 5f)@!$,-U7&V,LlTX}*fzwL7}0)3h b$tPB=F7 I$6wsLU\i[zQehZb58l miű/FO;M -܆k}Տ=ϒi7h#{QRƢZa~ByzI>i!گv g[{QƱw&tU>?érP>kJh69+oڪ-> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8393 1369 0 R >> >> /Type /Page >> endobj 1364 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1365 0 obj [1364 0 R 1366 0 R 1370 0 R] endobj 1366 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (INCREMENTAL_CHECKPOINT) /M (D:20221102111814-08'00') /Rect [90 156.8346 240.854 167.8346] /Subtype /Link /Type /Annot >> endobj 1367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1368 0 obj << /Length 18 >> stream q /Iabc8393 Do Q endstream endobj 1369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8394 3256 0 R /Gabc8395 3269 0 R >> /Font << /Fabc8396 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nPw/x(RIE\1%sw4_qR0qdd5F *c*h;ɘO $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_I٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>?lIHB_%e:3ϳ-nQޙG>x|1$ɾFxy /K"r,,dXy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1371 0 obj << /Filter /FlateDecode /Length 3992 >> stream x[IƕǯQ@Weqs̩= T.ދ YҨJ7-nR_~[-).d߯1_?ŹOҐ7k[%˷>Y룵)=A=`*Dmrs<,|~|m%?Xpwxy6AyzX+k%&p钡 OQ 7rWt_̕X&5(SxH 8A̎Sj>,ݕ:uk[2]۱X+=7 'бEq{GX ~*aD @Yu}ܰ(ĭTܜ/ΎÊk^Pj~#4['b5:|XYe"jX`G^W.:n]kvܘKW0\[*FݮB'owWxDJG$|Ki##AL&/8@vC}zϝk?rP_s/ 4L>mw Aw Â> 1St׉5ɞ}y]O9Rik*92&pOx'Y7s=Mg*xLsTs7TuB895>ZzW:=]_U=[?[-NVb84L'wwebNCYIe'mA j%r\˂8Jam\>?OX~M{Rr }V(@M QYf"bldE>%kt+%x9 8^.J U}]K׹E5S'y;/xlj~47]Hg>9\Uk >jԕsJ&+qs!Aڡ{O͕yLr"!n+Π*—;4ߵS?w%r)<Շ߇;:#^:>]<[֎i_~,|lQaHO?,A*ƹřS 2dĥ*8]Ƶ '%I 'VFq8u @%^!+`2Un& D6͔sVyfIt~Qc2Մn1J Kp')^t~dRX`lfP[:2,*l0:8oźdRPƪ_Ѡ8*\rUsH ]H S2j dS4˘rW(bTŐ_z4ѽh,zRJ T -2顎),0pBH_dݒ%đtUt+DnaN}[箮m(QYRHS= >!qj{eu;l:tL0'RJe%t)<=|xRM z6GÜ:ļ- VA!޸?(ue_qnӶ_~:u 9A][zWzo^w^:?;40q3Zm;9m鵇~us]5GT  So#D!/.pz㐄gq^bo|UfkGgM) zUnbf. o fY{5=j2ҁU\z>3hp'Px qjCHV$;L:_w0!*m 6vRNSBFbAAo^Wwz 8͚;2=MϘQ;'̷.M{ň0@`=K\Iٶ+s~ VWSSzĔ᥽KC^@R{wvc69=5FЏ:eS3F}cJB~qw=nVvL)GœN1]H'rwxv/K'GT¹FPǜWQe@7&ZW{9^l)&jT d];@ eÄ nze+iI9p{VtDNKB_{t߆iSkU#!# ʋ|Zwjxk~y a7J&1dx 5!5 Ԗ겵J'a-6mJظ y?b yCW?%u K랺g //k&f(-lψR!ecak[X)6^Y:;!÷RͨLR '!EǞq  3RP!m+T'^ۛ1qv-~HZ-e(6-1`*cf1AoG0 pKxrPQ|EU_tފ}NuˬQqd+1[@Wj/+tM'9;Ho2^dO6kh1VE'K̵Hj@gǏ9M+ug ({++n`3*yf\}3l7˾wgE 7j4aږ.+/pkX;F $ +aI;`ݱd0v ,IkSahjQ_x&@[ M#Cׂ x&zwG.77="3؄4mG]@{tW3YJWz6PʝO!U}ޠhgڎ; /jK$B5 '0fK_m1VאkIVd|mm!}5 z?ݱYPȰ~c2 wg0n%W iZjבy BhFRitE~L/w; o,PD:2AMh+HW:L0})<::S3 ^PwC`LK<^P"[A4+Ɨ;3x]fp 6~.ޓ-hI;C !^D~`V4ɽXv`;b&n ƞ؃H??{AY߱-L6G/;*5Ε -0 p[֞5Kh@FMbf{ ?3"Ӌ~̥KO  H-#>яssSu-M3T]q0ӽtXRYWv(H?8VǗq\<".TinE>Uw;(` V+эLa7D)pQUn3zwe~'EVL؂ Y`(YȪRBnqNe yi<Jo endstream endobj 1372 0 obj << /Annots 1375 0 R /BleedBox [0 0 612 792] /Contents [1383 0 R 1379 0 R 1380 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8412 1381 0 R >> >> /Type /Page >> endobj 1373 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111810-08'00') /Rect [382.0445 591.9499 417.3105 602.9499] /Subtype /Link /Type /Annot >> endobj 1374 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1375 0 obj [1373 0 R 1374 0 R 1376 0 R 1377 0 R 1378 0 R 1382 0 R] endobj 1376 0 obj << /A << /D (unique_67) /S /GoTo >> /Border [0 0 0] /Contents (AUTOPIPELINE_MODULE) /M (D:20221102111814-08'00') /Rect [300.507 649.15 429.6415 660.15] /Subtype /Link /Type /Annot >> endobj 1377 0 obj << /A << /D (unique_68) /S /GoTo >> /Border [0 0 0] /Contents (AUTOPIPELINE_INCLUDE) /M (D:20221102111814-08'00') /Rect [90 634.85 218.656 645.85] /Subtype /Link /Type /Annot >> endobj 1378 0 obj << /A << /D (unique_69) /S /GoTo >> /Border [0 0 0] /Contents (AUTOPIPELINE_LIMIT) /M (D:20221102111814-08'00') /Rect [223.969 634.85 334.145 645.85] /Subtype /Link /Type /Annot >> endobj 1379 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1380 0 obj << /Length 18 >> stream q /Iabc8412 Do Q endstream endobj 1381 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8413 3256 0 R /Gabc8414 3269 0 R >> /Font << /Fabc8415 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQu[/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/} endstream endobj 1382 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=146) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1383 0 obj << /Filter /FlateDecode /Length 3685 >> stream xZK6W 1@n$iv'A ߯($2 $,VzQlwKi~imnNq,t|?} wM'k}|7]]YrMrOCe,wk?wSƼuo,5{l\o^#74;[[+kS(zײffh1nŐLk95SlUYqM0{h/]x½j9sgϸw廉cB?;i Ufw#Jֻ(gб&e|*}mSf$t7"T3>Y,36ueB39hu7\=tNi`uo1 w讷Up;a\l.9Et_EAs__;EH($>B|gح"aSs\Yjubf+w > 4DSؗJpX=F] nKjv;TO.> /6Kg_Y@өW[VAO5@!J=1va.<_);{`n%Ȭ7YxyW,&`7y Y me MkyRMwdtg9B*I[: tqSwu<`ϴfn}>!>sA&igY/vmmaM3V*i6œO0 UDZ%=YY4hR5N׎iu]ɛ*LYyXDē_`yT5Z%`>7BgYI O?^ďGTЃoPԨ܋vpᲅŢ:WX5wee* t?0”_}n^jJ+αSa iB⵺y Y=g3M: Ԓz %_r*!]y \QOyǮC+l7W$y oN5(C(} J(J#䘰dJݧ&GEc"h?X2HvPr^8B/\%.纓r\]9AqOdzr a@ S=:ͮ'F0Y.eIrljboZv/Ф5Orw$e}CF!$$uaAk/cҶ^;dU\KkɥH_8K|*B`;H=gש$l*1MrmƉmtw+N.N2ֽO ky(ܶZԇQvT]QMЬIӿnaLtGS[1s}+Ff-=\laftADr__[ĒOBkggšpf5njۦƟpDzy_K71D !^Ӫ}2WF3?SmQ+Ք|Y]%S[&Cy^ꗶoЌE宱|7eWi~(pE:z|ZE#$,5`1WQeoFj3:>c:;2;Bk{@##\#MDP6IslӤr.x Ke\^d/ ruGkOf% Fw"mcYTtQ|J&\_uQfs= O1dr>.g{RfFmGͺoi{/KWs|1,4џR_rIi^v^ OSȞ":E!ԟI˼{~hBXR| ϋ%E`ޏ䃥H-m5tO? o'ZNJhb(q]j< .5FҚc,y;f_X|gq,ȷZ{BI&%2 K`Jo \kY-/^mӜRB$?& #5^βD2_=o2Ej6NW`<3]4D6w= ^z ܽ]8+VrJ qʿa#˰mAsl0A1vRpG3 ~bQtߘxi?pHq>ȉ0:4з{>;7hR 9!Z!ǯ轱Aa;jMHN795=Bi= ƥLػ+슆}/r{m' u=@ xL hL!vvhIpRMvJKLA.r{Yz$L5xlR+Iw-PşFv`Z? *sMk%7t6-:7\ciCkW3'#JHY-WIYO+dtyob%-uboT<]놼4C˲N}8K_>N6s4{Iyu"h"4m{yRFY/2ރ ى3Fņ`R lZJ$js%XzOrVAl4-G1͓5['F<;"o،d Y6J,ѐJ]mhofM|(BƯp145V@|bz!PPmi;ߐwη]yz@Ͱ D3A0om kFRT! ՈЅyZXCJ港={T$F0k@ݬ ĻuGWʰ\x<׿ȷG>qҲ2ȡ.FX|YӤC:O'6*޺WoEGƮD*ϬLN(gx~_ѫW-P?GZV`> 4h_ҁiǭ-pZj'Gؤgm ֢0*&9S%p_Bӏ΅G?̂, Pxy!svAMTD]d3 endstream endobj 1384 0 obj << /Annots [1385 0 R 1386 0 R 1390 0 R] /BleedBox [0 0 612 792] /Contents [1391 0 R 1387 0 R 1388 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8431 1389 0 R >> >> /Type /Page >> endobj 1385 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111810-08'00') /Rect [382.0445 591.9499 417.3105 602.9499] /Subtype /Link /Type /Annot >> endobj 1386 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1387 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1388 0 obj << /Length 18 >> stream q /Iabc8431 Do Q endstream endobj 1389 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8432 3256 0 R /Gabc8433 3269 0 R >> /Font << /Fabc8434 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өwf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM&~ endstream endobj 1390 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=147) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1391 0 obj << /Filter /FlateDecode /Length 3267 >> stream xZIGȳұ/4tUu s-afda$t?["22]=Д2eċ/v6;#W7<7c%`Mw?;;0.a!e?<3G\IF4tZwuw+f0|MՀ~M_bOs4aX}< w8%ՂOfu>lMvV /O"8ۭ<}Z@bsK6qj3^h0ħqIy3~VޣW~q ci_|X,"1cR>St"Òܙ 9`x4pfAPX9Lglx=u-AlS#<0&ޣnN,ƎM KRUa m\@J=N!!W$MPt]ʒ9@O{ZEI؊EsBnOB6TN I?xEZp$n)>)HTiabv <厼:$.C?qD^ { F& %ufFM*p QaMNa?(}*gdEEncpV&͞pF&ʕ_Nya)ȰX|Nv|ځ.P?_7NלQÐn5CޗkZB=Um꘸5hmSWp iHD-o{=)jkhXS-pN:E*u`j n#31Px6TsmE(G?е~U%uh Z}Elď_F_ꔴN?tidWy#ဪi;+ (dY5:NcDռGD+Wrz|M f.ra[mnsy3t\f$MayFkr|-=R *)PΞrbZKBk];`lΆd@p$Ut9t)*vty >/24,k,Y$se-Q|6*^%hOϏV-D4é>mVf. w` =ns yyLӱ b\`b(t_(>~RM97xzxT`>&5 ܱH*S\'Ū޲~6E5jvB@v-֓3^ȶٯ0x)޴!lCO MTb_PRpvC / ) qQ: zꇧD2Ii78k94%M\)t\{ b@2_Jy}_ Y9#^j>3:X5(Hm#bpm1tвs2*q?͇st|=x@ΐ[`Е|ja4H'HU~ɨvWjoe6XICB9 %сd6X` OȀK@Zsl2nj}t6W;Z섰C wi˖D b5D0hG<>n^Ol{(%<]4C$]jY>`s91W,l 1pVli,OUFؽliu$f$4]`I(H -x<) =eD<[ņZrl`tGצ*'ksH*–%۸ܓ_-mi#X !϶Y% JOg.aވn)e~K؁%DC~ُ0|4 f~ĸ:$ -1e6I#J[Ql@·T\Dt(x[}߇o;}+-~owwz_53|ٌ3{$pPڳ\PM]|k*!Eӕa2h- -ѩ(( J'{Il E^{]E%g)N@.#kn}@i ,B ENIa6D)<ԫXԭ Kpе`vZƙ( *2,C"P\L *׉$:F92@@TU ٶIħvd< 4 `~TPc l;s} 9ed<*g eMӆ-TБ75Iw$hpB^[Q@H/3?(Kx82jFn6Ov„yT 7Wsj^Z$3ZkRaTLd2Ÿv"0v&h"8bR%H;jU|صvz@= tlԺM )o3 ɽIJ?\]ͣ_Ntmߤi+FI[Mi8;c*",+|0rBGyOGĘZ(I|>CJ{CP0$e&ƻk_ҮDDz(0)&9 endstream endobj 1392 0 obj << /Annots [1393 0 R 1397 0 R] /BleedBox [0 0 612 792] /Contents [1398 0 R 1394 0 R 1395 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8450 1396 0 R >> >> /Type /Page >> endobj 1393 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1394 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1395 0 obj << /Length 18 >> stream q /Iabc8450 Do Q endstream endobj 1396 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8451 3256 0 R /Gabc8452 3269 0 R >> /Font << /Fabc8453 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ7G>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}, endstream endobj 1397 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=148) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1398 0 obj << /Filter /FlateDecode /Length 3348 >> stream x[K#GȳA72`ŧ0/]%GžF|Ȭ T%$oFY5Twqxߟ$-]]{>)ܙB~gDDwҹߍvQN\|x:߂|Q>=Y7^-* (S+`~p%? HET4^k, ]Zrzywۃ?wR~OgWS~4=~ H4ʣ5υSJܰocJBoԱ`?&=X8E;g3/ǵB~8B-ͩrS~U72| ޑ~ASUƎL\aQ`8lF3Uh z!VLk?IJǝlT|4Z,WVUOhX[,z/zf#i탌яi?$Һ*5n;kLL2{inƩb8/bfHlUBd(\lp,$ sPM0>=?.C*7ҍQAlH-zeFqO¾ )Szjp{5֩ݱzBsxn_ i \4ZaNCuT<@luE:ZWr jaG5w1,P≕|) îǛx7Z4*}=@V Z|e @ j@p#>5D8W3|3zM*_Af(jtquZ\ ~=Y7tci\n տK&lL1rQn^qxifkosZ{Wp(vPπ`A&@PX sXh|0'?(57-ܾ uRWjQq9/BfA3^~-$" f߫9+Vz]Żt״-Й\dEYݠ[WiMTi XuYYm.(VckWQaa(YMy8zc9[ M5< ,bMOn0 P\gR4)xnTWawv.X0绋B$j2:e熨w rIsK 3G}h?k =rPPB\%LjHdK0 ٸ`@\x;wǟIcE=V*Z%Ü|=D:\tG[Zi1Nt2X-\Wˋ[z+ϓO4Cj:r0%+ MM1قWr a 4ʄ2c~oǶfqdGrlZobUնk*[sQn4?趻R֡m4p/*]9G{lT~UCE>ľV'*3m)ճg+B.Q粥nӡT|a[#WWZI)s)WAf]u|6_K ܒIɇ%wm+RCڋtݽXy, %0t>>BGԔ\e0P+!'Ht.mmNܜsb&n˽Z;f\TG0hs|o}X-t Ǽf59W|A}WmrGM#[559ğFMcit-55MbU!/WvA>uciT%uq#G@fMd$uk^#C29WNkL7(24f!wO~`G]Ւ?بz$b7a}|UL.%Y3"{1X݋ I 03"]XGr611-Zδ]X4K"lxp{\Z 5_\ R6!6doOxeF R3k8LbfwF"S}e-<1ǓEmVWh`P,YѬFqt77R72˘z~D5|&'w ]|is+c4yXJf$p/.eЬ}R8dF/UdQE>EidXqkgVTG˼Z zkf=HliUx-<9X)hm9>wWbFGoh#눬!J_I!Z\>;e464F`fM^3;Ѹ"V0K~K ~HŹ:5'1,Fa|аb!uKZS1~c.3;vw{{S^yE^k/W^+nQ$fi'%WVzl|:2418]=Cf:ϩ1~>]QRדi<k]NdxkrnzCtvѕ ^SQ QCfp+?ʿPT`Βzޤh ȝ>(7~R?2X ~g* )v&k0Z͚U%sNG},#U|T⪎gu۹آ"6YL1r )w endstream endobj 1399 0 obj << /Annots [1400 0 R 1404 0 R] /BleedBox [0 0 612 792] /Contents [1405 0 R 1401 0 R 1402 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8469 1403 0 R >> >> /Type /Page >> endobj 1400 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1401 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1402 0 obj << /Length 18 >> stream q /Iabc8469 Do Q endstream endobj 1403 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8470 3256 0 R /Gabc8471 3269 0 R >> /Font << /Fabc8472 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v@wP"]@ U8Ρ\j'-:A/}3 endstream endobj 1404 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=149) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1405 0 obj << /Filter /FlateDecode /Length 3004 >> stream xZKWy4oh  >nOc_DdJ)Uu4BRTfd_j8cw[d>|1L 8Ytz1/8g^>[}5F|4/akR=XKڊ+"\'k `t6=L6'8Q@:Ms~ h󌐎/ej\Ct|K!ohg=yC~&b \ %;2p=v~$,Kr.^}usgN̕Dž_ >e]RY^^˸yO}I,w:/4]}.6~^߅/3ָ|uۙ;I;wI3N!xF5QLRi&Ѫ]=W0sNրj P16іmȺp}#3=/ʊȲg5Or:gkx#0tIxӐZEN ɡ'8Jwř3~vsX^o= c3V4|yj EF7h"%׼{ئieSs,9'\T"gν8;šsĈꔣ -56 j]BfYEmx`!5Vlna Gk 'V]s@}>]מѱkU_bºai+Hkaa= ̅WwskI %/pk amjwX-X*x[k+[rzVBҞ\s @q85uqGtl7yi]7x\-u5vkUO;Tr_H5Zttz35E.Sh+sчoJ6 Ug2k8 f^ZźgΩ/tiiH}^=6}}?gyyMlWN:~W%\;NgLng2g8K9&+'H\|_NX֧œ{=A 2ARx|8^h(a=/ty/RxY]ٶUu36[^-m'g*q05A'_O'%RYr~g+d+:" =fM =\M1dh gSIWw(}1 ͣI?<8APt>Vt!lc 3[3#Pa<%e $CɢC -^ հlA(I9|d9 LvC "<G 2t`p5̦Ef0 Pk`{uro\¿Zp\sLqoSo ZseҳyRX<&ğVLPxK6g+Z6~sRzr jTl)h eؽli%3yyp,}$:sx܀;4rrQaxjf7>;{/Vѳ l.B͑yzmJMC} K{==ꮘ{yk?x yg\adس]n"=0k(IpCL;oڦA vt_# ~*b3_ezj\ %-2)Ϣ]r Yؠg(] sB4@>c!W`: ci\yRX|r("-ʗ:!IB (Ghk#6M9)dd>wZ>FxG?J*19_xqCl,O_5?,`O1hKa Ut,uMX3W4+ɒAY4&$llau>Hd'LGr37s0Mn"ѱ'BFmjg993A! la߲AUU'Կ$w+'uB 3!ھwi3>=AZG◉y[HԦ}4=N]οٴs ޹Ȉn`V8 =?]qHO~+;5):jdbo* Eț)#Nu jC̝}+5DJJ%ky1y5ldame}Tɺal%4>6%̥U1{g֋Lbs>U3Ԫ endstream endobj 1406 0 obj << /Annots 1408 0 R /BleedBox [0 0 612 792] /Contents [1414 0 R 1410 0 R 1411 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8488 1412 0 R >> >> /Type /Page >> endobj 1407 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1408 0 obj [1407 0 R 1409 0 R 1413 0 R] endobj 1409 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (LOC) /M (D:20221102111814-08'00') /Rect [389.255 498.05 410.6885 509.05] /Subtype /Link /Type /Annot >> endobj 1410 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1411 0 obj << /Length 18 >> stream q /Iabc8488 Do Q endstream endobj 1412 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8489 3256 0 R /Gabc8490 3269 0 R >> /Font << /Fabc8491 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ~C2:xy /KYsKhf>e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwo: endstream endobj 1413 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=150) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1414 0 obj << /Filter /FlateDecode /Length 3609 >> stream xڥZKGׯȳk3" L KeOڕ GeUwKcCd>|ڦZLYK׳%`>+ŌcuWŸ̀ 0[\TKYBwvյeYNV\tiU?W޹R)B_ n@x)׆tU_C.(ގw-_?0B5B=`K3H ?x߭K֠Oj%6V[PMU LkaتZVؙQeBey7])fthj$$. pM&?<,:+CE5'5j!JcGZ~_::a>VB]R'S{o]ɶ@m{D=cg߯Up9za6quyW6MDB9*JyA\Y^ &Q'cN)'Bso\c9t[]TS'Zg^P ͈` sU ʼnSU1 eo$raUޔor6+@P{-Y*Ҡٚfl+f&Y7{ٞ؅w8b$xr3"GI:ܚ0B]k4 +؅bZ}L |d%i(g$5)3j)\.vuҌK$bBbȤ",.`D%8dl CZNޯN SfN!pЀsRY`;d=c[aIv=J̻[9]0ջEbH&c?4Úw'A>rbL!$R8j=_wJau|j>"4 C^ › N 4I<@>7; ܈lJ2_ ,Kյ0y3&(`Q;eB׈0;?nj58 #t;oN@myxyI^43%CCx;񖒲h# p(nm8U߻wy}=L#畺cg_w0/SFƛk.%p;n0"5ڋXyU&C*e(ëm ʴl/[έaׅKC=ۢo\**2zX_ƢT;wSݩ8(3_)CCSA u`0|ت^!%U fbv tqdZS( ibH_SjPékU0$vmZiV8 xrU׽Fi\nԯ7kfp-7MZ&1>"xz`ŕ@@cWTk]Rta33 R+ܩ4:%~݂3݁Δй V||R~#4O):NFY$\w˺o?"ḿ~R+bïfpMŸ`%1or6V`׷|WL-l `aSJz-{pHٖ}_ϯ[䝓cO.r9%L/?OE=xATVZ^~hPOZ)c%wA<=ItF.[O߁_G_Ɛ;nkN1gkllm/7T*ǖ ,>O䤶շ9\VBoXPMf]^tvMd:f K獷[4U{ޓu7J@Bnp'!7E]hjQbRͲ%Cѥ;]Soga"}GJ]䢓cJnWmYu0vzj3iGlNI.E-1a⹵()XL:6R` 8 yg_XV=v*qrLdQu4M!PCP PEi,vrUo \<6ϖ3k!F{`{s ma_E9!hYs{ն-7`@<{W;yʚĽ-M/׼5o<|U]&uJpǕ͔|\i 1a%.x܁4&$?e'8Mw6yzZ֭|OAh[-r*1Z"nv@=y"%-Ykxyf(}3bٵ篧Ar~p{~GӶAOx%*ɑ37ibҕg2 KnoX0XzvPr p4! P/ l0B0v^j-{"M5яt gKAW5]".ޟTM ot( Jzj6F`BLl G3u4Zəmм|Ѹ'O`k+I( 10[\ svMB-$$!mi1Y Y˙ c Y[>^fG~P?\Q+b-F_ $yz# 9\tv&AZ-(4i/a<> \oTbp1evȖ)B@oy 2Fк0}]K,lYdoK` DM]4fWØ>z;`tCJ?a;3x>˩7cfJ MV\DΪaQ内mb}~v{̕ -0?BR4KoyJ2Ihr꿮2!苶 M+z: ol t|W[ʹc<?_p$9q,u3ЧG\Oӫ SJ΍ 2Qh:JF]P&cQ]Ҳ-Y z睭]o%q 26C~У\Vn gÛS_U^c[~coP\'415QQ~͹XY$C6YE-6ڜ endstream endobj 1415 0 obj << /Annots [1416 0 R 1420 0 R] /BleedBox [0 0 612 792] /Contents [1421 0 R 1417 0 R 1418 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8507 1419 0 R >> >> /Type /Page >> endobj 1416 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1417 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1418 0 obj << /Length 18 >> stream q /Iabc8507 Do Q endstream endobj 1419 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8508 3256 0 R /Gabc8509 3269 0 R >> /Font << /Fabc8510 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 w/gx(R\T1Br4n~AG/cXLB.FPQEq¨9 }' LDԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ? 5Ժ@A꣔xJ9iK[Zߖj?ɞӡ'<ʡ|~72THL_%ee8>϶Gwf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rE[z7}/@ endstream endobj 1420 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=151) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1421 0 obj << /Filter /FlateDecode /Length 2996 >> stream x[K#Wl@\FQ98 uֆ{W|t1'fͮX^4RoǷI~";Hd2L&?4F}ڠUv^)|BCo?=i^[qʄu~ô'\Ykq'$u8ED;bm:3̝{&~Ze ߂ke%+]PN:T C~NP%a^[$C/d!x>`+q~}=SS89Q}>>}lnj? C}Xr&9B)B v3RepfU^8 i.fY618Vɹʅ^2- x Fd0bt`>*p']bQekR+VU澢֖B 'ݵ~4ѢNe ,Tl߉VQ4b8f9i{JVtI:1ת sg溰C˗Yz<͆kxCFh2D'0-ѵs`fP;dGNU˥TlXldKpɤl ߍoua;#h͡M4ps }&V2 &%i^|-mQZuh \qX_a&&uOVytbzu-:31pc^ ߡ8Laր;uzX"\͘:o39E8Ykg!oEʦӦ+<)N{IOEwaO(؂z0MkCk(n♳"!C5x OZT/Dߒ ).q/XibSCЍcြbV1o 9͎u)?3+9-,PIU ,e k AN+IWAr=碐G#fUZ\pH{|1z^WQRFUVk̺)FlV`'b]ga%O| 6H*Da8 pl|WFCDZ|U/V Fg}d4;X# O]d3g+c:x=5)^a;Vw+P[ ^}6BO{U6a>&}yP7,HڕԵ02Дrw1!l9cs[rsqKl,n0E{p(tU\З}N:c o O 16`Mhd.Xb۽0|hAӸ^ W\@&Aӷ!yA}߰\OK\(`򚛣*dDfG筦-ca7ԣcv9!SK{WLl>)(D,lM@#W;~G1|XmZoࡹqyT?9/2V F<0\FxWþȀɸYBTd' PXP#q yRڻD.|δ];R J0Xq Ӈ3|v&G{QN94> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8526 1430 0 R >> >> /Type /Page >> endobj 1423 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug901-vivado-synthesis.pdf%3Ba%3DxBlackBoxes) >> /Border [0 0 0] /Contents (link) /M (D:20221102111810-08'00') /Rect [442.759 413.031 459.721 424.031] /Subtype /Link /Type /Annot >> endobj 1424 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/ud/document?url=ug901-vivado-synthesis&ft:locale=en-US) >> /Border [0 0 0] /Contents (UG901) /M (D:20221102111810-08'00') /Rect [252.954 398.731 288.22 409.731] /Subtype /Link /Type /Annot >> endobj 1425 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1426 0 obj [1423 0 R 1424 0 R 1425 0 R 1427 0 R 1431 0 R] endobj 1427 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (LOC) /M (D:20221102111814-08'00') /Rect [90 604.1 111.4335 615.1] /Subtype /Link /Type /Annot >> endobj 1428 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1429 0 obj << /Length 18 >> stream q /Iabc8526 Do Q endstream endobj 1430 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8527 3256 0 R /Gabc8528 3269 0 R >> /Font << /Fabc8529 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n(>)W^.P/ Jcȅd2n~A1H[&!#((`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R|Όl iT`^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(_|۾{wF endstream endobj 1431 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=152) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1432 0 obj << /Filter /FlateDecode /Length 4014 >> stream x[K$ W9@%   !iasGQRUl `]VQ$ER,N3->/fd/߫W=jê5zM!Z59]OzuxfyOxTND+ڸu:?.u@`ZZ??.AZ>CFu||G_djҕi .FĐioUYo&Ij վg|Duh1fU=xTKu'łj㡗 V$>.eYjEka9o]@+L?W^*bQ_չ ^̪X|oZ>-^+]'!' QaqMf۟Z~7^.rZLk_w -oFŵϕ~~TĮBO<*k%*N^,B^JX4YlLX(~]F0 gfB7m,JI9RxR?EU=*ʌK?,bgU؛jnch]\GrUkҤWb$W[Y wNUOg+x`ŰR:RM>:sVextKrȳ{ _<7ɘe<֜JJmbl j9ΕlItDJU@ڶixXHkH]DvdX?/~`6=1Tz-W>x5z)8A.eCI.^D.mKp]a!Y2>?BRA赐w!.W" < 5 pk0 g 3E+ X, OHp.$aj9hxiȗyS=?:O O XY˻kl^^7Yo2״WfVX5dav w#F.V❢_mjjpr]~f&|ٰؠ}.$&ϿvS4qċi?'[nIO=,GGaos!qd8\sS?8 +_h%`E@]r| :G sk"Ø:x\n 14wzVae ͊Ny0%Yqaod:aWr|zdhn!(Yr cDZS H%.nbVqZg4wlr (P;Urm/LHU84I磊 ͰuUQ[$<~Mdkrǹk;U K~tв6;#nqMb/G柇Oq_.~ڮ?֫';p1@Ď-ZBt[f'^}Lۋ$&*yR(nal8ȮT&D~Cm.Uǭ"Q1|)q+P~_(㣵&WQ NT, `܆ v;xDz]0ֳƛeZ;dPLK/}R_ P57ݹ+ I⇭Sܺv}Cq-띛+Mm[Ǣ{qz#~3h&ͰU&?x$i鹟.NKm cMU4<=(Cdˣoz|ahAM3i~3ocԢۦɵfɍ#N5yVJ;ܽjpDqCӨmaF?ڸiM^6mZ>ְno4lZ&/Qzf܌|+kMH#Z}9E*:.~̶ux`5  [<+cPȚm.o'`X@ ;F1.9do!s^|>sd\?២cK8#h9OPHb r:;}Ͼ33?Gi9ׅfIe~*νa3mhft3{&]BrݵZIpoMA<ڪ+!-}%L@-m=^ m 7}ײ e#dKRC5X|i#(kQh,+:`NLGRUV5R0B'$AzYx$F|`r=[= 7^S=>zޚ'8X*͍E#.G%"{R@-ڍ|Bx298#črB?ٴ?Ld\F͒":#cذZ@SZ%#? TV!!U9J*(P<./ٵga$ ͷ Nl zyTҧT .m*(90{^lk{;[nl6WCnv3x*{=Y8kH> %l$3 ˃7RYfL8'n^,o=%"ܒFΕ'*z`U+wSSXTn_\4qbs+vB_{j*gD7*ɛzljb99?٪vw3P5Won#( "5^% }~eaܟ_7bjG,׿ !?4^w7Qx_L}^OȡnSnbX-{ԸǙ+\`<}be폩IUNw]rkJUb"V菱9ֳ,5k? endstream endobj 1433 0 obj << /Annots [1434 0 R 1438 0 R] /BleedBox [0 0 612 792] /Contents [1439 0 R 1435 0 R 1436 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8545 1437 0 R >> >> /Type /Page >> endobj 1434 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1435 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1436 0 obj << /Length 18 >> stream q /Iabc8545 Do Q endstream endobj 1437 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8546 3256 0 R /Gabc8547 3269 0 R >> /Font << /Fabc8548 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x77Z fc}Lh < ӄ9p: 橷൫ݠ)th_{t MJ* orPd2n~K$V-T0EH(.$c"KsO"ɕ jE3D,ƵaPdDξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1439 0 obj << /Filter /FlateDecode /Length 3309 >> stream xڵZKo7W`F C'A`/Uf#'jXO߁o~I1d?E~yNָ촳&ڨ_>_u^gZK_dC< Ox>lb0?v&^x~Q}JL W(#L,!8KEHl8&V3ɇZՂJ=驽g\8؜cDkgAv,4*xVԩ(s0f`m\ken(=֭Td.'N\0~Ȇ;A{&XL7b`@BД."u/OmM -?hvk_L!&S(]ҟj`vk3:TqO;s30}*J{jg2ޥn'ygD?R{w:ߧ$y-yGv\ѷq yaLqA{h8`9+2 x]'bjNQ"AA$vbx9_W)e"q,*lQBzf/7;n{2~aVxP&%AXѡ d7]ycapj r'_Dx;(8Eq3jl{ tZ~=m72z<ٮO;Gx[CAh;5~xa|2ʤWC6bxO3-lEO=2-&=p/}<O*!GFFr$ȏSƱE,$A~|n7#vP9?,iN$ǁq~eg,[`)9,m&G8NEv bJInD.z><&phcV#M,+-i\i% k5Ӥ2apV\s%ra!.\U OSuݫXd`K)xܣa (|Ne! ]Cih'^lթ ƒǍDuqrS{N= .-%r&+߫x{Up%ۢ*{H /$أoJnbj5uOh.JEx7wx+ >i^>sF uރ{VS>72mP2)!hFq VPnHh,A,7;a7ۦoKdu`7Du%%/%)|{hҏy!7&ƭ$)=oJ.$EO{~+8SƆ5h/:Sn]j9>%/폿knVGd #~pL t"  Go䡊|s׻ns3&GLa8L#nᭂcHmR5j"k'-ΐqº/kC{j{..{ۚmmMmMju@yr"_8D<Ġq:W$?O`bs+3|tL:[&ܓsMJфS1dSӸJ: >dQR6s$M +s"Ce`5,gء&S%>= ^ n8A(I;PL&zçiN 9,8O!^4 x4^ZdsP H\۳#qpF C 7iģ'xX͘W`@iqKad?QPxI66e!^&K"gC3*j֣r͖VX=li%!sK,Z؂;tUg9!?:aM΋ ,ۍ*v*6ԢlC'#ڔ ъCcIEra<{>{XXyp%eEadس]"]$=P2D>Rӹqw^ڤI v`_3 >Ufn?큙Gf\ %)\bBn-`= vFj0u RTr =dLc걂~ ʯį3~U~FR5|ٌ1{$pPdG9@eVDL'4o,u0h-c@<}^Mگǻȶܯ_q$ *D;xu<@n MD)prp98"t?I6`r>|8g,]SviG~B,C>:r("= U(6-Q PLn#(fp2lMĕW$頏S:܀]J*19Ţ %ed(sR[~X  [hCge g.r=XأkLJB^[Q@ҕ/Y2?(˦7Id6(Y Anj&&/HvFޟHq: 7NM4. nj ĭaq1ƷB/xݕV1R' ,m"$EB_@~ˠwyM;·s|*C,"jEvb>p= я'';IxwrS_ XX4B4^wR)po[m O:>,#;pr}D&m.\/haeu[c}g?۔-$4% |&4TL)^D=z Ϧj8Q endstream endobj 1440 0 obj << /Annots [1441 0 R 1442 0 R 1443 0 R 1444 0 R 1445 0 R 1449 0 R] /BleedBox [0 0 612 792] /Contents [1450 0 R 1446 0 R 1447 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8564 1448 0 R >> >> /Type /Page >> endobj 1441 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=am009-versal-ai-engine&ft:locale=en-US) >> /Border [0 0 0] /Contents (AM009) /M (D:20221102111810-08'00') /Rect [308.8942 485.4999 345.6947 496.4999] /Subtype /Link /Type /Annot >> endobj 1442 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=am010-versal-selectio&ft:locale=en-US) >> /Border [0 0 0] /Contents (AM010) /M (D:20221102111810-08'00') /Rect [352.3057 465.2999 389.1062 476.2999] /Subtype /Link /Type /Annot >> endobj 1443 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1;d=ug901-vivado-synthesis.pdf;a=xUsingBlockSynthesis\ ) >> /Border [0 0 0] /Contents (link) /M (D:20221102111810-08'00') /Rect [327.8365 107.1499 344.7985 118.1499] /Subtype /Link /Type /Annot >> endobj 1444 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/ud/document?url=ug901-vivado-synthesis&ft:locale=en-US) >> /Border [0 0 0] /Contents (UG901) /M (D:20221102111810-08'00') /Rect [138.4165 92.8498 173.6825 103.8498] /Subtype /Link /Type /Annot >> endobj 1445 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1446 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1447 0 obj << /Length 18 >> stream q /Iabc8564 Do Q endstream endobj 1448 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8565 3256 0 R /Gabc8566 3269 0 R >> /Font << /Fabc8567 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nД3|:S֯޽\:H%_rPd2n~K$V-T0EH(.$c"Kso"ɕ jEzŸs@61 * XٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"*pm(<8יq|mau35ŐL$5l)l,ȱaSi ܟBd#Xr>q|s(dWLj$sx2UOc9ΡLH9vhwe™pv҂bwoS endstream endobj 1449 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=154) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1450 0 obj << /Filter /FlateDecode /Length 4278 >> stream xڽ[K$ȳ~@30=m ƧWB $E䳪{zw%3TUT>"YW߁.1l0-IHo?//?^-:j╗?IjpyxRJqo{[]V}yn!ɃK>*^j6 yj2~h!T ;?Xѡ)R4i_ߋ_Z.qDz`ktq$b:#?~'(PIe3JT P^嬴(ϯ` D&KNPcs\_N!8<|G8y^NՎ> fR]E&V%g]v.fWi^=iH<bgGY&VX<-sSą+cNpYUp)Hs)?BN_Oelba^u9*+C.l zOe6.>^L0|Hg t-1кVV@J&* ܼ4[i -e ^ +vOwP{؈kJ#`]t&fxiOWB;n Hv? gBnaɥ=x$EXŰL"DndPns1F[OS&p&G[)ro *ʰCADk#povfv1]7+*wgPtVC#hfNP]vYZtL*mc'ɱ!6IO}m}h19QQuIVcc fܟkft)nœGOȎxPdEWBy, Z\PBS– A_;ECL&H Q/Ipaoo%i?+bbc Ne w66B;k{16\HF k0~0hdp[{sǦmS6׈l8K=j6wlJ&wܠP1 %?Z*))Xn⼟K(>]kwhCM46g7=sA{WB<QSت&C}uJA$ua$MDꪋ2$ Kz_ 2FbΤLCԪrÏR֫(*DDShbntvcRHH@oJ:o}0<wƒ:|Z'mB\׎+ Ƶ00olZv@*yr^Kt߈yIRFݭYVfo:t;|;nǰ8 bA߻DOdc|?h}cb^0jC&q3dq0oxQU{jw# Y9oju+7wanªI&tZELj5` ]CeY'%XU zDu-l@.˰>IL;q~JtBCD{HekJCWEK'a0W7}^Ж[4'_G<ĩ gA$lEIKLWVmU,5~~ĒZ ] P*C\\h2mRA&~dj0 aTh~+Ԃ>)O{/UgYt!Rj jA* f Wun48`B0=/y&^h=]mIln5FJIrz;M[%;xQw .#Vy XOl4f_,-И܀^ӇVgXpq$Xg."0k8xV&fK6έBXn hM=%:.vZ _ 6,񛘾)TVxY C)ejԤd8%1>5DjϵJiv=ވ0a=g샷: ^5av+w7#^۷8Wg3!Cb7:V.i=GOIGyk\*s<*H?8W:͵UcBƯ(vnkT#[of}oS7 6i:&-R\UIu'n#]UXWZ*{1,߅Bl&*v1ڰg|UÍ]VoᏂ٠iS0фo\0 #!Û[HXc(8Ji2UZ5Q+ԕM9ߟANqA7ʣ7Iq :> 7~sS8NSj=ikqHNmmGnidU?g6ꚽ`;4t9*-L"DٛN!~?kP"wӶ۽zvFlM5$4f)pQ Z3t68F&ZV .%xžl6ގnOE:1B,{NMi,I Lh16NM}xO"%S_rEvWkWV)"O:ظ.0Љ T @fgj}Haw%"Ԕ$,e3Sn:)Lr?9,NNXu 5A:+FSyaVh,A[ 3 aKѹ;4fWXnnfw$ZdDO!NZӘɁ#IhVG'2KtR&)j~xkQcs, [j+``b]dinutAנp <Х.Yʡ0"=hK/W:+H=eXakoIVP*eFOk^km5׬imփd-MRO3ij')+Ŭ6G"~2٥|tEbHߡ>r?hmi"Cɕ\Rza@'*V Vy ppuQN6R Te0 `0 2h:%*KdC>OZv'}^ye[+W|znz߭SpL?h-\)vXvf溴 :JݤP+ͽ1p>a*tl*Q  n }TaĀ,h V)_he$?*LQM?{4 "ڎN;r[*"Y8'N ۨSh0xM;Άx",$f斟&(Ud h*"c|!Ȁ E#L<(fpdt'q)3P&.pSA&PxATbl}6u -›EAǪ)#,λfP([CpDt 4fi>Ak3Kd̐ްeU6^kySg#{]߃C)sT,Te#Eiw~X=Q1g&H"8(5ki/iC[ 1E wʾ1x?B$ SR312^8QI8vy҈t͵)8PHD{jҙyg: endstream endobj 1451 0 obj << /Annots [1452 0 R 1453 0 R 1457 0 R] /BleedBox [0 0 612 792] /Contents [1458 0 R 1454 0 R 1455 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8583 1456 0 R >> >> /Type /Page >> endobj 1452 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/ud/document?url=ug901-vivado-synthesis&ft:locale=en-US) >> /Border [0 0 0] /Contents (UG901) /M (D:20221102111810-08'00') /Rect [305.9135 519.2539 341.1795 530.2539] /Subtype /Link /Type /Annot >> endobj 1453 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1454 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1455 0 obj << /Length 18 >> stream q /Iabc8583 Do Q endstream endobj 1456 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8584 3256 0 R /Gabc8585 3269 0 R >> /Font << /Fabc8586 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nĉ}{@ VBqǮ@{IRS;߼q77Z fڿc}Lh < SXNy-xvw7C;ešc8T(4'3MFhL!e2FF  *Bs4](d2'&Q\I✈^\y!z q-lр0hdD"Pg5kDN 9!.Q47u SV(H!5$hxaxOBD>.PkP=PML@+0=knT-=&CO4{rCodI# yk|pmuTyp3e%pDB. \0K'o.,FzqJH|B'\-> tweBC (cy Pd'-:A/}Zt endstream endobj 1457 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=155) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1458 0 obj << /Filter /FlateDecode /Length 3222 >> stream xZM#Wl@4F3rp2@FN c7}ϫ"nI@xMV=V_Ӥ->!#."+NDOZH)){_?u"$|NWb%'"pdS9c4JPFhۨGy#W$D˭&X`S"/Yk`^9qFjεlArRn/8 0=;wI5|*C&m7WUW_W^}ai߶ٟɺǙ$LbT˨`PrԄ,܈v/B !ɢa#lYSD0:~/F<2aJsOTN <2 "b_iShgTa-7LY%$:2IQ>d &PgA(O}D] N&ڢb3kt@w)VKʖer׶>,b2 n [iAxjkcX8kLeyBVF]%Ͳ)-cIf!opeCDd&σC\{kzR4E H`$DkaXƜZ\mބ \Ԗ*7Nej{*|^jϮhHn=Zrb婗s~:*8ڿr 70C.VF j?*(6EڌP蕝ӭgz}-*Intdž|Xt3`෯O{M5M6 endstream endobj 1459 0 obj << /Annots 1463 0 R /BleedBox [0 0 612 792] /Contents [1471 0 R 1467 0 R 1468 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8602 1469 0 R >> >> /Type /Page >> endobj 1460 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=opt_design) >> /Border [0 0 0] /Contents (opt_design) /M (D:20221102111810-08'00') /Rect [111.164 545.0538 177.7656 556.0538] /Subtype /Link /Type /Annot >> endobj 1461 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/ud/document?url=ug901-vivado-synthesis&ft:locale=en-US) >> /Border [0 0 0] /Contents (UG901) /M (D:20221102111810-08'00') /Rect [347.4715 345.0578 382.7375 356.0578] /Subtype /Link /Type /Annot >> endobj 1462 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1463 0 obj [1460 0 R 1461 0 R 1462 0 R 1464 0 R 1465 0 R 1466 0 R 1470 0 R] endobj 1464 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [370.6595 649.15 484.031 660.15] /Subtype /Link /Type /Annot >> endobj 1465 0 obj << /A << /D (unique_77) /S /GoTo >> /Border [0 0 0] /Contents (IO_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [90 634.85 179.188 645.85] /Subtype /Link /Type /Annot >> endobj 1466 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [386.335 383.2078 450.735 393.2078] /Subtype /Link /Type /Annot >> endobj 1467 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1468 0 obj << /Length 18 >> stream q /Iabc8602 Do Q endstream endobj 1469 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8603 3256 0 R /Gabc8604 3269 0 R >> /Font << /Fabc8605 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=ΡDHIO;p%4CNZu^lM& endstream endobj 1470 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=156) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1471 0 obj << /Filter /FlateDecode /Length 4103 >> stream x[KWd 6` {!i0f 9+(RwOϮxӑT/j'3i;%f>LM0Hg;>On.D;}2AkӁ4ljw;.=*Wf}2̍i*X_ӧ輪O/#zuڭ7FW]~I!'ҳF\`S4)k5>N?TdFs9O>Q qJցA+@.Sù쑁N:'::Y`QEUe22  <"yk ae]`ͣbdx6,B%p=( p(1g=-<w;BJv!hxKd?N~]Q;XT6u1"dNʳI% 6e Ds2A/FQr~{LOоPV읉֥ؐ[_;uM--[nS9 p'9ܻ>{mmVmv5߰] v]0ߋ>n3 jig5O;CmN0#TyQ!AEU6!a&~t3P"?ϧ,La Znim,V{CU.~B5KD+ق'I'K%O r}~ o+"OaIqJ]q)` caCe:"{  ^|HIboyULA> r<XZUxEXˉ^TQܘRS]qWG:iu`#[lX $&QoL~/lNbgdVKБK=\dZ5L5 _T^"}mv)57PaޚTqtƥzR.z DQZSIuP ԥAoVò:.ČdaLKExT vV矻TwNQ'դ9kL#/HY/ec[c|bc  )_3TWIUG{LWzŰK\}(&tBimu]ь8ۜ[if˱>{T6?Vչ1JhVB6 >i/[1~X|Sr7{a-QiA6|5=K=WPV pB@Z/`fz4:W,UA9i.jQK}Ka?\^J7mk+̓ą,!7([M)Am]./tt5!yG~˱JǺYV@{hNycZIiYu &=-_^P`%SoYbZ;hP# g&_mSUW˅MK-QU&RCg S]?+W+"!Q;I(z^BZp)˦9ֳj,p$[`{zurxx3ݨNWZwEc)3K&l!TZ_[-oՇSKC,#1a5 졟*8kֈ@gC/~2λ>4Rr=J"sVdx׬)ίoؚ_kX]R~:dvz8h]a&|):3Nl)2Tx_'dףQUEXK>U"䅕 ;yo0X5۱FR:ݹmł;Wb ;/F yÌ '۶R}g\z1>O-5O󶑅R0ۼ&y#YO쓋KM쮲E$KLhgTͽk~_#a5GEs BuO8}m'qߥ>0Y#f}%wQ_}5C0uxe= mQOC X,{md'%oGؙx;fJt{!9q Ll+M;J 㷃Wl/hȢ,_i d$s+ovGǀc]W13<3 i\ֻ=ޱ~zE=2>Ԓ{r YYU`@5atl# guxWj wcQ*%_4ե\FYTTmF\l jOaCDō~/BjwXUlMgGೌF6f\ [`dg3O6֠g"ƪCqG 6c78Ö]Ok>d"qrɩCaMڗOF9N3kk:)({A<m!`E`(WZ`ш)V_ :js/iC3@峘x򹍵, t[.ѫiGJ<&x;]EKt,mjJ ؗ4[R=ܣTk \O z\@`lg'1|ʎ$ Om=fZ{ׁ1|V6,р{(rMiGSS)"a8lxP `a!5,mTq,]v蒛S+ tyVQ4 endstream endobj 1472 0 obj << /Annots 1474 0 R /BleedBox [0 0 612 792] /Contents [1482 0 R 1478 0 R 1479 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8621 1480 0 R >> >> /Type /Page >> endobj 1473 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1474 0 obj [1473 0 R 1475 0 R 1476 0 R 1477 0 R 1481 0 R] endobj 1475 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [90 377.3 165.9 388.3] /Subtype /Link /Type /Annot >> endobj 1476 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (LUT_REMAP) /M (D:20221102111814-08'00') /Rect [90 362.1 152.5075 373.1] /Subtype /Link /Type /Annot >> endobj 1477 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (MUXF_REMAP) /M (D:20221102111814-08'00') /Rect [90 346.9 164.2225 357.9] /Subtype /Link /Type /Annot >> endobj 1478 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1479 0 obj << /Length 18 >> stream q /Iabc8621 Do Q endstream endobj 1480 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8622 3256 0 R /Gabc8623 3269 0 R >> /Font << /Fabc8624 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ- endstream endobj 1481 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=157) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1482 0 obj << /Filter /FlateDecode /Length 3275 >> stream xڽZKFWP7 ]U]=naٓccz ")UUg,ݢP*3^_F3; }e9]2F|ڰj ^S֪OpgG97Lz9bڸ:BEjB:/?bZJZ+%Qt:\Kytfտ'c*u Fߖ1 OGt$)ܚB2}}a ^(K] $2j/},<-jw2y5uoͽXˍX/Jy?j%83c;D!&eo]qn6ƻڡ$3q+n!Ϯ˽z +˰>b(Nƛp!%1IzOxR$k2w\6p;X8; 5N2c*3-qa4bQ,sXvvrb e*18^L*&cQ>ӚB, ,bܥY C*0#!4<Re;1cSWY]Q*fe:AKUY~s q'@Ưlx(?D˺8]5"#cA?~F? ]_vu9gKE}Ƀga+[<M=֬:_f̻\LBM|uf7cevXgܕp'5sP㽭IKG+/cF6(0KVԩBR'lZc:"6zL޳%U\ 2tH 5k3C=[_uzNT0K>\Ft_&-#…CNC0hCA눠*Ij5<>M0&XDp!Or <~ P0Jz!XVFP1As"ZlSٹ=>MT6H6z0nvW˫; !' @i%QHg~Zkj!\gbçٳ\ysR1q$EUH7I3SSԧTu)Y:0?[s (1ڤ [屩f|8rr0[p63n{(-h?Ѱ݇{=8nx2&#hK0ϛB󼲰)+>lu$z5:.]veGcSa6J֭D+q62q/I- O.Zm(2$[fn^ K o?J7ãglܚO4/kGI\Wm&kchM-'F{+zjs3Z5bu4=l{a`F)a}g&|߆y0л^ yiw\Z:u w%M, mؕ=F۷ɯ!t{a 6{cW7&HvrYѽAH=nˀ̚`FlI!;\Hp;" kxJb7ԻH5ĴP*=Wlޯq?{8>c3mi!l cP47r% }ڱ UN83 <9Tu-|{u˨ٙ+w6Xe-QN76fP|g^t>Y)"doގQƽYkvUj|H/'HA6zBr +#\,LdvpV8j.]2I E\3ka9¾vyof@cyP?&aQV9Gup ZO.="뛕=1͒CrٽZ<W1۪kܡAYA\n9L6C7{8$'9]qJG= ])42Z .D[ k_t ے;T*r0o2o%tVbí]eP=˼Em}6f;IF2 suV#/4q` %O%Nyp!z/e` lw~+ %EdP 0.yW к-LJxRr=Zш5hxwB*R%󃳈v19' ` y-))NvʄYT`7sz3`L(A-H1*=2c\͝ i0ʻ>[HWm .ho_Phq@h9׮Nv/jHmPX_{[^Ch_{Wvn߽]Gt޸TT$g0}23YOGTv~q!o}F98~ۗn;gui3#XB`0Z͚ռQ6+;'\gg\gla<>h*~K.5*bQ ;Ԍj- endstream endobj 1483 0 obj << /Annots [1484 0 R 1488 0 R] /BleedBox [0 0 612 792] /Contents [1489 0 R 1485 0 R 1486 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8640 1487 0 R >> >> /Type /Page >> endobj 1484 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1486 0 obj << /Length 18 >> stream q /Iabc8640 Do Q endstream endobj 1487 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8641 3256 0 R /Gabc8642 3269 0 R >> /Font << /Fabc8643 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ7G>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}3 endstream endobj 1488 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=158) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1489 0 obj << /Filter /FlateDecode /Length 4523 >> stream x[Ik-ɕǯȵ!1  ^KЋWEgpmN J碛~z<73OwbTm0dC_._b>Mk@crnyvZW?G|">`Y&B'ޫ_eh[b;kRL_>Fc i=0})ʗ&{럱m7c-~+f]˼DȘR -jDgtby?QTfłba q[ۖW۬1I̗-YxxkзpT~z^ve߁gƽ$4pa&Xp7c}X~XKr?8Ltx_!&ÅLΞ_*ibo.KFpMkRmWBi21r@M$Nl:ǐbKLܧsL0Sn:Oɚ4wZCQ3őrvoIL)"5 ju4@,\svin\4cZυ:/. y+7y2 op$1Ih+Vi%)0+q&=}J|b e#خS=C8]y\N\*K+!v!@!tPnAF%d)6%g 6eaMJs]mdN ]RM j?lX'G(~a(¬[1ɔδ^{m0w8lʔ)i{Rzi3B]1/#iھse M߱tFbSw]<rlǺwd0`5BN|2[ua?+nhmm썃M)6Vyn|UytЍCVTup&0O+dGr %=>mV8Tq Yfũe${#;>ԡ;ܮgCS^2 ѩ~Y=U U.şhO#;A#_$ߴ[Jҵ{$ W1=hz_kr>yyAۓΚ*6;8LH$,oE ԕ+x04;1cAOCMWJƅͣp' r\a6EqBW^6ajtlxx=Ȑ-HݔM319!aWM7NUCsL<'ջq`o:}s^5X|&oz04 l2ƍ-:n;S9`F?.wGGqq GU_魂9tpL [J|]Ř-sXYboȔTOIfivMz -[iYmZ.\K)\7&(CءfІpǒ=ؾzg55qwN`L40qӉuXBKBi5Eٵu?o|K8f!H[ߠOj_=TBK.d_R[21Qf| *0wLyhUE[c[7W{cI1w%><ӡ) ;7: FfmݩR[.9qO+JUFdggO27~<J ǚO zjZ+9vHb?q򳅚Z#΍0eQF+2 p[/li`Ɠ'[*^c>LPbʊ^9De=sFVh֞:d91VvVhWQv>5j} {q>go)忹c:_HxKvTL\ڙ.ǢF`]<1Y}`A0b [[!>TrT^.ZTLsB -&t,r-Jf¨o2oԷ9^]Gى ђ2F$ Hep LHZN{c{\G{ftp#.Ϩ6 ),RrPq5T ~=S& lN,ցf΁ fǾ/I䀪K-ڮ>hn߽x0K`y-ޠhq5o3X½$}kVi 7]b.R)ecOG\-ٌ" G7&='4U#9]$w5f;ъ(uE46&1Z?9.RXI 4CaZHXܬof\A#o،ɴopmz϶LrMٳA0N3l&MlK}/6 f^ʒtRkѤ[ST}; 6`FC;/i bTrMes/ͷYƏ]nЫ~/-zwW}HﱣQjTANLU鈎0 5Ԗ 5l 9dQ-f d}\hgqx`ma B|Ҕ[ջ=z?1Z:TD^[]NQesa+ ^n|5 [<ΐS+=BI<䮉a_sU Y 8|A͐cU"+TZuB,x( y#P[z4iIQn՞ٲv-i ԰/9#\? *Zkfk1E/.s{1Cl ,ƍw4_ #.GKXoZ4|VqDx$5u$-ފg,n rZLА]>=˧+)3Y r$TZѶaћ{_ips("%?w,I[*dW+7n唷oem+b*Q\$oۑj.Zejo{6}c;Nmϯ+Nr(s81Ϯybru }y!2E//OjW۸ql  t2X~VQ~,xyg1Aӵ 0>DF˯گmrVKAjQV(GjIfM؂BrCh<~ځ" MyVQfR$ endstream endobj 1490 0 obj << /Annots [1491 0 R 1495 0 R] /BleedBox [0 0 612 792] /Contents [1496 0 R 1492 0 R 1493 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8659 1494 0 R >> >> /Type /Page >> endobj 1491 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1492 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1493 0 obj << /Length 18 >> stream q /Iabc8659 Do Q endstream endobj 1494 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8660 3256 0 R /Gabc8661 3269 0 R >> /Font << /Fabc8662 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nМ >)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N tweBC (cZ@9T/I NЋm߽?: endstream endobj 1495 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=159) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1496 0 obj << /Filter /FlateDecode /Length 4419 >> stream x[KkHкc㺡}ì]S ӳ?)t}}AR(3H/Ki~y [R\#߿<~]疯SC^ kim;k틵Eﭷ6Wޗ'k?{ݝ_3'q-}Lߗ?< n.ܾ~2s֪76/ֵ V%iƝ3}j[<~]BwBA?)Y$)ps<&]7?Ġy+a9iѱе|-aN^yrPrnYȖ?F V"9خ/_0,!/ɯeG"*h\11@jkn˿?\^K,X'˒-A?Ꝿkz\K(>6RٓIߖ k\Ca 8P i\"ߨ33֔5 \w2'lDMD__yWG;WBd.//|r#u\B-&gaYm rnw\!VF-x x}]:Kw3`^96jV9Y}Q}|l91CNE6WXGox 츁sv¨1'/4E?g vD"t\scJ!ς'-4 mHBTpscлͬqP `a_]{T{w6'ޟXI ALhjdԈӚ!񾇋eCn(K1 qT)n swOi0~U0tGӟr 0ح+r %r+#Az=~˶LdyRYk*6gijϢⱤl~'p20gօJ#\ q/pYu\\Y;N_1fZAPˈ+8혖j{F{$T+7Au jל x1%T']7Y5cd6|6z9 )[\b@SK ̡H`j;/gs%/Yv-#QaA.8%~`D[XbGK1D2\1 :H]WZDRRg_9.<&BS†S:Uعڹ5H,SJkίA&p'OψH;B ^oer3_!,Ъxo*J%у G>u\;GA(3=UhQL͜үԲZ䒙4HRv^+ /.Ӑ5 Al*>1T$is-~J"lRRaP !}J),[Ȩv}[60T)X%.6i&4ժ"#3bDZ 7JPŵ 6}tvܠۀL_vuAnxQ!H&܋D9O@]wRY7ZOyZJۋ]Y|έ `/ FDw'?rLýayAlh4H#pyKwe/~/jƂX|T@*_L9=GaFNc_^s m 18wJL1&Ϲ6iwO:$.}a=wP'@}lUؗ dz7pvaz*8zhoq, 7Y8mW}3#ߏ]r[$XslǛu `P깉NX5*+B]7Q 27-Z=)-`$<n7ƹ< +҅S/;Fi{=;t*II$\c%]L%eJ@V*ڟM<>QtNr&;O8%z^c>nr{7d+,04pɱWBۨ,aȫ3Dw0 $JO"SQ٦BU/K{y^[XOQGΒNጃ9{*ku;߷'8r J \7Ɲz5–>~9\^.;RHj]7$^Ґ)k(nvz[BZcs)wS?dQDiDs',ncwR}Iqnގw-^u}P֬uil$7Rl\Y}JZ B}j]WQ6L~ r8; g$cgR;V~S7C0\u:6/5IX )|cDՏL%ADKotW], ~ =nF|/eMXkY;Caj@:„G ΝJȁZZF?8կq׫!tcXX߾\5_]zIfGNz$xoPjX2!GBRY 4 0A`/°lM`YLƲR9QkjRd\`|<Y̏5V v՗Q؜ivU6ע7{bZhjL60 h=Ky1hMi~!og0xly~S2lf9Dp;Ӽ\K1@-; V`4 gޤ< G9 +aI a`R ,^S`hjQ[ƆxL0g!t=0_k 塸LBCW})YYkP7&^,;`elx>3 7u✙ Cx=;j=SK^~HFNz΀52!CmѣlRyinN?Ű/'@?{)ɁS4WJ!;go΍GF`v͞Z><(H?&Ϗ/_W߹qR rQ r|-z-JG#U7] VuIۏϜsEETMZ9<3 Wpc_3Efnd(EG 'ָIT/ܗ`?u3 endstream endobj 1497 0 obj << /Annots 1501 0 R /BleedBox [0 0 612 792] /Contents [1507 0 R 1503 0 R 1504 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8678 1505 0 R >> >> /Type /Page >> endobj 1498 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111810-08'00') /Rect [342.439 379.9501 377.705 390.9501] /Subtype /Link /Type /Annot >> endobj 1499 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug570-ultrascale-configuration.pdf) >> /Border [0 0 0] /Contents (UG570) /M (D:20221102111810-08'00') /Rect [210.527 365.6501 245.793 376.6501] /Subtype /Link /Type /Annot >> endobj 1500 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1501 0 obj [1498 0 R 1499 0 R 1500 0 R 1502 0 R 1506 0 R] endobj 1502 0 obj << /A << /D (unique_84) /S /GoTo >> /Border [0 0 0] /Contents (CONFIG_VOLTAGE) /M (D:20221102111814-08'00') /Rect [326.0325 457.5501 421.023 468.5501] /Subtype /Link /Type /Annot >> endobj 1503 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1504 0 obj << /Length 18 >> stream q /Iabc8678 Do Q endstream endobj 1505 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8679 3256 0 R /Gabc8680 3269 0 R >> /Font << /Fabc8681 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nЬ>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1507 0 obj << /Filter /FlateDecode /Length 4458 >> stream x\Ko$7Wy7 T`w{X3`E̬RN\YL&3/^,Zb_ץ%%[2_Bv:P|\Ndq+]Y>uy%oמh ۾=c'K-.lvE˿ۚ}Oˏu7aݸAN& =ij1c.u01:GUx_ƤX'w'Q7||^~_bd-t}do2f >I e0Mnz84rrszrlV-VB&d$_94v>D:`å`3a-9O|WEgj2)Uƽ68Jlrw&N7+m~[Q'9AU>{!JYgc7j}u7 ߲GMBzҨ?r?G]i6 XZߎqHgn< e [_c~Vl*+{=$e}Š@W{Ւac1\k?*H–"wHQo.pU[x{ZhO`x GW9L)=^/ܱ-q^(]m0yVM<)]<*!DoGKڔ TnOY5Y*efP*#G-"x3C͟ZG`T0M \%ɵW<ݮ(%,魚=w]X`ᷟ/,LʟEGc2e,[ph~kt|>WD[뚔fVfA]֘{qkU=\GO4ԣ}9qr^ &GԹ>xe^Z;$+7:.umPШ5S@8xy@D2$~D/X\ء6^n֡nZܜOoYzh8;5FW uFRysgvwF-4^եeg6|0z,6JvWVhPJRQj#=fl_#qaܩfܺsdz*'[xquL@]\mlf+_-GyN*p>ZB+ !^>QQT`9V,d\Z;9bݘzw6}Be:f*Dwx_)Ze%tdĽcU haVvڧqqA#i?H$$QUG=X+լw'ekƥ}wC'i0R5GKlY2O-XLw/݆F4 wa_-iϭ{g5ZB?jKkW÷):}ĭ~"MqMw{uL\0na|pGmc eU"^d-`,NLdh+A鶦<$ZGKfv8,t:7;O}&6M xDZC`|z/ŅRюm篣g0 *]yNLȽSPu, E$Uiۆ""ȴIֻF;/5yD хlH2WxݻEmwL3L$/1u&8O[[;m"#7!/djkE$6Db z1R{Wo /|et|cw=@ܕ 9Ȉ}f˭}76٥ !t􍹑R _&+U][ٗ+U|JVz޶%=Զu KPB{\BYH/-ǵswwc&P}/Kf4)HS퓋Uu9sS%|#$k;Źym+[_} < &GA|}@zLDtO pZZ㗒_T(%m2ar}c JvW}xPh  sˢfTUa kf hLA+r{E:IR o%.1zb+sAaUha4> đ?&{ _d @}k"%6vAS`Iwqyk~Ek~E"Q.pNxz̧l޶(:~ȗ["W8:1WWO8b:J? it[Rk'S\k@פe*4ȿ( I#þkQ!>Ɲ S6ߡ~ZߥG&Q'Jģ>wrI5ZLohh(se)pÄ~|ډtv ^煛2K̽ۼYB oV6q`X6v1#r9L I6zR;3=!l3Vx=|<_@o8M> W& A0^t 9 ;-]9| cb}2!k]w-U6YW2R-/s: !|s,7SEZXRoQ`JPijJ'Lv.C"J;Ǥnc:;%rEgެ%W]6fzVSSZm_a숍- „{aEʛB%FMD-Cry9- RK7Һ4U+BhrZѥmA8b PUm9^|9bHa"0 ˾7 z.{_x+ةDE_6ogr?P틿KZ6"5_2Ze;u0Hȓw1R] +o=$ Kހf}X6#JWlKlեuXf98w?&cTo;-p2^-زجbNbCmoFGykHl>gHlYy: |.ЌY絇&zNy؋̓c W5̻L#Yr1h2:sݥe ] `:蘱[QEf c(7"MBXncW~?hwدO[p*4T~JwyJõ&6k'rl-1mmx5#?.FW7!>nv=F? c6De"胗VWiY2J h uk R)ʉ!L''N0.aJ/sr;Y bBiLܛqeRxѱa0qCkcQ_RN ZG82viKSn 'fͪ, yЗiQ:1#zTaݝ>,| v<-%E)B^*fߎR-X>y?5t%Kʒή1&A+` ~sZ3~{+);Y bh#'ɪN$)~cLDHQ~BX4q,EkYHuZ ^rZ.V2!2+Uj(2jMnI!ͭKѩM RU:M/y+Ne7XyʢZ.~Aϳֿ75.> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8697 1516 0 R >> >> /Type /Page >> endobj 1509 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1510 0 obj [1509 0 R 1511 0 R 1512 0 R 1513 0 R 1517 0 R] endobj 1511 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (CONFIG_MODE) /M (D:20221102111814-08'00') /Rect [90 397.6 170.52 408.6] /Subtype /Link /Type /Annot >> endobj 1512 0 obj << /A << /D (unique_84) /S /GoTo >> /Border [0 0 0] /Contents (CONFIG_VOLTAGE) /M (D:20221102111814-08'00') /Rect [90 382.3999 184.9905 393.3999] /Subtype /Link /Type /Annot >> endobj 1513 0 obj << /A << /D (unique_77) /S /GoTo >> /Border [0 0 0] /Contents (IO_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [360.006 279.1499 449.194 290.1499] /Subtype /Link /Type /Annot >> endobj 1514 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1515 0 obj << /Length 18 >> stream q /Iabc8697 Do Q endstream endobj 1516 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8698 3256 0 R /Gabc8699 3269 0 R >> /Font << /Fabc8700 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n( >)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gyMU ;/d"Xl˜5_@KiܟBj2gԜo.9cD9 ?^zv+Q9 )evP(y _E ۾{w^ endstream endobj 1517 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=161) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1518 0 obj << /Filter /FlateDecode /Length 3679 >> stream xZɊ$GWY.(  A9LKj2?׈^`J"[{KwK*V~$;e6D7^x2HUA$y),G-|x{g*Js_0W&fyN%;m|ѝF5Om=a0M)T{AO{/m!a|B'K\Ɠh~rW|0q393-lxEKjU|0t>ߪ8d ҇)Kȏ?ȟ𯷎jrR){9B0z~&~g_]{oI(xoao}&3!3/^Z_i7ܼeOOwύ1=Ƹl,'0K)jlKi`حέ63>(md:t0]`ә9\ǡNRTUX ڛu 9[ }nw2&l,@Y5 'u^]{=%9~vX'v-ӣmEiжg]2Y$lUKu\U;"X؍;(+Rz5oQjmg%I*Vͮx\s{47NpM_tq~\'*'2%;_|-M`feYq&Ս 'gCyM7+0ʸ:~yL\JX{Z`XH>9OW9͉W4_Q<1&?Oǫ2 ҎODu(_T0f>]3` HFa&61NuL zFv oA0$Ѐ]Ա>u`}f =YVQM)%Yrײu RXA yǡa~(t\$.g^ %_\p:EIYx.vɑAH^{2$AB!NX dv V ]tV}-Ӳm5eT8efvZT9('rCEn K,U9nzeBsTpH[mKaYcnכ}$~c+ edlmcEnќ|{[ yO~Sji7_߅x}G`7yJ<:쾊i(7 nw%H mX˩Ro@̄PAzLaWFP5$!zpqYl(g7e6)aв~s2+&Cizc"u?)l!PԶ*cr>AZ W w}cQi!uf5!zvX k~PY6q/S(Š"c!FsV/k:5tC}1룚69^pHr΋lF*Dڤުie΋^:`Ϲl}F*FuK4!jvºaMEJz LtX-Vi@Wa$6Ǟf$1ҵv-[1vc=eE1iRP9Y$x{ bmwM3bl-E/n:kcI*]FVy]@k= ;:PaϾ1IݰW4^k}ݽEEx @N۫yQw%JYw9}V0,}٦P; G_z֊q?* nKqb\]q1:m¼qgw7BFiEbyvu6[?ܰuP^Bg~~ U=n%h롟XE8R9\??=R^{㎻jBӍ*FZHAyŎ>B"eB7IF pEWR֥`%p&i$ QQu+WکDژn }s*o<=&8؄ J)TMqpa@~?/*8 *%yЙk59EXk_M2ȷ!z.i^'>h'hBJ@xǟ<7[+*AqFnt Y\K iljz&h"Ge(xOM O+6:jw QKgl)aYÓ{xR%:Xp<)lUC*)tծX/?I:X=}3NZETPez!<%p+ 9:WL~iK:נFVv!]XB &Xht/8R:)^-zRQ!'r:)"t)`akć~<,-_* Ug)(*AeFЂ /*rdwFKsNF){ў2YKFzYH J(ls.Y6E0Ȓe5A-GJxޒZ(5Py[!-( jj/3?8K*22\!s|Or swjH,Qbh}NK-3bm\f[ژ0͕ɯ+,"xQd*--U\gi-IIh .O0gFF4TMKs۲ylgdi> L7ǧzCmh6g=h{xrnzCtكC]9CSQ>#Vw=0gbù_6-P;{/ڑb>6iұ{U|ݤw.B:?bXl;+*UۤYMm1Av|+mR}'}jڡ* MG`*o٫ܿ Wxg>g55 endstream endobj 1519 0 obj << /Annots [1520 0 R 1524 0 R] /BleedBox [0 0 612 792] /Contents [1525 0 R 1521 0 R 1522 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8716 1523 0 R >> >> /Type /Page >> endobj 1520 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1521 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1522 0 obj << /Length 18 >> stream q /Iabc8716 Do Q endstream endobj 1523 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8717 3256 0 R /Gabc8718 3269 0 R >> /Font << /Fabc8719 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x77Z fc}Lh < ӄ9p: 橷൫ݠ>.W^.6qS\1%su4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$/5"]zP.4'ucV(H!6,>Q]h߯}j]֠g'ܱtM^RuTkQ7^U}ɖD\Zu8>϶Fo5!Hgh}'d("_@Os%p!%`yqHB>W!K\|4ʄivP(y P_|۾{wMt endstream endobj 1524 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=162) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1525 0 obj << /Filter /FlateDecode /Length 5011 >> stream xڽ[Ko$7W<@ $d`=x,`=i6 Af2%EQ`0dߵՆp/ìA PDyVq9j+$~ ޱiՅmSε:k͓+f2&vkJm|:)̣TIؘ*R |)/n;Y@"^SU)EZVB0Gˤ'% iJwyӁrTmLSZbsAbrB s L~̙6+o^40_ /(JQPM989CU  a]$X` nrsjZ',S,([͐eԉҧ8"t̒ /W=^sShO (̀P&(f53hBdIt]MxNy,1 I@<O|%a!  G=LPEZplšOĺYGi@;^CS`kǕt^~[)`0E${,"]E-_RuW!뎙4-8.+Wd#5VĊwc7~.+ Rh@TdH8@4g 3EB /l{J !u*t[cd,O% {L43REZdpD Wsa'l\W IhT0Ec$_f?bEQI{("Ad!qPy].{Tэq$ޠỵ9j㝲,@ ypYF1o*X*+H }y,^B_L$KړH\FڰpnްҙI;ڙeg!u"$}!jf]Ŧ],lb0_bx{V VTL\VIQtd;&(c': '`)=4̣a[ewf&pæ7Uz9X nk(yCMŸ^6̹PkQ}m3edD0Pԋ ~N%#)C%P(( Y1- Y"G3c8j7.X*tu0u/UmݱK\ Sbh!lv|t_OQM}^> Ch/ok+]JG13E9.a7F͗/L!. ,ۂe}a%R+%5^2K\ K^-|.@h )S^U8]\{pF-t:,)[NU,\ZW"'Kƶ^O}({? h.I ~kB$(.J.7Fa1Wݚ쪡NQSϻR)Ʃ-ZJf4ADD+.Z u4j0W!a 7_\]Ty(R͈?ƻG^ n1=L\z>>v$-s|Y71zS>^6iIc9z1G4 F$#%@"T33>Zd "0;#}P1ٖ#[Ls <~A廙8lS9 h8\IՁ|,A(Aseh+Ami&UzVTdBmx umcB@-["Vk.8^S0o 7)8z>kyh\>,W*U2AD}S7~k;ұkݷ-ܶssKHqE+-hѐfknEbu3M)XȒKIR,SSw7mM֔]*BV@;č8oc*iwO'Sʓ9:(F;z.ѣ܈ҳv$LL |jv@gz@HqS^^Yci ֣%McfUtmpZQІ+`9of*RLn-[" sy؎;nڬ!`(/ 딉i፺#ޘrJmYFs9W,7 gE06kZL<,O~0K+/mɪ-9Yt`ɟRޓEY鬂zROGF5_mIE7݌m=MY 4mQ?Ol2lvrQh퍣52p4A3近Ѥz_ lE"Hasr`0Ve ̑[GOUkٴSj.\HM0(cG3nU8? zuJ[ ݞ+)][>XGUrz^uu??jj t~O(#SR$( M_h֛_&Z=N5=N%t P 5Tb`0mnt׺H;G+mkn1MdnчKn ^` #nJ *G8VzL|!WLfca*IvBҢ@!M\t3?iBz@ SaGS15vzO1LjȞ-7ԚG] fRڰ :0}%]J|[dkʝ7BhBBWGey*^; W<ҜɆ٤ ]ߣ=IAK(ܾ O 5*z7-/Mw7}13$bh Tϡ[C[b8z)C?PylojڣizltuU:H;gtM5I=p.H9==0ϖl_5.=BaynB gw5ӷn$wI׳x?)▂Z\BOٽkkE#2ھg}f3 2-?t#~%L ayžu.O)[ ?${ endstream endobj 1526 0 obj << /Annots 1530 0 R /BleedBox [0 0 612 792] /Contents [1536 0 R 1532 0 R 1533 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8735 1534 0 R >> >> /Type /Page >> endobj 1527 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug472_7Series_Clocking.pdf) >> /Border [0 0 0] /Contents (UG472) /M (D:20221102111810-08'00') /Rect [298.043 351.7501 333.309 362.7501] /Subtype /Link /Type /Annot >> endobj 1528 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug572-ultrascale-clocking.pdf) >> /Border [0 0 0] /Contents (UG572) /M (D:20221102111810-08'00') /Rect [193.8235 337.4501 229.0895 348.4501] /Subtype /Link /Type /Annot >> endobj 1529 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1530 0 obj [1527 0 R 1528 0 R 1529 0 R 1531 0 R 1535 0 R] endobj 1531 0 obj << /A << /D (unique_77) /S /GoTo >> /Border [0 0 0] /Contents (IO_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [90 604.1 179.188 615.1] /Subtype /Link /Type /Annot >> endobj 1532 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1533 0 obj << /Length 18 >> stream q /Iabc8735 Do Q endstream endobj 1534 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8736 3256 0 R /Gabc8737 3269 0 R >> /Font << /Fabc8738 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫PT>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}S endstream endobj 1535 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=163) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1536 0 obj << /Filter /FlateDecode /Length 5124 >> stream x\KƑyR~ ,BCz X>;7nLJVRdwD{ۢI8/_:g0$"fQj4*1)ҦRP΃LƜ)\k_>qߋTiYB?-IJ韟@Fp'? ,u}} Iʔ3ϗavzڔҠ+%|=_Wi2.+!$t5sM ͢ʬU͖ P>83ѻwE-7i $M%â4OˏYܩJclA:ҨLuCtf$9QZcXˀ-2qd4eVҵE}BѪ=)/SƜg_.EѮ+2|(Hu]ZAܱ._W *uC*d[̸!CCM+db%F8YpQOs^s[W@&Szx t !M~WuUr8BH *?YuE}CL!  XR5ots# lɂk%h`4$/ilKWjR%v~d{X!~́Y=(@(G|!M7Ur +1ǰưw2[ ª7< jK7:Ypir#]n␥5EƚsXqXi$};Jmk9R#Yt؜sl$|1{Rw==i$?r9IZًSeOfL%7nsl.CS5+5ĒZ֔ѐN!KAePW>lRVJиuKG\_iPV`xV8T^+B眏jeA 1DՇV:VOA܋߬GL *p{+QvRoVu9y QnBWwu[m#rP~۞TV"}/Tؕڪ mB!YBd8FX1DhNF/F{GU&t5#=ӾE 0:&0-v)e@N{M/ ('JjD6ԕl&CXe3jĄ m;-ng~cOm5rLStu9?&/}Fxv d!QQ ǂ6KY6q"[]cIspm\^\ G{*>2v` G{T]B?.ְr [%i aƭ ݊¶u32y{Ͷ̾8k\}w NS]iepzڙ w)fe xҫp`-񘶈o5 1"~Kߪw ȟ 8$2c`o̸}[7?[a2(t#Fo+~{x9͋à}amھ1{ؐW\jv}((-kO[;LN(y=k`索6liNѮMCO -Z4$-!i.}zڟ6 I`y:6 T/8zp6oߙ6tmov KlgV8ʗN]8[#բm`U[ST ȽZ>9bf8s\f*¸]<lF#scWPmфz_U1/6i%3iIa4c׵@Oڴ>4׹n`pk[]Cp6Cl8$96c1Ѭ*}[I\iqm,ݕv%V֏ViW2u{Etu?+5`Wɒꈭ*WJߟiB_˻/ 4F0'IT]a7 %5[jFȄXQHx'H0+<+7mG r楸ѝ}4+=\ pnad2Ane_Zeǣ* bO,#Iɮ-ᱩ-C++flQH""yDUʭ \GCډQ@c Ɩզak'v_S>!D@](gN3JsD[PqS:mST^FX-T@ ZA%VźlEP$_}kЄacBe:pEApBfvt/poLr8E쇸2v~)OŬϛӱJ\ɚFK1cc>FFe6<| 7O^}fQ,ql@QhY0?e -b'kP?Ɛd)㰘n^qݛWWjrzT ROkX*&l)v3,}<EaͳR̗Y00>3 SHI kX6q'Kb6+#ȣ\Dhv|$-,;:^ s+M2՗NV #V!{r +`vc .XiM_) 4Q-!]ٖ7hB1"{UG^]NL?FC;w+za{wx4VSI &LAf C󎈢48q\1g:ƥ1IDF xbYRNW$v&k D X==@o-a*>/qi?ib[ ៸Hc/U[혙P F.L}aD}KHk*&?Q+赺\+ ȌM˷(E^[)DvsE<뼾T]UC2[?s썴Hi䠁;I7."8oY_wew zGB9B> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8754 1541 0 R >> >> /Type /Page >> endobj 1538 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1539 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1540 0 obj << /Length 18 >> stream q /Iabc8754 Do Q endstream endobj 1541 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8755 3256 0 R /Gabc8756 3269 0 R >> /Font << /Fabc8757 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nВ2|:S֯޽\:H%_rPd2n~K$V-T0EH(.$c"Kso"ɕ jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB Wuţ}"juZՇjxpf Rӳ6{ik_KaRGcb>tD'W9F&[:WɅkEYΌl iTְ/d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!ëXN> tweBC (cμs_|۾{wZv endstream endobj 1542 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=164) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1543 0 obj << /Filter /FlateDecode /Length 3417 >> stream x[KܸW@|?arrk,<K~*f4cױѐTȪbWigb6l4-II/ӣ|wRkazԆA)PՐBV>>Aw9Jc?cy &GÝ|#T[ :$ |f+R1`;徍D }'q,1XL8u )<\#s@Z5Au]CLkX;oO˝$""*.*S MpKYC¥SC%$=L!%`*2@[=Ғ43-)ֵh XGȡsQ]B2Ժ/Dof`'ۺ4)4M6eW}ւZ@Rθ 1zR(=OLAk6UҨ1PM._dV[P⌄u %l{#G6?d0n1 m4VINg3۬ߤb+hJHZ@YSa ͕25W̮*iz+`w~J5͕1\1񕭕Z+vZ^Ζ&㖘ɃƖ,B?[R`hq*|Da+Eͷy-;D/dt&`=42νJ*4a[4FDωVT\KcD꼦Cpq:~Mz8(:HÐL +Նڗ?_GeWb:¾O+\9{9<z~_Tݗ# K?3L9x7.ݭBM܇ k_

yu%]3<\!`MB',_֍g(2N_t2d`~38@?8!:kA@oG=$)<_A)05q15=NIlTl 'Z ]'psD#W`HXC :ہM 5c}</0`2Cѹgt&Ff\ck7.m(i=\vvK'FӜGo:XAXD&raH0FpM7*:AP k[xΘ]14le0DQYGt9Ԭ VM "k63r?z*" ,58DV3βU̸d3fO2Ͻ6hA1m| a3:<{r`+>74CcՐJ2͆19Hxg~hmzSK=z!<mO]2`iI~HŸFi4* Ԛ:c 7Q1:VAK yNŤɄe ,H6+򊯕W+R^y#ؔsG2lwBEct$uNE(w$M RWOPi3/0/45 ϥ0іߡOI\Ghlxu8*LB<M@AckQBFh!@9"ĉ@|B>gdFEƒ D{m:xJЏQ-#X2"c|\OTX>P~J,ґޕ}O@m[N nz i8婤Or>A^0\ׂ %r Sg7͢01`s#Yҙw%͠!pZ7H3%R y4fȔiK<} ]I] %rgqH1>7 d~z+H2ax>u#=IKDßZPiLBm3XqT\_hOub>TfK3>Gp$CTX.:3!XP_2QP7e6?.}wx:X5嬜[VoQGf!@QC**]2tLLӵT-8s'rj[?jLHw9jvq7؝>OU#fx& ij0ZMUO`^uV2my\+6Y(\⻦_u6AKnHaxW.Y|f@ endstream endobj 1544 0 obj << /Annots 1546 0 R /BleedBox [0 0 612 792] /Contents [1552 0 R 1548 0 R 1549 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8773 1550 0 R >> >> /Type /Page >> endobj 1545 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1546 0 obj [1545 0 R 1547 0 R 1551 0 R] endobj 1547 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_LOW_FANOUT) /M (D:20221102111814-08'00') /Rect [90 604.1 206.347 615.1] /Subtype /Link /Type /Annot >> endobj 1548 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1549 0 obj << /Length 18 >> stream q /Iabc8773 Do Q endstream endobj 1550 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8774 3256 0 R /Gabc8775 3269 0 R >> /Font << /Fabc8776 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫PT|:S֯޽\:H/ JcB2h7r ɋb `6P\0&U$c"Kso"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_I٧j gVĉwlVB+0=knT-=&CO4{rCod%#5JVe8>϶F5{g5ŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1552 0 obj << /Filter /FlateDecode /Length 4268 >> stream x[KoƱWpt @8 &5#ҀdM]_ ^ruos9Xe_~_i5P|{0cBmO2&vMMW3'=N_~Mέ/8/Laq S9s_ 9猩`q˟Y 9>w^z%g\ 2"ܙCu֑D!{*" xc TBCfDp T@v]  /_OP/[ް~W7:l"JT ϣ $h.u=)?/:(kƠF`ԩ/yͱ:4; ߆$k4wܰtz[j7$FT3$rV'ݸ7 Hss0 }t`zaG8^D8>5[w +d f&fthw&]TH|xmgK`؎wH ai3xoLMnnM6ڶy wm)W8M-GTQIx>Z6#3I_$߰.ew[:kUNJw6-#GYo`odmnWqM e*۶s(R'l=ivG ֫.]8#M45g}V,֮ q>`nBl6;ؠbSXCsZlLR}$_iA#JR$vs%09)'}BZ)k˱vXyNk%Zbs 1LRBxN)9 qƶ7F3ɠ@osrI":gXYla9vV"Xc}nA_g8ZR# mڈZj]hJQoOp`GfHGM_"NUh60giAu4<;A`ؖy-O{H 6! sW!kh$yM qhOo BUM_UL/%AP@Sw!ut kfDPʱX⢱,Q8pITRiaPxӒt!*5Qxj*Q]+s*TtQ%T-3 ^T*O6:d9 Jlah &N].p7:/:1 %H= G)D=Bݜ:wTM1!,=zE^.[|N5y` w zO~bt#e3+hӉioziF*p87N/͕/]v=o`98in>}$ sHS'("6!~mĦ!F!UOA-R>FRXpJ/ݝq4r'liA7r78Ն&Gz9=k!bpG$Oa[\n'k#zxMHX3Z)| [hF&]0/K!r~4C ,5({|*؛45ѥfϸ!V<ɪ/c@QשDn$HbkiI8 gwy!wN5ҝ+~~؈7BnVH99Ճȩ|gLÞ`^!5Z[Hr!^=W[݋CGۄ Bfl Qƞ<ۘ:#Ԛ/0.'#9 Z30֞_IH}RS;+UMl#OZְXLW|.pŝKHo9(2U*Go]^e*AUWKvS@dAOtIMܬR ޭjMu՝J^ T`gr,c{`l9YIF=VĜ.#tgt{,︩tB26ңar"goԳi`t4Fy*Zʭå ciT6gbImm>S׷^nkpSWͫ6nK\4$͐p+|j{7ӚBޯH"+y6Xss aB)Z$e&.W,,9ڸVIvVzp |^^k߻Ks5%-[Gڬ!"[k!Խ#8i oOy)S\A ]bfK;XFyuH+搦k>1$GҖ܏5$V:l6;<8Ps䚏VKg.l'1G$4霍m&qiE#h ATKghٶpK`;mcglz?{i`k(;z2X<vݲQ`X*#GiG!x/j2'cG}Nls]r]\葍Iz;X{;Z;;[tw>tp*YC[?/PyGM[GŤFI lٕm0DZ`G F~iѶI+lkq' \;VW~tBs(ښ :׭0 \!ݕ pG;tb}A_3)mcE9(?M%TM~(Kc CU YY*m%s". yi3 endstream endobj 1553 0 obj << /Annots 1555 0 R /BleedBox [0 0 612 792] /Contents [1566 0 R 1562 0 R 1563 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8792 1564 0 R >> >> /Type /Page >> endobj 1554 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1555 0 obj [1554 0 R 1556 0 R 1557 0 R 1558 0 R 1559 0 R 1560 0 R 1561 0 R 1565 0 R] endobj 1556 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_LOW_FANOUT) /M (D:20221102111814-08'00') /Rect [90 538.8 206.347 549.8] /Subtype /Link /Type /Annot >> endobj 1557 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_DEDICATED_ROUTE) /M (D:20221102111814-08'00') /Rect [144.6755 212.7221 289.573 223.7221] /Subtype /Link /Type /Annot >> endobj 1558 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_DELAY_GROUP) /M (D:20221102111814-08'00') /Rect [215.972 184.1221 335.168 195.1221] /Subtype /Link /Type /Annot >> endobj 1559 0 obj << /A << /D (unique_89) /S /GoTo >> /Border [0 0 0] /Contents (USER_CLOCK_ROOT) /M (D:20221102111814-08'00') /Rect [192.2395 155.522 296.2775 166.522] /Subtype /Link /Type /Annot >> endobj 1560 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (LOC) /M (D:20221102111814-08'00') /Rect [301.5905 155.522 323.024 166.522] /Subtype /Link /Type /Annot >> endobj 1561 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (PBLOCK) /M (D:20221102111814-08'00') /Rect [348.918 155.522 391.356 166.522] /Subtype /Link /Type /Annot >> endobj 1562 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1563 0 obj << /Length 18 >> stream q /Iabc8792 Do Q endstream endobj 1564 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8793 3256 0 R /Gabc8794 3269 0 R >> /Font << /Fabc8795 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQu[/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/}gx endstream endobj 1565 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=166) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1566 0 obj << /Filter /FlateDecode /Length 5018 >> stream x\KFrW@Q~aZAY`uٿ$3IV?4 k(2*3222 Y ._ g R׿M//~z6իEG=kx/S<(2" 7 "] Osu|O=AZ .S^~˿_jk~_V-vv>,Ʉ?elCcj܇E%6r'V)@(GSw|>$1k[W}1׀y*c|e 7/½6!kOGh|'/pE }WlK!n@延2`%CNa~ N\{c u0廞ݦ8&8cÒ1χ1:hb_t+3_ nj+;?`vQ̶yPC BhbR J-~`>иwEVτk՝\t`"ZW9A=tS^Ԏk:H΋ƺށȹ#/ /OB) XZxT{c&; ZrZ_L].($42\X̠l*lXH..9&ܓziW֬0<7M7cϰy'ss vAxWxp"_-&㿦1im?0j +Yxd`9xd$YLG%d잩 vCMn1]5P-&DpJM⸑T1o^^o!r~v{m7ʚiMqnQVRAB1/ֻϭw?>՗tp6|"ilx0s=$ӑ1p7U0%!UIa'l)OÞv3s{MלUKJl-VI~If ]? pVXpܳ~ GPW573v7G]HOuBrLڇi>%HSj~XczH'$zV^p:%1AbCO.,8Ƕ$%D(kLQc"7[;F] s?_% 9%ZZp1K† Ei_q["n;%-mVH]nV." );3̒ɣoh" l(a@ʣYq8 ([Pj y^Z`lh%A5%;MqI&ʒACRE]TH K`88LŭԤ:%kd.ʍr XzR:f_֝{,8NSw #y (!H\u%+ºE;h *p @̤tA``DPu6 Gy(/n7ujW^D7j"gOK V ׭_ą}ȱ_҂mK#' pXrM~+bHׅWԿʏi4obiAGѶ rR]iSe/^6#R,28idf<}+)@r֒%KE3C+Z(!#LCtTµ4pz]zPYs\KØ9Ƹk)?ctwd!C䥼%9O73۸>L˶ VX6m%U _B;E Ģem…Us=m7[MDR›Tn;+n*we`JEw{\yXd3V. ؾb `iU|?.mZq4io.҈T >'lN6¨jSڮvd.biM-I0]\JpTQNU[RK+kEW_NKL&>pp?>UiUǡ4 vd9*C [H]Q+:37s^7a~ R3N7z<뤗-T~}~~};R[^t@8ʫϫ['ؔ'_ŧZecũh郾>E|@d;y]]yw=]:1ITŧ!g]b :xé4uj xt-6LnL>z;ƜAjNJxc@NݾY4wE4F%N%k?P9&kQ:gV(]R^Nq^M_ڹ%cm첶$Bl]ޔ|҃s-}CS}KvyIlMl0O8\'}3ΚS\ョijSHމ?] 1d.{w@{8/I_[ͤVqdƣߚHŨoMZSCB&}̛Lыs;Ւ2!fwFg!1P8t GUkek 2 m,OÄGFW޼ИFbY3H#kjnߕ~!Q6}+0z(Nua ܩdl Cvhmm`Fj",cj G)K9j㍷]5sS[+5j4A1 QY4Oz\mXgJy,}nf"ʦj |ӡHqz{4m!<>j2%M5`9X *-ޥގD(cB\;lnjK'tD#77S԰Y"̜+wo|zB^gxZ= a$Zdg7]xЖ@|\ɐ&^f>`&bNNߒ[znR6@E'⒥`ڇk3X`t\B҅Vh2 NB蹇]rXے@IZ ƮqF9v{@Dܰ' ZX|^\ʶI7Fe'ӰfAlν2PGn`uX;/=e.@݇NK'ĹKg]yeaa)L6/oPxKx9miv+`7Ǟ/.eenFZ ٬ qIS܏n5RnS{WTNTOgE*j-e`jc!#XGOicF$٫EZ[嫲¤T-Irz!]BU@lY^ w)$S=_ͱ5䩠T̩(yv|m٢CRuu##隊7d 5fɾ*~ 7@@_x~Pdk#X&V`ˏuW᳥پ{7}0MT[#`V % Cڿug&H"$(=namiTfIٚ.|`_:~x13!YyQU~QnVhںz`P~␼C:ޠ+P`Eea^_w/Z[Oi*8vB r zEPݎykjyYUa#1_ 0o_Bh69OkҰR8ZC.2a ˄3%Hnt͵'ܒZGa?)!t,GM_s endstream endobj 1567 0 obj << /Annots [1568 0 R 1572 0 R] /BleedBox [0 0 612 792] /Contents [1573 0 R 1569 0 R 1570 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8811 1571 0 R >> >> /Type /Page >> endobj 1568 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1569 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1570 0 obj << /Length 18 >> stream q /Iabc8811 Do Q endstream endobj 1571 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8812 3256 0 R /Gabc8813 3269 0 R >> /Font << /Fabc8814 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶9zg5ŐL$E d_ )2̼d9 M \09 `FcU$!ëEWmGyݝC.;p94C-z^lM3 endstream endobj 1572 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=167) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1573 0 obj << /Filter /FlateDecode /Length 3269 >> stream xZˊ#Wz@9~@!(I% faj =ωGfd*6B(*2sWj-$=|24"I~dz^?Ώ:94&/ߟ/adzRiҟ4 4{a&򙮜^g9%|'{OX}Oü h`P[0l -%t2"!I;uޒOYr.J u*6SutF8f& FyJ> F9s,'_^p%.kTͮnk덮!T-H'@/9@Bљm2b6·袒"iGX-ͩD;F:KaFXBL̖ yi4Q'\::y(a26Ճ9Lv_} #JKֹѸ>6 y"I.uFmX>l QzЍEm]L`M剻͗æN\躦)G/c R7,pƬtԥ3ʏQ)rLh)9Mi%B@2&-0yuQjW1 b+w'()#¤%ABxy!?zkmQHoxTM(u^HͩD} U^tH JǠ 8ѥq}c]t߆V*b :z\dⰡgsIa[Z.+4}T QW?Π&s-`U7̈o)9em Z⊕L &%݆bIjTc iTJW9qՀa-ieH =-^ApCR[\izk(Rf$XY1vJiH.`(U}YX4y#2Y&P_{!ؐnxPE,w*rL)y~)̀ ~`2!"] kS0|l3!xg9%yb?^:ŖY\Ӂ3FTsyт,-ZS̴^=7r{RESSu*"&MQH{oe?ں*@QsF9n*Ѳ.Vl~&n$]FZy\+^iT)jsu5WyYrzgʠV;% GױX]3)Ii$1kԡ7kƓ1֒dsefic}/gWs]QOǩV*<-. }8g0A)u*kp,GDu] !EoE5\t(<0_,4nL)nޜy8v 1>vv Qoi5UY\/Y+njS?bRCp#gZ^=dETLq!\)k}@;9t,-ɱfצЙk- ҙ^le痲yu$N+YaH;W= Ů:hQs>1\K9Xe;]h{*o֑ja9:^x6?#?窷NW[lњ>,-5/E_CFna{g{?[6RY^yn\%tl¯Z^Cḯީ4Ivۚ'q}Fib_5]BB/ll]XXteg:@3 rf*l; H޹y.G X_G+2, pkR'L,&|7 dӵqd.͈%9Q\<=ZmΡ,n~#D|}7\Ɛ~ANbʏJ$JŘWڻp6 j{1*磿xGc_8E<| N(l`@ 'QaD\]c83jCmrY ]M Ԃ5~t)¾Jfd~=; "(SA51J 3قk8m583ژECRэs5,4⢝j4bafiɠ\.9(36+dq 2sZg`K`gVŖfr^e%0`A}^}:rAWp"*W,-҅ 5*ܚ&e^z-ϴZ,7I[pOzt6'r8*-΅]D7gPҀ$]{VO\[?xWM2_=jѵ" 'Ѹ~Y#R1FD7*r#lA『$l~K옖TljOb ݅4zc,0DW6*%Fy yUy I% YGC?ptʎ+ >AGGn1x5MpZnPiijD htՎs=d[bh+FۑBiڢ/.PṢBЄ(uve][-- (H0'I )<b(еhCk |sGRE oe C֮f\T\>[$KBHGұFГS5ff`;ĉ,S 䩤rBTPD1̓9E&9d tP*a"r\Kjَ/K\ =pJB^P@U_B,є4u Wjlp]We?Y 357sp3`':/A-tΌ(+Ţ,fks'}g&"7ef6JlUL*_8ri[tӵxn40R?֜sc匶?j u;cӱ>JyѼ5E;FzK2J3De"Lp~됙>Upn|+ \Pll݄FِӦ{oCFӋy犤ʴYF<+h?y]i#Rӏ);P\ⷹ.Ux(61a D d{s>3< endstream endobj 1574 0 obj << /Annots 1578 0 R /BleedBox [0 0 612 792] /Contents [1588 0 R 1584 0 R 1585 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8830 1586 0 R >> >> /Type /Page >> endobj 1575 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=get_clock_regions) >> /Border [0 0 0] /Contents (get_clock_regions ) /M (D:20221102111810-08'00') /Rect [438.1937 252.0764 551.4164 263.0764] /Subtype /Link /Type /Annot >> endobj 1576 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111810-08'00') /Rect [383.6182 216.1764 415.6782 226.1764] /Subtype /Link /Type /Annot >> endobj 1577 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1578 0 obj [1575 0 R 1576 0 R 1577 0 R 1579 0 R 1580 0 R 1581 0 R 1582 0 R 1583 0 R 1587 0 R] endobj 1579 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_DEDICATED_ROUTE) /M (D:20221102111814-08'00') /Rect [90 647.5 234.8975 658.5] /Subtype /Link /Type /Annot >> endobj 1580 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_DELAY_GROUP) /M (D:20221102111814-08'00') /Rect [90 632.3 209.196 643.3] /Subtype /Link /Type /Annot >> endobj 1581 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (LOC) /M (D:20221102111814-08'00') /Rect [90 617.1 111.4335 628.1] /Subtype /Link /Type /Annot >> endobj 1582 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (PBLOCK) /M (D:20221102111814-08'00') /Rect [90 601.9 132.438 612.9] /Subtype /Link /Type /Annot >> endobj 1583 0 obj << /A << /D (unique_89) /S /GoTo >> /Border [0 0 0] /Contents (USER_CLOCK_ROOT) /M (D:20221102111814-08'00') /Rect [90 586.7 194.038 597.7] /Subtype /Link /Type /Annot >> endobj 1584 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1585 0 obj << /Length 18 >> stream q /Iabc8830 Do Q endstream endobj 1586 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8831 3256 0 R /Gabc8832 3269 0 R >> /Font << /Fabc8833 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nPOvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"*pm(<8יq|mau3 l?k!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'Ŷ: endstream endobj 1587 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=168) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1588 0 obj << /Filter /FlateDecode /Length 3936 >> stream xڭ[I$7Wy EAe-90aN=c`GfVx޾IMėiuk0y% -o^f v{~uם6~;E~#{i^#=e"Ã߱ aʻ1d?<&rNks9`Bz9=1ͽRm$bX)Zb̹\!Ac%}.Wc;mpGX1)bfMkQb \}ټv}t{Y'e߶`@kۈ+m08a3?QF7C!b2"ۃ5O`/OЩ,c8+gwTT/m}A{WkʤuH /S߼h$8S.백D7E'GpGKEs[_I_M,,qlѴX~\?^'rb9+ܩq)Z *' 1ȓq;^md]/J3.0.xRo,ϲtJ/5\ 碅SiLؓt%3P쟺 :?ٍSiw~BwZ KLȩG$8J6&i<5DG6O(<떀AMbpߍ\TԽ8:SoJ*NrqM/9~O%6#VשnVcᩡQ]\C`Aw%AQ0ژ9@Z3lKK5 ?/q$]Ƣ#(r;d갶 Eܘb1-n2ٞ"E,E/"D5:-Lm=%Zҕ j O;dPqX;FXܡixXAqֵ ȸ'&ԡ3+!lliwoA)PFWs b~&2Fw+_Q]Q(sIozuIque _q&kt5V&MzR+~,]zŎم?-\H]1wK:l-녀/$ak7$UC t`5%6IYVA@Gc6oKfl%N B:>zP2j<]R/o?H6OVw\I'[f׉0>=^'o(-XSz"CtԤ$6 3vôUv+ Xeݨx'imbMM8hn)ұX8FCE@KJ׃xsr2+!g8.=p-Cmgf_^d4%c<0璔"AҾ) +q2P77zpvT#1 *56^j4ǜ3yAbB}H)KXPlko'D);8gB 1\F>V{rj |ؾmi nusDƶj{lޜ\GK#toCe[1qnptEKGPMn^ #K:`jg-C"p:˅=~8 ҍZ!eV-[ӹ\R4%+En?| Wٱ4 õ&&->r»dcΏZfV ZvQӞZ5Zi=XUH\,4~lMNF&W\M=y8{ {v q<їOO$~^NֺR&nYvGSZmp"LٍXF~ʀpoG7]鎣,YcmKRj|^IpDyiSuF9ebʿ0rjuS]Jށ#꥓,֪=Á|+DNvxA ٵE-: ;ТkOԚQh8݋ ^-/iSWv]~c9͍yhwUL'NQlf-m { i4<#]a@'c"7 AH=_ ș>p̜1+WgrmVs`UP^uZ?|TsŃ vR⾧Pu=0hD( I2] ,0Zf<%r=PM\Cy;0#҆ӗ ?ܤwBnU^unM tX3F6ʱ J胁ke c?=U#L#>0 >@{IhJ)epUTgqMD  LpG~r6; 9)\eWDkڀ*ro6pBsi MN i Y TЗ T}/G kA-ܶ}gLћM|= ߩ(r+iѺZRNڥJ'f [4|͞9|_"H JJ(=3ˇU71fuѤL(EO|س{0Si T+A.a*Z ] g[Nq1W&FN9w$XR3ZLr6\Zm uPY|{).Ugu)Z?#pPUDlD Reujΐθ(_O؂Brc_y$VKnOka I "jcV endstream endobj 1589 0 obj << /Annots 1591 0 R /BleedBox [0 0 612 792] /Contents [1599 0 R 1595 0 R 1596 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8849 1597 0 R >> >> /Type /Page >> endobj 1590 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1591 0 obj [1590 0 R 1592 0 R 1593 0 R 1594 0 R 1598 0 R] endobj 1592 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [90 450.7038 203.3715 461.7038] /Subtype /Link /Type /Annot >> endobj 1593 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_ROOT) /M (D:20221102111814-08'00') /Rect [90 435.5038 161.687 446.5038] /Subtype /Link /Type /Annot >> endobj 1594 0 obj << /A << /D (unique_89) /S /GoTo >> /Border [0 0 0] /Contents (USER_CLOCK_ROOT) /M (D:20221102111814-08'00') /Rect [361.345 333.7038 449.67 343.7038] /Subtype /Link /Type /Annot >> endobj 1595 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1596 0 obj << /Length 18 >> stream q /Iabc8849 Do Q endstream endobj 1597 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8850 3256 0 R /Gabc8851 3269 0 R >> /Font << /Fabc8852 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nPMw/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1599 0 obj << /Filter /FlateDecode /Length 4928 >> stream xڽ[K丑Wl@2P@We a< `ԻڀR3Sd &5c\ava. #~yk?i5u1Ο>^Ͽ}jSA;S{\ċdL<3^\8{`Ο{~ZŅ5A KwuA;'-8]4!Ϙo% Hx07)cc0{%bDd4_ty(dgO 8;X Zg"(U]ui?eăU6At.G3/d윹ɇRD3FֹEW?o(\rpooWn,"t\.CƘ'2`|<3 ]]!L2.UCR.lX1kL'3J s+9el %EȏS54) >ޠfeO{Xfǻ]𡎢i|ͨZ|TD!\Qϭ,X >7>ixa h?1͐4tO;l<[H@:9 UG>4<'Ý $9E^K|qmaW>XրNĹLkքmjm6߱e`, 9~P518D`׌Wd.&COJ7SRh6jMkx" oP6%EV,DߚC쳋 1s9+vڭ%FC5,-Et᜗gKaa8ƖT 8g li:}cbCL[iUnfYK-`dK_;ό dK + ^R /&F$yK/X,$x" grms07g2雿 ؁ɭaWU4^▢&jL3Âd8*28 (:$5*иxQy^ųAª͠zD 4(FqM1a1{8X {io.m!̵=.i O5tA?iDEtW<W)h,ɂܢ\vӉm SC?rԂNvV)-M}%3̌y##}FN7ikΌwòdt.>=&!c󕌝vm(m] 7<}[}x`j3#@):\Oz}ꉋ! 1-xOhf68}FQO2Ye|lH_a3ksΊ'̮cC*i k7A1BP} pmI[V)Ri7}| A&Y5be Bޯc8Nd%n&3vL9݈)j7\igB|LO fu8F"lwF[;dHTyT6ǩf@m鏧X⁤M}7 -ʈLomNm^SJW Js.)Rt% 7$&:8ly ?!_&r*'dW$!\ @tg{#e% /c~;$b+#w:!=H-ҕ 7*vk͊?V ^Ε{HOL=x tk+ ȚTRx; пZ4ܴDe" |<kC.c|3B5HȺsN~+1D%RaC,hùT`^,Y\H|#+e,W,8c($)&V.kofy5x<\bi;]ǝ%v|NGGSaT+96!4my0af3$ =Dvjcc1ju =YHJb)n/)}&<p!qk-E-P8r,"*6ț.ocb8V:"@" aN)͜8d2:%|?`|I}*($;TkTFaau,h956N 84huYkWUu [eU_(UL#j Oe}Ĭ%sP#b VEVo=0S⢲,Q8*p+ A)S f=5I҆Tb(Z\D$/0ZSo:/ґR;@7K/*Z`{Ƞ2^ 7%nŕlah &lHJ⮎?d /:Q !zO܋D9@N_rh<塌A<-%d(ڀE^.(SDlzzct#E7ӏF1soz9#8o"͕/]v)[!OwS)0ER]=Og$D8ʏCr.fƎ=j6ͫ1$[ 3+Vo3$,1c 5)OC#7'b!oxL3\yVԈ_ T!,(1;΅iH wWەv̓n@T u{{J];Q{SnӻA6%! $6Ќi<4?th0G?vf~lڞy8F0a:Lا G#1zH!Fh[!XuKC2+>jK"U[T;_jGGe\h@oM&i5ɹҫ)xVy7 Cw'[r liQqNˁhp=K n +)]ݨoEdQ yیSmƇk~m^4F .aTz/Nc¡kQluF'~0g3sݰ7Y2!Mi1K twn$mu7^$NVKUF5<@׸z |*f/o:3Y>Fx]3{i r@ON0Q <'Pcf$B ̄sHHc~TTOWlw2I^,CAսphܾ(#ʨT9W}U~vχtA;7j,,ҌȔ^?I~zr_nO׸~H <,nP4ސ˨l,=/)ź}lĘGmQ UnFjەc=T}# 2f :YaЫ2{H=`n5u5j endstream endobj 1600 0 obj << /Annots 1604 0 R /BleedBox [0 0 612 792] /Contents [1612 0 R 1608 0 R 1609 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8868 1610 0 R >> >> /Type /Page >> endobj 1601 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111810-08'00') /Rect [342.439 208.55 377.705 219.55] /Subtype /Link /Type /Annot >> endobj 1602 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug570-ultrascale-configuration.pdf) >> /Border [0 0 0] /Contents (UG570) /M (D:20221102111810-08'00') /Rect [210.527 194.25 245.793 205.25] /Subtype /Link /Type /Annot >> endobj 1603 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1604 0 obj [1601 0 R 1602 0 R 1603 0 R 1605 0 R 1606 0 R 1607 0 R 1611 0 R] endobj 1605 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [90 486.9999 203.3715 497.9999] /Subtype /Link /Type /Annot >> endobj 1606 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_REGION) /M (D:20221102111814-08'00') /Rect [90 471.7999 172.511 482.7999] /Subtype /Link /Type /Annot >> endobj 1607 0 obj << /A << /D (unique_89) /S /GoTo >> /Border [0 0 0] /Contents (USER_CLOCK_ROOT) /M (D:20221102111814-08'00') /Rect [90 456.5999 194.038 467.5999] /Subtype /Link /Type /Annot >> endobj 1608 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1609 0 obj << /Length 18 >> stream q /Iabc8868 Do Q endstream endobj 1610 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8869 3256 0 R /Gabc8870 3269 0 R >> /Font << /Fabc8871 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nP->)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1612 0 obj << /Filter /FlateDecode /Length 3941 >> stream xڵ[KWL 6]v){vqCR*]Eb _b/KŻ%i{u/,>z5,y/<.~X: ?15Eux{>|:GeW=ʠ{M#~V#gcG0@_hyZN&1NrqlyQU$!VtޖY|; fe_OxTGdnhST]f/Kd=erJ̼W&ڕT)-Ǝ҆JB/tEZ{r/`qXt\M>U*/w52˅:X4^B/f a)+s1'ʩ^5%M}_734jbgӑM 7vǥFD}RljAb\Ol3dH$WcZ@Y@kI%]1&pbyPXDY}(7md7ӔD T8*!uF'F]}Y5/4,XV _b-6J&|B˯; s|\! }P $uBTJ#MEX+"Eds} =)[cC:]W혴 'uk6秸rYPs|E֚s<ˁVD^ub%z1`kaSҫuktD! 9xOg0ت4ôu?gSHSӹq:)-:&;9}bM[CeL20Y<}Ooo1גٝ&oos'okpB61|۶a+t_WaӇ{g3ɳ BߥI){󮴈>`kWk]$zCmطǷ­֡G{6ƹ9_(ٚզ!õy|1YxącDܗvY.30V#Ú J`IBFj~F9 }+d&.GykAG^o,,Xr3A,p9:wg iD5Dk=t 3{c7 T%-{ŁG3A"l0_@cplSg ,+azgAhoՑ7,%a EP2%(7.m`eգ=̴. sb4(2 7;%fdO &㽠YIO6<5Vʳ)Km̌$mdjI19W QrT4.V>&Vza TT3Weu30hkŗ&af4t2cGHU,\r^G׻W.8{Ce3ONoAiP#{] YM)]>Z0*mlT?/F\&0 >ޝEg{IhJVIJ= ^Y;b.-.cra7ӉIv6j Y>ČLL}ۺayJh(+"5WVB,G\ZpBsUAn%AR Y TT.΂ x6 mΘxz-"EE1@h^λf3}.Ub=1[آkP_2w%Bڌ{AY\-aLI< |XuCL4fB,2|wcr72 S3@PJb}`U_O68+R`-\?U_sqĥ)?[r}= -jST1Bc>𧵍\3J_OY@jzXvT>l/S9`Gg dsJW$^3 ~W!dy+bRGUPdb߹QG}GʇV[bn;gu)ZZ8(GBh6sViB/ O}p(r2)l!|Nd7#y06׎kԶ X&ٴ!"j# endstream endobj 1613 0 obj << /Annots [1614 0 R 1615 0 R 1616 0 R 1620 0 R] /BleedBox [0 0 612 792] /Contents [1621 0 R 1617 0 R 1618 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8887 1619 0 R >> >> /Type /Page >> endobj 1614 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111810-08'00') /Rect [226.265 662.3 257.32 672.3] /Subtype /Link /Type /Annot >> endobj 1615 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug570-ultrascale-configuration.pdf) >> /Border [0 0 0] /Contents (UG570) /M (D:20221102111810-08'00') /Rect [499.105 662.3 530.16 672.3] /Subtype /Link /Type /Annot >> endobj 1616 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1617 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1618 0 obj << /Length 18 >> stream q /Iabc8887 Do Q endstream endobj 1619 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8888 3256 0 R /Gabc8889 3269 0 R >> /Font << /Fabc8890 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7C;e١c8TRQ e!Wi EIf;|/xR0qdd5F *c*h;ɘҔHr$SBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]dߟ}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1621 0 obj << /Filter /FlateDecode /Length 3382 >> stream xڽZKo$W@|? XANJc5_}E=j@;Vdk,v1[-ϟK K|k_vT1]R~y 0ϣ1Yn,O?,Oj⼖,q6֌nl@αIBU6Ese_-mT),6kk ?Q6hJAZMxS%Z,A=ҵs$YUq1з!`H!-5Fzz~Y~ F[51H󉘪o! x`hPc gFYmQ#@黰`2:3]ihaDpʹmljEh1X tt-.xmdF>P1^v8TA1:JqPY&(P©Aƶ.^5{`F-=H(mtΒHmQ@ Qq5yJ -R6ش!`yL):$<*-p/-j $yԖ1{8 r;rHy!ް}5qy^sۗV//pmF0\Njwꣽl/<+_ׇ:4XËpnzCx#8d4?Nٵ~[1X? Ԓ! I`sZ||0W`O=IeDǛ_|desS)ʀ03:9vy- Ric>X+ٶҫLB=+-m |&Z I^.F_xay6yupxš2c5FұJo7ر{M?"U^CC@BxCgz:C{+`srw jr]ֲ%84QW . U<4ƠϵD7%?}&:>/+!r(Ϋ7D#-w*jei+;ԑ[|NTǓܟk\4-"nh4oDo^խmC QCfL<5 uaci5N:ƹQ(,3Ќa$v6޶vtWME󼖇=3b endstream endobj 1622 0 obj << /Annots [1623 0 R 1624 0 R 1625 0 R 1629 0 R] /BleedBox [0 0 612 792] /Contents [1630 0 R 1626 0 R 1627 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8906 1628 0 R >> >> /Type /Page >> endobj 1623 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111810-08'00') /Rect [92.937 340.7501 128.203 351.7501] /Subtype /Link /Type /Annot >> endobj 1624 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug570-ultrascale-configuration.pdf) >> /Border [0 0 0] /Contents (UG570) /M (D:20221102111810-08'00') /Rect [397.0265 340.7501 432.2925 351.7501] /Subtype /Link /Type /Annot >> endobj 1625 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1626 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1627 0 obj << /Length 18 >> stream q /Iabc8906 Do Q endstream endobj 1628 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8907 3256 0 R /Gabc8908 3269 0 R >> /Font << /Fabc8909 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nP w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1630 0 obj << /Filter /FlateDecode /Length 4448 >> stream xڵ[K$WlR|?F]] >>W=d&]3ԪL& ;Lom߉.:mVǠ&Ο՟چFl5K4Q`{2T2~G^1QggxAۓt2gwٙ1l_gomK y`.,^R.'eX,}\L19a!FB˧bs-5$/"khmmKXmih\p*svQڻ0#\6%<4oOQZl. mOY^GW}2 ‚t@,!͋b5:EPv_F^W4B?0'R; IЦFYm+.T, ,dI7E8 pbu3@8$N|MM6"yL vW2͹ŕ*i Z#̀c 5)ʭy',n@ A2FA#KKQLnu5Hu^ E_j94 ԓ,]Xe{?=mD]6wYVOk[i؊MԶօb8xӳ3n}6ۓz_IaI+T[quj La.woAϏ9[teLZN~exh ̕&AF{E$ 9F@j}5}),oP 7YTww;/R7&6[O|%P9BW|n/m{Dvr_~:F$:6(%Rb};B1 !tp6,⿁ @x[hn}0z+IȈ@6{m™\ym`{,fBm[.gu$* !@ՅK^1c$_K߾p 9ł╤L4nhjv3o HF[bCk\aeb"!am8p(N=ۥg^$P1nװgȃ5nir0Kq!GD<{ 5hM!:>{pVyk_e="|-v:jP+abZ\KXOWCwdNbya'@f8 p SÑ4r ٸǼ& {hI?eո< s” x^`#")yh&{mGLAwlP KOXϙy =H`#ŵC\ssL "{=v!}Ż ś{{揚.YDބ yH'M? `ۃ K+stdo܎{dY!wu[+ Mu|f};[( Kq5޼͓4GDD35]w?\JlBI10׎jF_'{\vav򫰿gH;;ILP﷟sTP%, !9c0C^ڙKڸ+q-GڼۼWnsU=ie3ymZ9ٽﴛv"Uѕw>>s78Nٳ|E\XJV#㒣ߺ+5LX(vƢ?loi+#aryL>:BY&;Q^"9dSȒ5 JaՎXbރ)~ y|*K[uqO~sq|+\S}N='O]yl џ{e\T.CD$Eb䩙NDWZ^nX.+K"`̒>jQݸvCG-R 9N.L58R{)}Wzw{I8˷R7oU,Ɉ>!Ԟsa7O5PXLLЂz^2m:܅e:栵K٩dvC;#Wi?'j{P/mZi+ZuɌ-pzuHuQ{VVu\-]0_r{1[Xi/m>Ȗ/$R, V%{0n9U$PͨV]/w'[ZR R BM^¯x8gvܒ O7m[ ut>\^7HO=5mw7wDbvHGm!GKGz ~^ws9x-{t];Uٵ.-pfU5?UZ+ZnXj{7]KPhEc0KL]9KE[ԃגEG* ;vQXڃ݆L_BON.ҙ(C!@&/5:%YQ^@DI8f+Wtu2$q(W6Cg;ˣ)jSښ6q!/>v4rہqcNkCj¼sDa$Qm|ͣ6s}/eKNɊԧ>dmGE*pRKӡ M;&L] c1md9ڌuY BLdk$$(1Le+A6"t,6-9RPfJKi|쳋t٘HGHFFfؕ.RStT0 W.SAϜ#BPpԛ44hz>Y+ |WW8oeAxJVKNɃRhG2h l/R7NH"w/uzM@nCkLC?,/ԙ؁^-IM"xdZ9פUvȊ"'qb`bab6a٭^كʾ*Ḳoϖ}ʔK|(V؊ 1ݹDӕA񀣓2m`N_⺝v^Y4,7٥"銾w>l4z?:'Sp>nm ڪnzSa=G:f<Fi+Ot}Z =$܇>iX Kўl!I535wckld`Ӗe*5gc*Zyd&F'03@1'5ųN5-K)-;9} f f BvB kZ"y(F"֠z %#:tPz╳tXRoޡZi8a ¡ lfxGmUj[Dԛ`nOpWފYC4^Y8D/i|1,B9S`#ژfuM"`I4]ls. ,EGdik^åÌ#2^%꟬ T<ѥ-Yrh IyOIgg,>R4#yZQ‚77>3 eI&]Iʚ)j#H@X4F!ĬK :=TD>^N.Wd dEU8v eyxشaگb*µEKǖ, &@&ڬ z" @SɆRO g'M率UwhwW_W\[8f4Á;!rj@A<쯝6س%Dh.'GA>m$׬% C3zUR DoֺꠝG"s+\v$Pv't ʉ,BO`Dg- 6R!T7/c{ |b( FJWQY[-+1B F(Pw)F26/Yl{ND NMT{yZ԰h!,9Ԥ0Nb/4}K2YF~PfMw1HA]anpDIzGd!Y*EBTD!+}/n[<\ <Q@Rv"T|#''J:)cLDHiSO,iGY+6a1\S.A6\ǭ4JCY#-NiyS>soȫNs;v2rDR/3r 3#}2ϗ~_nWר@hо%RCҠ~J@?" HB˼i2,Q0\%-;6QO|TߩC%\ 8#2*22ٌ0Yccl4+ic(a)A)&yR-)&ί endstream endobj 1631 0 obj << /Annots 1634 0 R /BleedBox [0 0 612 792] /Contents [1641 0 R 1637 0 R 1638 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8925 1639 0 R >> >> /Type /Page >> endobj 1632 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug905-vivado-hierarchical-design.pdf) >> /Border [0 0 0] /Contents (UG905) /M (D:20221102111810-08'00') /Rect [119.585 101.8036 150.64 111.8036] /Subtype /Link /Type /Annot >> endobj 1633 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1634 0 obj [1632 0 R 1633 0 R 1635 0 R 1636 0 R 1640 0 R] endobj 1635 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (CFGBVS) /M (D:20221102111814-08'00') /Rect [90 351.2 131.7395 362.2] /Subtype /Link /Type /Annot >> endobj 1636 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (CONFIG_MODE) /M (D:20221102111814-08'00') /Rect [90 335.9999 170.52 346.9999] /Subtype /Link /Type /Annot >> endobj 1637 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1638 0 obj << /Length 18 >> stream q /Iabc8925 Do Q endstream endobj 1639 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8926 3256 0 R /Gabc8927 3269 0 R >> /Font << /Fabc8928 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nPw/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3x|1$ɾFxy /K"r,,dXy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1641 0 obj << /Filter /FlateDecode /Length 4316 >> stream xڽ[KWlebrp@O81 HR̎M@;/Y1!y8S6N-O?~06</ wLG hLr&d̝x|4% :G{Bc9_#Z%Ǿg?"Sm"+;)xV(̟unb+~ukom od+L߱~;n">MRC|Yld!l?柾S@;z O>n~][!@8z %u|7&(ߌ}Yϵ jVu W_gjه"(*N \Qbi`N..P-vɜt٤FФbPpW[D XڵV:_VҬ$ϑOf}cEqyu,Q8X%w|v(]~2U`5gSR,Kb)[RsG|Ϧ,bg1@Tnb,fM|$)fѪ *ⱒPz)6\No'v wJhz>( \/N,Ip B1lbC:!pU3¯Squ2~W]u>(|l@r)'[ v!̎.vWwV3?| L0ip֚^}3 T ,y3divx7u!٥@`>+j%*Gԙcg(vV]RҾl&ue%u[J)mٝ mzW]Lӽ UR۹n:NMVK@ J2>>,:cihJh"ŨƞPYxOIὒ&NWDVcO%$AOMOIhN=[hv |ܴ#Zu^C[ @)Af0w$:8q]2k1{Kwnp4 Qɺ&&7H|MKi:: i) !N4Gz}oJǃKZuQ k;'ܕe-|Qql5Rkt%v7Hkֵ[v&f[cӹfnes˫qI4j<;Y1:-p?']ۈ&z}k[zLZ6aRXӈg (;N=HIyߕnB}#`V3{m#~HIY%7M@MvSHCh}uBB*,OgX(,b!G[VR@bSXB2: ^H1,Xvl0S(b\8sp ``@A8^1,)f ]{Drk',%c+euLK:_K hNv Mi, y9K o)/˜LyJ¡:gd"uB勐aaw-46N'L_HH̹g8Nelh "u)w")AwG^?{tK%>0p`3`8Ei97@HG#,HҚQu+pRĔcCDoVgu`K!Z#jxks] MZR l9in8>54u RN"#;S`ȨPEbUegJtU-ӇH`-?*K]p,0p.#XajY!}cQhL粸sQY 6(Z\J$ )P԰@P4&Fڐ*CEq^aŵ PBAǼ5_B)jaPwfn_Ul6DjcW4s%5+lXt6ܠ lqBA_tBP 6 EɋD9@N79[ RBրC^.(SBl[zz}=:^]I};5J+_t}x^-H#yF%R׬ɪEe>4Q@kJ $#&v-(FYs_.)!v%eH# 71H ^;ՄP#ed6;:oؾ ^p jEkUGvf2oA&!Lˆ֑?`Ih+G|bkdjxlH[\[sUC4.̃u iad?m+m4 6 pIݥWfWP\d^s8HfWl8 xӮAf[NN; aݨPE*v"O"^-͑{kmJ9}v`,pYYN]=jiں.6뒈牶@b:yu;~gݯkL_$\7LW8lA-u5%f(DIAN:X{0IgZ50/xTn }R/-}% ;Lсָ:hRͽ4 :׵ݲb WHl,#" \Şleɧ{IMZ|d7*MU/w(|kD F(iw6 *~J$mVN zK-s@-D@7(;|MKd)tR!5,zmXV2{w cf$B -c̱bF1UUAY֤ҫ$Vb@ІwI%.<^-gN[@.m~;njץc돗qM2OssHL{^J=?ϵHlq\rN۳)XT;>B7-kUUr(ETMV2^ɀxYX~c(3Te-((u@IaKx%ybOOe5u濜 W endstream endobj 1642 0 obj << /Annots 1644 0 R /BleedBox [0 0 612 792] /Contents [1651 0 R 1647 0 R 1648 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8944 1649 0 R >> >> /Type /Page >> endobj 1643 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1644 0 obj [1643 0 R 1645 0 R 1646 0 R 1650 0 R] endobj 1645 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (EXCLUDE_PLACEMENT) /M (D:20221102111814-08'00') /Rect [90 187.8076 208.602 198.8076] /Subtype /Link /Type /Annot >> endobj 1646 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (PBLOCK) /M (D:20221102111814-08'00') /Rect [90 172.6077 132.438 183.6077] /Subtype /Link /Type /Annot >> endobj 1647 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1648 0 obj << /Length 18 >> stream q /Iabc8944 Do Q endstream endobj 1649 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8945 3256 0 R /Gabc8946 3269 0 R >> /Font << /Fabc8947 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ[#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ` endstream endobj 1650 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=174) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1651 0 obj << /Filter /FlateDecode /Length 2842 >> stream xZM$7Pkaama,\{!)SUծv EV2;+}RfU j$t|UߟV__I5:^?>c"LhqIמ.ϟ,t&M,za^ qR])3zIdtzT",3AqjlVP&<}: 3*2\y=à_߷{87ug6͍}TeilVzpw'KMQٟx㽰"c_r[TB 2hq1WRR*ߤtd.ꮉI\iIq5<+ Ϳ_M+ AFnx%db|7+Pt X[ z!V٨zDPv:83OƆG+ڦ1}Æ&cЌG:ofl\V6;d/ $<3r)(&8G2W[RNEl"@`Вpj.&BriRڊ| uTi_xCTFp]5B Q!ҝOX/^d :D)8"1e]‘v =MzSX㰧\a~heqI>ښh&xB_,-9 鉂kL) w;\x~KBR}RZ_NRNՇ$>191Y|cMXrMwKߐfXzҵJOkuE?7+QWzaΎ>(7+ҭX>1nӢZ\UVnS_S;50Ya +~EEm+04 1٥g`Xsl K^b6/ÖӐՆ^hy)CӚuilt-B˜n6EA< e42>wEV_ZhZlR[ڵVEXOS-k݊6\zM`:񐓁Vi6j5$|iy(q{ZP{/'m6(az=N# B=,=T=.LB?~75˕j ]3jNKt!G;Ő]rmc70kTꐲFNa AA970=aZ]oXTPJZv:&&."žk@[1]A@tFEv+!΀?LHx A.̀{eus.P,eGVVGmi}@dKWp!w4rQQ`=T6Wl }`CE9:oZ5z">2)H[ Oo ܳ'5z@;n,dūj%ڰVv}]S0ktay_#-h j/Y*?$zɵp,/6ߐ@$Nv`d> ڣެ=4!JЋde''XS]ICD0&Al8RA8vc0ыoOQg8#,R.O8E~֔F_Fʟ{ ۄf/\ Tܕ"1L,՟f9z6OE?7?}׹zFeȗ#IT;8`Nv2>- #DPfMMd Gƕ^~} CFrclt)Q7ABD|dpس_ճn endstream endobj 1652 0 obj << /Annots [1653 0 R 1654 0 R 1655 0 R 1659 0 R] /BleedBox [0 0 612 792] /Contents [1660 0 R 1656 0 R 1657 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8963 1658 0 R >> >> /Type /Page >> endobj 1653 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug949-vivado-desi\ gn-methodology&resourceid=reducing-control-sets-aa550073.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111810-08'00') /Rect [375.6865 446.8539 392.6485 457.8539] /Subtype /Link /Type /Annot >> endobj 1654 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug949-vivado-design-methodology) >> /Border [0 0 0] /Contents (UG949) /M (D:20221102111810-08'00') /Rect [281.5925 432.5539 316.8585 443.5539] /Subtype /Link /Type /Annot >> endobj 1655 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1656 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1657 0 obj << /Length 18 >> stream q /Iabc8963 Do Q endstream endobj 1658 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8964 3256 0 R /Gabc8965 3269 0 R >> /Font << /Fabc8966 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫PL|:S֯޽\:H9,hƐ d査=0'/cX"#(j!`aL9 }', $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau3zg5ŐLD}%l)l,1aSi ܟBd#UO\05cD9 ?^Wb>!%$]@QXy Ph'-:A/}gz endstream endobj 1659 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=175) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1660 0 obj << /Filter /FlateDecode /Length 4597 >> stream xڽ[IWlh Phˀ ''asqEEo_o^Y~1x$MC|\B?J𴜌5N3zw}=v<203>>-\>~~1,z+] 9ᕒF\A/#G]a/z2ⳌwoJ\t3s V_~קqL{W}97d{yZܹLhXQ.PbW!KGZ:}K<`h +nW'l*aǬŴiE_{gǕP0cҥ)K7^>2lkdҡnz.wh<-h94#1:9eMZiqN[Q%•9ډLe"R0l+Ґ _OU7Rtжfh_Uu#\VrAkk#TA#y١Gkm&Br$α"C\MtC2Q']Q%*וmCUYE0S΃J -DT+57:g-Y'#+ϫZ=|^%"6UHlb8wOzN0 :*~GcG%79Lc J#*.irseH<4:*Q2. N˨Q {`akc@dhOޝW},EvbzƾUu'lT13MOx tOhߗg]5~]IZdtYi=, nuo`X7ͱfqe9a=}/.J,. p[L[2FsGc;h \IɗX ,Z";,=@XG>$yǰ G1=^x X5?͠𧼯Ruo!U9oW t y%w !)DԫJ;IuI݀X^ˆ!H]nkIu6jCncܢW=`qoF\sB.0ܣν(Q{)! !gbi=B^a ¦tmmusƤmgCfB$qJy,{,hm7# eڕ!)eRՖTC,Sţ.bv'b;4L%Rq5[`%o$SNX.嗆;s40x' 8i%%XHTbJ=݆*5-Bw};"hˁhݐ>57NWUN'Y,!5Օzr%)hJ{I<q̩6b]? I ZS0CEڃ,]cFZ\p;5%ylR#$ о4}Z~sb 69fN&/;;BX lj!k!:}22Q%3`ȗ]Jfp\HC<߉rXHBHi$͊}j> 3l;Jz  v])Nokl3KUGnW7Ki/ltJyZ n%7^tWxm6\ⓡh4eR΅}T-ևS ޢ [5՟?\FM*L. ڒb{CZEa{UA۔õ=)A` xf07Uz̆:.`~ؤwvU5WY+OŸĐuV+Ҋ8L#`Nj+^bԚ=M- RGq'X=p\U-E0xSK23nLZHW޶2nC~#T&c/Zl2y{hd5}\'/u[3tSd YqetV&zQɵuz$Q+USZL2|@T_k Zla%fzЉk!n.';ŗ@`GmHwqNv>:_/o:y!b#\~^4ƢҶަ/B0HkWzl8G$0n q9bTk<$ߍo2fj<9o}|ՓțwNV$[JX$hlZBwU%z; d n%`q|AuAt?ekFqҘ Qrb$Nb u)هF?hKu_J[|3*KġFv I@K*ŊLM=jHWv2Mot^>Z iWZchB1CkKPŽnؔyoq AjMMFzܠ͘.'4 IZqRkc]qAxZL@kٔiR Yۭ,1ֆs/&73zdFSU{,[k'!HqtrGF_Gg[rbDP\R-&_Rs.W)¥-,roS=ǯڹ^+zKs`ҹrbYON\R M%le OߕX(EE|HĤ]LJk>:Xٱr*͇}qĽ:+ s+7dpW;5qqA~sk\bj[B0E!QwX \,,z#OGwNh̀Ay p E4U@;Op/#tD 5]H)tݹ4fP jzҽa.-2T6$ݻEh6>9pD꼲`&!9t5g2axGQ;bOMG. D>"I&¬dugqmfX YGM?iCorv8{Ch}U]K@Eʋ(Ig,VzK20R7zy* lj=Z̋d-M6ԓ!hNU[b#H|CS@_`!U5&'fj+B_`4.@V`;yȃ~юcgjW p1hTES, &7A 6ƴ Z"츤NyTP)_L6ǁNķ>3x_W]ek8XÃLۿ[cؽⓞ殽WAR9`R}>Z@#=T%XD3. zr=$nlJQwZ .#_GIg1M4mGIEiGn L Y0n"H5ߠj=GH²fg~F%#X2D2EiG|9yE~T9#`Wb\6栵'q,3@&.pS> C e#df۾ӧ&ھgCF01:Нw%̠)PZ7t 4Ff4Ak3Kd"HL7l U6(ZG~1!x \gJBZXEC)ǖPLe>t2b13A F6 \TR'(pe֔5壀f mq>J?"M٣O{K]{J;CL6{vvTڊ6%+ }0/v_WS@̓(TSʉ}FY>Q-(2XQ7е։?sUJjwLm,E!ڪ~:j Ȍ &*W.v %'S;RHD> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8982 1669 0 R >> >> /Type /Page >> endobj 1662 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111810-08'00') /Rect [193.8235 331.3501 229.0895 342.3501] /Subtype /Link /Type /Annot >> endobj 1663 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111810-08'00') /Rect [92.937 317.0501 128.203 328.0501] /Subtype /Link /Type /Annot >> endobj 1664 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111810-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1665 0 obj [1662 0 R 1663 0 R 1664 0 R 1666 0 R 1670 0 R] endobj 1666 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (EQUIVALENT_DRIVER_OPT) /M (D:20221102111814-08'00') /Rect [90 559 228.3855 570] /Subtype /Link /Type /Annot >> endobj 1667 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1668 0 obj << /Length 18 >> stream q /Iabc8982 Do Q endstream endobj 1669 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8983 3256 0 R /Gabc8984 3269 0 R >> /Font << /Fabc8985 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{2mEQv>;_oXo`@ǾwЂ)< }ߕ9p:൫PD|h|x8t C4i_rmA $査ț.~A$V-l!x˜Ti, $dNW3W( !r&H-'Cf'j2@}giBxg(eoܷ1uGxE 飄fѯQOj3Ră+6+Irn6ZoK5>%CO4k.dKRG"+5JVVK2ef[X]M` /d"Xm뒡5ڟA) ;d#9):c dT.*I"dx)ED=nOLH9;;2FKh8Ym^m]m endstream endobj 1670 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=176) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1671 0 obj << /Filter /FlateDecode /Length 3608 >> stream xڥZKWleLL|rdmK~*>%u;ېDQd="PFi3_Uk3; 7iI}D^WIkӣuxyT/^T:s綀wNk{~\>M~ZPz_ %"Z|X0?w‚{z˃ŗU'x3Vk^/ >%=mPWP/\s?R Z{~qd\Б/sT}ۼB ݏ˧IL( ?l5Rj¸tDs ?2 Ż˳cB1wE63 #3m,8~fcEI[d"&uj>U.]}9`v;y6PGo"Z)GGuœa4A g IEq~!Ɛn+sG sxS<.{=}Fx)z)B^n:_v>7p 2fuu0v3<;l`X>,wy5)r*k.kIUhXWd1\ع [J 擡^]kLEǮ Svp[ ФQ Cw{o*|ɚo4U>*u!Z=W>=KAVtR\\|.(p%]Y-Js[,~=H F+dak]mͭ&LLP* =1+r)Ed#bKn*b# $:uaIV K,㺀T0^seVOP\B݁W4ݕaMYT&bk[~-Ze+\i_aUMd;.W^*ݔFf{86;^^ǘ=|, Q @l*\?^ֿzj`u~YCL@"zsO~L&jp²Lk4IhE&Zo;ZTZ=hh:W86C}6tve@2Z9Mڶ9/ٺs #K*v%AMzޙ<i+]v/oh k'Nf[L HGQ$)ksʼcw~9ɧz͚Ns Rf@:YdbFHpEŸ}UqN!d*T}( "afz.sc^nN,nL)C`t~c}A$x 4Ϥ7r|d+,zC ƒh~Dq9"\sν#Lj'n58'5 T=2ɯ>pos<9hĐo:r j #ge/v0ټF? ,PxM6Fr hHx2Lѻb l:Q-L,,rv[Zl ˑdIPm{'RY6*,yOrمY vm`j<׆PU{/,j}d.36iMy6dg TMy8E^>8$ÞmbA$K#PnR>>;q&b>3@/@QE,`1z9pŸzK45qU b2@n-&ڌ Z<$ LV zBce_& _W]wM~EQd3O'[pPڣcɭV^4 `9(TΠ6:QjPg Xr9\~Hf􆪐ThG߸4 RD<Dۍ8lx ('F8N'AQ3`qn  K ˻գm20|ZB,CXT|* b9T)f @!Z@|s5fV#+pvgZ6MM ЉkA,;`S7B1@ćެλfp8۶XZJ' {4f͜iޗk3%JeqM1'Aa 1rVd'LEr}7wcFZ%E";a$M ]zOtfk92A!F95Gak. M\\N;v:AHNh_/TG7TRz䔇u]Vi1>:R7ԳsxkM9]Ft85G]De3gT~g!|ab4ʀ|SY̋e;|Rе?#gYrʶ"mg/}=Fe㤾،}o2ShsܪKnMXD:Ѹ^da2賨}) endstream endobj 1672 0 obj << /Annots [1673 0 R 1674 0 R 1675 0 R 1679 0 R] /BleedBox [0 0 612 792] /Contents [1680 0 R 1676 0 R 1677 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9001 1678 0 R >> >> /Type /Page >> endobj 1673 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug475_7Series_Pkg_Pinout.pdf) >> /Border [0 0 0] /Contents (UG475) /M (D:20221102111810-08'00') /Rect [239.2367 685.7 274.5028 696.7] /Subtype /Link /Type /Annot >> endobj 1674 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug575-ultrascale-pkg-pinout.pdf) >> /Border [0 0 0] /Contents (UG575) /M (D:20221102111810-08'00') /Rect [243.6367 672.5 278.9027 683.5] /Subtype /Link /Type /Annot >> endobj 1675 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1676 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1677 0 obj << /Length 18 >> stream q /Iabc9001 Do Q endstream endobj 1678 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9002 3256 0 R /Gabc9003 3269 0 R >> /Font << /Fabc9004 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛq endstream endobj 1679 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=177) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1680 0 obj << /Filter /FlateDecode /Length 3150 >> stream xڽZK$7Wl(Y RP4tUu-,̞fwlL/BR2+f KTf+[;O.?}t zr /F~lXu1%Fh]xyүakqi럏s⛋ 9X;%8=)ݾXxүd2YDy/듞/^dmWj,ܤ@b(F \؄j"[ rѾTamfj i]Fx)P|)0 oQqAf0]%*H4zp},I";](Uasfk9XyNzRXZwNŠbMFb)&\B]Fh(Am=ێnGJ|k>XnG~T6XIh1E}9A\{ȼ楿ּ5>l\#mS[97?5/y=?00k`LMlܟ!={\ Q:ָMU:݆R^:fa=/"bCTs}eӃ>#.>"CSNI7=m:2֌,Qөɂ-!L塵9H'tT9.qѐ\s [al]/3ʯߚ,(uqIﳦ}y7p>WlN}W'{ɖCLf?wZ 9hWPؘdD >+|C +_Bw=jtjy!{ފK,YsU}eKù˚s. e,|3٠< ہ([jYP"ϰvnZ_# y&8-I%P硬al/{ cP$8e&\rE?$ǭaO]hZKܛF(1y*Fb̈́葐6GUc0FI1JN(e;Lm{]5]i>'늘ϾQoQ1fki-Ӿ.+ԇb_&'wUZkZ[ʗqLІ{QCXiÆۀoVhcT)o\/h17ju5R8x G-+x}SvE S~? "CAT9wdfvXQ H;`{sr;;`:MLq.Oq!Zv` Т Z5GE6 dcswV6"Rrr hrP"fȂ\,{$4! uoGu-Oʁ#B^L'iN/L)<ws~7r쌎?,5*lS#U]1-! i0T,lnMAU~!B8M93> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9020 1685 0 R >> >> /Type /Page >> endobj 1682 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1683 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1684 0 obj << /Length 18 >> stream q /Iabc9020 Do Q endstream endobj 1685 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9021 3256 0 R /Gabc9022 3269 0 R >> /Font << /Fabc9023 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwo endstream endobj 1686 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=178) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1687 0 obj << /Filter /FlateDecode /Length 3347 >> stream xZK$7PzCQ0Ue0vfO;6/BR215cI*3Rx~R2O*TfU*[&t|U^V~Xqz7ֿhkz[mhޠ1q1tWBsGS X6pŃrn{PV,NӢ;2ZZ&v]&Gr9Řw>c.'PxS+ vd[}6Jq/*dQa72-{0K*,aXQǃz0s:0ɽHxdsfF[Jl{~b@g?^5>v>=bAE7DWN[Y#&s<-z^ڴ<ߐxvQ0Hbw,_g6ۋk"bÎĿ&'3{fo"M9>;}T DsU(6msU+Ƿ09y }R XVOR8[೘쾫@џRuaר~Մ(55M_!ۊ6=]ˤfrXzyTF,DO`"/CcP>D)>|~IڬP& D#E5v{yӱAXX^Si9Hp-v}AlY;5t9\(DlRa3}OY35\ He\lG7F5ggkkw2AkRvݑȐ]Ol.Eph[:-*v=ͷyn{(zE,FG6'PbM `*l-J,b;q [ح];EJ{=;SWNӸ^Pݥ;8OwD[ S]afH{YUu0zim7Wt[r r3VWvC@%c쇨6sPi*9EﲫSګ5݊^\W-vÂ;n<\f4sQQ<9o <`űsǵ];pHt(/|8 8kFSF2P8muWGڠ5q/^{tz&{AfX jE=U\W#5W+ƻSM)f䖮ytR>5fP9 9ݴnQyZ^\C:;WW-6V 0gj/ytbi޻ >WӜW4uC4K}^6&VjJԙ#I.z,'x n/&ְ b)@YQ*8{|N2X@%V~M[oDGE/ ޝu٬bvNbŭ6́e^GmsCzزat={xrFS?B' #oČ GMMC5SmK"^ЌYuӠV6>@Kn GX,-111"UidtH*r3:af |бb!m񺬩C?1t玱fopW^uCʫn;EyՕ]yZER)( z)ٱV%#UJ& b 3sorZZW2^h3q4%4a>C 7{$~ 5Lg3D'^G]!D'щ2J h hǒNӖEĀcDH |߯ȸM9E֞b [@z1-* Ugh(L+ uB.|Z,<ʑMH.Ao;N YN,iԸ on%mdN1e^P2[B6KfkM~MñW5\Oh`.\i>W!j Z%IgIgWӘܓ tlgA>$8(P]0`QZ$q0ZHˆ5Ը0ͬ4jcƸDv~\`! z>=u MTq~ؾsq~>dm 1^k8/xH^1I:G ۧxOO o]~ZmWoYGt>0IXC*G'Y';wy>^/_R{}P aF;@aUxSncJ3uAw»bf֠4MZ;6kVԿ{Q9i>E*mR}'jڡ߿1 Hl]J?w*b\ `jja endstream endobj 1688 0 obj << /Annots [1689 0 R 1693 0 R] /BleedBox [0 0 612 792] /Contents [1694 0 R 1690 0 R 1691 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9039 1692 0 R >> >> /Type /Page >> endobj 1689 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1690 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1691 0 obj << /Length 18 >> stream q /Iabc9039 Do Q endstream endobj 1692 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9040 3256 0 R /Gabc9041 3269 0 R >> /Font << /Fabc9042 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ  endstream endobj 1693 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=179) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1694 0 obj << /Filter /FlateDecode /Length 2536 >> stream xZɊ$Wy }_H̬t@S4C{TeM1 ",|1{{UN3*x IZ~6էcPƨ/.Z;Uzڪ1V~61c/_õNV.hmIhnqvB1V:Ǧ=U±[oׁōg6)v%B_J3A/˘ξ33!;u<`0x~q;di `a669?kMo7-ټU-A* *[en#S-}M҅8l ĝ:'@t5t.uiącyԭyΓqM>ֻ^\t?MvKr}Pr<w0ttݧhcluþ < fˣSx==fyGcCJɏ }! )۩<4Y׽!/vjh'aMp[ɿ+? tEBF;Xi]xJ[t z6Y\J4Elc ǯO*a㶘9&6ϸg־]ss uWG/cNI rU\̧Fwa[SIo]m}]7qlM9YoJ5eVCC2Z2 s̾gӷ9 5IJ{9G5-i_F`12*_LmC1mr'ON#w!̹XF#Y-3zcW/'JHZx CU45HxhcK#{WL<"dm[χʅ17佭!s0s&{: 3[ $4}Sj`9ܓa.*Ybѡqj$ќsKdbz1k5zrSC&9S;#ݞ\wHK{\ x2s̒7rBF{hz W 9W滯sv'>dB"rݩ#t*;!煌ho79|8CKB?!=_9\Ķ"V|F @trdBJګO=[%x؋Ak/ž7\ưМ*#E.5+KASdԃYy hw!TE$^7TraO}eyzFU|ѫ,ѥɍah|B`&/$8훊X,EmbK* p&䃝 ef]nHT! $.zT/4gO^'г,bl‹yd eiDUBe" Lzʝ8_dXgŗ :+M f0P [d}s7ژ :ߥ-"GsӛF]>h7]AmJ c\6:yw<]b(ʔG-7gm 6XXSmDQIg/k^-a6RCڂy}Kyj"G ^DNbŭ U yeD|l^ lz=)0t֨lz4F,Ϡ!zI ! H +(6b*38nM`;@F 9:WSoDIDƵЈhhx@kr !7EqIS<zSC7֌z[H_妼ꊼGU>(w)?.a88]cm(F99L^ŏ `B>x6ۜhy Ҷ4@X{$~ c4TlN0N6T Mvo7.٭gBO€aDH'Bu$H"1ir =ϡ @`a m[8||@ˈY0YZnDgvd Aȣ(](Grim۩vb @m[[!¾\2,غG_{[~?oMA/.Zss^9F =H沜hQvHf+m(pNm`4ꂜ4>/nYy64מX v睮 ]?EftU!i&m"y@?#j8=P$SP\'4&WThR"~ιX!N4"- }VUg۰ʐ endstream endobj 1695 0 obj << /Annots 1699 0 R /BleedBox [0 0 612 792] /Contents [1705 0 R 1701 0 R 1702 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9058 1703 0 R >> >> /Type /Page >> endobj 1696 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111811-08'00') /Rect [428.316 620.55 463.582 631.55] /Subtype /Link /Type /Annot >> endobj 1697 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111811-08'00') /Rect [243.31 214.3636 275.37 224.3636] /Subtype /Link /Type /Annot >> endobj 1698 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1699 0 obj [1696 0 R 1697 0 R 1698 0 R 1700 0 R 1704 0 R] endobj 1700 0 obj << /A << /D (unique_101) /S /GoTo >> /Border [0 0 0] /Contents (DIFF_TERM_ADV) /M (D:20221102111814-08'00') /Rect [427.5582 518.7 501.5182 528.7] /Subtype /Link /Type /Annot >> endobj 1701 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1702 0 obj << /Length 18 >> stream q /Iabc9058 Do Q endstream endobj 1703 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9059 3256 0 R /Gabc9060 3269 0 R >> /Font << /Fabc9061 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өl?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1705 0 obj << /Filter /FlateDecode /Length 4354 >> stream x[ˎ+6 Phˀ,l7EU;cØ1`o9璔(Ɠ %Q}?8&^b6ۧѻ1i)ubGхhO?NJ0NFyˇgm2f/R~S?ǗHMf8z]W%7~Ts ԬWs fw`4PGݫs>9*l= WO o/0z j|Y>Q`r|۲Y<O*:+,[ܜt"`Nm%,\VgY@ Yx/f+0e RZzv`q0O|lq0TV@&T'܇s9R;RfJ_p;pڅ1Yw;res:WT6,VֱWO69H-&rq4IaK~5c# :kDbJ {YM<o,mS*/8̊&c0DRFƨSl85~Sܭbp}|#^Džں)}t}wTdl7\&Xr,Lyw3aD4CHeh;PXhsZLق*B^B< DlZd}R /iJޚ| N&6DQHUnĂV:αh._F?GoiS ~2)t>4KcBͺ.uAQ&vIg"OũyXLC3fB^]Mh5 &J_7-w{`9\jFE iU<X,fX 5w6k͗ZWi޻m=[G4h\w= TpG)@t]* gCSuzWne !VAOds`dG&UUĺ"OF_M6^ u?uj&AML8 {|VM)4tCl\T^ uC^4Ob_ِyC%;Qi~>v|J ZK&_kDFbR}P2I$[df(Ls&H!gi֒* WsÏ1CL1 3PԏfFd`RM32@[ޚ8?Co`q\ggjlaunl!C2=)&בu޺o8n ,f %`>@.LGu `*l+!u]p\iLXْb+6ԑDp3dzX0tݢ Si&Vp;B,G.hf O~A \A0^$oF0xUj̛E* m|i /5m3GJ}CjS#$2&k$ؘ\%>4c3Q,GV F]ؙ! 2-Px\5o%f2mjgؿ33-a ZV?n $X 8 k &; i:8.YHP18ͱOgU N cVp.4*}N/hh_j|FM1ۥCI:ԺDCp25t(yfu5w (?G{п1u e z% " _x&i/O˴MpSWy-eZ !/vtc3J4^-+sK@JZVoZӭ_Lo-j k FD&<'B+zu/ѡ}N e})",ذ9Mrk5Uo"\0bRZΘIn%X@'й[S31j1{BjL lZ/K+5~vro@^?`*1$3VT9I讬9#jI܀>98]Q,]&"%*$h{_;3b18Rk7Yu)Au}DuڗC8迨u}[ %(;|)E};1MQ%Wg +_Cvu۞tZ Q`i[Z;֔XpaOamBLs<5),7KP$C,=[Da1Tr4jA夰ErIŝT6c:-yӂuord=Ll6$A)~o(yo Vm^ !lؾ^L}'͔ 󱆹Kkumw6kqrB(Mү2 aHڤj@3Jg-ߦ"|[hojW~ vR"Jm { hdKO*\\O\BCCtJXbiċǍaZ]DUYye.e'$%?VY(_}d8YO4=]qAK͗4K+'v]-}5Hఓ ۬j|QC8otyspqRZ{6X𳥺i /1Iډ3(JJjȮ${c;,3糔 (R"7..,js4jHi U]i0=R65.(AÓk<f`rC񥐙uARߑ|yw~^9m>:ʮ^WJϏiE'0v"84}-Z(?ߝ!i)}•ki1PYR)KoshzW5 yUllܞP5i׃wRj|jR8\!y,Jv 6z3"A-Ki]ne>Cؔ!1!Ͷ|&dLsdx3yp M D< (}A jeAЍd4bsѹwh6,4S ٰk7.V=щ!{v"]vwq!MGn:Õ1 \qVNo"#V `x69Ḥ~d=lYfߘ]6s-.,kYa!uta8A;agR<-e_,mtvz:+RR6g=* cڜ- ޫԓʊl=mI$De:V zv&xfg/7͠n}ԑYI(Ah1 +!O%>"\)BuŖ&Ȃޒ=HdAsގ}2mD[`ɷeW}~xmWw6PǨg7U V@yb5ђn*muLQM?4 Ӵ]e7nKCCAh:@w$Ht͵an=w$"O4$Njaڳ endstream endobj 1706 0 obj << /Annots 1708 0 R /BleedBox [0 0 612 792] /Contents [1716 0 R 1712 0 R 1713 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9077 1714 0 R >> >> /Type /Page >> endobj 1707 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1708 0 obj [1707 0 R 1709 0 R 1710 0 R 1711 0 R 1715 0 R] endobj 1709 0 obj << /A << /D (unique_101) /S /GoTo >> /Border [0 0 0] /Contents (DIFF_TERM_ADV) /M (D:20221102111814-08'00') /Rect [90 257.3076 176.966 268.3076] /Subtype /Link /Type /Annot >> endobj 1710 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (IBUF_LOW_PWR) /M (D:20221102111814-08'00') /Rect [90 242.1076 174.8485 253.1076] /Subtype /Link /Type /Annot >> endobj 1711 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (IOSTANDARD) /M (D:20221102111814-08'00') /Rect [90 226.9076 160.279 237.9076] /Subtype /Link /Type /Annot >> endobj 1712 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1713 0 obj << /Length 18 >> stream q /Iabc9077 Do Q endstream endobj 1714 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9078 3256 0 R /Gabc9079 3269 0 R >> /Font << /Fabc9080 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nw/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1716 0 obj << /Filter /FlateDecode /Length 3227 >> stream xZKWli LwOr|xm|U$%J: fJTX*CYew[*>|RfU*[tzV^V=\>uQT%XtTϿas1c?l*؀;' xOQ%/.=fWxQ6r0 ԁN/cqb,5>-"^^ϩ>M&AV85̂ ʜ >̼ uN⭎Η 3F<*"^eòx=̬-F V6?˷r F)tP>D)(?ߨ^X۬#8H@*!kp(ES]?&cY ;Ƽ\1>lhq¸.x9NF&S`tnk}fK)5/j^.b}klOum^7za}w-.0ȓ_:ɜX,!J|Yt~a)ֲ 5 .DEUP|4fTUg8ú2EV~~ -rJߎ >ݪ0tE[!iֻcUd nM_'(nڕy4 {: mX.rܮ9*W7;k.u\1nтZF^?,"paPjZ鶩g]{ nu0Ksakjo]^muO[un* qꭚYQ}? xy6?yϦgVTC.ϯ؈T싿KZ[[K2N{5^[*ů _ږȥl]{=+<XQCXVP:jcl"gOsHdlzvdcE(Yk_x c36}3l65XR䞲~Nq%H[1iFS>w`؋̓ɍ0+*bn?m{\39=(NRep;X`Y)3vDie RogyHHs _ݯU{rfӫq-JQ D3^NAwXJL܋P&V/BY#h03`%0!l-y>vp䶇HxWrn[:gi16 jK $DLLӵJN <eqB;#0s"Od32wA3M8_L_`1ׅJh6sHcհr) C:|}*A?Y, G`+mu^,bXKWgPȳm?/ endstream endobj 1717 0 obj << /Annots 1720 0 R /BleedBox [0 0 612 792] /Contents [1726 0 R 1722 0 R 1723 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9096 1724 0 R >> >> /Type /Page >> endobj 1718 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111811-08'00') /Rect [361.81 655.45 397.076 666.45] /Subtype /Link /Type /Annot >> endobj 1719 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1720 0 obj [1718 0 R 1719 0 R 1721 0 R 1725 0 R] endobj 1721 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (DIFF_TERM) /M (D:20221102111814-08'00') /Rect [225.755 618.3 275.835 628.3] /Subtype /Link /Type /Annot >> endobj 1722 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1723 0 obj << /Length 18 >> stream q /Iabc9096 Do Q endstream endobj 1724 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9097 3256 0 R /Gabc9098 3269 0 R >> /Font << /Fabc9099 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n§C;ešc8TRQ e!Wi EI&3MFhAbu2Y@QʘJ9N2&4'&\)ɜf϶F_5ŐL$5l)l,ȱaSi ܟBd#Xr>q|s(dWLj$sx2UOc9ΡLH9vhwe™pv҂bwoZh endstream endobj 1725 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=182) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1726 0 obj << /Filter /FlateDecode /Length 4275 >> stream x[K$7Wl( =ò'{/B2kf KSde[j/߉1G`Zz'&뫗Z?SmXR+/;+RZ4/xS)Kcјd^R+>/ELN39L˓\0E*♰R|^Wd(|-oc㒝A(,@i$3D4Xr~z6qoaBI4+շ)%:̈&8T*+X__xjn2dEg :m zFCوtHM|-N˓L*>$yCkkU%[e]( &y-'ϫ*d0ixk)a UԼ[fnDlMgD&"6FDD{lM\VUݼVe+}h;6x5iQM:#$Iy<vf!7 cI:LTVaQU v2e[a}ЊMebSa %Uzm 5V8,3kE{r:/_x+O,xYc6UlVIHt>S+Z]2fiFY[ЏPHl ۢ)?ɽ_< VdUs^`°n!UgTe\?KDEm_udgZ^ _Lu =ݧNݤS[!\}v<7R).mp:ەL\å>9D +9\I E e9 :%*M #sOno8{Th)t3kcX}e"MSM@0|v EK29S0]g^ZIUS0? %i e}1hlb-V{xx"Hii(]d~ToMO s'#$6+_kgXՏUhMWkc3cӷ)tIFNIJG%j;0v>x) g>>Tۄ_{V ;^p7k6d]\<4kҵB#Y;c {|iFGP2SHn˅յjOu)>]>HS03R.9 ^P is"/5+q>t"\q7Qt"d0>WJZ!k$kaG`iўR3ɽVXG"|j$ކ*wC'W8]d?~a?5ìGmĽ6|MtAQb׉$`<=TDCbK5+Vd<6sK};B鵘ik+֎訆 YZ[M\T]M>4jJoJS3S;?ȹK>0Uvrܾddv#UWv+6Mq9]Lܱ60v?b@#yX˶n Ao-7$#7y iH혥~8u"vgc(}hN,Pq:0I-yא0AOGm&szI6M1:Dglq]v15N\K 8( M=ir`k k[0o 6S]|.A+kގ:jI="-1rSE<43yQæ)7Rд=^~Si{*uSn~v;WTϭv}q[?b%>8w{ͪŸ؍5/+tˑ~[]`1t ChbSaߺ n}#TagMkjeZx(p[TR%oK~Po թkfjגwU<;O'9> '}HR"x:صJ~WzIWT(!5'ԍX~^]wj18Nʙ$J $~=Zdwɐ3 `]֤5 [/_rN?sB __(ޓ7X5DT\Wo iyI1O(Ty: BAM`ȚҚRpޱ߯j?JE9\KHk Q~5rÚ G6SnEb|M•rǻGhbN/(ᤆoFN_Uf5\ZxGW~M}Haur!>FO82Lu"L2b9aD JbZ6+iJ16/4v|ӜP8#w}g1ƒHswd&̠^ZKjoN@ ]vN0&ÎrՈVu^Yq,g˥u0ټD?Px${ـf~h[;ggL(IWkEV0CVCbA;NfGoRYm'5'dβVLye/#.FOZ|L3#p[,l2O3Գ'+rh'vG렝^$oH,C4I3&'F´`*nim5iKtS@_# B +UL~cruI4zDX|\n.,0[Rg*\~I|(2 D'H;t_yR~ ;~M~FP6hF?-8(ѱ$#TN>HڪIAF# #?[F_B3tQ*O4m=fy71[٠hed0 B5/hLvm#8waʉSw"Y&lyW u3#O%J7$h%SX"H Jj=8򃲸$[7nyb g=ƫMT"7tnwc0 =X rHƨ)ەT e|w7ce" p>T}I*Ul.}j=Nqmwp{V3Ֆ`MWZj1ϙ9o ?.yΧ<o9s ed2ddwnQQSzFuyD_DL˵=T)8SA&N 4 B4~H(sBz17蝳tqBu6 E$YoV?ފ13.6I(\&T]2]h#bv]rKJ""]Yl?R endstream endobj 1727 0 obj << /Annots 1729 0 R /BleedBox [0 0 612 792] /Contents [1736 0 R 1732 0 R 1733 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9115 1734 0 R >> >> /Type /Page >> endobj 1728 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1729 0 obj [1728 0 R 1730 0 R 1731 0 R 1735 0 R] endobj 1730 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (DIFF_TERM) /M (D:20221102111814-08'00') /Rect [90 380.9076 148.8885 391.9076] /Subtype /Link /Type /Annot >> endobj 1731 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (IOSTANDARD) /M (D:20221102111814-08'00') /Rect [90 365.7076 160.279 376.7076] /Subtype /Link /Type /Annot >> endobj 1732 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1733 0 obj << /Length 18 >> stream q /Iabc9115 Do Q endstream endobj 1734 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9116 3256 0 R /Gabc9117 3269 0 R >> /Font << /Fabc9118 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫`D w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQ-~C2k,`O6OaePDt / CSi ܟBjdԜO\0s251" ^/Ej;'9 ).Q8!h'-:A/}&t endstream endobj 1735 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=183) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1736 0 obj << /Filter /FlateDecode /Length 3123 >> stream xZM6W tuuX`I!i \#%ٲS`6KGZ2wS*>|R]fU*[/U}} ZqyEmSE맣^XzmcןՏGcSp$t(|6&^N*:= dO'0~RP/Si9 F)rQg)+՟RRXhhV O&.٤( 2hz^/Ɔ1/8Z~k`16V!E 9nOUf|1a^,:_nub}37.28;Mbn䃃mduy Ix*%pRdrS53RX /ce7&8 R/cb/@\䰾Y@ebs1 y VK7uⲐX6Ghw:=cc3-q<&cp_ƶ*eYo3۫)Nɯ>yV(:fmPA +IP>uq4ڗhzנ?y3Q-Yb\@x:s2f<.k"Y}qnwfߡˮiA}5̑G#WY= mXLo]I:‘M0Q|~p!SpGM nZh~>VGӅV#:7 z,g8SvA$2K*xZ KJ xx9.AӞ^qH{Gρwi䶺ޤ mҁ$ 2pi-J{\ҡk,vpVC+g}iM3NH2p qC},ISNG!g1c%ے}(Gpi|e9Z+ېdG%ۛIߙtΞ쟦/WNgt\ب.Zrυf+lolcMnkdH b3niDh &TPF{1E">\01K-VxX;9u(x Xlk5W|W8N\=[Zk^eP`ٍi:>1PriW;IL{i{Y7WwJ39dQQ`{R$iS}U>KU1[˙S!ӢԨfb[pW=2zJ+QIi*-.%~{vS:b)[ƒl Z\gڋЪDZPZC}ۨLt5dz]uGǝJC"L)V[B+Pfsj\1(M~ mUUO]_y=!Kr@+[EfOF9'][=:nq^bV.uor[9΀Ǝa3qBbqsN{`[֓kjw놵8j = =m'~ׯõƒ`-:q0xܳ:҆ћ"&+Č;-QkzOX섪^UPKb*7b8hFiMR2dJD8Ok{Hes[F٣}֌\XCzR-%]]ju=m =J-9C/6Pȍ|bCǢx! gweQ;BrRsD)) 9"!?I74IK?y0bES[5%̟mI.zy6j dK 7ځBԡPշi|ձXuշd'T, 1m1f@+aZeysov*-i?d-G˝E,VvdPРE^ݮ5̨ 6#ac HeyPMx?8`A"Q YJ M>Nku"Z<Җ<%GK R8YxJ[cXImy16̣'Ƈ$e9V{C V-Ek4A36s|lBH +$7?Ío hu`hBpE@/ePWkE 0Vs2F4R2Pn/^0Ȭ:vZI=)̡Ttd=6~ſw׫v;}zz/\Ytw߯"|~MXwEF)HV1Y7E9jbЕwBYOd3|yZ0_`",7{$~c4Lh3EF]ASl9N*%G ,Hԣݺ`'i"cbB90#Ezb}?ĸL5E֞-*A6}P_Ǒo/*3kTDZ,5JJ !rd@wF0KsN+@v R4 jZ@O7 W{I4h=Sr_/a( SIt Q*Zf_Kt ʻa•c+!^d~htv55 3˃7dEv%N6`*|ݻG{0d(A-8-G$QjZfGOu0s\t"?v&h"~R*I*npn`n 殽__͌hA/hמێ)O57жv{n4o}jm7D4N!f_Gdˆgt(}Zij|g>R;SCfӺ`Y)o>:!?4^ϓZEv[ecj{ޙ͝|2&+96BCUȧygeEJTI~آB5r}vHBHnlD/*Dl|LhH endstream endobj 1737 0 obj << /Annots 1739 0 R /BleedBox [0 0 612 792] /Contents [1746 0 R 1742 0 R 1743 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9134 1744 0 R >> >> /Type /Page >> endobj 1738 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1739 0 obj [1738 0 R 1740 0 R 1741 0 R 1745 0 R] endobj 1740 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (DIRECT_RESET) /M (D:20221102111814-08'00') /Rect [90 354.2307 165.471 365.2307] /Subtype /Link /Type /Annot >> endobj 1741 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (GATED_CLOCK) /M (D:20221102111814-08'00') /Rect [90 339.0307 167.748 350.0307] /Subtype /Link /Type /Annot >> endobj 1742 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1743 0 obj << /Length 18 >> stream q /Iabc9134 Do Q endstream endobj 1744 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9135 3256 0 R /Gabc9136 3269 0 R >> /Font << /Fabc9137 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FfROvue| pYax} -Xw%b Oy-xvw7IOջMp)\T1B2h7r %er1"" Ƙ3w09'JQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]h߯}j]֠gQNx?1$aC-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/}, endstream endobj 1745 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=184) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1746 0 obj << /Filter /FlateDecode /Length 3203 >> stream xڽZK$7Wl(YzeX؃=,{{lLsٿ_葩̪KwzETM TXt:l:ߞ&?]]4ւjM9;Gk.•~}̆sQ%[173y7Fe)XA{ɢAq!a<b4ȏa:W~^)>ܢO/:XCɱ>}&sLYSԟ7XId(|(zY-w>cR8X.`r[W5oYW[:>#'qدE4֎]c!a7O]_ԝ}6!-]:C]|"?x"`v]F& },L\= t m|c9Rٝh$RRQ}fc!UUi2-#CĮuvȎWՊGK@{өBS9sbPx!N˒Yjnapϛ`HC&җ#cR%)ӱ|˨R)mICL$Ox?տN{"}p -mƲJӕ^zݮoE;X2pT"Ӭ`?Ǐ#tE0!xɧ5+w(~4.qBwKĞ6#B*{ r+tpJ2rGjxxIP~4Yh#l{;«U˜ V íi% 6ẍ́՚S6vl6KnT=]f1MMó&,0uC~u6j.w:il2}QA0 dCl6Ư3VAщ|.:'(e[;?+pUyUQs9}P{7/!NGuT0R'SCYϦ%Iu=e~N6Y{7m@3#y$V*(S!f\XViOL~H͸H4YR2@ngL0v7eKŠ^C*& 'z[H+Uvz՟\XO*E'd;!%:ٙq%Ԋ09G"1xu!˹fN݉ (d!A,K{$~"uO 1^WPQBxv4Kpv.A!AÆdSx0tg'D ,,khػs˗*2fw@s6VVB,G1'?kE9ߙ)Q> dض WeBMy:e"u.';kAŊ8`SvYnX#[B4KSK YS-͐&pZDR-ֳE<Xcd%Bڂ,5dka>sEڱF=[Ov„9d`׻Wc~5`$E I$2B;ƪ5k%rse"a4f)U\iMgxdbZ3'1mY1> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9153 1753 0 R >> >> /Type /Page >> endobj 1748 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1749 0 obj [1748 0 R 1750 0 R 1754 0 R] endobj 1750 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (DIRECT_ENABLE) /M (D:20221102111814-08'00') /Rect [90 354.2307 174.722 365.2307] /Subtype /Link /Type /Annot >> endobj 1751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1752 0 obj << /Length 18 >> stream q /Iabc9153 Do Q endstream endobj 1753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9154 3256 0 R /Gabc9155 3269 0 R >> /Font << /Fabc9156 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n0ROvջCp<)k.@Br!sw4_P%c I`2(.X5g$𛈺ʜfU}IRG"e*)sm.\gy4;/d"Xl˒sKhf>eV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽?3v endstream endobj 1754 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=185) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1755 0 obj << /Filter /FlateDecode /Length 4167 >> stream xڵ[K6W<@d  \`=x9,at`)RٮnQDx^YjwK*ymcv ~͖qVk׏?K]܌rLέ??9^%c~ 0ˉhb\?X3Lo6fo,g Ƥ`L$`1.Ș/X객+^7q\1}mXW!yZzϛ'0lZZ, ?)Oڍ>ZAl:(LI}2JΗ.זK \B/|ܞ)0a<  kb/>O׻9b#Dd.H/; l֒ٸ]8JZ0=]3W* bjn8-`-?0* MϪhYP T 8wߥE^- c+rSY]FdY>}Bw9g?-ֿe'X X2BW%k=>V{Y1>RRA4g`G/(U7C.ڧɂ#;Zjv}beD$Y*+MWj1Rʛzh՛s^3n>Κ.~yB5J$({.إoHlq==/"KyX}(W#ѡ!ʸYt&u6g"\I!rT ꟩ACq%1o?bX]olX\*cD;8A]t*v]or,cusoi)Q(٢+c_Txxu]؋9LfZx ߍ}[m^Sd">Io姌ΦC#Q+h^4eg"F 5?6]ˎ{ιϿeOv[ySs@{j޶{ GR;iB~`LNpp]¼I z4I-]y}P."0 ۸A2<0FZOLP s)!BS(gSPZl@.pH֐zo% \lM0 E~p" GL f(,'71%<a- 2q6*L0ez0P>*$5vcf~\GHS ΀p%(.@Ȉ xIdן ]) z'ǵ2K`rq\|60za4-y{_ :;3Rd͎Ͽ@v#Ԅ8_w;M6Q n:q?:դrn7T4b S&tL0k/j6kM[s(jvRPV hGW#Δv/wG OYlٸMRel\򏱚BfxҪ`\9w9MԻ*uk!! 4\;if@{ClC/'ޛW-f楨7)MQ.^1/`e>9pYAz(udobc Tm$zUAAٸ>F붩RWַk8#V!ҘM̦j&E[;ƿCu.)Oبůxdw~DFoۢ5rx˽25痭n~c[|rԏ!lroӜDpxc>>dawO*{`>:ar}xݱo?ԘH-Ocnƍ[CIzg*ORn{V{{~c!.&7Z3"$>p7 Ns/\0;Y7E92rpJ^Z ;|en3,R_w.]2ۍbyrK7A)iތ-')Ol*?wF/8~t%O{.ҠkTx0nQ]I*>eU'z?I"zDm8FnYb#[H.չ-'SF@f :`MN+GʈljZKi+!xsm`I"4R dD mk_ 5qT▥8?qq:2  BvBD!n[.-n87cAuMp6*R_y7a Ѻ@>?67;cEK X)H氅KƧC"6^xWϖrg q0#BeYރ=ޘ݃7|bi2tఎ(_.IynU]ڇesaƕ6`'kf?N_u#W:l&]<u[p(GS`gQEgsQ3)p[-l2O.flZh'qG;6?ڕjpk~A56ArN3fκ-3|M `/ǀOlU~>&m\}9̖'Re8҃'`:蘱#H[Vх -s'gcCz;.wwyH[&xw~L{t'&Q+ l &>>@϶@jDp48J0!>Z#w;V+$~lpO|oՏ e0{\ QvTI0v,L<&v('i`> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F1 5743 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9172 1760 0 R >> >> /Type /Page >> endobj 1757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1758 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1759 0 obj << /Length 18 >> stream q /Iabc9172 Do Q endstream endobj 1760 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9173 3256 0 R /Gabc9174 3269 0 R >> /Font << /Fabc9175 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w9 endstream endobj 1761 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=186) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1762 0 obj << /Filter /FlateDecode /Length 4942 >> stream x\Kq篨. Lp,J|0|-/CYfsdVUT>"#Q6IK*ve03?eC~-LLvuqMe.!L?OZ4ȸuȵZAaOGqJu$|+Fa4Zޕ:@Yev!2 \ڠou`0'YDim}& \k[QSd 7RȘtjkMsʵ瓯pgV5E7\X5!!ڊ sMyLI^k$ޣ?FN˾h` yCeJvNaM#ȂYa%˷1Ƀ,ʘ+3VLO >Z t hAf+9 pIY/þ;H}h %,pFr@ܚe *۠,],8cg'gFK[0T4T?3R5@c@HCT 2ey7l xMܼ)@u2U?+ ~׍z͍E8r 5)CKUyn(FdNk7 1`¶! .c)6ױ(, d-NU8YG2)PI0}+ ' i]tsE3n?˃NM q}[BbL"});S"J#r\I~]qbU ltD0KO_! _"Lt܋R|fC菫85z]{+XF SSˋ4W^xeʁl}r<{y{'=EW KW ( =N骦\nFV74Sڮfj~/[E /3m9Ho2G(EZx&1N7DxNmw^o&09XY ־u6|p90~:ƹ6%M{8Z|A'A3Fy[qO5v=~21xɆBV#;k(G)POjmAև0m8Uc0K 49>I{1op@u~Je?o;Η9.r`AfST5ۈZхY(~sjgԃ׊ÙT-D- fxfm~Y?q֏8G#g~c{B-sMO9>=CmcvYkZP(ΧcƆZ~h^- Ks_PhuW>nr$ $^?֬∾6"WV%n%kHZڝ(֎t?}-TX/5-'i6ˣr+ۣNr{u_mna(W\57Q9<!]-&EB]Lս\F2w#C5 \ןZ7 FjǖkQe U(%c٣񾇶9{s<ߺq຋gj꘮R;!'U/χU2CW{pwJƵ\LtŗB6} kx6tekn]5%G:ڱ1Kyj2+k۬y/aqo`ԣ O)2N8WRGT-Xr2n)Lc.2'/ C}^LX+^p*Ͷv>gyg,7iGrW~&fm;bYWλz?.}G9R;uǡDB[N6_xpk.n)= FģltYRt|" _rx=wJAyiqŷ*.jX"4b*FmP[s`%6IRtЍhFy#}Vasn4_oN>mRgӖKlxvGKCyfNƶ YDDV?p- ;韶yuYtXK٦oxC^ml:jf1$Gvaα[y%TX3=`<6&?[(6-JBPGyWOɅa1líY n_az"˶G.{(-RsfByP>Kq@hKyC;E7oߩ;:]\G8t/ zhWsݿ6?'w~.]\i mLSGSNp3} ؾ'h050)5vLi9wNEZ?o6s) ^[lm1p\8ME<\ݑ!xY̞0:+?n~ٵn}>rjQˀ>bh{`Yu#zR@f>},'騙d̉zLCO<e6ƺ6:G`;CN 4E eq`?9.Ɠth޺^E|7 n iNJ(98=48j㚾&=#݅խ.F)5xL?>og0ZQQZѠ%-gYPl@M3 ê}15h"3}g&PD"σIm/\HIg&hB܎k˸-{yM "YU%o7Ene\t4r*5Wz"ᮕùͤh+&B6} Fh",0!x.rui.YK OnI`inj#NJ7YG}x\[{V3K51Hc#\%t `0H6̶P2@Vyfj(l!d% >gT ?[&9D?7R":۲FǻZ,2>n1D4D}z3 :8$mY摨)6e.K+XZ9fba%MQ`H}W+<ѥ-Y%G*.72( Wl$l<;NkJmm ֊vº'sә FBܤOF:JR}Le(٦V =S\SO ښZҌ7NY[^P@^,KnIqe^/{` R{))t+\59U] 04ň(OwSSԢE*nw:YNgU߹^us۪ABZJ`:B &%k!ȽO@-6.dlh0Y-n<`\ 63,H%BBE`*TRWg( +aQMЂvi7,})2qV:uFH+xb}Vˎ`&ML kG %LjbSa[PTg0ZUTE҈*`g:D Jue4&)bPe鮹< >]W/gFEVK܃6EO.szCl ,)bn@hZ#7ܥ$ %f.}uGUp j!/I,GO\5croE3K A6"';bL> %Gb “E/}Z+\ Hae{U<نd0UHF讥:lS#TMxA -lϹzC%>/N/?ýx`_ s= UYxITkğ/߯o]뛑>_ԮQln:[V5,Ԩx g,*n;5y IA(i&ڤfIQ F%sHi#3 &m$4Ƀ^V곟snK$\$S6n5e ӄ endstream endobj 1763 0 obj << /Annots [1764 0 R 1768 0 R] /BleedBox [0 0 612 792] /Contents [1769 0 R 1765 0 R 1766 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9191 1767 0 R >> >> /Type /Page >> endobj 1764 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1765 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1766 0 obj << /Length 18 >> stream q /Iabc9191 Do Q endstream endobj 1767 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9192 3256 0 R /Gabc9193 3269 0 R >> /Font << /Fabc9194 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [n02OջMp)\Br!su4_PtQhu2 ALF  c̙h;IHt(sBD* ^Sl\9 " /5"]zPL.O5HA?hxaxWBD>.PkPݳ(E'ܱYZ)Zv0m11o:ٓ#,J[OIkp:3ϳ-nQU#x0 O-j}'dH5aSq ܟBٿ`NS0Erձ*U櫶_|ݝCvhw%TKh8rNZu^lM@x endstream endobj 1768 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=187) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1769 0 obj << /Filter /FlateDecode /Length 2683 >> stream xZMܸW@k7` 0=`6 ''^c1=(zmg@<$bXcF}UFixY/jJ$]~~e8/^^?-SM4k^ZғuZ.:/OJ@gQ/O3f9so1sczI_r*čV9$!&_?-fFe[)d^ bq]B63\ Iw>/mNs.4TIp=W14lFs;쒇OV IEF6rݢE'\v蝅7%9e'2L)T,L=ل&&ƍ)&f0I }Xba 5g ]b|ur×sJf<{V5W%c} |c[j~Pl2zHjgw%@.+P;V0|+x,;FF3V`7+Xw?"%X)UbxM'u|pɈJof衲Lj&K[ a|XOgڥ=/{14@] O3S5 0ZS8{ascuHd\Is0`NsW#qP bfCޢ!?w,&m;h/ *њ7 > {}n~k/i6{aŀ"g(i'[7TS`Rh;VTqVTӘrzaN @55X] r<@5ڀ0sD0GCmOeot>l\%xTћɳ_(X9 `!b%+#fI#f1 HFI@Ac3xv\G#&F"^^4**ɖm` Ɗ~R?w*QC& "νac%5zqY_a  LvwI~sys8#~Zym2tP_&n{$ {<\MMDj=Dz,}<]5IG ](T2ZVa<0\P "7zi[ږJ!=QK|v{:[b% u^B;y@4f$ =ldt֠lz,rgLC3l܋*ɼkcr_ګ`O~hcU5S+C<mOI*/[b$q4j4jdl+rlA@G36h؞nk*:'C1rRI~~ݯUWnk7*$L6s;"C9:<,*ٗJW{S(2Z5FF/͉% DP CVudQ2ܰ^8:HR%"M@Aۍpvq [."@D rb%h\5%kTc@T,,ApцSo2eJE"Eڒ/|dBBHG3Gؓs3ɶ 'x*Ԇy %iԤ`m+p%~ *m{gL&._ܰDhǟk|ɊO4CnMu 5 B֌}6#_b,0V rlI/}'e,2|컱G{i0 tFCR1Z=:7, R`Ԟ۶Lk\AZX\[&¢c= 0ǿKQo_6xb^5{_8 %Rd0DkLKʴ. ;u2|a۴*s֑촕ZwQQ٥ҹ8i3cx0/ӝWlwiZ8yr)x?k2yfͱx+ۯCM_ y”tISh[65Y_W8j3.vrж&bl4]ܘRbUZY>_l endstream endobj 1770 0 obj << /Annots 1772 0 R /BleedBox [0 0 612 792] /Contents [1780 0 R 1776 0 R 1777 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9210 1778 0 R >> >> /Type /Page >> endobj 1771 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1772 0 obj [1771 0 R 1773 0 R 1774 0 R 1775 0 R 1779 0 R] endobj 1773 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (KEEP) /M (D:20221102111814-08'00') /Rect [90 330.9076 116.598 341.9076] /Subtype /Link /Type /Annot >> endobj 1774 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (KEEP_HIERARCHY) /M (D:20221102111814-08'00') /Rect [90 315.7076 183.2415 326.7076] /Subtype /Link /Type /Annot >> endobj 1775 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (MARK_DEBUG) /M (D:20221102111814-08'00') /Rect [90 300.5076 164.844 311.5076] /Subtype /Link /Type /Annot >> endobj 1776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1777 0 obj << /Length 18 >> stream q /Iabc9210 Do Q endstream endobj 1778 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9211 3256 0 R /Gabc9212 3269 0 R >> /Font << /Fabc9213 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTM`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM  endstream endobj 1779 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=188) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1780 0 obj << /Filter /FlateDecode /Length 4028 >> stream x[KWl@2`0tt98^ #Il `_}ER=;@<$Vb_> g ROZ~KmXs^OJ/\0?2OJ+2ϋ>ZK,mxW\5lEshg|47Ds6%]uV\*ت`W)PՅ.kz_;gng5_$La|E[ꟋO A~sW6zz.esܗ'ނeQB l2qݪ?>3.nmqVG8MzU)TNOIx E9,Nsyj\8EFaCSb8|C 7Z)s}>=Kn.G"{ [i ]S|5 db; L ZZ‡vx]i?GwgcoAF`Py9mh}_4 {|ҋUqv9&Gg_l/02?TqZ.S%oY^1SyD|՜2%`p=KZeLzSmnor¿;[LL\ͶZFUQ=b-]Pn9YJqԐ5oX( #PeHΏoñ8`y)"`qwD6.GvَZPM#zT _KN&E*rD-Զ3kPvWhD4jV ]ρER5ɉ-i#I['dGi8qcg{ېl%f{fL#\ &若>N ټzrt,њJ]8Ѓ#K`sFUlƱ6cc #B=函2C'0T93,Rl*ntzݍ9QIF{,JP2ݜh返k]4@|c@v^5^LhN9W}=O<+hd|)4[@k(JrkMCϽ1ơ1~.f56v)Xgʻu;r5M:zq=9AŻ )İ5XB$/P‘3+p܍k^˅Ko7m[QUNy($PDO}ISaZo2M{{7Әɷ(!݈ .} ƻ;vI쭴,:d6مхQRҨ69 R^ISצ]kmQΰ={n2 U9 OE|j ]#cy[  |U)xNH8]>*TY΢hB19>"bѴXT $a53:.XF)'lx(q~ԓ'&Ǚ!գ # ɳB Ɍ*Xֶ>n܏YI&c= iv2:1$gݴc%dOI7;D"Zx ^h`MDH3dF\yݦM}Z?DGwsؗŮlG)hVY|UvF1^4N>Rjmm -8~"w'y> Yj+!ycf]˩# ^ }:,is:,rk[%Za[H;RT.'C 76oY /-M[rF!1*kE`n aKt/>ӹ@mXzv]}!2ޘ{( GݤH?V)O]e_Z KPе8n^0HI-&Ma,BRׅMJ@,AOSO_Jk6i 9;fPjz/\*~Jx%4l`Hv.lIC^V{ [UFFs:h0HNOl/զ1k2( T r,it@#;|h.E-. Ίaf B/[DP;1aZ /qو7FK ѐ!\Hǡ5z?FeUF3FSSS`r}!ok.€<=W`R9-M2`֧xږxULpHw_uչII\3%S#u* @(Fo&4Dr72yb{#ll ^ QY1ɝڷh6@ڴ<$Bvep#G2l黁e'.:7gfjz+IV3uٽKZ:y]hvS+2k{8茳fN{ S?t_ʛn ፀoy}wխϾ}(}/.2Zih5d.9vR+'nAgGfot{bm 6;YъvVC=ϼZ#E;ִ9;0_k- E,$ 9=Юp. KGlS6ɔS>vPC> .4 m;D41@ć <ɻfp ֱЂL BY|DV@^D~PdKgC3(%F!_>[Y+^@("N_{J3[ _R MBU6yt8w+R`;vߠk.NڰTc ~5.|e|Q_!me1~GWhھ~"waX> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9229 1789 0 R >> >> /Type /Page >> endobj 1782 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111811-08'00') /Rect [325.1745 669.75 360.4405 680.75] /Subtype /Link /Type /Annot >> endobj 1783 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111811-08'00') /Rect [193.8235 655.45 229.0895 666.45] /Subtype /Link /Type /Annot >> endobj 1784 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1785 0 obj [1782 0 R 1783 0 R 1784 0 R 1786 0 R 1790 0 R] endobj 1786 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (EQUALIZATION) /M (D:20221102111814-08'00') /Rect [173.2465 390.7037 245.9015 400.7037] /Subtype /Link /Type /Annot >> endobj 1787 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1788 0 obj << /Length 18 >> stream q /Iabc9229 Do Q endstream endobj 1789 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9230 3256 0 R /Gabc9231 3269 0 R >> /Font << /Fabc9232 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTi`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM endstream endobj 1790 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=189) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1791 0 obj << /Filter /FlateDecode /Length 3804 >> stream x[I$WS=m\^ƦydR Ţl4R߁.XcF/!E?oA#?|_uQi* ?|?6$3񪵶Zz{_Nθ\'a"prA֘g^qoƀhLi Q3]ł'&#mNsdzLpm;y =?DcAEIO#Q&yEuV7QI.O$U+G;J}d:״p;Ƀ+Yi½r=MghTns.$^Cf $|a-choׯWMu۴žm{dVөZ&)bnkN47eCKؓͮ$Jډ/{yˬvZ4^^N4募-uܹ͂p)|)k5VItC5mwoRWv[_Oܝ$ٟ]c0\Kw9+L:M>V}\`w#F90ųl/kX yV2P?~A)eA,t-0(k&I6ydǍٮt&k3JNeO'Hʹ&mF"v tW:?ho)$=Tt̴Z/e.%` +-hpU m>PeX6p!;s `!A+46@`"dLHU4L.*`L1$}E=nnt,."`5gb@J_+#lrhhJ3wPtB*7F77ʗ&NOy OXU8s3"ATqg'SZܚr4W\p*OˆU4E BxaeF n K~K)LtŷY%~ȐؘκN{?;,lM,% IBr)ac@^6k|Ⓓeq@СCD֎Ӳ I;tu#69w0=3hO$W:oq b LJnSIuyEdb>#v::Sv?+k1K@ t9ĺ/c3ϲY`aCi?'{Fc)rPUW=e1Nhf抆~{&H0N3+?)T3d|bIC_kbK[uy{X_$)kavTV}(Ⱥr}zDXםݳērϟ ? vߋ1:ȧR%S9,ÕɊRsjxظsE;})I[8n%sdr|o̲8I̾uA z/ZW:d:uHQN EyDl:0\KOSvl0lnȸrt3Ⱥ`Q7& y`Q2+͞>Uݵ =ҵ|WZ.uUxF;ul:mullu^uluЇ>cJ8 OZݰ*c Yq4e94>&iH%BEܻxt*oenv[Bx5qJ7le;GdRB:/MpZqovT(C eJͲK`X#Z54kFV +CM$~%KӁ$¬;ۈSuh.;Ͻ{ ~z ]OZvI3q6p&W6|/IOU'thDBp.Y(c ;6 :^==Cyz.K{UJ}ptNVcJ)cUHp9 RZmf=JX.['g|l%6 F!H,Z p7w+^ޗn],"@u@9ʙ )9tXǷEx*x$d(ݣhl!e[Mü5JNMjoUOـ9d$AU +Ud|(V[k>j[:֛Q7b?- fF }UNQ*!#Je#pO6:ywgXle!Z)K)j6ؒ/(daMyg9ԯ~,y$fM=>/+-h`w98:[ bCC65&R=/6Ʀi >gI'\a t<{FKn`;>c27$CmRH ]+){?@'?x4qɿ{i+ )B!10+6, ve=qMc$ѤPEmrXTaaRH#IS*QO! ="y ,J_ʝoݯۯ~mH&t D3ZIwt3q`Q[jO21Y%)͓ m|xmN4] @i[@.MD@Y|GHăPH 8*lPmB<{vo7HaA–Dr DHqm)<@Gv{ǙQA`ˈ "-@,WK!td:Pq.@m; IUˤNyaq>1bTPcݓ1EKiyvCl , "_j|%_ J.Qc=}h`*i>k ZKΒʮ1 wfTROV„9d`׻G>=$%E9!16.tS3EL͕ .0jE5L] ҖJWޟۑkHC湨fg\^}W䡦 3غFOkK_.}*GcL[߿\s 94PCL"M0f_%33}3oJ]mͳ?fN4*Azi(8-TC-Nkӵ23\ؓI?cidp1:U2N;JPo?lf*жHB072)ޮ^MbVN 饷飯&/ endstream endobj 1792 0 obj << /Annots 1794 0 R /BleedBox [0 0 612 792] /Contents [1800 0 R 1796 0 R 1797 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9248 1798 0 R >> >> /Type /Page >> endobj 1793 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1794 0 obj [1793 0 R 1795 0 R 1799 0 R] endobj 1795 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (EQUALIZATION) /M (D:20221102111814-08'00') /Rect [90 281.2076 169.9205 292.2076] /Subtype /Link /Type /Annot >> endobj 1796 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1797 0 obj << /Length 18 >> stream q /Iabc9248 Do Q endstream endobj 1798 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9249 3256 0 R /Gabc9250 3269 0 R >> /Font << /Fabc9251 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n0w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NS~Y2cy / ̧9Ê!ld)8` &5*WLj$sx2> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1800 0 obj << /Filter /FlateDecode /Length 3202 >> stream xڵZn,7+ЊDÀ`Ydb MH6sHIUnv0U҃Ci_r%mNǠ&+shN;k׃[S%6>㊟}>.Uyh܇9q޵> uεuZtF>=*zh}2dCRpFFYY|^+]yxuGow-uyK&սG45Ƌ0DS:?Z_3528fAկ?O).Y.ʛyMP]`:+ .YQ8%[ҼuʘWB^ج˓!&S()?kf`vk#d6!JpOٲ-IۑUA|wӞYQvga"'n_pʩzo| t1u(=Nc}'PaB8{>ocx<+y>\;(>\z_g\f)9S=`=5#yAǙ ,R4s&)}:s4J&fhv 3ɇ=Tu ʥ+9=`>\[p{2p;ioXMG$MXqb\l]!}#~emAkױff^Wc1>]2>I`6\`M(2bZpd;'8S*}6 uTM!ũq!7R%K;PihK/ƭC$Vm 1G{a&= ZK4{C>P0*T٭9s /8x) ]l{l@c g.Yɶ܎M^'2"~WY^+gt-Q`ƾByXB:]V??I ǖraOq;~SS2>YVP "]Uh+S\Йꮾuc+]un>*mc+Vz8>\3qĔ:mb w?NA#st".!WL:G1}!R,u|]; 8k7Ѩ}Ubs%d?|~ԡNZ,oLudW~ !Gb`{LH}WPE'Le7ЀlH<&ḧ́9' rc5|Nm $AxƔF'6Wi7ް2Qy w.*a ShTy ArL-:iHBl/VxR;Hj\&&sO'(U⦺Eq2\uB6[ Л U7 o4K@f׾X֥GchirfKk 9kГ*n7s^UrrQY*/+u-p?zTM .hMȃeR.Ԧ sz+^e޶ndi0Ł5(^ʎMЦm֬X-UØZ3f3![DhPI:d J0KhI8v38 endstream endobj 1801 0 obj << /Annots [1802 0 R 1806 0 R] /BleedBox [0 0 612 792] /Contents [1807 0 R 1803 0 R 1804 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9267 1805 0 R >> >> /Type /Page >> endobj 1802 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1803 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1804 0 obj << /Length 18 >> stream q /Iabc9267 Do Q endstream endobj 1805 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9268 3256 0 R /Gabc9269 3269 0 R >> /Font << /Fabc9270 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n0w/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3x|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ_ΡLH9.;2Fsh8-ZݛI: endstream endobj 1806 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=191) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1807 0 obj << /Filter /FlateDecode /Length 2828 >> stream xZK$WP MCWU {0>zvXz 3}2YY=50B~(SҤ |Iwu^gbϿ/Og]~`_D2O ` aB5Gc ? q~3Pņ*P.2y\%~pďL)c<gP܂H!BdpG<,(׶¼G֩9630KNՊ[^ME}`՝a az~z3G|1zUtJunJaV2U%sl`sP咱'՞"xtwf@!Tsdu5ų@) δ;Mm74L0H@Ul9l,s fqXƌz 'LIQTKsE/2zϤ w:-3 W2 sm†:yxTi`XAi;u7H%DdAԄmc}zo{epE Qv-aIqfKO"ۛ ;AᲘT0`لACeub3I0,]t=5]1D>ʇ/^QJ'2®a"E;FT3B"/TmbF:\f3Ic̎O8}c\9ۖUم*A|A^c8֐w%H'4$W m^'TbܪHs6p*9IB}Z⅒N;9vs1eH~YaVVpXnMԎXz+z+FN#2ȣ'S623޴mW_q0^Ip NM |7TzWuxSo:uw1k7hާZ!,䪔,?Q j/+'[|O ˺69Ͼޑ+_WX[Ode˳K{ Ltx;L b,p,ٴ&e*opGm͑ V,bǼ~\˅69ޓwՍ9;oȼj7oϼ4<ߞyWyq-UV-[C"/\z"іr+q޷rU=J/q,R%~kjbCt|jړ=V3"?6sO4#`t̥v.ތSi&ѾSHIR) s4OiI=J6=uخϛ4Jd] D*_H{KӯsA#;6 4$őި g}zn*ʹ%2Nq[9Ќ7XI_oC}0}}EI>\D榜J.'Sb}s1d\hpLLNK 6H>~2| weuѫ>DnSZld3c>_X&n8O.j6(Ak9/ :!HM!7D*T>Hr~D<<50tCfjvE5T\3T]"~Iy6\|$޶]}0N@Iʔ FClLX]4C$]jY>`)j6l*R,77kZy >pT~ goXVHQa@_|V{z'VEPߤ<6Ʀyb>g/硰vOGݓ5_r־ 3hQ) {6**! rM٫IõMR~f$GN֢Ahe2LD9b<Gʲۏ[`*eXɠ>⎎r !7)6`| vDIţ:]AC>c@ڪowU˯W}'_u߿?!08(#k(aS[@f^q,e2hu!5c@p3!,-M0b*㡞}h8$ m^}2=>S[ϟ:bn:zm7x,#sX =,z 9k'B?^]mϳJ4N 4*B^4g\y>)|SwygjCOcbQf K$ LYF>V6 C6㓬OBBUr]]$Hn&%짜UDNo0質}?E endstream endobj 1808 0 obj << /Annots [1809 0 R 1810 0 R 1811 0 R 1815 0 R] /BleedBox [0 0 612 792] /Contents [1816 0 R 1812 0 R 1813 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9286 1814 0 R >> >> /Type /Page >> endobj 1809 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111811-08'00') /Rect [184.3525 454.55 219.6185 465.55] /Subtype /Link /Type /Annot >> endobj 1810 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111811-08'00') /Rect [441.6425 454.55 476.9085 465.55] /Subtype /Link /Type /Annot >> endobj 1811 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1812 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1813 0 obj << /Length 18 >> stream q /Iabc9286 Do Q endstream endobj 1814 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9287 3256 0 R /Gabc9288 3269 0 R >> /Font << /Fabc9289 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n0VOvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1{' QB Wuţ}"juZՇjxpf Rӳ6{ik_KaRGcb>tD'W9F&[:WɅkEYΌl iT`^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|4ʄivP(y Ph'-:A/}` endstream endobj 1815 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=192) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1816 0 obj << /Filter /FlateDecode /Length 4534 >> stream xڵ[IoFW@c_An0jmڗ}ETY]N|jjW^ruok5Xooqv>է֭Ƹ~`lx}\ MÿƸ'cL/{\\ċlL>/==zNp_s}c5[ Bޯmf;:5ĴrYmZ??a,04Dy~$2oɘgv56_˻c1'f=B$p0hd, 2v< .c׶a\ a>Z׋[vaI:΄Į!Ȫɦ8}M~-asM&y _ yzUDeIskcIVsu,$2;5O # QPM cwew|=bVIPۯplj^~EN 9p)7Asɦ` k&U,Ȳ^qmlNnwpU씪9&vK5 =\c]^KU= nW7kW]“7?w甆 ^6|\iW1P4\gLZ: 9̛6! yS[^p\_Al`WJ«h#4a>E,K{GY0bܾlt Y M6ݽ4aR{F\ R}ouv*ǰ"A$*wQ}\f([ܕ:HtA Ȗ#ݚYԶpW SĬ>n.E%ms; Շ.消R&)Cns$tV0ՀD'oul`˓p]pa-6`is0ć̇iȁviW>Tux"V)Few9m v{L62lkVjX\eGλ<^]mw/`c\yg ş }ino' ~{۱J> o;pfZw%Wϸ:][yǏ=Md=D J^Ps'G.65=u4%-S|x4fEQoч`2&woF5ɢt4{=O3Xk?$6s\-C2Vn=q1ӫ={hοᡭ9,amڴȥʄr(w3r)5V3/fb46'ө"$熤mp#bb=q9lɠU%= ]=-U#7= n8?HZ@ƨ>]om|uBF`a2$ ]-9=Nbq\S@Lelµؘ*RPt^qqL%ݬa .kRg8R<]KHIl91'Vzվ\T h.: R'@xn^TN|_S%/I8TW*a9vN"Hcrwsʪq]fپЀ햯;h u_ER~_fA*i$@8p; 9w@HqD_#lHDu p)MAplKII &`ɼ=b|VMixyHKM0':}~Zʎ`B pnA;H^=t =pOO A}dO$V%]JezV. Rf $E&PԈB+ƢJ=0K抮Ee)8hq+U7(@%S \AS=5IҦTb`Beqnʃv @ ֨.7wHݦ$N:Sw DjU!l!H\au!\+i p &.]f &u Sщz# dýPHY DթCy'fdL)nyZJǼ?@{x7>ϩy#O oxiʻޓzH )#^iEkXND Loz#8+җJ.o)rpȱyMI8!XѣJXxl H./ '6-#u [TZbQy%#W3=B)iz}\}̥`ĴOf)UɅUmMLlsBxNJj\4"Y/uk-c'~zKFA|Ays 6\v~ ^ѕ=S;Dr7qx9 Ʌ VVX5vRde+Ϥ2jfZPr>hѲђ4Í4cߦBޓh?%Sm_XmGsQkR-֙'06hq~ ᮋzdiBr2^pRrϟk!y!|cV71oF-lrqjJ& kkyCV}~Ʀ4" UWvڲ4{ڻ[l*=j,YӷKܛMN\uzۥ 2ֿtxi&RU=,% H#Z6١HK7?]}g%9(IlӉq *#{pI7YS"qmQgg%"n,:MPga/?t>W<>ӢOA9 ݧ},rj}M$j/B@|8Kʷ@ / .{ns7ZWg+kB0mTa)'-ߡۿRHh9}BtD/'A#jT8f'7gfڧӪar0*j.9_*Q7wF쟛uOƧne1}0xIZuNA5̆ǑSn%J/L[ʎ3z6HiZL.9YRBO8#O;2 ltlU(h&K1h;r n*_a pwksg5;|W*5{TyYfUjvL){Wʞ%\֘51,YD>nk/y_"ruqwPG*m֔b3f-R^.qZ^*3 zF]~]: >M*o6nHX?|I2[Ju%3 !X7j]gإR޼uYcp XGVW2XQ8D±J:Twt,`=+4:tCt9`_`9.5:jluh?жk/w`@8Bxܖ3ÜA3ޣ^niA)[*\A Yb-A gUv,ЇWG2r{b]GҎTɲ#.共]T[F9GM`^HJ tVOZHMYkx"yGgU@S@j}3xٻԆ sf$B -tsٱ-F1UU_B?OQk+CR>[S__ p:Y^sT@M>L8V&y_9{v;®yfHE/HPߞ{߈L~/kTRq ⠆.IXuVC ]RH wBmRV^Ƈj,e2eNhoc> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9305 1823 0 R >> >> /Type /Page >> endobj 1818 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1819 0 obj [1818 0 R 1820 0 R 1824 0 R] endobj 1820 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (PRE_EMPHASIS) /M (D:20221102111814-08'00') /Rect [345.075 245.8 412.21 255.8] /Subtype /Link /Type /Annot >> endobj 1821 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1822 0 obj << /Length 18 >> stream q /Iabc9305 Do Q endstream endobj 1823 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9306 3256 0 R /Gabc9307 3269 0 R >> /Font << /Fabc9308 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ-~C25>S~Y2Ț}2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9O_'Ŷ, endstream endobj 1824 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=193) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1825 0 obj << /Filter /FlateDecode /Length 4933 >> stream x[Ko$ȳJRk|O>>w< x࿿A2Y%a-d2 bmjoh'c٘|z\0Ź͕Ɔϭ铄ͦyu3к19 =..P1=c3С|z\=׿_{^zq~˱OjjLԆG&OwoSi{uw^8֖.wv ;\w8Iwg-e/?V-)h6|i+.ڴ?O[PX9Hwj}fY<1g9|kBrY&݊ :0(~3A[P8ǐ~QuzyinxO s>]gݓ-N۟L{q:yi.7!~ļemNI K]Ja "M*?,ny 13n $a^Dg#u z_e# ً+Tϑ)ڈ^_w^;SN,hs~CӮs͐KfJECmhH{65=3"%&ԅK6a7Ĕ@I4 E 6979e #TU7Zӱɉ%sp|f']@^o wԮmwa @-Ղ8\Ogkku?O+8c70cej^}xGӐYdRkAr-m!ۖX 9Rkm6x·/*ncq:ftS9hkpLfk,Sa_cw\ 8toSa'8{(j}h7_IQǣDmKlk&͚S܊ƭrNQ>kJ7ucSY6ă I]6ۘ$(AM7n?4agP~X:=tp^QL٦zIu|i[RnG &+yA歘,=)z>(_TLRgc(`N}l2aX뮃ݢu|qՀ<1àIg3;:#d]Nd~+Mg6L{mBe:Eӑ V#0~B̙hzEO>kN}v06#w=H{2+"74j]fN D{x=Qm#&Jp5Eў \ 4n9WNp۱XRXv!G.mL|k [YF!urX,1BټsDEvyӂS#"p "NzŨ!eL;+ˉ< /ù"䅎 6melPҎt^7R,pH; O4I%,q4ngaY'6-#W}էm|_}_u+ ua,0, qx*k5GOUcSepЎei<а]+8rI?D3kXVr63gt٧yEv02!%<[4ʴ{H>=#$IM$NT \ID7Jշ ypI"V>Gw?ߨ7SKijsI=DGB#[fI/-əNfad;w6ŰhHuv2 Z8W[z t3.q m֚߶nwsEjagaaMUuDڽD[z+0tS[#Gџ@pC#^0t\1;g^Jwp],5dy 0_A@-ƃ/tߗW}#wqrmF.c9}& 4cՐg m-;pKw]0E /9)̣;Ns*`;琠u 8upt""G8!yx”АbK]z6dpe oJ䘔[ qOCbDj$# {p]pKi[f]9c>^œ\zpsefO[:vĶ<\2tBJŰИuخǶ7x= lZTR4iibZrޔغ ~gȪSV/ۻB:|斓*wW])Ob^;zϫ)7Ć1`Ґ7-6=%uXsD IEL:1Q' |#`sMFT^YuZ-Nuqwi΋)uxW4-lU)KZo oŒ˾+{p46l9!j9FZIO%p2zkGMQ 5wAd";3ge:ֻ8d5}D9ʟɹznz'po:8긚))&&,zʎ7N#0/Y+@39w~$wq2ƫ 2O-tno4F-N^0H2v;5#•rH;(5O5Djh]ASS[ }R ݬ)jTm.Nn٘Jy/ X{5f2W%5yiX#Sv$)˱؂,Ht6Ȳrlv\,zt.5djl[LM{ aTڬ!pcQ)x YqiN#+GHx peJd`s9W,dIpD*K;XzyVéq\#mi݃%/ E.mD2 ,[]TVSPfr䞏ZPY0z3]sDRYܬ~)+ZY?/b wt7(CͶrkJ`gg.nrÔd45؎^ /6e?äxr$0w -VUE7-lj^YM02I [L]%i.7B:g)e~w.~כ.oXJ]=?h=ptVRQ2:P4JjIљuX#i5^ ҵc }rSdѼʹGK&0z˵ wBсZWJeiGLs]-( &f -B\'z k6\e䱳zME~jk7x)Ii:AB$C Ui\HRtYG\P;2Av.~;rE2*Kko.ON S/ V#7c.Wa>vN82Nڅ-u3JPEg&$]LYUj4lV%r#kap uuЗ,'?Vo1&N,`d(? 1}ٳ{b'-/?9Vo|_@#Zr@8jv U|՟#-URtho~z~@IsC5-syS봦kowC7pzs}SԙW:4T[WO/$~zb^=]E~!E89h~H[#E h@ד4bg`xioBmR/\:V A CU YFٲeh?HVzN*Ҷ$> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9324 1830 0 R >> >> /Type /Page >> endobj 1827 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1828 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1829 0 obj << /Length 18 >> stream q /Iabc9324 Do Q endstream endobj 1830 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9325 3256 0 R /Gabc9326 3269 0 R >> /Font << /Fabc9327 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokW|:]֯޽\l:H9,*!?WG?{`E'/5V-dQ\0Ɯi, ?DW2'ī+O1%PƵa(Rɐى9:Y#rU ((P[QSqfяG~%DS=RtriK[Zߖj?+=ʡ|~72ɢԑH5Z yp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1832 0 obj << /Filter /FlateDecode /Length 2642 >> stream xZnWyɈ56` `$[#\M{(UѹbWMgTXt:l:>OAǏJɚ`~ZNֺOxjrP][R[w[7ۂwbht.g}uvxٷwN* acMdIY&=vzPe44WS<CZpAmz W΅7"Io"pK坰P=gD>T]7zS,ZմMsakY֨n,e (4}ojs;^F8uyw[mԥr),\t:}PW X&6wŕ0(wEX:*=tbt~?C[b|gE?jUg( ?ЭLU~#p;[īD_ j֠K&ȰOWTA Hp*ڰlPBI5QWY,r,^jgpK#h(!W)˪P變(n6̖8_JkR Y% )aJ[KZm@$Z8E[5l7Kf m_ptf.?"'L/e[Mc\jt zƁT W֌=.ܚlVmdglv~jk$ѧ_[!8}&sL?i= t .P''t~!~Ox~׿c/bұ_c G+q+t9r(u}?A¦sFf{;؇ᡋ^8t7+sh*p;sodL"요;ߦ;}4qSLuFk>!{!ƪY m^3w=y>?(HX#bT)GGcWءĂm}hjiA(uպWD@6\6^=z8`<4k&* 8l7Vcp[)Z~ WKǹǍ.kbT] m*YhS8$B)Γ‹̱yL] iy"X)uTjtiLN}|olm>խty0p`l$3s HP?pSaC!l#=%&p)f *`㐏P 38Ӗ:D8F؞lȜgn/jXB Ϭ!GJ }F=bәP?_&Ez4!yԪ3aZI< UP싿Z QV˙7q֩ 40h$@ ݾn9DjϺQpxI7 d\7*2S8|ɗ]05_:*P˖W5SQ=D Y .xܐ;tU90ޅ/7UOWlu&8 S`]D? ʫ/ɫ(~&zQ޿m?z`\ewHt͎R\lKVkY{Ҝay1xuBi3h}P̳ DPfTD?ZfwG s:%*P*'kBMJ%mGhHDHg j!1Y|DycOU*gD'YFT"9L}uB.Gg`%G92Q R|ɥ;m'MATX<4:23܈.% mweN ÚYR"_Z~XZoe,hڎoK\ϢA4b)Ri^WD+]xI5dki>s /2! uVqS&ϡ޽{')PZ$ I2B;Ū-7~ΝMDm0ژ龍puSZfiU)!Kwtp(CS)h7' 1y5-4r$.6/pc/w<~bM<؛ݹyM;ހUG|a*Tg]Eu |vxzcexfJ}?Ƨ] ]BH5hʦy=  ~m W4O]TimѬ_^TqN^;O(g|3vemuT_&.Uٛ<&ME&c>Z0?ʨ endstream endobj 1833 0 obj << /Annots 1837 0 R /BleedBox [0 0 612 792] /Contents [1844 0 R 1840 0 R 1841 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9343 1842 0 R >> >> /Type /Page >> endobj 1834 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug904-vivado-implementation.pdf%3Ba%3DLogicOp\ timization) >> /Border [0 0 0] /Contents (link) /M (D:20221102111811-08'00') /Rect [151.8585 542.6539 168.8205 553.6539] /Subtype /Link /Type /Annot >> endobj 1835 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111811-08'00') /Rect [428.492 542.6539 463.758 553.6539] /Subtype /Link /Type /Annot >> endobj 1836 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1837 0 obj [1834 0 R 1835 0 R 1836 0 R 1838 0 R 1839 0 R 1843 0 R] endobj 1838 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (LVDS_PRE_EMPHASIS) /M (D:20221102111814-08'00') /Rect [90 675.7 200.44 686.7] /Subtype /Link /Type /Annot >> endobj 1839 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (PRE_EMPHASIS) /M (D:20221102111814-08'00') /Rect [90 660.5 168.9305 671.5] /Subtype /Link /Type /Annot >> endobj 1840 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1841 0 obj << /Length 18 >> stream q /Iabc9343 Do Q endstream endobj 1842 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9344 3256 0 R /Gabc9345 3269 0 R >> /Font << /Fabc9346 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө&#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ9 endstream endobj 1843 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=195) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1844 0 obj << /Filter /FlateDecode /Length 3648 >> stream x[Kk$Gȳ~jl |X|/"Y]-f`fGdSj@I6ɤ_lՆE)PՒBV>óW%9|QpRjwc>rh7b;;{z$a(zܼHtm|O?t(b 9Z*ZAFocG}AEg4R'z(B\ID \{a3~.BekG2*1l4p}F: :s՞*'MWjdy2sD@~sfk xWXfkcϽ0wB{;"WE*pʳgI3V|B#;^0Lӊl^=z h{ mϧoxkaWPd{$jn*ܩ*N}m\:pbfmaH5&QH9nw`06OS]UE2}b17JX9 j1^U9tT%z{'tʚ YF鴑5B$ ;EU%!qI%̥9ecÛ]ѫ&Ov^hwVuBLCJqPbx ůݓ8yhȾ!N|D<,b7*9\y~Z>]v.Oo2n2 :i^BMIHEx&&*@nbj D&\ڍjvSCx>.\c,aL,S`YTyX&CHH/>x ܔ@mu7[3RNvloJب˙< 4⻳ K)u ,½ :'ִC @/@ c0/dnMxǐ yKYEt}5WҸ:2eR:j_Sܒޭn$ܾ|?>4.2 5ԎCr j8! %]9C'Plh坰S&/AᎻ"^J%8lOhPd<ׂC W}N^!4,"e! ig"慐H;d8@i^(рimrO.a<f Gӎq} tC 5m~"8H}H^2S5Y5$-2:$MڢjMcOƷYWVB猳|jz9L6/l-B }Z֩g (\ V2CWCbqxU!ۉ<ȥ.Y% eh~YA,b.&FF=yZւcZy &:H'b~ j+} V;0t8b_# u4 ViK#puI4*DptŗбE 4AK-ybPd򡇘@|X>jHǫ;_^^W5JF fFoS=:#u]!H܋4)@0~h ^OX<@ -T#B45 KBYp#O2ZCUH7C4eT5K TnqM4k@ ʁە~^M3H!וR}4ʀi__G;FAVsPm$#f? ]h6Iϰ~(F%p1r6e3 EɥC&_eFDvNF賨lq endstream endobj 1845 0 obj << /Annots 1847 0 R /BleedBox [0 0 612 792] /Contents [1853 0 R 1849 0 R 1850 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9362 1851 0 R >> >> /Type /Page >> endobj 1846 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1847 0 obj [1846 0 R 1848 0 R 1852 0 R] endobj 1848 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (CONTROL_SET_REMAP) /M (D:20221102111814-08'00') /Rect [90 549 208.5855 560] /Subtype /Link /Type /Annot >> endobj 1849 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1850 0 obj << /Length 18 >> stream q /Iabc9362 Do Q endstream endobj 1851 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9363 3256 0 R /Gabc9364 3269 0 R >> /Font << /Fabc9365 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w@z endstream endobj 1852 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=196) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1853 0 obj << /Filter /FlateDecode /Length 3258 >> stream xڭZK6WeLwOX`I!ؓwm#/")Q8gR,*R4i;I_u^gb?p H|Z3Q"Mf &藯c1/mă7&uu::5"%k|Ƹ!Wտ?T| >-?WiuimLYGIR hz8.L&ȃ;c${>A@H9\/hXǝ t6ÆztOpb|cώʇ>=.du<.kl#[mXNQwfb PGT0,D_Ԟ}e.[1ΰKǘHf6OyR2q)k9< A ϢY4=ڌCpjf7Lg 8pi!>\юgaC볫YcYF)8Dc }lN2*!ãa># tZ8 h+e"V]hKjNe0ؾB^4_FT0yiSB)hl.BTE7Bmm+SG}\N_s6unlZBV& brjwH~|o;Iw|S,C$\¯I kYA./#)#nh9N/#Sj1*Ծ=KHH!1sLi PA _Xyk%1 I SvTS!ikٚ0"2QkaF]qFWsC]=;%q+W 1,C8c[ X79o󚖚)-V[uD2UI/&52@ۅs*Vj DuQD"!RQZm!aOFv)T8]QS3.)peλ~ABSf#Dzw8jF.tObv,f 3F+CQ 0z;l8喳2öñv s`e yC]+wa=͐b}a,*(Rxؔ7; m Mس\hhF{f"54fKSuvO65?8R@㽤0$b9ʙSO2J0UXaKKiʱ&7y eka}vs(ѫnso5иT5i]ӒV$NqHVmQ9pPξUol-[OeǶsCnuMwN.w~,7W'co_Wd]% "pÆ4p_Dj@1 q֯m^|ۆ^܉`P|,.& ZlԗҷpRe+8B{c^z~·轜zU>{hrVgG:^qGf;^HN)Wo90EUy߭/V:@ jaQMmUoO8azW / F й@WN%~O/~> |%SуF狩JE/m ) >M o ' 1dzeWOu)XmjX-N&2I]62<9&^E:& h;")T؛k )9/[4(8a' fp 9[9g)R%H틿["f˝GȆǾ@]A@[Mv-aveJ0\lmL Y2$]nYa:kL%_K YF9`ZVf:ׁ;2Hhv5yKSY5#!FEwf;n c`E7y ъc5E<g'5V#>wV8eٔ,LR׸b7e~YyG7[|'f$GNڤ. YS~ɍ4zt,% /vLc&3e9W|ːr3bbF1ذ#K*~*k*zاpݥ2Esǹߧ 3}U}[gBQ)@5LձAQW1@f^] `BFc M67 !QV"8,e\q&*9x֕*aTPIMrPю]n=-cℲ㌐ h\q K מ)ذ _ہ>!8uJD|< VTdmhVwY $In(Gric6Cd<:|\**lHΚb˼P*[B5Kʦ؎VGkCZVvlUtZ-\39j ںZ%Idiʮ1eb ~S6Ƙ<zw蛵 (A/V!c-;w&D~ᷟ!ԧR孊+|mw$ mVg  ;(-y>uc+W۹ md/|ovwsY><`w?=o/BO,L_jY-/s0[2I̔1J/g*wޙAicaD-(*h6[^͟d:0w=p(5f|9[DNWl$Hn!%˫ONr1Y]͘ endstream endobj 1854 0 obj << /Annots 1856 0 R /BleedBox [0 0 612 792] /Contents [1863 0 R 1859 0 R 1860 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9381 1861 0 R >> >> /Type /Page >> endobj 1855 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1856 0 obj [1855 0 R 1857 0 R 1858 0 R 1862 0 R] endobj 1857 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (CONTAIN_ROUTING) /M (D:20221102111814-08'00') /Rect [90 549.9 193.818 560.9] /Subtype /Link /Type /Annot >> endobj 1858 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (PBLOCK) /M (D:20221102111814-08'00') /Rect [90 534.6999 132.438 545.6999] /Subtype /Link /Type /Annot >> endobj 1859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1860 0 obj << /Length 18 >> stream q /Iabc9381 Do Q endstream endobj 1861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9382 3256 0 R /Gabc9383 3269 0 R >> /Font << /Fabc9384 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1863 0 obj << /Filter /FlateDecode /Length 3551 >> stream xZKWli@ir=AN cր}WUDIݽ$@v!G꫗H@\IuU1bd'mWUۧ~E[mSAqOƘ_;M.E9^N*>? hO'1^ߦߕ5DKrg;Ntq)e7{Yf3+u-&'^$cblϯA]{㍱Xc#&1iCkWOVơk̭lB˞'{ISiKoO򧉕䛒6k[:xMI1C[+ja̐Ϧ&Z\1;X Z+91q SHt P5&F m3-Oc<]gXak -\ъ"h- I &-m%qslXLǰ5tI|Q;^^!5 a;`/0[x9^X]i;ZFKvoL[2\VBK:Qɶw8p9ll] xtGqu&~N9 9~i^!kV2:}Lœ]0<3L YB# )Nd ln͐$/pr|uXV :OMdb4Y?r>BqU̴}BLxj-F;QTIZ@Zƍ.4 "*gOIxZY-5]ſn9E קH)7򎞉ޅ"`^Rd :f[%$<7}xI-hB.', 0jy^hU){uZKYW,KZcɍR emnAVE5BIޟR>'(EءYC[WZ¥'mlp]qg=,~zL.6764l<bm+B"EϬ`tN̝d,8'{H8sj0! 1#P:qtY=]Dv}Loi"| i ?lLrI7ɗ[Cq+Nbh0lZP ھuαA[v&`,@5\IdDa=W]R_SW'i2k'Ҡ2k *k:!I Ŋ[e֯pqN.gm{.i/`c`PV[>h"?72>mꑍ gP>Տ.XJl> Lj"챨 vUI=/m?.k>IƓ`]8|FX{u02\}aIr䁯R̃?]RvwǥEeeI +?7ȡX7EPѯ݇{YE5w:wjN0蕣uimF&@p[6u5,;Hk Qq:~ "ڕoD;-7 z:nK+31аgjԂNs0/6 H?p>ɌrBPͅ]3w+XLe{HZYVl)Vݷ+J8f`CAwcOfr`ՀrƑޜ3:JFh)Quӟdu1/zke[dS =`i @{ 盛,A0!3%i(qM] A{w\*ƨm1c*>C`h6l 3 {yiqF4`+F,zm㌞9lmc{ڐ^?7c/[Աl^*zZSWz:A]MՒfsuU&z4OҮ}R; a7l+ih>Gɼ;Y(myP mCOa=n)mmcl@ ,8D889[06QA#ypl+,7z 5w5F]gpM>;rS f"|6vWXu+ꐲv>GP4H)DM U-C5wpB_r*wD DJ֍n5<9b]ƃyd ~&s- ;͊Ym ԏAdX QpGf,3xP ª*S*eK1Qksy ЈuPKLWh`Р .x^=9, E6#^ O{ـg~H-s. pKFu𐅳̭aͫ#1#iK҇CHs+z#-9YG~\’f'2+nYR,Y쎀+6c 7$CmsH*]|j ^VAw`Tb_# ut ViK# fI4 ɖ, .Pj 5*Ov֠뚊ASɇ2q@f/xsz?^umӟ\ڭw 6hF?=88<# ot;(ܻr%qqh ^},XzF#?5#0.GZ@#9"Jn À> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9400 1870 0 R >> >> /Type /Page >> endobj 1865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1866 0 obj [1865 0 R 1867 0 R 1871 0 R] endobj 1867 0 obj << /A << /D (unique_116) /S /GoTo >> /Border [0 0 0] /Contents (EXTRACT_RESET) /M (D:20221102111814-08'00') /Rect [90 570.1 175.2775 581.1] /Subtype /Link /Type /Annot >> endobj 1868 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1869 0 obj << /Length 18 >> stream q /Iabc9400 Do Q endstream endobj 1870 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9401 3256 0 R /Gabc9402 3269 0 R >> /Font << /Fabc9403 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6o$H ~+__Xo`@wЂeA}W"8hރ׮wi`|h|x8t CrYHUC.$&o>;` E'/5V-dQ\0Ɯi, DW2'ī+O1%PƵa(Rɐى9_>Y#rU ((P[QSqf'WuG$DS3RtriK[Zߖj}L̇OO4{rCodE#2yk|Z yp.3jU~C25ós~]2ȚC^B301ppĸN!ld)9:c hT.:VE9?^|v+S(RΠ*x P?|W۾{w endstream endobj 1871 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=198) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1872 0 obj << /Filter /FlateDecode /Length 3635 >> stream xڽZI$7WlHYEAef0 > svc e|OO"#PD I-ZH]RgiF/!& >]]TZ;YT A}~G[zebFw|ھh ~ ?,l|:e}:g0YKQJ.ѧo2heNZ!lcDO,z&8L9UN /Q# _}}[2qցD[s{tgwb1ꘑ#癇ȿVOmC<YTfId+(cH~ODZ7Clcwp@*S6xv%O2'[ͽrM#euu_ji\>MG0V;AƟvК0.gy@\1/>/Ir,7WN=pg摩) p_1\'8JKw]N-p#v%5%.c=s?I%Mˍ1$yLSU^!fn΂b8wScԆ8WvFOo _ZrwԆvQʓLEQXa$;L O/UmTEeѩ} ô*QvH=-ȍY+>!6{漣;LsG~rv㤕b%2D5[g؉-%v\ls6hiݷ&g:81'0xL[YR-a吪 kk`S3^\9%;H4!ɝgDH-dF(?sz]7Aݷ3 ń¦n;8{:}}<ؘNvvp1e>LqCEC Q9 1ɍ EhQub%oZ+9]-$؄>1[jtv]+R1ec=&u7[;vRY餂MJ" ?S12>RX" 0%Q | Ŋ1DiMNTgCQSuc6 bD]J@1$^[*z'jϽS4yqbUYw,F$qP:L2CY63ܗ!f*ռjƠUVoS)JQQgLG<P:s;x +w`!,`/[,yvji|,N)ޫw˖mE\cc/Xh -i M[ ^ڠB%&D\XXW ==MfΛ d(7/zCⅮ oBUּvb}<]‘~\zvxX_Yi2_f nɰU/\UĪ [:b;8af-G1:ųMUW-]/lt~uU/ݪ~S]TUҢXU #V]oʀ YZC8BXNRmz}[fX*ܗMl#20SXXQ:퉄fxSTkdrj1[+ܥeǹr~qOMPhq0s Sb@w Gg7S J]t|g%Brc\9\d~'J] N7`541 &DW3|eB n%JF?CLʺDAp&/c&|J_X9J;h$+}v}LhurnrOֈuc,#k,QohPj#Sg}iPAA Rwt&&aZ,d}w(f_C295>yXпvb5&zWQL3F-c^Ȣ``D֓ rO**&n 00QM<{mKkAc274CmRӐJ ]pF$\[zG~GЌ'qӠV;Ckz!0 HKC?b(;"yXn #>ܔW+U^'Fy啼⦼%.>A`~NH54뭻hHL}6GdlW2h43ƅ(mK(lC@DDžtE?”h3D'^{jce( :lB>{$4! Z۽ݸgףxD@!դ~"6i [{Z2 $X4Wqli REEF=YJ2m]rZS4]U<ʑuH. NF)n iOq>A0ď{IE'耰)ZLnX3[B6K¦ Y]\fP8<)}B\oI-ш5PX!-( tT3?8K*;Ncp\;6ll*)c2> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9419 1879 0 R >> >> /Type /Page >> endobj 1874 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1875 0 obj [1874 0 R 1876 0 R 1880 0 R] endobj 1876 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (EXTRACT_ENABLE) /M (D:20221102111814-08'00') /Rect [90 570.1 184.5285 581.1] /Subtype /Link /Type /Annot >> endobj 1877 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1878 0 obj << /Length 18 >> stream q /Iabc9419 Do Q endstream endobj 1879 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9420 3256 0 R /Gabc9421 3269 0 R >> /Font << /Fabc9422 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTi`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM endstream endobj 1880 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=199) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1881 0 obj << /Filter /FlateDecode /Length 3349 >> stream xڵZI6W 0 (搤sNtH.{HYr3]mHz"߾H-teiL@E^W/ש6,JY||Tڽ<0Vn!a?JgT/g< " 'qxqIZ`4<˫C%;\\"ZtJc#K2!&?7Œj[̌%'3A^W^ŕ{~WR!zNeIBlUAE'#V/* ht N*aǚ>T0 FcbUl? ;&-`jœu^+m-N^ cb0{`Iu*K2EelxR\`Ez^0̢L!ⲎȞ".M@:.UY8M4yyX789 *| MwE;U?#8(btZ4AIc"C5`!)hcu ,d!|y:A".2O2MҨF{*%)E L`"60JW,KYbk|!~%oW\D@3mRu!iC$s,eo>iB1lJ )+IoVՏy.Om0!**la, F Mn=gSq?E;bOs8Gp*0"-uaҘ[{rU>Wݓ ;ǦTU YivD[=oWO"}2(R);#<:c*2^Ҡ-\\LЧlwzz&q.bU18cKՅXvͅqu QUkh\m-R' w KFbhebUq!$J 5<׋7Q)Gh{-Iq!OWОk8o"Y.U~E"- 8LiUQ@0_h-hh|c!u,x\lU!t7BGG]MйXx`FZr UJQ烫C6_%qN0{?WXony/1(ynQ{Erh*Ls3Jƍ-եa6뛴EtVw^mdJHH {iX5ɖd6*oNε1w(!ڸEtsٔ;-SIWvxSLͷo_)ZE;x wk=FBW׿cifwȒ6*ZC=N7J@VhT VS!⽉LQy[]A%zfԅ7eB+zHH`-nq'!}S E?+56.uS'{,$uU~aj(l eo[)g6E~a݃X:%YUMMCm6n[-9"mWMŦ,XC9c'ʏ}XdǍbۍjWѼLн۽%6^ɹYwo˼NEئmKy79n[MXZNĖ9>sobN/cPm,/enB/*ZmX;hC0`<יrPF9;`W)փ2̈ʚ7Ǩ|l}&\7>wz/Gi;'iM`  dqnhJPzC9a*@IW`w6X:*M1L7wzrxZpYS`|l_-',;psyӴϼHe'yq16zԂ VF*7.0/GZCkЙ-(I . (KIm;xv\FCWDHEs|[ u5_g K*{Q;`q+ e]xcw ®s.{bK+GY!L!1肸AZ!7 <ȥ,*AJXV rOg*&j-EK<^BUA-H>d-M6ܓ5Ҥ ?"o(E"dȳu2I5&'~MQOſ7͠Qܛ4%Ү7`v_Gʐ[ %gHŸ:$". %!&؂L+ؠ%(Ey@ L1F<A]~k{W+Q,f"Ҟz8?@">IjgF>xF~~^ 4BY|G `PlP4eT0 B5Є(hLv@OP :'Q`a`4GO7 0AʱXG~tTT)k LJ r B^Xʑڕ@m[@N$ ޽$䩠OR:\%_~%rffQ\CK4NfhAGBK\oH,ш5KJB^Q@_"g~PdK{Ñ'V۠s7~& ϖ樂}37s0 =x jHΨaB2 U`QSkk'rpcg&ҹm S_r ҆ZKJT7cЊzXF-mTBSW J:M$Юi6дuCm}2nR,-MS;]FfHۊMD(6߉>]ϧk/֫RqI)f]!M;R08ھYU G #ڶ E$YE{3yhX8M?C.6"~LLBQr>]m"UF mdR̮KnIXDTtr˃9@E3!TY endstream endobj 1882 0 obj << /Annots 1884 0 R /BleedBox [0 0 612 792] /Contents [1890 0 R 1886 0 R 1887 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9438 1888 0 R >> >> /Type /Page >> endobj 1883 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1884 0 obj [1883 0 R 1885 0 R 1889 0 R] endobj 1885 0 obj << /A << /D (unique_118) /S /GoTo >> /Border [0 0 0] /Contents (MAX_FANOUT_MODE) /M (D:20221102111814-08'00') /Rect [90 675.7 203.454 686.7] /Subtype /Link /Type /Annot >> endobj 1886 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1887 0 obj << /Length 18 >> stream q /Iabc9438 Do Q endstream endobj 1888 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9439 3256 0 R /Gabc9440 3269 0 R >> /Font << /Fabc9441 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өl?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1890 0 obj << /Filter /FlateDecode /Length 3277 >> stream xڽZK6W @nX`6"N'@"%J;lǐT"")@\Iw1b d/xm~Li2P3/  ~s65q',+?ezqЏ>h;7S/?"cy%{˄_4`Q_1˲:u Ujw>C"δuJ`oms 7|w;R`E.Mddp [&5oP)ĝR-`&Yٚ;"S' X %M$cybmxXLam{cUgJq 9>jV^ I&Dx ̝Jch2=ӣࣼK mA-4`Μ+3"T9"4z֓7M;U~H.Y ;* A;$:ucu$m}VX(]kd@SFD!f}~(wzWwu+# [9?*ax)MkhFFDƲ+w!Nuf3>mH V^5z}P=G &⷗3uM>/_RB-ڨb43szX³eln%!&czR GCE!3_.ɹXڙbpS "a?frlhrI7DՉ5<#ۙkDFJxy7*3(hDiS6<7g3>dXcYIl3; GfJSqv϶HJm3WXSrj,;ǹ̴dD;l&QXhIdcR3 (ۣd))Di!GSI{xwFg\Sc_Wek-(O;EmaNK.scoofJ~CɎR9֐-M77 63_,uⲳ/}'+v E/@c"Ys%yl &_Ic^1*W8rU}h A3ԞpM=P}D^ppB4hZ޽{S_ڽ?,x{!T/o U?I4=s{@fV}2~[,#e1JZZ!ctGXŸ8a@0K'#kѕ;2Op8w>7Yo{/E3ɱ mW@Ly[@0u":;fjt6Dm5=pE7KU8h}234w̉ȸMLREdX4p.^^. R b.=`]YN_Ҟ6)t RԳ{v#݈k]l.9l0`uG ষsmfEC3/_tQI c_S[E|t}/;ۇl'IHeuВpŶq͛ԴrqٗWI K'^QwOAK y~EGN:9ۢCLSq)mKɬv{i\oDϲ< v>I8B3}B4?C';Ev)N!hU IE>ɞlLm+'MeܽCʓ9:Э{ fʵVL };`Zh5N-4_X` 32#k4Śoo+bB]~* @:SΐV\ô+r lv#QfUEK%plSL4p.OATC4^]A\|2z\sX|EQEkF5ݘ ߔ]fYH0Ϲ8+pV|i(#4yXHHew2X>R?5^Ȫ,|E~WbE;UWS+0s$QR3I#J[TP OzuJr'͠_kU_)ɫnuGbFL;SGsuoC{GZZW>Ϩk F1|6Eׂh~^( ҵ2EQ/)Pm#fu2I S٠}UrJuԄ,\vK+4B*[X"PB9PF |\?͆8EցNE"lO8u J{ZT\l7gleP@;2@ԣ|9HmI;e=e< : ZQAgK)FB9 eT\N005 m AІ5T>OKIwh䚩Ry_#h uu̕x)c|83qQ&ϣY{H`1#ָƅifg߲9qeE/0do$lFS$hlw6klQv2fDCu3Mm'@Jڄ6KX'Z}t$Yƴq׫vulgM6pRߑ>^K/kZqXjW AH)}:+_>'Ȃn>zČw}Wmf -c%t9emL<>c3 bd?*MG`7r)70~VQ}`O15mf endstream endobj 1891 0 obj << /Annots 1894 0 R /BleedBox [0 0 612 792] /Contents [1901 0 R 1897 0 R 1898 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9457 1899 0 R >> >> /Type /Page >> endobj 1892 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/ud/document?url=ug901-vivado-synthesis&ft:locale=en-US) >> /Border [0 0 0] /Contents (UG901) /M (D:20221102111811-08'00') /Rect [92.937 467.8539 128.203 478.8539] /Subtype /Link /Type /Annot >> endobj 1893 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1894 0 obj [1892 0 R 1893 0 R 1895 0 R 1896 0 R 1900 0 R] endobj 1895 0 obj << /A << /D (unique_120) /S /GoTo >> /Border [0 0 0] /Contents (FSM_SAFE_STATE) /M (D:20221102111814-08'00') /Rect [90 624.3 180.1505 635.3] /Subtype /Link /Type /Annot >> endobj 1896 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (FSM_ENCODING) /M (D:20221102111814-08'00') /Rect [266.2255 496.75 352.1245 507.75] /Subtype /Link /Type /Annot >> endobj 1897 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1898 0 obj << /Length 18 >> stream q /Iabc9457 Do Q endstream endobj 1899 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9458 3256 0 R /Gabc9459 3269 0 R >> /Font << /Fabc9460 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶G5#x?$_l˜!E_@9?ɜ F0p|sLѨ\u$sx2W4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1901 0 obj << /Filter /FlateDecode /Length 4696 >> stream x[[8~ׯݺ_ IX؇)؇aji_IpdENR>aIG~Lf7󒊝}:LOSOW73}e5z197}Z2!K|Řm Lobsq_oӜty7u|} bn揖g_e1:1΅z弦'`΀{R}IM^붌㯎o YUGX_'[glʊp2-ʶ9{1va~DZ.fnGȯ.qf X%7߾Z/y.wwSp$zK,Y{KxD3O94*F(ACPo}^i]Q)nUv5:Bd`e#s$e#}raNEm np0.5SF g ai uv IK/c ~i3Qibs]'ChiD$c).(lS?F9a\ݔ.),7bnK6ȷk#0i9FWk!Cj UZyUqUGvʧU̗mHs_Ig*=; y@^B>ZZ*%{Db^.^:SĆ}c0$Ns V5h#鉏T2v9,y7olHlHݶT775F7eX+&Bs/q5__ 5yH2\+f,K/X zf`ICI K;)U'2N*qDov͙g{ϝ#c`v uғs섦Kz֯HݪE=HF l#Eds,Vnj{ nhJ܎.  mL0ᆁ< >Kq @7etq>α ߓy'>JF觽5lj9BUmO[[uX-z.a~QSwӿ&2\%I&[ݫ1-JvHwKh4oӭyfNGf?̾9c` I9\cioimb&lB[pؘ,ɗčgvoɍ삒ѭf"û4T;#SW޾^btkF4vvz-M"UV ocEה?(Tg1 r]/'Cjc 0bAPdbᰐ#V-]dmH)Y)q|Ä8<<0mZLq! 5K.VA1,[|H}-NH@p/B !R|=$Dwcscóu, ![E{gӌ2-ix?PR2 _e ܤ!NtL?=0pn^ZЩ'?kv 54uoR^Kk!TYDfdIe0 5wCJ[E{`T?e:%cťg'b@#c(&Ս!E%gb pM4ı^ AǼ%u LѰEV`pΔHZa{IoQ"q%񭙌ܴXaâ`Q]F uN`(jN(J^H DԩCy2+Y Ri OK Vׯ?s,i\тmK!c7^s'^Iz7jH-+@)aB<ޮNZO%F5Խ^ԀC>#Mkȡ iGrH \W&[}U|kW5"d8GK)wBP)] Hv4RШlϘcՔ.UեAA5JB69?b+1z);H,zuD\CzV[Ǟ_c41RimҰx)څ3;>zcr-[7C=bu0QuukԂ=\ku>;i}f//u9jfAl4kѺXzrg'%*'*midMlڪ~P 6 {O3(Iry#U۵EL~ 󉄩OJ$J#c:gLݭmv3"`>&`_ު`1b9q?a2ܬ_X.Bo_J귖㲵Z Yʺ]cw!"6 >GY>Dhn1X֨&z''bRں[b[cKCfɎƉ9fڲ_I ^jKbƶ4mJ}Hr]-Gޑ-+= O@5ck =m' *5 2ٝ3#{~4cd6EVւ[ZZ}mq`,Kl`u8`xO{HsӜw#@W|["mHH֣s*^(\i /I<dK6B| F!oYYu4!xs]RI(R@˚rXUE,$K/ǴF\Y&:;! Ǘ7lmϒ;#RQm)KRφcXH By+h6Vjց ;Q`*f_C2P6ܞ|0'0 - 0%?nQ`xFVaD[6_fY;^Ւ&n`HhXA[poҶ;Ѱ-JyaQtVQ=a(; `sZcc"}lg?IaA z:SzO)+bը#HΘLe٦7mk jdNT[`4qMqo@VRgaɘW, ~?S\p0R4%69U[ζhѐAGŽSX[r SY"i j7~6̽N'Ut~t_uw7p*eNH>j80]\AԏM4t "]2{j!c :{V; P"']NYߣ%oK[+I?l.l=bxvm7H ZK?{+X6`jUdO>C3}{02 Ir< bmXn#/B7 vlmyΤiTŕy-/S7|Fj#o/63!]ϾqhE_ڗ2|Vuc7OayM?ҹc\id4 @HT,_ \"H_Fn>_ʿԮQoVBY> +54ޯkrN5w&t=e_?"åRP>Jn h6)+ߜƦ4sDTIF?lA2JwF#y07߻>%=Hc𳲚:󿟢 endstream endobj 1902 0 obj << /Annots 1904 0 R /BleedBox [0 0 612 792] /Contents [1910 0 R 1906 0 R 1907 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9476 1908 0 R >> >> /Type /Page >> endobj 1903 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1904 0 obj [1903 0 R 1905 0 R 1909 0 R] endobj 1905 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (FSM_ENCODING) /M (D:20221102111814-08'00') /Rect [90 408.4999 175.899 419.4999] /Subtype /Link /Type /Annot >> endobj 1906 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1907 0 obj << /Length 18 >> stream q /Iabc9476 Do Q endstream endobj 1908 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9477 3256 0 R /Gabc9478 3269 0 R >> /Font << /Fabc9479 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{wgn endstream endobj 1909 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=202) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1910 0 obj << /Filter /FlateDecode /Length 3269 >> stream xZKGׯȳSoӆ=aٓ1#uٿ_D>*{BȰ5U/UOe߁IuUldE;;ez>6TsLΩOq OY1x|P/cЦ0ˁHSN1_ƌu^~Q´(4&c"0wG}NPc'8SZ-6|<D7ժ/zw)+I7>+ T2ʌm,e Pi÷5A >]h%A2a0a|tzH2){b<6R'4\tPa;~x\K~7l-Ǵl SYkSh^Q15mLw}iƕ`}߲ތ|-xl5n T7JȰ={c &&M$|`׀bKxeK$PFx9r_Kzc)%kpn&Լݥٝ&ǐpdJ<8X*"p:$ PլÜKa|E[K.T~]h[Z7>5mkS0C߀iDÅM.e[n<7qz+rQG-sKQt)gM?si:Y1o\R9g4C,)v ctvڬW˫; X2"m8dK8دSwp}E;}j[ 5B Lv-vS-X͛nBeo7E\ }LOl6*7-jcWؙX(L#._ (u"o.t^IJ’%c:>͛'5BhwehAW$*پsgc^j56YtfNrޝcǖ;['%4Ky""nP(ʹtmXXMrG|L7GYNMu<Kٲ[ t|_6gBxs,e#xPlV8"BnӺ M: q ObҒPrI`I՞O]f{řeEje42՗3R RfǮpآuTa|#`Ÿ7p 5 Sʅ!\+H~3v0Z[Fc^wv{E8V 5a'VYr+ioDI s\>-[@Ϙvmmmvǥt IjtZ| ܛ۰>G#M$9By_nATz9 MiU>5},=nHGc3rk` *ٶ;Dޗ@ ouy_EIƾ}$9ٖu>szqut;6wpS8ۺ䛔J;bb烎w)@*Zfifq$oa orl%w-^plض9)Ip+%]igW jN9;yLsZZ[竵h],\6n-q=_OG"/(7fQS\t96?yP?L5(aOt"K7>3(SmC}Rq||EL\ baj"̛/LD,8%"2b.r%Fp:q^^N{R<S4.fÎ֑~T?L*<(<6P#{Ζ3`kuokv)knDc-8DsW>\qB46[3D㪛$nK m6=fY>9|e ,yRMbi%(4Jf$\`YY%%ϣwzi"/M_ 靠bí16Tz2o6f1zaP lY{:[?+>c374ÞmSA%mdk bN#hFSФV;l}z!}ڋzi_?>ؼuf;߽;#eMC ՗;Y}ynx~(LVޖ܏KHM <|6|>&7eygn{?f7DAdD[fn',KCIli3-,T#]%H.l!%z&(7w*Nس}%# endstream endobj 1911 0 obj << /Annots [1912 0 R 1913 0 R 1914 0 R 1915 0 R 1916 0 R 1920 0 R] /BleedBox [0 0 612 792] /Contents [1921 0 R 1917 0 R 1918 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9495 1919 0 R >> >> /Type /Page >> endobj 1912 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug896-vivado-ip.pdf%3Ba%3DxGeneratingOutputPr\ oducts) >> /Border [0 0 0] /Contents (link) /M (D:20221102111811-08'00') /Rect [327.6275 133.8262 344.5895 144.8262] /Subtype /Link /Type /Annot >> endobj 1913 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug896-vivado-ip) >> /Border [0 0 0] /Contents (UG896) /M (D:20221102111811-08'00') /Rect [175.0025 119.5262 210.2685 130.5262] /Subtype /Link /Type /Annot >> endobj 1914 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug994-vivado-ip-subsystems.pdf%3Ba%3DxGenerat\ ingOutputProducts) >> /Border [0 0 0] /Contents (link) /M (D:20221102111811-08'00') /Rect [251.876 119.5262 268.838 130.5262] /Subtype /Link /Type /Annot >> endobj 1915 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug994-vivado-ip-subsystems) >> /Border [0 0 0] /Contents (UG994) /M (D:20221102111811-08'00') /Rect [179.2485 105.2261 214.5145 116.2261] /Subtype /Link /Type /Annot >> endobj 1916 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1917 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1918 0 obj << /Length 18 >> stream q /Iabc9495 Do Q endstream endobj 1919 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9496 3256 0 R /Gabc9497 3269 0 R >> /Font << /Fabc9498 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVaz֦b/mk: s[({L̇h*$RG"e*)sm.\gy4Eۏ}bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwom endstream endobj 1920 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=203) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1921 0 obj << /Filter /FlateDecode /Length 3848 >> stream x[I$ȳB/P4tUu >H4H35234[ĬjwZ Ia٭J> )͚Drn(}zX?εB(kp6X)?,Lc'FhwQ6!6p%YJaVeSUI@0b,ӌeswwsÙq7z:cle Vs̻Fy&=̟ w+{v$#颈Zc 7 Xo1`2@UdފZȰ鑟i$}$UW_twu ͢KY!HHec^[@+c:wFigg'ϾcS}r%kj̞xυɇ.WύoM,MB)m\vT"k)mܨd1q__T7cN#T]:g^*EN|R$01 2F!AY"j,˿O߬?䬞⒜zghyrEV#LN@-g@\ݽO<On)5ψj4VRְT)q Jg6Kڏ`_ -84sX5'k^H C [oNVxӐp6ޞ7]cDhho{sȦyY_>=894=H2# g뀵X'RB"F%OTƐ1nYշT k5U ϴ\qjE4.MDV{nC{myYfa{ؖOwƌ2Z[n7lF}$!Q'p::ʧr (}E[)ZVwo-Qs:eѥVU%j"=9:W3s3 rfFR?<csHJJR+NJ`OӮ1NChSΥVZܰX>[si+BQ0Ŷ՞jerqtEQdҺ8V\4ܖA PE}*?RReֵXV)[y^G71vs(| ~;%%֓TnYXᶥs<7T?uE% sh谱T9rK/o}ya?晡9 ꡧQAQ(R;=!1d@D: #Qb۔;F =؜\mxӨY>w ej(/7P?dսR=UϾWE-1* k9&һf{ћRjjrs]&޹6©sK]\F7&n&GYpc53-mtE|aU;bjfF;(qeh>,w}pD_[|URI߄7nV"v~\_N;m㇔Nel,Bqd!7P`pVj$Aٶ'1qdsřѸ;!26⠩g8eVG!Nf-SLwt9~aWή|lU8 W 7cH1с,w!9`቗Ja\xRބVNXXZ "YR{eW`R_փG1iѠ3,sƧZDZC"R.8 m'wlp0 Dv^\FC"'tHPF[O!""`+<[ϐLXCio \Ւ>dN8O fҮj4˕1 (Cmu0$;o{01yC'2wo}:YtT%*쎠WlFEop,[! $qw>ڔQfۭE[m)văc@WQ`v0#G.d_  cJB 1viP $$G%ܔ DBe M\꓁qDpQ{9r9rD` y; c6Dh.iX'EG0Uij{\Qlص`>C9G}}?Nx,^V  MАƖޏ3_Qz@`H*"]|}.:o"0ܥ8T6W԰#q7l)@)Oz ׂ nSj"mfȑ- EJO4h<'Zrh(Ӽ/&$9uհMc3E7zɆP< ZjY_=J2FE5#.X"{&/y2W";V&("v{ .RvҚJ/q gO5_YȰJ Tti:Q}}ހ3|"#}zV|&FKW[\ ӽ  (]0nhv5p]-c#ds1&rPrv| u^},传_`qk,:saP3l?/R endstream endobj 1922 0 obj << /Annots 1927 0 R /BleedBox [0 0 612 792] /Contents [1933 0 R 1929 0 R 1930 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9514 1931 0 R >> >> /Type /Page >> endobj 1923 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=report_ip_status) >> /Border [0 0 0] /Contents (report_ip_status) /M (D:20221102111811-08'00') /Rect [364.197 404.5539 470.7595 415.5539] /Subtype /Link /Type /Annot >> endobj 1924 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug896-vivado-ip&r\ esourceid=ah386406.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111811-08'00') /Rect [369.928 375.954 386.89 386.954] /Subtype /Link /Type /Annot >> endobj 1925 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug896-vivado-ip) >> /Border [0 0 0] /Contents (UG896) /M (D:20221102111811-08'00') /Rect [207.128 361.654 242.394 372.654] /Subtype /Link /Type /Annot >> endobj 1926 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1927 0 obj [1923 0 R 1924 0 R 1925 0 R 1926 0 R 1928 0 R 1932 0 R] endobj 1928 0 obj << /A << /D (unique_122) /S /GoTo >> /Border [0 0 0] /Contents (SYNTH_CHECKPOINT_MODE) /M (D:20221102111814-08'00') /Rect [238.5825 592.15 388.331 603.15] /Subtype /Link /Type /Annot >> endobj 1929 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1930 0 obj << /Length 18 >> stream q /Iabc9514 Do Q endstream endobj 1931 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9515 3256 0 R /Gabc9516 3269 0 R >> /Font << /Fabc9517 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n0w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NeV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽?9 endstream endobj 1932 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=204) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1933 0 obj << /Filter /FlateDecode /Length 4543 >> stream x\ˋ$ѿ_Q 3wimYѮY xGd/jwRajqv@iO?ƯREI|IJmK§ⷋE)=>cX/o[[A>քas`ԒҪUU&vk0<rQ OWhI6]NIDd9 A L8`B0q5 &bdfaL4e)uh7;&NOjU>q>iAax!k J=)CcWG蝂[M H^o2&X˂hSıO?YNi%J$/G E H4UZVŗ@m4/[: 奅'bm\+miaD'{XNk;*idz4e:GZFk'O:e~ǶxcO t*N,K}Ic*;#NQJ(vqPIJerz3Jt6^GEK5`tExZb J(#bٵIl&$&Л9\"hcIt<ږWԫ6߮bAuEެRt,*[An*θkAe Fu.ظ^Ci,OUXнS9,FgVEHqn+TUCy\F1pK3"` X|hR\5}\VC*]xQ4"JVHyZ Ctר=cuG+o FZmi:Sݪ+.u;y} ߲Pch.٫GoGǦk^T:9ޮ U|ɳeZ+*vH!ڦC]WLtLJL'ܷcy6."y?-R .໔VGT`ZEekq{#Vz!":.'+JJ[_9z9G :[ѓ삥[y/c e@Xɜ{}[ c``MT; {0ih5cXoʙvowkl 68sFg ˜,pctʏpUK:_hVtT;ޤsUUW[ꊹaWzq Wp^ėo+wiE0W¶~DUTj _vtnJ5J*Qh T~hæ-qrd=Ht4*c xsu6Ϯ^֘hI:5Y5j;osqN[[Q`Il<7-'2~JDíDY}8P7qh)H"c;b"D]' ki!!X]Fzdv_~SG5T34qk2_bl@$6$m"U/hّ*Q6ݸU{?tggagE\U{jڪh֥dž1ʾ!{ߚqMŀeUȿS w% lbKآ2i"EH]l2cׄh]oCAsYx5j& K t.*^meKSg)83[G1e;~,wP 3KUʗSsx)I9-zx[Bz,*Y{3]A|PVB!U/9~1ݤS o7d3:ZD \ E~ZvMGx+TĻ uVJ9Px^$J4D|U歲Wa#%R`&aڦokYSs8l}-\%/Zu :[ G9G₩_>9ו.{GF#^*󻫩na-Zje9{bJ%lBY:AX  G\24g |f%.86$k+whP@8|d/r棍=^TÈS$ SK-` PD&^:n߸IW&_tҽk\ӗ5! H&-ڻ"Pٰ&Kr>gkX,pwo!*I5v~Zgj'v_#9z`H*'嶃;FDtڈG0< =m3HB{?Ėf {l-GKmY:Gnf\5Y R ]ʑEW ?O:+H=a53$3Z}aA->I0[4lROij')+B֍Ũ'6GQ B $nъnjK S WFoMj#l9Q,?ӫ+M~Cy!ej0hWbȶWMS2h*YTP#/za,Oxgy=rt^]v7l˴@'hM?y|9Br}y7 Ez^H`V Pu\dTqyA4~o)~VF/Zq폐Q^ %76QDo:U刼B2c~ٌBfrPo+I\?ch a&Q̬&78 endstream endobj 1934 0 obj << /Annots 1937 0 R /BleedBox [0 0 612 792] /Contents [1943 0 R 1939 0 R 1940 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9533 1941 0 R >> >> /Type /Page >> endobj 1935 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111811-08'00') /Rect [92.937 238.8538 128.203 249.8538] /Subtype /Link /Type /Annot >> endobj 1936 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1937 0 obj [1935 0 R 1936 0 R 1938 0 R 1942 0 R] endobj 1938 0 obj << /A << /D (unique_122) /S /GoTo >> /Border [0 0 0] /Contents (SYNTH_CHECKPOINT_MODE) /M (D:20221102111814-08'00') /Rect [90 399.3038 239.7485 410.3038] /Subtype /Link /Type /Annot >> endobj 1939 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1940 0 obj << /Length 18 >> stream q /Iabc9533 Do Q endstream endobj 1941 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9534 3256 0 R /Gabc9535 3269 0 R >> /Font << /Fabc9536 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{Ihy㮞~?va"6> }0P(z4SokWT>.W^.6qS/ Jcȅd2n~A1H[&!#((`aԜiJsO"J*sBDQ@\Sٸs@61 Ej92;0/5"]zϐ&FG'uc*.BlH,>Q]h߯}j]֠gQJxpfE3Rӵ6{ik_KaRGcbt{E'W9F&pՑH5Z yp3eV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽?@| endstream endobj 1942 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=205) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1943 0 obj << /Filter /FlateDecode /Length 4775 >> stream x[ɎF+xn H"A0SM!֥3_d0 +t _mw߳>b6sٻ9iyZϟنzgVOJ{}{.# ]Pϣz@[ZeS a$]OSy7|b'SE)Q8n:K aڷjc2b0'^:`2J#x~>4nqz7?5q.Fܡ֤}S2d(vpJ MJZ9shn |סz25ٯ9'c0%F9& ?BѸfm9m/® L854|\D1B7[CfT JO:99B) 'hYWA6 lZ;|A͙© F@_fƱגV iM-Aů*SNy_gkA t3k4<@pdpirnb6sX&ptjLXP' m_ZɈ [~T4aqM<fT 6|k&B@^=7I ;:a__y!8|.4d-ې跔ӊW1axhZ,%F$)TSZzK1f_lQ|aeg  3 11,=ƈ?:NEim0#`T#^_\V>k$0˧5e8mBv Ċ:ׁ][O_Romk4(\23کԱE 9iPm?-JS:C ]J S;ʗK4[|J/ Sd+fC_m3DV1 e{#t*pK@ )LMv/1S::0M}7:,ַLBsSy!__Ctc5Qe5]Vs+̼ '4AJ!;i}*֚F5O(+j4Pcp\疽f~1m^:HSw f֐yP5-H;,4~}²˜hb^޻M bdoz>4bMĿnRm~iFztg: Ti4Ӈq;9ʎ`N "G[?V=,[EmucQ,oԼ7H^,Pi|fe~ 'wdLͱ/sp:NӮSsqzj"1Uq*"&Mu+ ^ѯ{:#geݎ =V!^ ^TJAgGyӶ1ˇQiݗX͞,`" O~H/aߢ[.l:?mUY8K(V[zdEF6i;*:A1%E+0 [ ٮF<1AÀayqR#/c<\"1\;SurJAF&zŏj`q-X\ q;4N@8ϫN`ڝa5崻  _1{pz ;|Ap5_v;-TeqmZY"#i+8m=4RO'j8"5Wv!SsLω9sӟ:V9ލ&mP4f7fMG`OۙA61ݾ? P[J™ u[[7mûФW=e+3{An5+n l3Wn:r~9AZ,_vflX"a!9oMܲkmc$bnx O[!v!K@ rYj[}R)_RHrBVZJ8OhWh_^OE,iRzڒ]B\ HTRKh2\%0=%&J5c:Fp۱`m",Q[Z)c'DԥХny[jw Yv2;Q<akWo;8f=稜2|z1~,dO^!*BF\D#OdF'7(C֭Բgl7(͠4%VA^lvIұ2$0w^ \HѨj-Ng&X`i$8άA1}2u3K.M]PW)dӟ|OwtsBL^2!UQoaiwF#TcQu(@"rIa5Zy&0Y5JT3p|R 4Sʖwhkpd2)ҥT1M5a i4DvZ ؟+#QSrxK!XKcB8C]TE,lZZJs*t-_#6% |2Hm;KjKR`mVTX"pzfTT>hSl1adb%#xw`Ct$b BY? p j /Q,?0kŌɻp,+oDNd1<|]3ߵ=i҃RNFT,ZM#ؼmADT#c 6 Ulץ١NЗYr~?ԙ! !];RK9m~:n5c]_ ]8w Fv(!:J$p/A/җw"}yoO~b}JkWyVjJQ(r'eu\p,̽ڱASi)(ED IYf%s:cD!qQF?v؂B92b6J#iYJ[SߓX,GM?]K endstream endobj 1944 0 obj << /Annots [1945 0 R 1946 0 R 1947 0 R 1951 0 R] /BleedBox [0 0 612 792] /Contents [1952 0 R 1948 0 R 1949 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9552 1950 0 R >> >> /Type /Page >> endobj 1945 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111811-08'00') /Rect [252.9702 451.6 288.2362 462.6] /Subtype /Link /Type /Annot >> endobj 1946 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111811-08'00') /Rect [507.7632 451.6 543.0292 462.6] /Subtype /Link /Type /Annot >> endobj 1947 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1948 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1949 0 obj << /Length 18 >> stream q /Iabc9552 Do Q endstream endobj 1950 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9553 3256 0 R /Gabc9554 3269 0 R >> /Font << /Fabc9555 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n0UOvջCp<)k.@Br8h7r %(q$b%b2P.X5gbB%97JDjIfZ٢a(!_>Y#rU iraZd(~S-(@F Y}Ѿ? 5Ժ@AxpfE3Rӳ6{ik_KaRGcb>tD'W9F&:)ט2Ve8>϶Fm#x?Ř|"xy /KYrKhf>eV%pDB \0sJ'o.IU"H|B揧V";!%9J(/'v҂bwoF endstream endobj 1951 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=206) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1952 0 obj << /Filter /FlateDecode /Length 4427 >> stream xڥ[K$7WP(a0aviv{/B2=vV*P(YE=b6oKӋwK4?~Oˏ~ze]jT.ZIϳRy «R)( ac]tJPXxZк: 'ah{) b{=,Z> Ce|wA6<,Ɲ  hvI0ǔ QK"J:3[)p$Z'4|N0OJVLW6gZw{Q\#QR;̆DvK"!DYD 'OF m 쏽LDoJ SÿI^D>˱^NJ762M^M;_;1 yzlG/h @{4s'ʭM΢sX+vJP\ mkdAԯ>&;UhZ [>J0>|+Uin2t*k3aAq PƆٰ)6l2)ʠc#7hxe' 9Y` @>ļ*5Mh"\{dc%+87ޣ3R\ mHgFe"~YDtak k#Jےیi1 ՔU&vElut6.k fk`=ր [H^>ذd-pӆW[%g9!Jg! eƴ9 AL,._.;Fㅒho3j+>>' 21M 8ư %̌*LP~<¡ܸ f,NؑOtzKOM^Ӓd DKo ¹/c`N@,<AK`K|W;E/mPw! kC;{9 m*>?Ԙa@f;Mg@ cHM8X8\ҰH*I `5!A%3VALۚ?M!2 pl+gt9!ufMD:8YE~f[T2^ )B޼es=E+57 X}1PYm:3ބ4)8 X+qu_e~mEccW=΃8Ddsvpt&ѩύ8Ȑj˕ku,NdF-1-֐)is:/M\snX `0BVfr5Qd0>w: >5|ipoh@.l0!5,2S*^ѺM m4g7dld; AcN9 v8C-hxvS18pogwe0]e>Z cz\l&k弻lyQe9DB%cHZ&k+oCj˥U.`}*2mN5J,o$ӆF+|ٱ7U2ju:y' ;-b[%6=< S tlnZq]{ӱ\w?5'ۂg۾Q Do@ﱰ c6J&88!=Ofv)bW*d_.𐛧бkRA5Uvnljss8XwQK׎B2Q_wyp}@@Q:;|!x=c8Ez$>~j63l,0|Ę_goqW U|5!hնrS2*(K/Tti%p&$FC~"?$SNoFjHڢ8xZ?ƑM?n-QdԐ83fݡ0NN~p9۩æ_)\PbT3Un'Hqk]M֑շʢS*5٫3\ڜFbBg՜MZͥj PtyDºV]P-*TKˠ%\Z ]o3\;y)KcΖ&1=o2Z2﹭j7AsAtܰC:KCae?Y6Dh@ُm/QZls70g<5AJ6r3ŬesY Jw45]Z`%;22'.h]|N'b/?,U#xθ',,J_J@>>M!Uk=}3m[y'}"sOwnR|5_Z2kb 6Q&xnk]Ț6}Ha R"ߠSћa``gt?H0ܟ42$辫D 1+e6x@4!=v驹G i&t4q~g8t}#"ݠ4NX jaDBr-́#xѮ++H943pr2a# ٱWŞ6$bW7zW$ YV5"K0ϲ6m^f\ :X@!1?q.Ȣ=j*Rޓ @5 tbJoIQjČù Hm< eE-6-›EaXS{B+aMҺy#O%7DhKFiAk3Kd̢L1m2\U6('-<PM߳=$%E")&e*ɢL=y=I'%1uۼ.s1҆R91"pMN%l5Y$הPٚ @Cw􄔇/r7ejMۗ {pzhveJԮCtZY YFJd~'4 4f3 eA=k$F n$R|\Lq&+Wq? Ig髞 endstream endobj 1953 0 obj << /Annots [1954 0 R 1958 0 R] /BleedBox [0 0 612 792] /Contents [1959 0 R 1955 0 R 1956 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9571 1957 0 R >> >> /Type /Page >> endobj 1954 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1955 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1956 0 obj << /Length 18 >> stream q /Iabc9571 Do Q endstream endobj 1957 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9572 3256 0 R /Gabc9573 3269 0 R >> /Font << /Fabc9574 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛM~ endstream endobj 1958 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=207) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1959 0 obj << /Filter /FlateDecode /Length 2746 >> stream xZK W@yE 4 z& !ib&%?%ٖ]vWUt`%(WEJ'X})gU$!~8E^>NS)";'U]6 hl~ -&c?aҩLW=$ejȗ1Ez#.߳2J$YST^كn$A%щDn_*#{M4 g } 3)X +Ltָ+|lꝚi\\!cV#A{vQlhpY1^~o@b_Ul2S3gaz>3X'loB\۲d?t_u$ot[qoF0:G!ѫoPR GT,0]O gp{c\|~&/a²P'X{S f:g;g Ytu|N+]|Rτ"s_E[q6ם.> 7)~z>u]@q's`NoW~W,}wQF߆VVzKyR0P8(r#QSbqBiNnR ) )7A) )71)r&V=(6܈!Fl>(NRѺsSlqZ\DYTT?֫+ULAT7%DP~F)bYZƒ<5#s3 ECq*s'M\Nbt&:pmtWH򂬮UW=gYyq7 +kw&16+2˄}'oQH ?M4\)>rym~ߡ{`,U?w9f\ZpM&oUT_|Xoad.5-uXިanضZ v߯; lϴ, r}P攷kw䡆-/f eLCFO?^#]{*]nD:Ÿzٻs6 a˿诮ȝ2`pY|NJX;밽:z#Uji+U.4Bem@n9xv\FcLV5w)>%{);=S`ԏ2V``W0FV3Ʊ[d}%]MՒzy-<'yQ|=HNn5ճ(s I}~¦G5ltf]c#NE]2asp8G/P} K92 x3kHGڴOngvjrWM])PYvdikzgfTrXf'6ϣNa̜IB"YxJp!<%XQXuܵ3%D6$WmkB[;/LřEɐQښj#r$`M{/~4( v Z,~Yc3Rq&bUaBsRn/044A#,)>ͩ`jOñɋ;Fm$}M{Պ[UkvoW]my'l*I!&;SMݱTN- +/"Q8z.5qFTY 6sWr:ĕdې1Kւ@ĈNG;{R dl[AN2B'˛A`5ҎXxcuַ#?7TV=eIE̮ޖɕa/雷'*! Y# k'5ʇ m/? @]}ʲ 䩤 j!'K ڦhC6w)Z(s-`7 OeJ-e A9gՕh>Iy_!M( Jʈw~hh69g rX}C.r,TPךWN5QZ$H0DiZ躬 `qtdCD>`~]C}*IbRi0&p]s=t•3v,SK_q6t{a rE_Fc/ 7_{m_͎ssĈWZF&ʏc>5CV Mt`y>\|BrEA+(j݈Fa(^7I9wrZy2 h/Iʴ]F[@<UsT936-vV,rPͷ$b&.͵1V[5wz7 endstream endobj 1960 0 obj << /Annots 1963 0 R /BleedBox [0 0 612 792] /Contents [1974 0 R 1970 0 R 1971 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9590 1972 0 R >> >> /Type /Page >> endobj 1961 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111811-08'00') /Rect [248.5382 371.5423 279.5932 381.5423] /Subtype /Link /Type /Annot >> endobj 1962 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1963 0 obj [1961 0 R 1962 0 R 1964 0 R 1965 0 R 1966 0 R 1967 0 R 1968 0 R 1969 0 R 1973 0 R] endobj 1964 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (KEEP_HIERARCHY) /M (D:20221102111814-08'00') /Rect [90 225.3422 183.2415 236.3422] /Subtype /Link /Type /Annot >> endobj 1965 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (RLOC) /M (D:20221102111814-08'00') /Rect [90 210.1422 118.325 221.1422] /Subtype /Link /Type /Annot >> endobj 1966 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (RLOCS) /M (D:20221102111814-08'00') /Rect [90 194.9423 124.2925 205.9422] /Subtype /Link /Type /Annot >> endobj 1967 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (RLOC_ORIGIN) /M (D:20221102111814-08'00') /Rect [90 179.7423 161.665 190.7423] /Subtype /Link /Type /Annot >> endobj 1968 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (RPM) /M (D:20221102111814-08'00') /Rect [90 164.5423 113.7105 175.5423] /Subtype /Link /Type /Annot >> endobj 1969 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (U_SET) /M (D:20221102111814-08'00') /Rect [90 149.3424 121.955 160.3424] /Subtype /Link /Type /Annot >> endobj 1970 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1971 0 obj << /Length 18 >> stream q /Iabc9590 Do Q endstream endobj 1972 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9591 3256 0 R /Gabc9592 3269 0 R >> /Font << /Fabc9593 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n05OvջCp<)k.@Br!sw4_P%c I`2(.X5g$𛈺ʜfY#rU ira-q(~S1)/_I٧j gVĉwlV4C+0=knT-=&CO4{rCodԑH5J\[-<8יq|mau3 l?k!H5h}'d("_@Osu ܟBm.`sJ'o.IU1" ^Ocj[}J'9).Q8Ρ\NZu^lMS endstream endobj 1973 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=208) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1974 0 obj << /Filter /FlateDecode /Length 4092 >> stream x[ˎ+6 0`fz:,_ qlØksN(u6nmI%XoVe2Jo%>3*x AZ~izrmN>}~ƿ>O`cbbO?>hm_\- xGp^ӣ ?O$[R8FgObKQY^pm.%}qKXmH%QaxaVDd YL+Yz "z UȄ!K}ڮ^Lr)|K1e,~]UNafR!/Nh] @ JP=FI'!J4@ƆFޙƜωOxzĘVeE&BaI[j2"ҫ2Nլ5=NXy4ܔM^]ԝXW鮤%wӯ? ;zn ש%xx9cs98>y)wWĴ(#p[T5Jdll`gފd in5z=̇a۴7Sqٖnq6mD'Eds\\c`/W_ߥ&XDžSy]c-Ǘv=U㞚xg`KK4I}(FnsPkP t[M@=Hq *s-ӟ)uKW] +&>y0qrn"̗1yT<˅30H 4 \π[!Q1/$kʐ<5m!}ovփޭ*ؗ $&+?uF]qi_C7SMaڔt(Reg>ߓ$1nnaGԍdHzaR(eN* +2_ ق~[bݮ\Ugܯ)0{zGX=i慆 XיMwfU0[7)bb)64m(K[ +%8Jj }HJj{E[%5 K7TZ"*dS P9H2}%2ZXq^BGw/QRi5&(>\#VM^ Yb>CjGXkSj]>(XGq٢J,gucƣZgCi׽TOIf*X8-lYZqJs,Zt CG!e+D[E!5!!J 9N<$+X=wؠdhPhd2,i}1t4;T-x CLb!*g+87I.􍐎hZ!r+h%jΕR75)l(0.2>+SA-KNSZ4Ź"L#:OyU#XXt.N& 8<#-2Zfl dX*8ex8-o0xqxަo}P@& %6Ja''Y Jx!>U@{`޷s@(_b 7Z4x SF.1Wwov]h݊P"dt\~. C,8T{0>UqY24-.U864Ra(T<:'NiSLAըeg2ܾɃN wʴ}-k 6u`tJ T=`[fZ\I~T\>+qVذ :mp)i"_FQ 1܉DRvC菛;5;i.V H iˀZH a\lj{ʣͺFwVH~z_eEkPWm8MW#X#R%=]͸u;oiuo w۴ſ !?yўdv[W E,SCSkR[Ք%_wגoG] @Y4RپamTeJzV/-ƼfG9/\1ө+[Lv~n 2k e_uX˱Z@nh#bexQ]!3B_ CW6bCsXkZ2L,IhrzzEO~:Ġ~9i)Ԭ|p4"&iwg?v;9Afyu1K-X5US `Iܻ|ve)m0hu!= x!4J۶IbV20FKPtdLdsae~14! Z۽[!-~fFH'`6iRj=/<{wȣٽ[a[9#(oUeDW)gP>&tN~F<ґu4 Ɋ~Os< >Hp|mSѩ&8w瞢\^Pv,M6!w4C~(uMu =lޓ DM]mr'P6&V" Ll!e|X,~r& \>{ԇ{0Mf"IΌ !FFE?¸g XYXFk˚ŕ76s>` dWNm_QWmVh.Bݱ*}*]]w,뱌8׉.cuڋ߳|&1E_jWۤq}ZFjJ"~EDγIQ֎_;wz O7씠$Z!TB)YxZ۝sJ+],C6̾OBBUr[%]$HL&%?hEͱ><Ɗ endstream endobj 1975 0 obj << /Annots [1976 0 R 1980 0 R] /BleedBox [0 0 612 792] /Contents [1981 0 R 1977 0 R 1978 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9609 1979 0 R >> >> /Type /Page >> endobj 1976 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1977 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1978 0 obj << /Length 18 >> stream q /Iabc9609 Do Q endstream endobj 1979 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9610 3256 0 R /Gabc9611 3269 0 R >> /Font << /Fabc9612 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ  endstream endobj 1980 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=209) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1981 0 obj << /Filter /FlateDecode /Length 3820 >> stream x[KWl`Zd ,؝0,9>)Q C2`࿟d79tIuw5Xw)oǗTH;u 24YK19ǃ1;2|wwVXZ^ ۃo-}\߆s.wn~~o??d5:v?I%Wf1κ+GExUmgKѡ,Ʉ"s4Zp_\X{8fȘ ,F x":#kyČf%>p4dP=frO|k$ID7x~n~?l}/^Cƥ[w}{{{w0C%Ci4Rm<0:n_PjGSfv €okS%-2} "|ۉmERޮqEtZPk}eA؄PUYhPIadL05m#}خ`:cMQO3=@_Y6U]uxrtsZ%F6/#1f-͖ `]wP~6GHqI;0>j5KkjlL %"&ĢW:z)TeMH}sęHP}S-Jo❃A IŁo׬s$2uܵյ.crwfy&%m.ᖺD^ZX_dn=~KssdhfbL|huUÖCCܿ}/`̀k}RDB)-&هWՌd7kܦqeZ6NV1`\(`r9,lHɎtjFܒ7Gh~%NJL<·{yޓV/Zȥfײ!_9u%S IfbqRFbUs}x3$Y]@ fv&"Y!8R>wջ묇T2!o D+h?4 A7ZI+A~u=[ø/<Ţ o9`)!,5:&|~'c[wh>Y[Lcϱfi"-mp{m%)Eo)\C'nr ZOȪ-W"xŐWK8T)!FH}z=REN}5$w۫LF ]]E}45!VDvX;CDKv)3*ӶC2c#[=pcQ9rdju@Oc>!A%Rۦ{Q8#Sߏ=;sHyO5(])Z =^S;w? 6džĒmҗ+UtKɁȘwLAtG̹*kYShPeͩB5]SnjINvLd;idmBC5q}S?o6+eʎYh!,!FW5/C˷RW{[icXqjR^(IIn(3AjbV~ ԙ6!TidOn(t4ZhBԅ_kHE]'-tPbu&#Wgb;k=4] T׽n/ 2)ZÚ?mZ2VhOyXԅXl:=IFej2ƙ<>l㆙FPBp+jhNw:puͭmE\ kA` q KqĹI!i-S| Wх\W(6N&񦩞$cҾqCW$D+DJ$]옕bTZQTuk)%[.yQ<4ڴ0e+L!Iy}{@Ax1|!T_뎺pBYY0 IsqS^m:d}tllx]$ ^]9HaFpb\E=Iv6VZV_w d\tSm'U.F2]3ܵ]YN)Ҕ!G]Z )X4BiCnF K;R M{[Ri^3b^\X j3ި ai}pQ,țLȇ=[AAKXR ugωj؜N  }wI氄ȣ![yVS` N y3EuJ^yoL X4%<]b ;|U$KXF9׷Vb6:_ePsÕg8/mɪrBxQq?٬bNb5C)g` 13e&t@=yFfh;qG 6c378Ö]ϒ1< 5e~QôMܰ N3Zg۵ =%b_r# GDU ~9vTZadhs .7C 0k;@z\؇ItXn+W}^}a[׫/W}zz?=S4lь;pPFZ'P+'tZ) ܬA 4`%0+DY}Ǟ` Pt<"ai\5 ukGF c'acbc6ӉI8q S Y Č&+4o*D3(,aWDZB UlˋH&pq ] 'fê=d< y5*@''Wௗ c6 mwgL1yv-!%E)JGL`w4h vg%Bڂ{IAYZaLI<|t x3jf7c0);X r>@T(r&T&{:{7cLPDHQK/ZyA՗R4qCSqmvu/͑fXCLii;t ;ڶuVJӡ}͜׊b[ʹ ֹ.TSXTԥOG#SدKJ > /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9628 1986 0 R >> >> /Type /Page >> endobj 1983 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1984 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1985 0 obj << /Length 18 >> stream q /Iabc9628 Do Q endstream endobj 1986 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9629 3256 0 R /Gabc9630 3269 0 R >> /Font << /Fabc9631 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w& endstream endobj 1987 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=210) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1988 0 obj << /Filter /FlateDecode /Length 2971 >> stream x[I篈@b_i`a9dk /\j ~ j0Zlz<>(Dtuڃݾ];.u>?9){ ?þ# k .P?>c<2X!b¸xx: 3!Ã[@5q.s'PںcG:ʲ˩*ϗ%6JDU!)xIZt0jd ۬׮IXZw Jp$ѸiӴb͊yv)yjOi^gǼ0K/Xhies/9y מZPirU:bUN^im?h*7Un$6/*σVa̝9_( {f1d8氇1 C*8ޏgѠ|(~ #Eh.:jn ןMb#%Rv==k+#T\Dz)^[Foq=<[~j yx)SV)o WqOwGCYVRHLELGaXqq+&: Kceu; Nk}H4?ӿ6YYܐ@3ŰC *zN„}=ҦQԏ2a)?+^e*ސsF'Ǣw^J=d[h?3"̹d4vCUEuÙV3j M?&tL1P91zuK_FK}4j(Nji.+My81emVCklY\aewf1>kIBLh,zϯߖZ EPƩmx[R/ps5b|ʍͱV*fu4 MsH^}*R`8GSN}↿ y{ՅQKKMË$ EHI9ѭF2Ҥw+KR4 =P;FN3FNSFOi O:jRЩKNid$6IFo18,༑`&0Kfej&&y2ε6PFu5JAM4$ }%_g+YPA-A-)5A8H]N ʜs7d62CZP Zo\Vg'{<+7d\v>p5qN4:p%2BaͰKQ+\6"٫2>aK%YjK(B;P̨sp΃HQ隌xD.塱4Yy7ڂeفlVzKE@ RRAkF@ض+s6%Ed8\\uKhEG/kP,hYi+D-]A,ElMcޔp\66`[KZD0< :{3="ΨB2 ԺfP3ٸyg&4v5L] [`Jth[6u|eZg;]-*5~#Cgtef~. hڷl+ؼuŢ;̅A!FlQ]!zw$1|خIPW(#GoC̡jտ}vmo)J$ugEڔm հ8MW2{Ă!Wq^BBUrw]$ɨ|ѕ6Ta%'Sj,N"" g}VUg  endstream endobj 1989 0 obj << /Annots 1995 0 R /BleedBox [0 0 612 792] /Contents [2001 0 R 1997 0 R 1998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9647 1999 0 R >> >> /Type /Page >> endobj 1990 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111811-08'00') /Rect [184.3525 609.45 219.6185 620.45] /Subtype /Link /Type /Annot >> endobj 1991 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111811-08'00') /Rect [439.1455 609.45 474.4115 620.45] /Subtype /Link /Type /Annot >> endobj 1992 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug908-vivado-prog\ ramming-debugging&resourceid=using-vivado-eco-flow-to-replace-existing-debug-probes-at390913.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111811-08'00') /Rect [458.687 309.15 475.649 320.15] /Subtype /Link /Type /Annot >> endobj 1993 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug908-vivado-programming-debugging) >> /Border [0 0 0] /Contents (UG908) /M (D:20221102111811-08'00') /Rect [342.428 294.85 377.694 305.85] /Subtype /Link /Type /Annot >> endobj 1994 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1995 0 obj [1990 0 R 1991 0 R 1992 0 R 1993 0 R 1994 0 R 1996 0 R 2000 0 R] endobj 1996 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (IODELAY_GROUP) /M (D:20221102111814-08'00') /Rect [90 493.9 179.9635 504.9] /Subtype /Link /Type /Annot >> endobj 1997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1998 0 obj << /Length 18 >> stream q /Iabc9647 Do Q endstream endobj 1999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9648 3256 0 R /Gabc9649 3269 0 R >> /Font << /Fabc9650 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Xw/gx(R\T1Br4n~AG/cXLB.FPQEq¨9 }' LDԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ? 5Ժ@A꣔xJ9iK[Zߖj?ɞӡ'<ʡ|~72THL_%ee8>϶GUwf^_ D(o)l1<a%xΰ΁s@9`sJ 7LF|B揧1_>%tw%BJq١0 'C9/ڢ_Ћm߽?V@ endstream endobj 2000 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=211) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2001 0 obj << /Filter /FlateDecode /Length 4873 >> stream xڵ[I%ɑǯ@@P/R00VATRi|xKf5YnsscǪW1ݚ4AJuWj~i aW P]~R8\ A){T9|Ƙ -,ޘݤ/럞v_-%4^fR5n/ 5vR0~L{ByX jj*v^"zdRTX+?ϫ _0/^[`"Ё۔Olq[2 nRRX$~mkJX7nt]Ԋ6 )u)'y*>ƔSL7Hq:qAB"xMHCňy+^ ƹs!>3[3vmQQuv+"&VD2i'}㹔v+a"m{u0 e#${~7\k+3zd 6eA(byxE¤c][Y]֭ܰTntGNOrɈY_ǰh,.Yr}ջO@OgNa b2A# ](hmH ftlGAk+R3J[g&)eS\Nq_ }8} !Gbd<suv9[&6 g9Dwћǃ)]Yb-=g]Ƿf6[0T]X8/wwiz[=nS;mZJGBN^F< Xj08CAjh#y^hz-\kB#T^(2S5E'&/]*>** ]Q,[WGm EU1 ̨`==`[N6޾m_Rŋ> r"|ܨDMk~49KSWa U$*v(<\ Չ]e1&_?=5G!yppο r<`Sܡc:z,+lrr~Qdᑗ']>M<<,L4/bq y0e>ۆ7Ɯ IY y *sգ8cܤD] n7{F`ngLbqeu0^U!kp &~jbB+Yܘ"IݗO5PSlF4v@UD{@L#dI#MD{osQp9tzJ6(hcזeDR4,n#D^X=D|9|J3 C\KYr'.X[圊N&fÃTtjbw97Aǎ'/FaKz f5&BQܔ,Wh"lWqf$v6+cM #DITJz١&zII-bReS- $K"LO ת6}GR~l@(d߁W8R2R{g5e+0_Lew:BUfr>B0[勺\2J9 Fؓ!sOɐ9Vvkba<(p$@ʏǷCr, 0m2wPRK#v!o+],i|Ƕ'#.\ '@;4c䔮B7[;&|[C) 'h:ə@f铐ahA 'rj S/mŎmh$fc-#]w)gLsczg;U[T0J_9,m e 4SF^+aR- R$ qO2Y٭mJ8zHÙ7;{)}jƒ)R=_Vl+~P|';K~v2*}9L_jlF*j_hl%fTm.+@ԢJqS=M ]wSV[y/e&U{}KL8h ̞.U9}6(Q97EȐ3 Xmutpl%_gNux ӔD45Z귕҇ij%zrd\euqxyS6n/yiDV-MUj22Ճ2q[ `88뷩rIuU$j0SlI# :9Fc o /״ б7&zW$ ^bl^ٍ.42N#dхz€Qs][)*hW$П9مp[M{>Jmz1qd;g:bQVܜY>7QG2dV3R==iѓR7#he*˨S͑-6xQ҆{l*Av^.%k˶$9>u¡%/Sn;dO_n/Վ7~gϟΝ7Hg9S)wn^a^^?"H_^dپ'b}J-_/ ?eB/8 r!/]K NX#2_JI-0MMڬ cD!qQFre@sy[nyu]$;> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9666 2006 0 R >> >> /Type /Page >> endobj 2003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2004 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2005 0 obj << /Length 18 >> stream q /Iabc9666 Do Q endstream endobj 2006 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9667 3256 0 R /Gabc9668 3269 0 R >> /Font << /Fabc9669 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫`>)W^.Pr_Jcȅd2n~A1H[FA5P\0iDD+%̕'I"fZĀ0dD/P|}{Ԭ9*{0J*q(~S1jqbG /_I٧j gVĉwlVRVaz֦b/mk: s[({L̇h*Ȩ%#5fe8>϶F_5ŐLD}%l)l,1aSi ܟBd#UO\05cD9 ?^Wb>!%$]@QXy Ph'-:A/}m endstream endobj 2007 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=212) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2008 0 obj << /Filter /FlateDecode /Length 3075 >> stream xZˎܸ+8|?F]V,xA;KRTUj;dat$Q|{(?I#5tIwe/32x i8=˟ צA+4ZG>yim?iQZhf#^Q8*;t:DZ2^{<_0W&fyN% mQ>tG'?DCp F"tu[h)2\ mVRJR2aZ$W (Lv-h cx2y}ֆA8yB}0ol EWZs w>*K)L/ʯXĴ(5U9vAۄiTq@ͮJ;C'\*%MgdPhPOY`U+S3A zFsS[_R#2x^tۦ_58yG›+V@0si[KfrGT}qq*b;">WO]$R-ڧV޹6'Híf[K;[Z@^91 E B#[S6k:7<4H&Úo܈/-Tnno:D`Sm*6 #^MѪ\ +f>ڙK=؏b,XLFûw՞yvM^tOڊ-|eL {Eet%:%:mtm"44%Yײ͏fDկt͆Rp]@^^v*UXjWRde%# t/AFEp^UJL̢tl6,3yIʅ,cɎuF'~+{t!bD3fbD-G7Elbv2VN}ܪ>U#]]dCi,uQlK蕹>k̭Zsnƴa'\M^w' {\OM+GYМeOa3Oⓤp ]OouC_Y}>DmL+?KIZg۔vyN"X,BG =:5!F,мr1i1 U|\s$wBi}Gu:o(@m]'V??uOc PL355lS_V lF/4s{ Ԏ$j]$d,u 4 Oܤꫤk66 K^BTƦs:7q;ەs85Ioƍ^R{ r dnO Kϝ/svZYG\ۯvg5SlO-}͡k,Z(c+еYGH\a(d*v7Whx6B㕹ioC¼Wq<<-+Tyxc"Z%-T4mbB77(c ?_2]QLo@1}7Oo@Po@1/Euݗ}^4{[e">zPO=Q$8n~/فhXYWο!3W9ϭ|^kOΜ??љ?2mLR&[2ɛʗTƽGAy6.yl/_]n]lL|i]Tw/ô}FehߺQevc,9Jbsd&-)^mz`QB59؏(zHa8LCk\t9SɅ$O^mp=qS\htѴkti{jƠO`P&/P5m(v"1)RZ J*,|ȱPL M"}~O Xzq NMx( % hn18J_ЏNM@@UJ ru|X_<͈L*DM}O*vJ}-x >nfWTqF܃7:ywX\rC)iԲ}@;kSl6%_<8paMk9a,Q\`}H[p)kxi"&r4QM{0" rO*6hk)9[`-l;)[#v`Tz!.?έ=Ѹxrnzw.+Q:ic%sךgO#~'} LܯKj T϶SYR:n6jh_:=6FY΃Uwa[tfx\~7A?7& ;fմ"|W때v%{(f|)!T%M|) #~0W97W+=۵_P endstream endobj 2009 0 obj << /Annots [2010 0 R 2014 0 R] /BleedBox [0 0 612 792] /Contents [2015 0 R 2011 0 R 2012 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9685 2013 0 R >> >> /Type /Page >> endobj 2010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2011 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2012 0 obj << /Length 18 >> stream q /Iabc9685 Do Q endstream endobj 2013 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9686 3256 0 R /Gabc9687 3269 0 R >> /Font << /Fabc9688 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2015 0 obj << /Filter /FlateDecode /Length 3038 >> stream x[KܸW@|?Aӭrd=y5%?_IR?b;Z*z~ŇV|Z('f#w"i")pxN^h-?]:jʋO_Jq/M8)=F8-J/3U)B.:p n-y,k .ο_~+ L /~?Ј K=R% ;G(L\h?hH|u ñSxҟcb^46q}52;zn|OU^Ͳ>.ڏ.[vV#'vhqS a{Ղ19Ͽ>(ٓKh9X:sP<$+q5!ӧE˯5+]}㾚wÔwU+Jt桕fw]u,q!_SOGڮQځENᖀ!ՙ4* :\@s2dvr;le+k*jwլV+Vf6+NIʓJ;G<)8CD ^sdט& *CTÜR鱆С7}be([A_l߄m21ʌ؋:$ة:%"Ȣm*AEwՁ{ w~,Us8u_P=>-+IoU1j[%byn8^qHI*KW0 TXtEM[ww&;v_{snȢtM5]j8{x}+2{+.mMdqΫ|d-_>s>_oi?/xkyJ57-vd,2q\xӎ0zOo4i=ڎ94NZ57EXy 4j‹o]]ПjMo|ffywRG/m |k\ױ@_GQ?ԏo^껣%YLY΄X6Uܴ@o AlI!(\HSFV%䬛"bWY{jd`flrEHFNzݡ4صYɖ%C%uR=`2+Ic<1kÍs_+&g1V+Lm$gO1-hI kYkma/ LG%f$LeQ 25+i[N舆CĎ_=9&<#k9IԹ7Na[G9tT3܅>9CUg3$ |PHZ?÷.C[-?8KH;+@uE!:|6 ImAS9eʾ4KCjJ)72k@aLqQ ^:@ -}H@> MJ]0() ,`༑ʻL, w2*$Iگ^&@EfMă B#"VҴ[j! A ~L>d" ZKldwTV!6yK'Jys,ܷ^٥8L~w23!ļzemb ?6.{wd:}mwJ[_,ss5BFڜj&blQu8=:Ї pjtk jJ!u'{9@ʴyT6t9wX[]4?N çdAwLwlm}?ʕ4'93.rmfKk&FlRKN9T<egq5N endstream endobj 2016 0 obj << /Annots 2018 0 R /BleedBox [0 0 612 792] /Contents [2024 0 R 2020 0 R 2021 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9704 2022 0 R >> >> /Type /Page >> endobj 2017 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2018 0 obj [2017 0 R 2019 0 R 2023 0 R] endobj 2019 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (LUTNM) /M (D:20221102111814-08'00') /Rect [90 604.1 128.5605 615.1] /Subtype /Link /Type /Annot >> endobj 2020 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2021 0 obj << /Length 18 >> stream q /Iabc9704 Do Q endstream endobj 2022 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9705 3256 0 R /Gabc9706 3269 0 R >> /Font << /Fabc9707 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=x|1$IjQ<<%Cz/ ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM@~ endstream endobj 2023 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=214) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2024 0 obj << /Filter /FlateDecode /Length 3500 >> stream xZK79:|?#({Hb `O:A0_nvK` *ŪdSw%TfT*tt|=;eza5z19}|z}iO:vtϘ3Ђ'>N~-6ջ_GuH:? ?%ع)o=?NPV^dcUyVltyT +,\X" 焦=f@Sͧ_߄Il>D &?l(Şlq!|dOw߹J;ΏS86 xh".jի p ]ƝnןQ"9ǒ񳞱.M{SQ?|}^l<\x*de0rA?*P8b: Y19ύ9>S*r헗zىxB;E- *niUhmLumߞcS &6ZzTR^{ϺbW=b\:Mf]S3 jW_5I0EҾ'k *UCIT.ʏ]M캂O+F{_ie1v%n-&Zq`3qg[oq0hdG+r]d!1s5VuGX<jWp^moª∖?}&(w \|Ob*:nSfb ,2zz+[vqeu `kCl5#{+tKrg!Mӝϥ54[~^&*it.c~;nl:A\EW1eL-jv ~$NikpC_ЅTUcL晚̐NY嚖b TNjY0L*oY%nt vx d̈́| > ׄW(a#ƭpůH읥9?z1w =½ Y8~[.qHeA;VnG PNָ-P%m~]臡X[x;[r4;w0nhZLc>S/ch"b&&o( cA 626ͮI/ܢ.--$VkfIuv&i7}Y4)>,͟HD ı6ۡkˊo:vիw{Qo9eY765ն`)Ž^wOuW5E彫?ױ^D7 [ҰЗPva7)CA0;b ~sr[;Vw ' 71QWdҝG2 `Z/;LS|+yףr%$O7Brփg)EVXc 0Hw ‚2{ B΂Xyv &n(];l6;`M5|!%6{6l]Luo~3]u^;*+ڻH衴WN凛Rwb?u;G}<Ȧi,ޣH,Gr./~{3Z9]g-J @RYL i.%lNGSZc<ɘG %^?FQR}hթp輙0Gkti00{G\?&/pN",~UZS M,V汹G ($5fdD*,Qְ;^NXo 7}kN_wbB@6w~I aKE큼BXSWŗF,QZCV22h4ls 4J*mSZ#BQcщ^W,ytڄ|vOh 0\2>t4NơTg߷Ud_QbD6Ϲ?Y!`0l5}m endstream endobj 2025 0 obj << /Annots 2027 0 R /BleedBox [0 0 612 792] /Contents [2034 0 R 2030 0 R 2031 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9723 2032 0 R >> >> /Type /Page >> endobj 2026 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2027 0 obj [2026 0 R 2028 0 R 2029 0 R 2033 0 R] endobj 2028 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (IOSTANDARD) /M (D:20221102111814-08'00') /Rect [90 239.2114 160.279 250.2114] /Subtype /Link /Type /Annot >> endobj 2029 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (ODT) /M (D:20221102111814-08'00') /Rect [294.15 110.8114 313.775 120.8114] /Subtype /Link /Type /Annot >> endobj 2030 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2031 0 obj << /Length 18 >> stream q /Iabc9723 Do Q endstream endobj 2032 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9724 3256 0 R /Gabc9725 3269 0 R >> /Font << /Fabc9726 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫`;w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQޙG>x|1$ɾ d_ EA2T>eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽?F endstream endobj 2033 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=215) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2034 0 obj << /Filter /FlateDecode /Length 3704 >> stream xڽZIWlt 0`zrP$ #''aH}֨#[TϿzV[87&Io?Mw/~z~~ժZwJY\(e z|Tʿz~J[ ԑ20٨J0O BMjw. sS9?J}ֶcWLy72Nub9SmWgdUu\+ Vr2yVEM]D5}M"̈́o"+D56\:2K0۾ ũHj/Nq/ӥ$m*Jei)>`sn4oASb|VhPD4 Wf'MͦYMѫ71AZFcX g1ig\ʔغrl1R}E{OEg:h.j}L>Mu[AܰlBHk+_gf5o?yv>Ʉf=n~S`OCVnv x^?bQA̅v1Jd]QZT1AQ{Z(cc1zvʜ\ XH8 ۜP9<;4rVsFr+>݆AL`  Ai"Q% Qx3'?-4};< hݖДb{D'K oLOZ]#䏉$o!OelTjJfV[gm+HcBP׍^'I;4uBUL#KAj2M8RAnoS`|TߖФfjK`"F' 4 {穜C~xC&[Q4YjD`|Nkޫ@eҔpʹVVͷr`! 㼼{UC iK})}b]dL/!Hze5ۑݓ$vbX+:a t8Bq9*zg.I,Mެ&!*t;Ȫ{jP BN;% X{K!ġXE܏bƖ8BŒ] 0"XBk Tc```` F%ӹĝ[u+Sveü !vCFWtZlW&OKO.$(הG ;ϻ{LWaw )lj 9U؆6hfq-]5/mX)N3{"J̫X VKN7mv2Mתl5ˣ79zeBqK bkּ6+ɫ捊CrlJf}v p)8H%]CR [}6sk Wآ:iW"6ݞ { > SDlM2髶LR c^qB>u}$=Su(#B7dc{יo9ySmI7:w}UC[O@|HZK3USs՟ jvk9R5]:[}>5x|3Xw]|'L+DO{ O|BށcNSZ4m4~Ba /Ŭ:sJj7$T!yE89hBڴA7d!ӟcq&glZ[9:(6:MfIk B]Bi{_tvA[,ĎXk0D !28 B/nHǭ-ѯ69#̢dho7N{td7Z/' >JgHe|J&<㯨 (M cقhs)5WD7xJs8xg B5ah Ӽ]g7IiODGh]qɸƒkFk;if 0PI ZtE"P)q"uDɲޕ7@@m9) ) =XLa#5.82\?t 6&._[l,PSKNx]I3 ֱZݨe: , Y33͛DFf$%u5(a7Pd%^'Kw/'EoxU_{m^\$5MBU|[iew+R`;/`k. mX\xWsp:k S/ o1B̡V6?j/n'w,!]ײmrX;ɏX0}y!3Y//훟XM3SB=#4ߥQ&OéN&j= sVՂȌt#z EڔޟYڬ~l:FremˀvD⫌FhR]ܚ&"n}Ug endstream endobj 2035 0 obj << /Annots 2038 0 R /BleedBox [0 0 612 792] /Contents [2044 0 R 2040 0 R 2041 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9742 2042 0 R >> >> /Type /Page >> endobj 2036 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111811-08'00') /Rect [469.5495 602.55 504.8155 613.55] /Subtype /Link /Type /Annot >> endobj 2037 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2038 0 obj [2036 0 R 2037 0 R 2039 0 R 2043 0 R] endobj 2039 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (IOSTANDARD) /M (D:20221102111814-08'00') /Rect [343.0055 684.05 413.2845 695.05] /Subtype /Link /Type /Annot >> endobj 2040 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2041 0 obj << /Length 18 >> stream q /Iabc9742 Do Q endstream endobj 2042 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9743 3256 0 R /Gabc9744 3269 0 R >> /Font << /Fabc9745 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{wM endstream endobj 2043 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=216) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2044 0 obj << /Filter /FlateDecode /Length 3664 >> stream xZIܸW<@4+@&i ''`撿qRc7ʒ(-(_ >DghZ8+f^ŇZOKJ:g'/)m/JUJpdZ9) 1Gy1Ys/\]Ex*s9ܵI?:]Xw)<kLg3?Qx?S\tOJce ZIh*H_U `Uy@iGf2??LA[i뿲鶪?T3e¤ < M]XcuUqyIOMŜY1Y.7Cۙ ˍ qYm^uPOɄ b.Kf@dh-ALS$:c(xXV'p\:/\EЀ VMԒ˺9%O|`"I"q M&ezr}ܓwR GW^L CuU5٢qe+n뿂\e@.-03۸Dv ^5<= y2"&\(f)Ǣ uxVͥZH ::YOmְ:YaK.:1 :Cn4_ SiF=@K[_M0ÎPX.MtNYKZ>kCX\~"nmat֢wJRޣTM >ȊJ@23$9jL͸ \^29..rꕺqEurjxp}U!5z%FZwE]kB ~kL{ot6qk{v:(Cfk1]\4e5ѧpl3LBmcYxN7ՒXY))(~BSz7:q >\uS1GRԧOX愧7/ 0,LZݯA'SX؞?txϞa nʁh5a'{v[9(D XK zVnѺ먻p5iyQqV*ʜ@owwY+!J[F[,dlA܎s'[b=w Y%I1<2ҜVKP.=b-2F&(AMSU ƪU N/RjA(nP5m.iobտ۵h3u=o%D]duV@"W(IxעkvjMm?f=^\M8C5x wYcڊ WJkv0]_^_n惷a=r0^<, H>DA^ocyiLM4eEw9._0c9*>:;*"#Ā嚟o<oxV9Ctk˝a*skUAqm"F dNq/4YNe꩜gk-U$?"p)PD_-N,8r/qz;ؽ\9WM8|1JEZ2e|YyN:VL7x[W18Uk)Ҡ;_ڠUhW\f4#d0}F[nJ!tN ;gc&}=#>))tag֮{ĥUBUGٳ_JÀ:L۾tWDZǍs18OK]X 6R!{_DLuƯ7{el|c7;b0r炌0X,71"^vWϰs>9'sq~ l5zk߉j]{!Hh='}4kmev+M-]n7PW[7~$[F߳|iۑ@y@8Otov-_ YļaCa}pʖh?ˏ*i\ z-?  7CCpzq6#,<&cLp$]@Xy${bb i~I @{1o7ԈxrO.a< yd ~M+;a*h Y'2̃! P-]gJ0qd=Y{^6هhs&VNeIJT!‡ YpO7*Xs<+0#fY>9lE ,Y ;I`i%Q2+Q`VGa^Kk~~᭿gvOt=[#a LT<{}6f$!li=NgsOvV2!# rgt;NgP5DPI21DO/=_46ڈ{hPX6A f!GX,-RsD*4hP(1XM+`аb)uZ'q =$7 }3>ݕWȻ|bO7.w@O ~N{v,HEr){$:"L>x&U'J#jڎ7m-=$v c4Lbi3E#z]"x'х~ g&DA8;YN[.GOȗljӐ?֖{.X@4ЖmÏ#* UddxYU¡L3+ KQ4;rdwF8SHöx R2[!<6*'m.ğ jYv m`NQD^>al,,<̟J~dgΖ2iL-ӱ't-%=F&4+ D)]y=6!9p^&6FAvy7wsfZ%E;fъ*K1 `nm%H*&S}gjխ"Eћ&ڹm:=ieW?=3)3}23)_ȿPT-*)2!?4I~R݀;kTiZM\֠VMLY+sh28ΐ flO?fB1ryoJ\QЅ7TF+c"*b^,fʎ5 endstream endobj 2045 0 obj << /Annots 2049 0 R /BleedBox [0 0 612 792] /Contents [2057 0 R 2053 0 R 2054 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9761 2055 0 R >> >> /Type /Page >> endobj 2046 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug904-vivado-implementation.pdf%3Ba%3DxIncrem\ entalCompile) >> /Border [0 0 0] /Contents (link) /M (D:20221102111811-08'00') /Rect [526.513 213.7614 543.475 224.7614] /Subtype /Link /Type /Annot >> endobj 2047 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111811-08'00') /Rect [335.2615 199.4615 370.5275 210.4615] /Subtype /Link /Type /Annot >> endobj 2048 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2049 0 obj [2046 0 R 2047 0 R 2048 0 R 2050 0 R 2051 0 R 2052 0 R 2056 0 R] endobj 2050 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (DCI_CASCADE) /M (D:20221102111814-08'00') /Rect [90 346.5114 164.118 357.5114] /Subtype /Link /Type /Annot >> endobj 2051 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (DIFF_TERM) /M (D:20221102111814-08'00') /Rect [90 331.3114 148.8885 342.3114] /Subtype /Link /Type /Annot >> endobj 2052 0 obj << /A << /D (unique_64) /S /GoTo >> /Border [0 0 0] /Contents (AUTO_INCREMENTAL_CHECKPOINT) /M (D:20221102111814-08'00') /Rect [104.1732 86.673 290.5627 97.673] /Subtype /Link /Type /Annot >> endobj 2053 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2054 0 obj << /Length 18 >> stream q /Iabc9761 Do Q endstream endobj 2055 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9762 3256 0 R /Gabc9763 3269 0 R >> /Font << /Fabc9764 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=سFw!g30AEo5o6},B>UQ? 5\fP=(E'\YZ)Y[{i0T>Ѭɻ#,JɭURj.\gy4l?5ŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JԡP/vb z7}T endstream endobj 2056 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=217) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2057 0 obj << /Filter /FlateDecode /Length 4638 >> stream x[I%7r/ AV>>FjiUzd$ c'{jW Z,AF~uK\]fٮlq_5F<~Ɣ/x}2&= 9sK\HOk{~h}_coj/Jw~uaki+.岆_/ZҥX,1brz@d |XyꞞ%/?O PG{|M꣎kL*6Yb5Ƃ1TuJ:.R*0ifdT|ЙddR)Z.ذ?y|?/ u%nBչE޼7퓜V.m}>L25p^^}-m6q s|ڤtp") f3v}Wދ͛-"D>Wi|+EO)器ܔWN]I"W伴sp4r<z9i wQpֵ\`LH6 ŒEqM%ד6̩\|Bˢ2K&4ZwNcfƍ)B2lsR}`k|)N\`HIME ,K*6Fnbtb"gS^MH9I' @6WrMG\)’f6bDM_3k1jov5pTZhM6D;}] nwOLTaR !\3ahusE}ܶH[;s-ٔf(n>#Cm=OpPhyީ"Oպ\,0pz5䶿4`qʀ <=avo;ԓɢ7]Z^aÍv>[oZ=(otqRtpvZ9~>*ai3M"|#k=240P(li*cLPʐj"Zƚ"4gj/:VG*:ʩn%h ^_bEPӂ+QE9rxP-OemnIۚL<vfL]sww0JrQu"B$^ýeRqĹ&k4YrcoO¼' tt.=>!Ex!>$%Dv Li,!07gJ&|]S$;T)HcsAcB@@"i1lSg̉O_֟BlBx M݂Ix:ϺSuA;],vP@cUI(UL#ak!\يbIVa*`ajDʾjU&`%, t-N \fdjXr=5I҆TR"B辧o0ZSo:/zvxw}'?bԐ61+ˉO`y^--R)H4Βe/~/ +\N ] !WLp?Zean'6-9p~x'C[?H朱X%Ts2[wˍ}Z^SN/JOZN[VW{LBsK crHy}Ppsri[* s bs7wT.a{y[$Qӂc;\;=+*1y:͜Qһ{لcNs憐,i A90d1z%G$<:QSҏ Oәy?FjG"ȋ! L]@O"=UVq9[x+OGHzX@nu_)8Ym a{*i7"XlJ XX;J \r4JԼ{f~l]Gpn`hgÂֹdQ0ݬ^'U[~~wWrM~uBZs+{d.yܳh'*g(тO*w,nVe9T$j`Ai[u8TXj^N7*2_8l^N$c|]7)Nc4* Q;Wd"-r GykZT[*8#GBfzkE:{L[2Z`BF'Usg6#=K)ų]l:̋|m{IBt7dHX$ aXi7$*a<;;0w}e,`V>vqh;Uvr,`=ss VX k!ó9l>\*i>[;&&ν^ڬ!܀@X9^Sعy 0`y|[1975o@3X¹?-Ԭ:$A Yb*K;XzyéqAq9 Z%/ #x}K[r/'rC ;=]T)(#v<zFqIe5F/z"리Hji'^f9D[ 5N`g 7J`IWy\+ F3Yo/o  lG/sEaR ~?y'IklZ9ݖML0k Q0J d&@ԫZzc]zk\zk©Tx#yY=Ӭ<^K:PH?!\;  F=Ӓ`cn a 5/hIFoֺꠝ+KϘ&XA纶[m1`fM/ZH'?cRjYѮS 5ϖrjU- 3JPEGt-B٪?󃲼nLޝX72Ɇ ''fxY=IKd9ǡ''XX3M+H"$"Q8H;*j r%OcK/a6|gm5v(c"W}sϛخUa}tA;܍_s"-G1^ D?X)?ҟt ͚nE89h~Hy17+:fn&t\n&gb22K戂̄,?Bn;Kb4Zo^,蝠Iԙ endstream endobj 2058 0 obj << /Annots [2059 0 R 2063 0 R] /BleedBox [0 0 612 792] /Contents [2064 0 R 2060 0 R 2061 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9780 2062 0 R >> >> /Type /Page >> endobj 2059 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2060 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2061 0 obj << /Length 18 >> stream q /Iabc9780 Do Q endstream endobj 2062 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9781 3256 0 R /Gabc9782 3269 0 R >> /Font << /Fabc9783 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2064 0 obj << /Filter /FlateDecode /Length 4937 >> stream x\Ko$WyJ->{x0Pa~_$tðݖ2 FM,fwG*vytY_!H˿,z1˗_.Z%?SNk>i|k|:{e52/3f|jd+&bw~) Q|lO*yߩp-_~WsSg_EB])+mrkv@^&q 1A: ZC(zXQ&M3,*4nh7GF}7ySԛvmk+,CTnWWu rk `DL4pؠtwt!Zwf=7v\EPA@8=B;K;=fU1y撛ov[)6B;AfN?6as ]ˢYnÀ.VoFB~w}_K 1gq/'9K%/"=x=xyj>c S8ڣ`eq2-j-ըdnސO+xlo/(%ҠNp^ ihebZ}s3,oL\kᰩLq-f)eF*"{W@lg&$P2+"B%f;T2@`+F۠N%r0C!dL)p2l/Kǣ^HL/O85]AM= ,%ӣa=l& yCb KP}q]E5;X 1eߞɻn TJs{´9;-v|DѷP}T$,18Jod6s[[$FaNҭ00@=>}-:pmw-j}~}UgD@ɸ\e^p^*5~Pɞ~KX0hmfӾ4$ݢerm:ɽ:D3}{Jyo{k2Q0Z#U #T8I汢75e.L3%-1*m]˄ Y[R,o~݅#idtңxOB˟Dmݺz}Lpj~٢UsOjqkg]3vԑ9>swbnj.cnb7ugH>(>.ѧ-ALJbyWdo)C;姚ɤ,L(9$Cg_˻>>Su"޽mGD+N6{~g-/;.n Om}/,q5|'=5pgoʮ'UOd:$"RqxTlGL!ɐ2ciVP* ,': /aEʣ&9C l 8rCe8푃'=+.9s0U~F'e6s5͎%A>IuBy!V(bؐŐT}~Q_T\4-UKUH"$ZXfGQG #mJ)Z{yЩYa@`N%uOFn " hiU!ZGT#j\I~q>']ķC?4abL=wxń(Oi 0c !tr*]SnnN$.>t ѹ@ig=G%jmL9}n rdV/׷,2AX2a1Uۂ)\Paf!aIJdڭ7;ugx"!HډIN(ҩ Ej =cqΏgrٽ 8MTX]볕1U+#_Mş)Ĺ?R߶ک 0-k7j1>fT ⏻l-^ Vwe!}Ӷ =1ϢUlF"՚K;XF96gaR(:Pp5 GXV⫑k/rKƓ*wuu`&.7Kjy ly7>fFRXK;CQXyZh'qG 6c2eõw ?S|1fKIKf4{#U`Wt \W}+%~7;wx֨;pPEG'kաH6}qc( s(3 զmaYy^m;i(: ,xvk[aKa 9ʮS'zH':5iRjS,l[SRIX' ^zǑ_*D3G7'KW&TI;T!LIG:2@@;KSnVdW< :z$Ttj=Vn)zq˷A %Dlo8~ 3'R5[ _~b~"$5u,A%2maL[ W֮,KԻo20>Eo7cf&e+A.N돶DFEo2Xo+Uj!D,U\),,ʳЪe&O[g0{^v~"a?sjic2fUuk7l<+:T7X&#{}Qoe]DH?K{Q[ Bó_e/Oۤq.aFEI =7&Pjjn;T뵠뭾i#1lه]%JլU?z5JP$ol!nr=T| ~."9v1ui3( endstream endobj 2065 0 obj << /Annots 2069 0 R /BleedBox [0 0 612 792] /Contents [2075 0 R 2071 0 R 2072 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9799 2073 0 R >> >> /Type /Page >> endobj 2066 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111811-08'00') /Rect [348.027 384.7501 383.293 395.7501] /Subtype /Link /Type /Annot >> endobj 2067 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111811-08'00') /Rect [234.8205 370.4501 270.0865 381.4501] /Subtype /Link /Type /Annot >> endobj 2068 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2069 0 obj [2066 0 R 2067 0 R 2068 0 R 2070 0 R 2074 0 R] endobj 2070 0 obj << /A << /D (unique_64) /S /GoTo >> /Border [0 0 0] /Contents (AUTO_INCREMENTAL_CHECKPOINT) /M (D:20221102111814-08'00') /Rect [90 675.7 276.3895 686.7] /Subtype /Link /Type /Annot >> endobj 2071 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2072 0 obj << /Length 18 >> stream q /Iabc9799 Do Q endstream endobj 2073 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9800 3256 0 R /Gabc9801 3269 0 R >> /Font << /Fabc9802 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n0 w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2075 0 obj << /Filter /FlateDecode /Length 4527 >> stream xڽ[Ik$Iۯ@x۾R+Ü( ]}VPA -޾xl̦w%}uق߲!H߯PO_?͘Osր=>:tπ<\->9ͣK`6/j#>qsn;줱Ž~sn"Tm$֯PYygPB%k:Ɣ]K1ul#`H,;Ry¸1ڷ~{mwƎqz\ϖ/ F.G-hu6ʭ7` ǒ1@%wkJ4_sevPtnPUòu]IIAr5**m@uhJS8%45S (8a`,@˹K%ðGIYlUr>}N־0]U]Έt nqX&cr#f )`c9SzlWygLnz\~g&cSJ`z4=Rj5l:OzQ7{]"黑?{bVWcݣ.բ{ $@Sz*C}/_TBPJ,Fu+_+p3 Å {JXpfQW1I3,nauZKڥmdT2-ݧ$4eD\ pZٲ0);k rř\K'_ 6x^n~a {ɀ=fqLB )kABߥ=S .{cw'`b\`MluI3&`ɴg=b|V-LcB@iH1D OKYL/b#+tGT)A&|.KDe\"X ʞe\'2{{.\YW  *m}~ P}|ʻu-*K-E[UnבB T5 JS5M)2EA8{znO-_өO%4P>'[@z>T2;Ŧdg#ؗt#z53e-!vMM&2ԍ/?=/ٟ ? ܽzqng%Bמ8orעʤ1.nPEj lDedQZl&[u>l>TZIkxX %F*rYsGs(H(7 =LqMF̯eʍd/*Fh̹]jgXVzxfzaVnTYӷaUGe[zBĴjtB.p88mf)EdJ`1WMƨg?kqVOorSgya^@݋JmS-U7ʍܒ#7h7Psws|{wq:W#Ϸais5d%x(?N*!g3,4_ytΥrs켴U51 o@!i# SR2eh%ғ(kFuN}=!FʬBMlFZs)BeȰF1,D2FiCVՒ=5>2Gq( /Ʒ6: ҹ4 '쇀` *@3 _M䤎BP!N&G_ޥb*0]9 =D')ᗤA"_!yho)ۍ)bZAR}hjD^mT~ GG\Ϡ;{Π>4]Ai!/6_.n6LV mG =Н* KK47ew:sZ;ѷ:7^X]LOG}?K^Ҕ2b靱 n]1VZȭ"H|zI}{" h?o|(Fbݱ:kz$BrѱDzҲ%dk z@piF/"= QHU,bWQŊ,Ivuᛋ4\K5d3T>]/˲f[a̒ 0ɻ!/Oloh}3R,7HA>ѥwaUGM{1Ag;n2p616ъ}q¢Wt_S֨knV9Dߠ 5Fm VIj 3jF3g_&-dwȋÃ~ɭ0_Vi B"U0R4u49U[6h ѐAG®K%r*Fц^R#h,e{nWnΫy=|n _ڏ~Lw 52ld")5G2 F=`(ms쓮B׬ђB%:Z A?l.l!+hmvþ5\!ݖuԅ!M|mu`&ML vkjPPؠyI\G-PUdr4EVVP_`2L^DC.˶ۤ)M`U{;< 6W+[NEKOћ^P<[2M5Vƻk!PZHt-Bًt6? 5tЗ$=ފeM6X窾Z1Dt{l̢%E "FۆE~dmI /MjB5|wRRj/o\+3Ⱦpj{ q{埛s>}O˯s#y9{v35z'zY.^?$H?"OOjWۨqh|S7 j9ig~3w.6˽5i| RJAfO+ڤ(_am2GJdǘ-(T& 礝$HLV~Ͻi0I$|"ge5u endstream endobj 2076 0 obj << /Annots 2078 0 R /BleedBox [0 0 612 792] /Contents [2084 0 R 2080 0 R 2081 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9818 2082 0 R >> >> /Type /Page >> endobj 2077 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2078 0 obj [2077 0 R 2079 0 R 2083 0 R] endobj 2079 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [377.441 214.0538 490.8125 225.0538] /Subtype /Link /Type /Annot >> endobj 2080 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2081 0 obj << /Length 18 >> stream q /Iabc9818 Do Q endstream endobj 2082 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9819 3256 0 R /Gabc9820 3269 0 R >> /Font << /Fabc9821 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n0w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3x|1$ɾFxy /K"r,,dXy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2084 0 obj << /Filter /FlateDecode /Length 3485 >> stream xZKWle@ir3AN cր}WUDIݳ^8zR,zQҿk 'W?|ҝfu X"Fm~Li2:맣_Xdžɦ?qs_5F:NE<,gcIg\ 7Aw;Y "|Ug <'cxo?:<(<6ϟMl6b S ]pa6V=i -㱵a,{n(aJ`koj^A-$ׄ6G9 I0|3&bO/^qOl>Oe*L&>Kw-Y. qoCl"-wUX<,wM ZR-ϑ;&gi`?zjͥh?I~])klKH9rw&3r* EaK.u̱1m.8 ('wFduN L9ymv nG0eibIJw=w-/dnL5=KuI4ۊCJ[X<[kQ.5TTJ%cvN9jiWåhjU qs\͓"Z6d7]nNɇqf;8VU:n5;ɃU@t?>by4:piW)#{9:dwi# =1͵a$ ܚMmLf7kim[sZVxҐq;p-(,0)z{,G zmr;N{nH  Rۄu_;u跜@;*m#6A|M˽}CB|;۰5YC1LH*k~tW ޅf-<"R$h}d#I]URG9Aؼ 7Pt3gqDZ :?:c\jNGkbk։-?qT^G*GT42<aؓ$켮kXИ6p_dvGfqEκ}grteb(9=/g}67yyѭHFI P^\qg)ق Q̜{$&]{ݹz`seNZςF LF+ Mа-AX"@!jѸ\k^i7:KKM%LeUZ?%)pDjItDr= `9i?@SJNT!~9O>(@Lfk^-,7Km<՝eٚ,V6fCgfm ckp%?0/vuٶz-R΃Vf J쿶\]mD֩` 2c& ZYGWŇSPDg|68 '^^.xW:zVۗF,OmoS[?HwD[-HЍ_q3z`]bqG?zKgl;v]'ǡΛO%b*.u6nC2P8a:su2pNL82%n ܅m8"<σ'X*>$0J@1GAzyU!ËxGA^΄'=cAQ%؏ߨ9%}fb֔ lW|^RB*QS .WU/*I'0o|N;ރ5; UdbDCGڡRDTf&r7԰2fg&e8G $QķO2+q,` ԏAe:ΚA:rYgXi}DG6#ڬ[-\Rzm u|!v-auPZtnLYuZ!,K0Ϲї!`i!(#4YH6{J:*CWqF/m˪m9Y`E΋8F/V>=gTSբ|Αڔ ъ5eVOݓ59V87|ohPH7Jii Y},tfbhgSt͉HH0_@Do À"I SٰĽU<\R]F>{$4! :׽ gwҖL@!/aEe6$)<T[Dl۲4|tT/X-Ӧ _+6B.# A((DQ>ұu8)lF{LVRBVRAM)FB˳rffY`*a&kA  k3 R ԂX3U4+9C</iG;dذA^DdLϣ‡{H&gɱ ָ&if}d93A |~_S UU=65= }mտWyyb۫k )Hɽy}E,c{Z}U ӥͷEX$hnvoYGt@C*Ÿ]^ȞO;+ S|ۯkZqD 7Rp6!Mg9T gxiz?ijh0\&-k4k!Vas%p/ GdU02~,Y&cl:+XQiÞbjV endstream endobj 2085 0 obj << /Annots 2087 0 R /BleedBox [0 0 612 792] /Contents [2093 0 R 2089 0 R 2090 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9837 2091 0 R >> >> /Type /Page >> endobj 2086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2087 0 obj [2086 0 R 2088 0 R 2092 0 R] endobj 2088 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [90 325.3038 203.3715 336.3038] /Subtype /Link /Type /Annot >> endobj 2089 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2090 0 obj << /Length 18 >> stream q /Iabc9837 Do Q endstream endobj 2091 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9838 3256 0 R /Gabc9839 3269 0 R >> /Font << /Fabc9840 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'$DS3Rȃ;6+1C+p|֦b/mk: S[${JLh*$RG"e*)sm.\gy83ۏ}bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶_ѼΡDHI]@ U8Ρ\j|=B/}\ endstream endobj 2092 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=221) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2093 0 obj << /Filter /FlateDecode /Length 3728 >> stream xڽZKWleV  CasWER3 h4$(/qmwK*um{9?%TxA'ȽcN0Ž(X1v"OSztv _rO QG9lt`7*K㼭 řbxUUcKsIzFWzZS9ѳH]ۢjenJ0Ksa2ulDXe9rߟa>W7m;V$UE_FtMb tt;$};\j0cD}0=սiR젹Ck }P@rfyb` g9pLT?ӂkئ9`;F@Bf'8J<.95&[ W:qOQ+u{~y5Mv.>\Oj`p,̪_>9sLyO>b/례rP a"@~ Ve z^0|J~nt|$\x&ϰŰui'X%83;P6γ[n"2"V]@D`@S)U"aOg|j[XED"3W~-z r[ JdžSV8Il 0}7YK*ܳ*8`gQ ^ d *Mפ5Iw+幾nHp~Uer?l!lS[id@]&95;]SuK6 def847K\r}y-B+Ú2pK#R`Cj2ג}m4H̍W=h"*؄-=<&A,`w>~ɥ,<$+WX%G-G svrQ; NMyCx3!ArA _[D5z9dr s)\ rR;EՐj>&Mk*EG>#+celב<+eLsL2{^HL>1j08ܥc<|c4&D]TW;y蹚е7,B ucNk]Ͳac&:SK\H[n˜p( b)I]Y)iO7y9-$X+PXW0R%ٟL=:[qӞ7Zҋz(A/KL3MG/R­ FxNstix_p+*QRKe;s{CzܴX%[z7OG-SOVXYFǷ4I7]^zn0!tDRCVd 4I(H[+EM f&@~*[;C#(b)$ H!TdIxK>IVP4"s~v8`xE`9R0jJ)[`yږ=B_[Gv-J(%<^.2$hH=iR@/%PC.Zh;~!.x6[/Dz-؉^ ›N 0H8AW;l"}Q jkC`5!tWKkJ'}b"Tj$7-feV:K'Q~];X۔6_iII}#("m Gėa\Z3y`!{%,c8g5LCC0CY̔swK;߮KmqW""]-( LtLmRw(Orֈq;εj aLm=[l\t(JnUKqwvp󰎄Pti[p GHփ[L!xq]JŒz5W٥Tœ;K.%N3v(q^i^_}:VJ DQ99C pQY`dQP钼gfkՇϷbggdJ(9D-k#6jQ#`γpaS`\ycol\F>QK,CuSUXZ3^3(.`/lqea3%% maɸvgH6'WiجNb-g':J!yk(R ;s5OGc7O1hfc3A4PgIJkCAB 5eoAmUiFr䦿zi`++ FY + _-*&;gxT}\n.06`dN;.I#oFK$CO}8m0.t [靮k^sޏ[O*eM w~LXӳJG`68Z&W,`bgsfDip@#h+կؕx?1o,сVWQLxvkdN.K"xLP I2>U]qAqkvtC9uAyi_˹"z2Z-ҧ/X9#cfEr[8krW cXjD]TI߾O؊Br}, G:-B48Vɿ/PV endstream endobj 2094 0 obj << /Annots [2095 0 R 2099 0 R] /BleedBox [0 0 612 792] /Contents [2100 0 R 2096 0 R 2097 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9856 2098 0 R >> >> /Type /Page >> endobj 2095 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2096 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2097 0 obj << /Length 18 >> stream q /Iabc9856 Do Q endstream endobj 2098 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9857 3256 0 R /Gabc9858 3269 0 R >> /Font << /Fabc9859 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n0M w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱhgm-־0Z|fOr;L$u$r!o V΃sV7Ө#x?d_F<<%C9P2,|<30-s@,`K'o.Ŝ|B揗bj;i,'9 ).Q8ΡRNZu^lMtt endstream endobj 2099 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=222) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2100 0 obj << /Filter /FlateDecode /Length 2924 >> stream xZϏ 98"X,3S @i/A@rߏd˞l^ 6-K'~$%ِ?)6.#$"0_̷``gJd\B0/_,GsHGA=hQnqw@s*eFt &$xo>՗5P3;ww@93/8-/whiN;b5|0jM=DlwS̆jFg kX8psWc%$9!dcaF% ߁V50$D$͑VG[w]M1{4-tcY/udL}?6]Ͻwzn} )GR[+Ԁ+T &vnsz=t+l2l_ٸsUVg Afޙ: L- 8?fˮ~[kPR46Ob,] bh`|c^[ *V*qcOL/9oCBy^E8>Awn%)лIJ ѤJ߫a{Uif$cvA_"7נ<ŌY^~qp)mv/&"Vʚƾ<4?Un /Ünt`]*y0fTQ&zt\'[tU2ȂLlþ#ܾtgYC蛻`X$HRݺ{^ݼ|9P? c_:&@SEoL3 r+}.4\̅lNq|6߃8h >L!xu-/&3JifD١ib\J|D+"D>ьCeIF٫c!Jax\i' 7 xDK/{R:d7\ɱ~6yY_s)w0f1.oh*A6|w0# }w3B>X7ݐɧQxQno"  oacwokl3 ucNWL%_r*VرK*~.[):H =2G @я憽kn;}M{(E'd;CCKvM0(OȢܫQpCW'_ʶ& ́ (A%!Iӫ=$~5 ͮ.f]AAEG'e '{B sg%mDhrV 4iڈqh cP>kdӧ^DZ $$C˴Z |IQ Aȣ$Q>3 ̂> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9875 2106 0 R >> >> /Type /Page >> endobj 2102 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111811-08'00') /Rect [403.368 581.35 438.634 592.35] /Subtype /Link /Type /Annot >> endobj 2103 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2104 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2105 0 obj << /Length 18 >> stream q /Iabc9875 Do Q endstream endobj 2106 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9876 3256 0 R /Gabc9877 3269 0 R >> /Font << /Fabc9878 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫`E>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}z endstream endobj 2107 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=223) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2108 0 obj << /Filter /FlateDecode /Length 4001 >> stream xڵZˊ%7+6dZ0Y ۘj9!)SuoU]2R V[_Y. #~I>GmԧZcԟnM1ƹ[ޘx3eV[{xdEp;$jןC=3y7F.у`>ߪ>ۼ<{Zݭz>p`V 1xфy(Ќ?ѿ_iZ0[ؚߴ{L~_{Orzc1HoUJFk;Dy \54(f(RӤM[o/ @s׾GJ׾Mj6O]~jZ,qx&[?ީvkms?ߪ}&&Bיco<.b1CA0<٥kkf!>$|_b-k~8I["XԌ . BʦCxaw_{ſElk6Q7}o-4Nm4\/ !CMunl>y| ^ANDGq(D p [n4gjN.Ttw p0v&YdeAl³ؘ*RuKzzGyJ+(@]P0gpL9+\sq &"_$چ]yE2I $ƸA,@{oXH&|ѩ %n;i"0X_ ; ˎب/Ta8 q-elGAvڈZjJdєNGY蟵G0ͭ{ZR;H_ReYXq%Lrgy1܎ZSom_غRbu>OAHmV{p@ Qq5yBZp6ش`i-NE'QDo)ýp͐5u7y(#U+rOO Q 7lPԺ{yӃ[ wx6&?h1͑>ǍWu50q7O6R#qz顴?oJ8f!H;_O_Zm9 %9k]4WC 6=V!9*3Z[Joi˽EӖ5T^rpG4*"kOTݑ1cNJg[$8ۡ艪NdTF U; :G{8V)]D 7 VҚVI Gn(]ac2J1wz1h~gx _-mm9pיƖ;+n0-FYHjs:-'؄kL7%oԞ7c )9LX#Ч/+ `,B;\(PsO5vjꂳۈ7H4C~685(5(G[ƈHDHcŐ7>ߦ N ՞,e_PC7{d@0o^a"ރ{P]i=SUȳh[kVOn uޘұ.2&Iyf?dMTh(Db;wL鏴m[7:Wa[!].{+6=Sx!OFZhछ%\ncurUtGtj1oPuYjR A|AB*dP^{6^=PbOK %v˱vfZ֕c [*l() #6l.4y2읽0F$™bKaNgoܡuY2ȻR[TGެ?yl^JkYU#Iss ~!.xsع #5ixcrW5o@3X½?$}kG8)+. g.`y5N/GZlsH,XU y/j.Yy?eHqdsYE ^@_EZ> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9894 2115 0 R >> >> /Type /Page >> endobj 2110 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111811-08'00') /Rect [193.8235 524.75 229.0895 535.75] /Subtype /Link /Type /Annot >> endobj 2111 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111811-08'00') /Rect [519.7095 524.75 554.9755 535.75] /Subtype /Link /Type /Annot >> endobj 2112 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2113 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2114 0 obj << /Length 18 >> stream q /Iabc9894 Do Q endstream endobj 2115 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9895 3256 0 R /Gabc9896 3269 0 R >> /Font << /Fabc9897 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n0 w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'Ŷv endstream endobj 2116 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=224) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2117 0 obj << /Filter /FlateDecode /Length 3490 >> stream x[KWl@2Y|@cir3FN؆1k{W|Խ$(X^V!T"eYhR/"IZ?jF|?9™zFv.fe 8^$UhVe ԣ1sP V̞:R׋;?pZǶ`qD5K$?}K^T; Lt`  : +\f'S.F`Jg_MܚB )|)iyYb|(, I:YS?@+3I/E!>7f1b< xкy^ DY=eCM{jU =T`]L9Q3Vp-!0y @Ej!d&-d ld+1Ah@A~^u!7$+ZX 5EBMeWKD`'_Pc{8$ chQ|쒱"j-"|Bۢs}6qu}_V~~+UMy(VcK:v3l U$j|~a@*܆ urzZ2z@_?32bxAg83n<Ө΁X:RO-܈gHŚ zcap!aB-sdH55$:=@!G,>kwuYq&3+;ۮyȌ 3C AsҤgަoVVpHV=5 6 pݥsvZ'S`}r@ ]__UƧn=hBȱz&ⲇ~ZT\1pe5|6l҉`E $~&yLĮ$6 ґ{1L\yQ[7[K|fu,(S9 Nc Tj&65rx2Pn-M=xV?z)4GNcJ|?)<4 g>jƸtCۤh{OH7^n\U9RGbG%l8JR iZlr[$vfC+#YŪj9swXaLz&{c q&5v&wL|4s\TipwT榺n+kg60#sQۥVsj<*8k^f.I Z-)ԗUMR2ʪǵ}.^Z9ubkuU([APuj Ly0]_sk3,HK_BieΡ{@m̼5lJa&P;۞2qޅ&dR[˺YK~o|߼KA ] sXPm1.er\;| /G zM4:PqVm}[_VP.du{w!ÍIt/}.bnu{׉wz1݆{.#IҡKJIx*}h]5[8*=-;lA ˎ5M 1p܉u \)fgº-U ^/nW,\+8^;q)[f[> SL?mOH8oKdhD~+;ߟUySGl\9 p&@;GK.}^/~wKz UN>d#4X F|u4+^*̊Ѳy{s:Ԏ+y6 agm"ghf~q¾׳=kCag]2An"!k3r qd[j=v~-SF&kl^7\]rQs05ârp1qP_u#nTư~yl: +$lӆD{]D9 ZT go M^kMşeցR0 Sx0lmycRk V,@5ucCnO*2,*PDJ6eT Pal, 9?ag2i8ɱtX3G4Vk# \%¼9pc8=XL1UF7%k#P"Q{JhE U"OWNd}g&"5pp@}9H*&ʗ]=F͔ ++S6P70|JhKg2IW8kTVHu]<ύeoުrh鈶:rwvUQA\xW@=<_;'W6|Gr4k!IT62A~?oD?x˽ml_|gwQkoۏA 5hE:{I۬^Sl/GLbƌ ;(۪Wt.t!ĵۋUE g65̿8@/ endstream endobj 2118 0 obj << /Annots [2119 0 R 2123 0 R] /BleedBox [0 0 612 792] /Contents [2124 0 R 2120 0 R 2121 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9913 2122 0 R >> >> /Type /Page >> endobj 2119 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2120 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2121 0 obj << /Length 18 >> stream q /Iabc9913 Do Q endstream endobj 2122 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9914 3256 0 R /Gabc9915 3269 0 R >> /Font << /Fabc9916 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n0#OvջCp<)k.@Br!sw4_P%c I`2(.X5g$𛈺ʜfU}IRG"e*)sm.\gy4;/d"Xl˒sKhf>eV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽?M endstream endobj 2123 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=225) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2124 0 obj << /Filter /FlateDecode /Length 3525 >> stream xڵZK$y!z?i2|πƧgeư}_HG=zgfiLEJP#WeGN}̪TAxZӺ4mUhE 9x*`0&?Oؕ)>!GckQ9M'rjr|Tm Y%\,(o{TBQ7fGv:]JjXovBտԇ [puy+qH<ĵs*5cMP۪ Wۡ~0R\Wu 7YP*xxuδڷE43b+``~s6C+1pM\n45Vtqor-o 9TiڒIn:f](j .h@ܜo./oey;-oq+g}ZK~`TaNbgLc,꿰V''dὴ<(~}0ŋ\g'#]Z98\imp>ED'Ks0]z*1zG_Fp2Ɂl 墬>_o|ܕ?&]brk/V\byKI3i|waQkW(ug8 ۆ~ Ցc3HݔWF).ʋ z`F:Ư !o;g&bym7HbNKLl9VWUR3IQx[ `=mz |ۭډ+ߛk9k4s΅MZ4fkܜz\F'%nCWk?#ζs62MY110ؤsHs7SBa9T+b|>p :"LgcfE_89m+Qb#.i;$(bqqTEs̜#WSw߁ H1:`v=IԻk'KG|$^|8y@.|KqvEcbك + ^2!\>29Ƕbd> 4<#vrdW`!Bެm'߼?yNZHrQ7$~44+=44{v*-RCijmv-twYݴvʹ:?r N˚]M2+TJX\}8Q빖IZ>ΏXJ&&! Jo.٦8X:]2gz< J >|G`RĄ%M3! 1%\B.cp]bucC0ۤlJ,pۻPܓa ]a d-5JtV6 !),WT,h#ҩJ\J$7L,7YLgCӟ+i%`k<8 +e4mPxhS&7c'95j0Gvv~DƮ"DD쓧O)5I`^Yp^kJV4"T@4w7y!,mAQ.a lڕd } &> LG׍h/GzHHDX[1N' 0Q;x鍫u^TqM k>]g#L1#-@.}`fCJa5Z8 Iw<Җ MqiOZ|afȭp}IHY^$|vB@N6߀kSEJ 'E2H21ɔS>Ao%>܀?_ *php0;_}o!eD3$9A' NVk+#ӯzGТktey(uERW{AYŷ0&fP*BblD۽ҹyd9j%EC zש0]&掠nm0ö2A!Fk'vT]K_54DV!q ۫Kf>.ɍkK[s$>{]p{n1 M}m8;` ܕz^dEr26DܓyF~8=w'Se|8'?] qFCE)/aS?<Դ\jKA #1@S|n}i5ԫc.kDP΄,Є #F[P.qe}g5mq endstream endobj 2125 0 obj << /Annots [2126 0 R 2130 0 R] /BleedBox [0 0 612 792] /Contents [2131 0 R 2127 0 R 2128 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9932 2129 0 R >> >> /Type /Page >> endobj 2126 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2127 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2128 0 obj << /Length 18 >> stream q /Iabc9932 Do Q endstream endobj 2129 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9933 3256 0 R /Gabc9934 3269 0 R >> /Font << /Fabc9935 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG$DS3RtriK[Zߖj?'=ʡ|~72ɢԑH5J\[-<8יq|mau3ۂG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}T endstream endobj 2130 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=226) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2131 0 obj << /Filter /FlateDecode /Length 3393 >> stream xZKWe`rp2@O cDkzv}IhH*zW(2J%>:̨U6iӴSߝ2F}^8ksAϪNxz|V&O'/?O\pAI6a8>x::#XyY8}J0dKo1tԆ򮽷 ie<ߐ #3yH0ӀB/":y+)cp@4M{*Z6Kn&W?=p޾vք9 C&v(K*81إM*T 3CWGjdmxO6S3h4]DcK^*mM`x>R?gAx_ h\z~ 9X|ö֨?\w"(aeHuL<<ùP縣7%cK\Ң)h*-h;+=OP$U?%Ӱ;=Z.!_\e rfF iAdPUG/[^}W3ڊnO&ː /D9W'{L 6B"lQhmpkR\g`卧^אZ6kFtFs"D!)B26[fjĮBn9;@ҧCcag#*(/aQற 6t\e>yetmC$v}}"Y5EV-&0M(ZzB?T1amcA咑X4dۅbPKQ5[GIc]CWO =8ysws}$;&qou~t^s6,;ѥNBDWZ"qN-qVQtF8( 5JQ+LdͨSϨ\l/\'(eo77#r7^SŮ{X֭KPJU U aծ Wfֿs.쁈"ua1;)1=ck|Ɲx1뜤݆ 5dt/`\4IUZ/kϼv#>KF$Ѥ$*.c[K jaQM~s]8zzG뒧;w-o|1/C<}4Maw`:_yPb kj3Rb4J1bx~I[KX#zZpŵhLT3P '&/9W,KV(8@,`gDB *z^5v%}dlN 8l^Ѩx 4Tq%J)nIoȁ7Y~D< y\J̦Ef0 P [`}r;ةHLsM듇F }U@ [ +sJ<_d3=B5 t) pԲ.f lz=)0t֨}[; G+>c2g$d!«v5e? ~/8_nM3bVNg ^0%7@Se)`r9011"UZ`h؎dTcBn-X,0 : vDi )m?f,F7UW_uUN76$f\Ak3B %ԃ@&q_q:A`- 5 D/0A,L{$~c4T=Cс^W,ytYyg&DAk3mxL (;FH'imҤ ]#,1@,,BvMz Ǒ_(o*2cH -F!eNtQ ЮkO#4T91P@r2gL-H@_Pt|Fp|-Dv\^P2[B6KM/5?,`Żk!pZVtƺ-BF + !xmAQKW IAY)V" LlIfə0ax>nQws0Mf"h !FA afu'`Ծ?oRV޻| '09oΆmqi|w 3!2Xr Νp*/n/6uc;thcNUl:j;߽;+q$QvԺ>hBDb)VB)Y-}a^ +ӮG CiIf?l!*Nh:NW>tl?Ib0質>_ۥ͋ endstream endobj 2132 0 obj << /Annots [2133 0 R 2137 0 R] /BleedBox [0 0 612 792] /Contents [2138 0 R 2134 0 R 2135 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9951 2136 0 R >> >> /Type /Page >> endobj 2133 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2134 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2135 0 obj << /Length 18 >> stream q /Iabc9951 Do Q endstream endobj 2136 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9952 3256 0 R /Gabc9953 3269 0 R >> /Font << /Fabc9954 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVaz֦b/mk: s[({L̇h*$:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛZ endstream endobj 2137 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=227) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2138 0 obj << /Filter /FlateDecode /Length 2953 >> stream xZɎ$W,( hˀd7أ0c`t K-y5*df$ "ꫲߎ\E U1bI2ןË2'mWU˗czcM_`+Ƙ~qu=N.e9*>? +??dVjq,cD.5!-GLL<-ϗK-YvƮD) J"k%K]HN>:Ldm ڽl‹te TE' t/Wet B^6{U:..EԯTP?5#[Xl=ffrrC y}6 5={0τ&:0/6&̳?G>qG#D]paV-TBSi:{b^4u NZsljb1fm}Pc_gKo}T>w'7{sc;xq؍rK?xݏ?Η~<ɏk~k\#|4=1wOy=> !"{ڲU{#\" FvX3b)TTMnixy0hS]eӊG۰1GզZ::yÛΉ,a {cPCx;@53"mǚOo-陎 9&X+/w;7rfo2%o 7_HR݃#"<, (T['a"$Dt{B\^ P$ z b aIzl| \5x= }=/xe=AfzɎ ߫Q@CQ3|7~ӄwSƞY3lΕjL{F@~oqyO7C&C-C1:ݺCw݇@W!Lݮ[37Yo_thLf}ۑbdʋ@![lr[zW0=4-\i0w/_+eǰDKl k=`[V=։ $q̘ /#NbyFǾ9Ro~fg?ÒxYߨeԱgdmkY-{6i=> ]ntn5nZ~;x3UhTp,XsޫXȰcm.]=ʫA u>JBS{MjӢooW]UVE[r*qf䠁9f*Ȏ Ĝv;Le2Z}Vi % ']# BpE0*_#xK2./T8T}tjM|~t)rS X|6. Ne}V;$Ast!x0fkSN*U#, BW$E<>3s n/^'Ѱ0. z"Nf#Dm ԏ꧕GjQؠ5ΦYgp0.[dsr;S 5e-[MSEc q]@f3ԟLHxM7&<]2C%\.,K0ϹCsW,|)ԈZpBQޣ<g[YՌ)M{>ݐףҷ<-')xwcf3x񊍴^GOPm#Zqc̖IlYF&K#a*jg,2*(9\R]F>΍hG`wi '#"@9?I6dfCI cCz1R[m0z7H3P%"bϴ)? \B^ȊMdaMAo{ NTPL" RtK9A>?]K*h(mgN1./a(-#זf] mR;*}]SjaDktey_!( Z/Y2?$˺ 2 lt'gʄyT7Wsz5dWQZ$3-?9ץ0ݬ `bt"LDHN\]Ҏo*1 \fquΈ0sdi=W|YG!ѵ5Nn!އLO}mmE66yu62zYE3߾U<g=~p0Uq/Z8vN;h 9i}?3}dxTyPߎt%wЍ¸F{pꚴBwmjr \n=pgB[DhFnǙ&7Ƀmѥd]J⢢"lf endstream endobj 2139 0 obj << /Annots 2143 0 R /BleedBox [0 0 612 792] /Contents [2149 0 R 2145 0 R 2146 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9970 2147 0 R >> >> /Type /Page >> endobj 2140 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111811-08'00') /Rect [184.3525 660.85 219.6185 671.85] /Subtype /Link /Type /Annot >> endobj 2141 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111811-08'00') /Rect [439.1455 660.85 474.4115 671.85] /Subtype /Link /Type /Annot >> endobj 2142 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2143 0 obj [2140 0 R 2141 0 R 2142 0 R 2144 0 R 2148 0 R] endobj 2144 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (HIODELAY_GROUP) /M (D:20221102111814-08'00') /Rect [90 545.3 188.362 556.3] /Subtype /Link /Type /Annot >> endobj 2145 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2146 0 obj << /Length 18 >> stream q /Iabc9970 Do Q endstream endobj 2147 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9971 3256 0 R /Gabc9972 3269 0 R >> /Font << /Fabc9973 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[$6o.\gy4&/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/}a endstream endobj 2148 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=228) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2149 0 obj << /Filter /FlateDecode /Length 3963 >> stream x[K$7WPZ)a=f6f`Iʬ=fi#Z;W?}t 8Y{uswTZSR^dm(Z jm<ң#-eL9e_OQ{ uGb0 :kϘdߏV*~c7Hxwn}z ?yk^"s!bBvy9/mR:I AkhL^8m80\{rVˠǥ8~&-~&zPcV4N2|"R5`5&,~>ic&G&LGocT1SjzuA;LNɃ8 S2x lY.֪;DFeu_2L#v"fW f {}?M?W,UNCs 4K pH pS @/`d [<9ajux2 i]bN_2[`%Ë9BGŝ/Pg2Q CS"c[hDѱբT=%nz7̙ppeSKƘT:m#3"9>,}[7zW^ͥ f_/9[9b{-OXʔsw廂UD+zU"GJ"+g%2ÖkQ(l6xձ'Kex| r@ضGSyj;UC=EY[_MwHmRzt8V[UGQ,?,Wsg-˻e: ¨ w(_z|B{!C"7o53Jy _-b/fKpc0Yy؊g.\eﺓj[ +nv7QNKIz"IP _iҏ<]]Y8=g15NjƝ/̜Ci)Mka:dz9e(Y"tld֣.:6|Ck_7_fa0K [L e͒/W[K.4q)̥ƩIX!IVe-9 ׸ pZZꔭxf?8/6y_٩TZq>c9n:p߶B;XޛQkMɵIt|Ư޾ý໲'!T Hb^+XsInϑ4Tx,๡DؙQ5?j4f؉H8TOAzO0OԼ.h@q@Ϩ(&(nA/{XG y_3 ?c H(WbwNhu.iMLA}7HZ_w zukI|k%Ώ[ &i卺#ޠVW7,`<CS*$QOti(LWb61 !P xK'YfV60M GUfglu`LjS"XFs?]:yzW6f"u#Z.FQqH F=$ac4DefqUTTʈg&xAaHa8l9xPN `OxppTam;cվ"[F4ˊrۍW -!0ڰR--W"H ZJ,eS| c3\ĮU`&#'Vu3蛱+)7Y r,@O9`hG5'7}{j?EZ{̕v4rT椉K_pz;Ԭ Eky+RyVoŧv|Hc_'r7^[۠_oʹ}\xD{E@E 'Tw+#S:7u.8w$ոP;|%.h (OK򺜕7so[A7Nfxn[F~l6n3g#r54VUet&d}&6a`I<p O(I\Yt\9Ҥg5 endstream endobj 2150 0 obj << /Annots [2151 0 R 2152 0 R 2153 0 R 2157 0 R] /BleedBox [0 0 612 792] /Contents [2158 0 R 2154 0 R 2155 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9989 2156 0 R >> >> /Type /Page >> endobj 2151 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111811-08'00') /Rect [311.6882 685.7 346.9542 696.7] /Subtype /Link /Type /Annot >> endobj 2152 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111811-08'00') /Rect [207.9967 672.5 243.2627 683.5] /Subtype /Link /Type /Annot >> endobj 2153 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2154 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2155 0 obj << /Length 18 >> stream q /Iabc9989 Do Q endstream endobj 2156 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9990 3256 0 R /Gabc9991 3269 0 R >> /Font << /Fabc9992 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛg endstream endobj 2157 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=229) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2158 0 obj << /Filter /FlateDecode /Length 3261 >> stream xZK+WhF:zCchw,&E&wo`fIU Ƹ|J>J'Wҟ!s:]|~N>oQ;?}Ydrԟ~@[;RAO}Q6`mIdmNGEWG7Ӝ>4N8xQ}h,,T&azj7Q;fi3[lKl>C1Zf2ӅHѺu$֢& xho:/΍@C2пuԮv%sj=trfau/U]p WE^S!`jpNoh񕾄1}ZW]7dj`)&lʡ9N.( hVJi c*y(CVW#LN wv ,-NG#M'aV{T9GPJѭ5\$ [hlMĊka%AB׊uV,̩s{-(94a~*5?dZ <7 j1Մ2Kں *y{Ia&~U\qvg\r=V츎nt.Xm2Z8s-JhTs}SߣcdgGœZ Œ}Rjt_ۜR4^JB<ߪ:q% f`5ׂ+DS K#=:8*/ei܉>GEɢ+6xx8Y|Cf긩-nݿ핲qoԲIWхǦ-#uu#FG mGςdZ_ڨثo|R?jkjR/ `ȕR.Aw naJSu=L}# ql.k&FqBj_u{<#TY|"}RE3KO=`-MrFz#z>ï18"hkpp.hW=4|w 3<7|Fڳ}Fn#]]s]o1dؑ1Kh C8n-:Zvp ެ;{E7pe!bv[XݵkɁe"_&E2霳9[ Վ58,2c™E41zYRk6+Qm;|cJ?Z {@*X ;3!U JvjVU&Q>gg(ۄ?%׼Cn[.]Cv)p+U^P3K`Y}LÙ>UTX*dz/eO19hwplJ˼RNO=C{ɬ";ZgGzjV{,?ci7j^~djo/k C+wWrVShRwx2I@+j8Lg5*&o#b(ڤ)R"W>QHkȅ;ɕ؉VS- 7͔`%v$1c܃c]!5!qBy/{̴v~x+Nƹx'*[r+?L;[yP8[ e o>ݞyΖt.t$;3.nrj;\IqM#TrKk%237ecchv~^w޼3yy9d2q TrkYAS^=$&4&&=ޥS b" pn"Beojay4=3=ؤ+C1LEk}!*"jX ? "Oޔ\K0?rr%]TgQ4mUJфS5P"_dU' q)9G1xHQ<* aBo*2H`)b|ӫW6ƫŭ5 .8CϪ$dzL)Qa S~NydjX 970S f0-9S)Z 5e-W[8cWWdPВZVnn5,9&qFݣ5llX]b }Y֩Gch@#2 r͗V 9lu%-58F ܈;\U_rrQYWbo ÑrGI_+o.AmrYI39N w`Ԅxx,cC+by_U=dh]ҕrRn/&d=;jH1h>s!WpOs5Ҙ7'Iߵm_ossF{vZ` ߓ(}:2U㗿RGQ5.qA ȝe#'EOX,mC7ee䌀 玤YyyS-ocƨ림Gj󙐥}[qcH,7`JK\!:]dprسc g endstream endobj 2159 0 obj << /Annots [2160 0 R 2161 0 R 2163 0 R 2164 0 R 2170 0 R] /BleedBox [0 0 612 792] /Contents [2171 0 R 2167 0 R 2168 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F12 2162 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10008 2169 0 R >> >> /Type /Page >> endobj 2160 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111811-08'00') /Rect [184.3525 592.25 219.6185 603.25] /Subtype /Link /Type /Annot >> endobj 2161 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111811-08'00') /Rect [441.6425 592.25 476.9085 603.25] /Subtype /Link /Type /Annot >> endobj 2162 0 obj << /BaseFont /WCVZOS+Lato-BoldItalic /DescendantFonts [2165 0 R] /Encoding /Identity-H /Name /F12 /Subtype /Type0 /ToUnicode 2166 0 R /Type /Font >> endobj 2163 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug896-vivado-ip) >> /Border [0 0 0] /Contents (UG896) /M (D:20221102111811-08'00') /Rect [175.0025 106.1152 210.2685 117.1152] /Subtype /Link /Type /Annot >> endobj 2164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111811-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2165 0 obj << /BaseFont /WCVZOS+Lato-BoldItalic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3320 0 R /Subtype /CIDFontType2 /Type /Font /W [34 [515] 59 [487] 93 [502] 109 [541] 118 [405] 2252 [897]] >> endobj 2166 0 obj << /Filter /FlateDecode /Length 250 >> stream xUMn0 V] (J,N/I TB‚76tFr΋,Y@I1@o8OW,mT؉o5J:;OP#Wyě88#iӀ>~"ݫCșqvRv@x:}hD^}I> ᑠ,CPnPk)I{3tk$#[unrd"%zr endstream endobj 2167 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2168 0 obj << /Length 19 >> stream q /Iabc10008 Do Q endstream endobj 2169 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10009 3256 0 R /Gabc10010 3269 0 R >> /Font << /Fabc10011 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2171 0 obj << /Filter /FlateDecode /Length 5687 >> stream x\K9rW@j|=;;}|3Zf@}P$BW"P~e2B9 $)wLK+4sk)Kݴu뺕ywd]Ү3f"q 6qٸ b]/N2Yf6g5y%B^c3nѢѦVU6=#Bʝ05QϛgC;- [;d:2*ϼ W^bx SQlx;@kg^vAv2Myy1Ib64HĒ}eԢ. $nm&u UbR oN6F&rwUzI,IOzڈ"O?hZ)҉ЊОZ9il۳OmNOH})q!W-s|WKi mRh'VpRTēZDeKXY%|cfP]վPE`oXE,Ňq*uPu:yՒUp1dP\Y)@q)9k.aRc,aK4iw5镱,xs1*s7)|Ӯ7$'VrMW!cƚBh잔-B;7A-;ɢ:Ѫ@67yBYC ryּۅUZ\2Ț'|ذ]puQ$utlCT(V/ ]:y]'OykLTrэHY1٬91A3c&ੰL%9Ƒږ}>n7-+/,$ڌjQc-=*/:TGd,,¬̝D4Qb2;lJЦs :V }N*UnT}L#<( l&7\\4I ޞ [P]ݺB閔ӃP̈́ɶ;#}tpuq.$; \NDd,d?gd净=ѿޏBml6AZ9Ɠ1`_8Hkq z͍5$mI_qG!s[b]it(֕KH҇^6oKykT'ts1jx4RBSC`N'ڕܪB}H˗ޑ[H{+5b0ma*TVVZ6KСq\*]#7źP< H0={[8JTƛ[O#?F%oˢ!R RM{E;UL>Lz[RaQ2S{Z =c-@1ڦO_un?^K/hǓ-fً6x* * v~JlMLy/蔹,_⋧% hvѓXxYMaT"Ąo]Lߘ{H}lc,0Kj  (F >4XJƀBJ]#nt D!v t7es C. rfQbܵHY=hWдœ%Dگv,+bSa 6oUޞ%J#&Eױ"x7ʒ BٗPz;>E=_n %0}[1)FjIi΀*a>Bt}zG1R-8ASdx8p#:2mSՉS5QVư0zfNg^@ٳlu9ONNS(s~uVi~$ Ge8T=n&ݡ^N!W|߸&;'\R>^)>Dl89,{;6MMnb gS%?P>Y8Q3Sײ^`Z8(ʴ[#*gJc=`|x"v'H;q|v ukEao'zu_Ev@#[GRcX#Dd::Z>K-*l\iGlm&GwSh3l8N7(hd;$Jd!A@kRބ9#Ά=q*BdgmӬ0P@1!ZԴ?m#.DH(~ܡ=o_[Tp"Fnrv$׈dr'WwpGu0!3!5wp6v^&Q%{Yfv#"!}MN}nH|mx) Y-j⤍6gI{ p#5773sk[P.:8 @GEđHc9fʠ@.3hVzq\/d ,;7_/x)KD⠱řo`ދBxV=IN*&h ࠁqk/[оFR~[N+~tzw;W\\o)Gp4;S*]"NODL_Ri tؼlfbZ;Dȣ$p4ɚ=wB8GD6]5K`O=^d m bQq5wuvWHB \BHL~sXE=\E?T yP;z&D e!᪘ĉ> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10027 2181 0 R >> >> /Type /Page >> endobj 2173 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug895-vivado-syst\ em-level-design-entry&resourceid=reporting-drcs-ad369450.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111812-08'00') /Rect [90 208.4577 106.962 219.4577] /Subtype /Link /Type /Annot >> endobj 2174 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug895-vivado-system-level-design-entry) >> /Border [0 0 0] /Contents (UG895) /M (D:20221102111812-08'00') /Rect [414.621 208.4577 449.887 219.4577] /Subtype /Link /Type /Annot >> endobj 2175 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug894-vivado-tcl-\ scripting&resourceid=creating-custom-design-rules-checks-\(drcs\)-aa373702.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111812-08'00') /Rect [223.012 169.8577 239.974 180.8577] /Subtype /Link /Type /Annot >> endobj 2176 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug894-vivado-tcl-scripting) >> /Border [0 0 0] /Contents (UG894) /M (D:20221102111812-08'00') /Rect [510.5135 169.8577 545.7795 180.8577] /Subtype /Link /Type /Annot >> endobj 2177 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug835-vivado-tcl-commands.pdf%3Ba%3Dxreset_dr\ c_check) >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20221102111812-08'00') /Rect [404.4955 94.2615 504.3978 105.2615] /Subtype /Link /Type /Annot >> endobj 2178 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2179 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2180 0 obj << /Length 19 >> stream q /Iabc10027 Do Q endstream endobj 2181 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10028 3256 0 R /Gabc10029 3269 0 R >> /Font << /Fabc10030 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2183 0 obj << /Filter /FlateDecode /Length 4299 >> stream xZI$ǯȳR/v( 4HnbɈV45j*e,o"_h;O̴|z],-0H˿_P7|Ma.y/_ڸOX0?õ$.:њI`qӃJG 'bCy6ms\qyؘ'&,==(ep~XQV5X8aeW .c]dWR_8 ɲQ mFlfB49+ aTW~=_> w,Qť"BX}vyPc1"lMU(,ۄSy~jqdcY2QBs_r.*+6A0<UT`\Y]nԊ>}a${,QSh =5%Cm@y|<(e o;S;`}lL fV"bvnꙛ~7wyTUMXSU 5;-aUMZ8ZYLy$h<(ܤf ##\03gp:;2R֯Zx7&"fX)Xx>,4k_THvƩ(Ŝhi.LAuro΃6ÒwT6ER5(_6Nt*iV3.>&#^W^Kq3th"DcxkV/\?G~춌DdFkC}Oc{)̒jh" 1g([7C>=j0$~5OaF{SVώ*oz܍w{]ooe_aJCN,rn"O f_Z~aC붒K_QsFZ8l1y@ҹab m^ hKć(^Dܺ]&[}uj]us,m?Td,{vP)TR0qqŔJ09D4\g_x -ao^;IT ew} Sg[Ѵj`i,-X!1wjtׂiMmk˜=m OrܭFH-mX5oFBK`a<Ԩǡ8$I[[S#qC(n("8쪗n^z*4ό-qǔ\6ZgӶrM[//cTսAچ9fE/qj T' i ڤ%U-ĶJ͂2PP$H^yMKUɞ4uߤJVĥȼiZWIx9x5s+ an†Υ&o:k?jL# ?}j4EvIeV`u\٣'Sw  <{z?<}Jy(ʼ:8$/0 &WR8$⮣YR4L߱ $:'x778(}^EAAANg2#H2^&UY~j/(} ,5Dز\,zCMlUg^2L#v"fhˁy݈>47O,M*j!UW$,ܭT\_JdN%I ;z5pwM6ASxA0} Dd{cG Ѯ |uo/7%0K2!hJA&*ͩ*1 vp$HkrAd?{ή7.vس6Ek}iw%ZTf%kq &clIQ/jxjvFGkLW҃feQت OQƛ[fW&TZdFiuK֨,+ @nz9>b_uݠd5mL󅋾VS(N(}6C:JFs/DzhxJbNvZ6om1ӇsIۙ<+z#,ͭ}VK(+n^aqr )ms-T mvT?a.Xuͳ핓.[4d(IqӁr\fڴg2{r u@=rqHvN]_I Ks)YxCSMﭯp+)7Y\ sN"[V5;CgE1N)FO '-'9]up{W+I;&Pn$7=k4~?x6eC/ځPG P~@͐UvEDFU U+ߣaP=(Fp t3U($婠T /{ [A.ܶ3&޾6f"YT_:x'JC`nv _fiܕj3%JfqM1y& oeb -čP< nYލ=XT+A.AK[SBW3XcLPDHQkoB\4qbs'To~[Nh7+fbgZ.T\vF<=WHmPn{pzMuU5Wo#q폯&D4j2ݼ'#Ndy?]/K > /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10046 2191 0 R >> >> /Type /Page >> endobj 2185 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug835-vivado-tcl-commands.pdf%3Ba%3Dxreset_dr\ c_check) >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20221102111812-08'00') /Rect [335.3877 675.4038 428.6299 686.4038] /Subtype /Link /Type /Annot >> endobj 2186 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2187 0 obj [2185 0 R 2186 0 R 2188 0 R 2192 0 R] endobj 2188 0 obj << /A << /D (unique_140) /S /GoTo >> /Border [0 0 0] /Contents (SEVERITY) /M (D:20221102111814-08'00') /Rect [90 237.9153 139.4505 248.9153] /Subtype /Link /Type /Annot >> endobj 2189 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2190 0 obj << /Length 19 >> stream q /Iabc10046 Do Q endstream endobj 2191 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10047 3256 0 R /Gabc10048 3269 0 R >> /Font << /Fabc10049 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7PQӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר}'2g("_@9 ?ɜ Fp|s(dWLj$x2UPcY@wP&]@p94C-Zݛ endstream endobj 2192 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=232) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2193 0 obj << /Filter /FlateDecode /Length 2822 >> stream xڽYK$W<zCUe0ކ=ƞ݋Iz(23J)Wߴ/E1dr9i{'cˣ~kXK_` xx6G-C磵%bbb!ѣGjtⅲr`W^_63n!׺' ߶s;%-9~LvI/ +QWӤh>OBpG]nSڳ{K5B:obDN榤qz*6Ι}TU{nuMђ0S`]Ox;?O?#5|O=xiE'fY/&QlPwf75uAH Lb*QPICۦ@5$H(Ϙ dJ);1Cy{;3d43Q 6F}k@ %^/|`@ db /עK>>IG-a^<As(mv!+w1G665kpm5Y_: "cKK8uJ89K=^߃m{e<{tS73 i_K ){e,F#le#ǀؠh ]2TrE^UGoh 1@jI#wo/杬c%܎ClMWgE[^tj$ZDS碅ۢ-;EB5KM§&@W0˫ U<vE:Ɠ;XiJ ?}?ڑadwcx=!1PvƦJYJHd}gx1b|/*&Mֻ8汅91%Y+}m:xwV"cw\AFH Z|4՜(t)ۍ GJqfa{Ow;qGYcO2,;L_v-ڂlkMd<ʥ|@ne-w(ڽ-S ahWBJߎ>"/سr)@[ 9qԽ<uh5jȇ^ĮSBEJaeFFs];ݭ 7h{M6ZaA:`SwuH2K|'P.]9П-inY@uFaXtyd?pa}ۓz?}пB3jR;ZݐC&3qD kKuD&-i':H9zu/}2bGŸ9Vfp7UFq M]z:D%Yc Oǭ]AOxnSԻz;ޓޖiPcĠ6r&w !"9e8'k~~ 0ɫ|ce O)EBNŐ(ʐиJ)P IcjFvV"Ceb5\rIі%8)ƧPٿI./޸Nna5Q<2zÞ̎S~?L*>y;:S΀0-897Tq.kZD=8WWhɨ\ijPtnlY]'4!  ,)pB?eሐ7 $iܑqpak%th#+6EDS/+&* UgH!ZPD{ FU冻ef A((<\|ɵ;mO"+Hjm՟2[AJNzH ÍZRA=@w}2YVWm ~ݔ'!) To[C7)O뗙:kPGh6kPG/cWvNFQvgB3Ќ^cu$.%Ln*:69'w=3=V endstream endobj 2194 0 obj << /Annots [2195 0 R 2199 0 R] /BleedBox [0 0 612 792] /Contents [2200 0 R 2196 0 R 2197 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10065 2198 0 R >> >> /Type /Page >> endobj 2195 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2196 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2197 0 obj << /Length 19 >> stream q /Iabc10065 Do Q endstream endobj 2198 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10066 3256 0 R /Gabc10067 3269 0 R >> /Font << /Fabc10068 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n§C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fh^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/} endstream endobj 2199 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=233) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2200 0 obj << /Filter /FlateDecode /Length 3403 >> stream xڵZˊcWҙo%d0x1v,Wm4Cg|̫+SRljy|]wheiczqvTWqz_tuuR)h%rG(,NJ RἎgBJK~U߽_/lF'˜rp{g>,,;)mB5J`A}\ϠKl!u\a!ܥhm/vc5u,'[]^2*e1UST;7ˢzYR='^8)Yl"ұpa^`lև+M'uS9U2М8"y:b̮: VkλX1vNzJ2Wyvԋk1RݹFp2B(=׎&E=إ,vLڼLFzN #h "UM[v]tch$lbG'm^(h9+5&Yꟼ$X׶̎u8!Uq$wU٥]l1twRRqP-XYeҠ=P 1IXУOFc&oe!@R9kMjUvcr ;ю-gqVsˡg/g 9<Tq4b-hl/#p\*/h$W`Bw(bZPQkhc\TMJtj%]^KE󊷦ZHwml9X'(BsfljD,vr0]VԎmF+B88s cҥ,2l6gNe}#psaZ<;~[ѰbhHlvt L6>Wt6-b')=""'S}s 'ce"'nį*9⩧nh7<(YB0G’Q׌."FS5m Vhu*~#uۘ:2 9LCP-ox^6t${ kMYt[bvSa%%VٙjTK(0<[̓Y$?ٔ=pz yЫ ٹ PçZe5@3Ll{ ,~.hN;1&APē bH=UvTg1mtڎ!DA(qjI3[ nA Qx?`BcjңQ]1AG*g3犡o 4z췒zC'^ߢպ.+†>O!PZ[@g]arWcT"֎2ܦE1{#yqFu El0[w\:[NV? C>ӂ{>ځe3QMC2imN >Cf tHF3=a'AxʡQ={T)&dC6!5[ }F0w7oSa7ڡ|geJ6f@$5\kxoXݜcLtM)o<\?&N(-bgITYPg ej{Q;6&᳟rz눂5dٕ-H,ymva:X/nul`1vgoRUUeѬwAn~dTL c`fE..ۇڜy9¦4:-<9XȧX,oP!i*?AG5¡!" y!4VZ'CV"7cgQ hHTȯ|0Lbă@m6[ۨK`r먠R@={&5! h& rEjra۠XRD0|m]୎ $|MØ0>M3?"#2lNEDVLQZ@GuHBtd1G0 mx(P~LyaJ91 ~+*`HɚT (x?Ї~l<AkѲʿ%J'6 G4rL4#BQ+!^B,hJ)g;yaw.9N6 >ĵaY$%"Qs{ʂhEU UdQ&skk'rcg&"7P$iVŤcf{װf]BN[IugDqsm+yKW3G匶?&R;ԕtu.M_vn۽!:h v5Q Ewgt+OYUq|WxK|5;׭Q6E 7ש%܀;kTiڭ{,LZR]6[VπWݕI$ flȫsv8,귋 窍]dcHeu-?j[Mt|7,AiO endstream endobj 2201 0 obj << /Annots [2202 0 R 2206 0 R] /BleedBox [0 0 612 792] /Contents [2207 0 R 2203 0 R 2204 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10084 2205 0 R >> >> /Type /Page >> endobj 2202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2203 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2204 0 obj << /Length 19 >> stream q /Iabc10084 Do Q endstream endobj 2205 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10085 3256 0 R /Gabc10086 3269 0 R >> /Font << /Fabc10087 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7Pӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4~m~oC2kh}'2g("_@9 ?ɜ Fp|s(dWLj$x2UPcY@wP&]@p94C-Zݛ 0 endstream endobj 2206 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=234) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2207 0 obj << /Filter /FlateDecode /Length 4740 >> stream xڭ\Io$Ǖǯ}\ As|ŞEqʨo_,%TwKFmMKdҴ//V-_>j]Vuv//FѧtdoWUw-.FKO,U-䄯ԦWs򗟄0_s/[^E;^1G|~Rʿ{p}, //f[OT"`^{){11U Noͽ^=ڪB.wd|P9}v42ܩAax*H'&`收hsP4s5^NF\Me'\c7]Yg2=rGO֛ Q[蹗@[J$](PbtFM R]}Y J"a*o?Jˆ8C}8G:{AI;Se?L̠n& XlsmlD^ʯ)ϲYφ&$TA{e- &!Eb:m7ek 1Kbq,;f0R%'P g&7PkXia:㰯m>I8σiw}n#5h,uZ\scvQg8, ~[tAۀxJޝ: [ud&oN?++DĚ7UMZa5¡,~PlA|Ӻ\L01U5S@M4Q4wFc7I:>eap[hkrh:RuF#i)=4{FyJ s{x^~6}ZMg-Z;e'ҚPF9S!T ;aK6T`w- 'Qx9dW_Z|_hXYeH=bJUn2EZU4 O>suMnOr7sY'%DCY✬|N-9C귷tV(Qw=8G|m1OsTxW}stT#nzNl~j.V&E:^R3\cbPNiGӡ&Tg5͵c~1m9Lj%^K:JJA۫hf!Hզ[lY& H!^ˢTB%A 5 hƖ_GmӦ-} pmk#^7x.ю[4|.!u=Bq7"29o4&;o#2M lz<0]҅3)dDwwZg7T_T` 3h嗟$Ry(oπhC~0, p f&zپIM;:gV1YDD QFȀ,F*^Xoi)Dw[1DиeMwvH fB2M+!zF1wH- 0I֚jJ+ːq W/#7əOoo1[ t]ms~[]UFѤDJ&#Ru,>P rWf/As5+yEy{!; $ 4!S>PG'=ZH6K ,FNwmUNi V%CkN52` 4G3'= n>Z07?nL6ga OZ{uzɖ)ꘉc]PU*z h:ɺ8JHC,.NQٮݩ:Ͱ1O9I;8a Wy(Ў0̽+Hͽ̵,Ax).v]z!P'F𴶠KA zO5?wЍ*icS;ftNc^cqGQS@32H; tth:JXؒk^Rmfyjʷm+z׈n7eI:`f]= avG̽~z_k?)4'6 /<3#s_Qc*'Of΍k _w~;(<;ChIimQZ|~jnt?õawy+|NSm.ڬ9y9U>ڲ:5LH.&b]GEpF&*uܮ&q gQ+oI,0IC\łȊOY[:͊!68Ҡ* Q.ORI䠡L򗌗Q 2OgY+l-Qh^6* $mi`<{&DullQqVrl,K [t2x hG(n4ORLt/HbHaQآZP.X yW zFN5'%b Y43e%BKJj|0tE-3/VPyx3x>M 쇱G~{IL'$> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10103 2212 0 R >> >> /Type /Page >> endobj 2209 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2210 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2211 0 obj << /Length 19 >> stream q /Iabc10103 Do Q endstream endobj 2212 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10104 3256 0 R /Gabc10105 3269 0 R >> /Font << /Fabc10106 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7PЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}% endstream endobj 2213 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=235) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2214 0 obj << /Filter /FlateDecode /Length 3695 >> stream xڽ[I#ǯ!sb_av`|*= 3}ŒF=6BO/޾eUj7WF} w2i)*x|<լyׯOJ|kjdR)'Q)|v69rw |rGAF K c(k"!`Bӆ [J@3DBR   xDDȃ79Ԟ?Ahz?'es,ʈIS%Tv.ƃ$sJ/h{zОed# ?s*lt&=-|Hh]Ҭuy" c?$bVΌ|{m;gTs[iAp i=`{vv9<;QD*Q,5[eBȘ":ĦNFϺC*i2VHuEk.mQ ԟizdzZ? c:$6Jn _Q˫†5V@בBMQI?JP/^Ɵs⫨Boc )>/ONtз+ awfԠaF >&ͬ+Qgh[3zSfv1i? 1^g8Às@W2#)yyi\-4|7 Xe=J2|Q8wbrl%dB>6W+׳%-hM q6L%N,&tW̾/CH ڥ+l`X&!ϖ3?U:G2-߁`C , 8p5%\o(Wx)"o xK2UL$\Ԅd8,q=$̚ppÍke E=~:)~)@q2 5%2w:}CzL8$Mlܴ^y\⚚EU"k4%emKP3SdK[ȱ<RTͿ6"e"NЛ T2?m鹙AP{NJ!j7vctu;&38jMM֚7i$=ʛ) uWLbL Wpn&jJd8 2\TDUx(-^{*b8z;SsZ$OBk l揖PͶ'SQ]FFӛ2kNj9{om FC+[f(׃UA 8u}VwmSYT|9ze&5Xxy`>hb!$ء 7mMUDTn7I`" "@dCQjYź`9Uz^uNq]ߺ򫍢,:?*Pi5@qj;j fl!ms M}j&hH`hs :th΃ {Sl% MY4 ]~OF4CC:˟䧒U3GF] Y1^hQxøoPs877{ckxN'V|S?Os4D 獨CF'!js-3>GS>/+Q ȿE=?و\|yGDKiC[K`$7cX"A{q7TPCX\o UWӳSl}t-?rڶt1g%05&ٹ."_EkRFx-/ ENꍍHc06fS]2L֢2B3e4!Ͷ[h]P.a4DHDmusWB`A[z+.P xI$DY#3eF5TkqX]ٗoC>j¹9h^]WV@23zGd3z<l#FEgoŵlZioLpΡޞ,dat̫E%67kZ 7k4I9A [TEeYhfC;i gl+j- =׆PUW ikH2–{Za=Yr9Uom?'G|H yUBAA[HPngM(!rA.!v`M/< !hGIT FG/\HQYN2[0`i$%9@ L1tȩDD:'}ɯW|/r_+~M~??+xht,$ŞYC565O@HDܻ4)7}1h>5 #@> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10122 2223 0 R >> >> /Type /Page >> endobj 2216 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2217 0 obj [2216 0 R 2218 0 R 2219 0 R 2220 0 R 2224 0 R] endobj 2218 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [90 675.7 165.9 686.7] /Subtype /Link /Type /Annot >> endobj 2219 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (KEEP_HIERARCHY) /M (D:20221102111814-08'00') /Rect [90 660.5 183.2415 671.5] /Subtype /Link /Type /Annot >> endobj 2220 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (MARK_DEBUG) /M (D:20221102111814-08'00') /Rect [90 645.3 164.844 656.3] /Subtype /Link /Type /Annot >> endobj 2221 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2222 0 obj << /Length 19 >> stream q /Iabc10122 Do Q endstream endobj 2223 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10123 3256 0 R /Gabc10124 3269 0 R >> /Font << /Fabc10125 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛܧ endstream endobj 2224 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=236) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2225 0 obj << /Filter /FlateDecode /Length 3808 >> stream xڵ[Ko6Wܶ;{HvLe~ŇDJj=ݐĦz|Z%;!f|}Hd2q >8m}M(˟1sGr8^=HKLu}}?(:~K%cAs˃YLZ J-BA?+r58r6v6 7Y3=YΞ -߆[zL(jcq{Zrv-[ UId؃(vө]OC9oP2BNF;IuCpG0f-2ᘠ 076Mjydr^b2U(jqlUbUn֩l?C7pmFhWupԯDSFcd!xc eg7X]gu7ߨ<:\ ٴ;Nj62JCaC}k!4(T(}ZKT)_VEJ[匇'U2$au0@]1[ޱj.2 \,7UseC!SYep[{rd)h'ו1W5撧QyGy'"f'.x 6嚝9yA?=;XjJ tc_%6Y=E7(=\:c2(]n@. ㏓G#΢`d#;S.(!N w9hTak[" ߲.Ps .p*cw?@2hH Ȋjp;+Zuҳ#yvۗ@DaA5j>!|R!L TM-[:~IE'[|aN _ k/ *#-)uWhݬ5v\q9;H[0 3b̸P f6nG-,mjZ4˅~!s?ADj!B$[;Sl9y91]%(jHP<˳g*sK覽[91!ݺֶ^:J̀d݈}rm(>>pSV:gUFn?ދʯTbK:ȊK@ݽsNGܕ}*#Xn|WC?Is Q$bKr҄T0Ǹ+۶S& ,|) P@6]z!~1X]T.MFw37Ji]wb&?"R8& JC2;[Wlyi>nf*exg_z&nDZ{ٹAjfݹxuܜἦK)XA[B3*&'#Bw6uw,H.(9!?(&{?Kkziq>A6๓IKv f5#~j%Qݡ5Ige#4vQd%lVR鼶%':{2cMZ-8$ \}">$7_%+0t>D!&7Vrȧ-"|}έDǐXpSzqmpe ByyȺ/LB=ڽ~*_9c='иC{{20S -`qi Eп<_npV{4Nw{ ɻpF ;J,VKvpt;^_E'S伊ȍeҶűUU.]@GyQ[1lp&Nn@ڱPO:W: ]TXaUjr\b҅!b{ϖwq཰zZO=B.dã֣'S:O}dmV9mΝ_)rC2}$)X{W,K)&IJЗ"u[ (,aٽ~)śW岼Iʅx[4O_}B}';ׯ45'DS}WqxyH5 hdJr׏߈?{KQgV BDc˓r(ّ/oR*C #Еo$1 HX9O oA~hv5:*8I~#gU4~;yxF)D qAYCWMl#Ď%1~ 6 2:"35Tk=tkXV#].m+=-V1qkl(5=y قC}L$k~qiMyvdgE^S־Ty3&C2&6 Dmrɉpm˻`n+@yGXO2,W2&Ŋe[ ,0 ,ؑNyDCOz^ϭCכwhoW+n_3,foʙG \[>1sQzE,u2xI 1y ЅJ& c{$nl D{]&x'QAEij{ (HԽ7-~&D#B\O_aF͜ƒk҉`a ]0&~og~FXFC*Co6VQX_, HG=(ùHm+ T: ]eBIyaq>1a~TP}c1EKx%ED((? K><NJ>YX,9Ӽ/f$5u%K,*BSAf4Qd#LEv}5Wc( 3x rhFƅnjgZX(F훸mriR=.SvjiWj !N ZOZII%]Z}"uP/޲_r<9s ޹ȈZK܀嵣&8Ke'Mr}R\My/~OlGjRy r)?q4*Ai<_p/[um-z?.f<` ѵh6KV׾E ٩Se\~5b͸XOمzCy_o2*&USZ rfӕc endstream endobj 2226 0 obj << /Annots [2227 0 R 2231 0 R] /BleedBox [0 0 612 792] /Contents [2232 0 R 2228 0 R 2229 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10141 2230 0 R >> >> /Type /Page >> endobj 2227 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2228 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2229 0 obj << /Length 19 >> stream q /Iabc10141 Do Q endstream endobj 2230 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10142 3256 0 R /Gabc10143 3269 0 R >> /Font << /Fabc10144 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo) endstream endobj 2231 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=237) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2232 0 obj << /Filter /FlateDecode /Length 2947 >> stream xZKܸWleLaaa /HJ{=E<DbT?;BWaV 3,ߢO]}M3aqS:?;2ojߟ-q88 iJ٤>KO?!*!&ߨIgu1c^ b.b*bN$s gX.D!"22S@ PHBhݛ$̈́;I #ZɥfIMw&s}s~ {@We-RӼ~_ǢR^ At7eн?޵Oj _`7,͆A`ڴEL|!cD4v>)`wX A 8vbz1| f"4=Ip?l|CRRa*%ԣ<o"wNۑsmt=XQVP<鰪`X>笑-rOg ̳';+p[jϝ#Xa϶I w =ePGõ3f?n-b# !y/?Nۯ[` c&lN5!f>ؠc(mSYCC>c.g:w m}Ǜ+W_W _uߟ})`3;$pP:g~ZlJ%LM9q0L.qA M !PRK3Qd[. د8Pʛ BNΣ2J Є(HԽu<- ʎ#BZL'a0 ]{ga>C82@yT!!"UEDe(P\> Q n(Fpimrb` S$i/Q:\%Μb˼dlfQ)pZVt,uX34+D-] $4eWӘI" ,l'(A`O6„9T ׻s~1I쌖?b 5*LS=U1-Ώ i0)ߞԧR4qdL 甡}v35xpB'^ھ'n&=:~ޫOolgxM~77ojs]9OoO =,Y2G: ^ޟR|v&GJ}Qq<#SD3ON拉4,AnmH%kO`^ +'_hKP$SP\49WɃI >)""}VU; endstream endobj 2233 0 obj << /Annots [2234 0 R 2238 0 R] /BleedBox [0 0 612 792] /Contents [2239 0 R 2235 0 R 2236 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10160 2237 0 R >> >> /Type /Page >> endobj 2234 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2235 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2236 0 obj << /Length 19 >> stream q /Iabc10160 Do Q endstream endobj 2237 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10161 3256 0 R /Gabc10162 3269 0 R >> /Font << /Fabc10163 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫@çCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2239 0 obj << /Filter /FlateDecode /Length 4247 >> stream x[ˎȱ+@t@d]/ ڷ=T߿D>$ǰQ% #2+w%f3:7&lm)ĸxs\eri/$3xʋr^O(ةbFߟ&J?:v+Ӛ~iFi XV,0c'IӢ#^,%Hh ef΍cPmN<06[ц<-Q ڥpK ~a`98oB16fAGy\Y|  p0$ElE Ο?\?}Y8̙'VJg"nnT>\U~̓ҖyO'y[4{aHplWRUWbe@YLCbN$6&"ؿֵ`tԩ/:a TaXЩsV,mҰn *=:q/YsG)fI+J\6:ɀEʰs `󔟎yrјhQ2i @ibЮz#UB\Z^ ,ߛզ \ ĠmzD]a0 aYTƪhupj;HZ )Y4pAVĖuQ #鼵QT݄ۙjq*SC>;ʦ&0RDF#E,/>ZTEU2ۧMOq{**Qt^օTRև0SH/dr%ױ$O& e8cBRSͱGh@?H{oqC݌*ܞg;IΨI@-vTy|vڊK0EF[>2tڵnMF#09 ֭19qSF#l_ ofHkh.[ilшnٮ3ctΔR0u0i@Ӆ3Ky64P` aVٗxu|(vLX OU,Al*83c~0Xɲ`H-KuH4 6Ji6ڐe+SYS;`EцV**IE&bwA*(aBXZ|& -i9bv҈x09XuQLnmcDŽJz`ޒiPk,eVu[Z22W-mÛ9>3]8NI?+& 62Nƕ ?#='iP`?`TV ,3invR1 vjEۙ#fUAXo`1TTz]]vm5ȽB%? ;շ2QkZƍy:~ KMtl K vTVdw6%jXhϏU{ghvQj;DhdmO-YuIwa@?nc-wWf8m6YMI.U;mf ,o{16|c}~iQ89@XN܄vo- 0jBXZbjXp틒uwiF^cI~(.u[ю)[p) #%7h@cP6璐J [@qI#smLZ|eʆ\U*^qř3:c+ZcT_C\.d,P0NLLцA?nN CB[Ƌj=NjeKL5,:-^b*5I2rHAy Up-xkƿމ#ez]-6C^w[ =?[T=$ [%`͏C% 㐖u~/TOݩOZߥLL>llotnԨtB%Xh6ZQZCVt0|<8|1yejQ3;EGabc1A\RÐu q2F$+{0"5M)f'@&&MVr@{l/Yo 76?.N+wIqbEW$>~'#* .WnFp57G'_Rؾڋ|`K?Q2YY,9Lq(Gs.NCٕM=rs1mc)A(>8n_۵A8nҪ5ܴj^M o%-[|(ve}Ai*vo%<\7cmvb戇kugf0/|ʋO)or1RH߁Ggm/]5TPWl/cTf7oǶ{ʨ[\Pb?tt~QP6yJ!n'"w{"kr`nJ3Ŝr?l)4sh4]=iM,Y+5ظ="j_^OEܔSj< +9mLw\ g3XP1ѻveY]ev[^ w4 c2n7N.uة1d-K&=![DtVP{QF5o6z|Lk$GjD̓Ɗ-jmiϓ# Ddh:V z&\crӟ8ôO +9 fVuĊ> xx/ڑ1ʕگ{br8 : )߀/MM+`R:%o-)Ӈb^<Xꭓ~ߗowowG2 @4~C)Xݑ1ɾR3$sIE:*6xS?`\_yz]Gi€vEO2ZCUg7C4겁u2N5/hLvm#8-nHrG+|b}?oȸx@CpK'5 $ sn}B, EBWdS';jd N!(]y(GriضSԌ ӋJdM&?3+ihݖZ7J7 -VU#6#(D,.ɖ0&F<t`@; COv04JDr%aD+SPU4J,o+fe%'mX,2OWSv>[VLߥQdq=W܀;gU)̗!3G k|'Ҧd  'e\0~LBQrPH|<!%u]raYTM endstream endobj 2240 0 obj << /Annots 2242 0 R /BleedBox [0 0 612 792] /Contents [2250 0 R 2246 0 R 2247 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10179 2248 0 R >> >> /Type /Page >> endobj 2241 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2242 0 obj [2241 0 R 2243 0 R 2244 0 R 2245 0 R 2249 0 R] endobj 2243 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [90 109.1079 165.9 120.1079] /Subtype /Link /Type /Annot >> endobj 2244 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (KEEP) /M (D:20221102111814-08'00') /Rect [90 93.908 116.598 104.908] /Subtype /Link /Type /Annot >> endobj 2245 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (MARK_DEBUG) /M (D:20221102111814-08'00') /Rect [90 78.708 164.844 89.708] /Subtype /Link /Type /Annot >> endobj 2246 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2247 0 obj << /Length 19 >> stream q /Iabc10179 Do Q endstream endobj 2248 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10180 3256 0 R /Gabc10181 3269 0 R >> /Font << /Fabc10182 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7P %thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM- endstream endobj 2249 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=239) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2250 0 obj << /Filter /FlateDecode /Length 2706 >> stream xZM$yR.`4f/~ORf){fa1MRD("B곲o[*}R3ͪU$YxZ25mU`z`){pw\䍑1)았Gc.3cigH]rKTi "r$sH3x#**N{e#>sտ!*>P[WOget}ʉSS>D% !?-o&v d!rSLhh K+x֕ǶJK۝alqk9'?i?=6xiLP; :)Vqrm`_ OHמ~uTavwG0O홛Oc8)6:dW>g:hl[|G784:9׎&_6!<\v\8臹Q+r VA_B<թF|Ś2\-h@jSS %m9^a|N"%<}T80p&dkA4X4 Fڮk$kyl m_&J‹< URh4jdVX,:d'QX p MD+.ϯ͕o?;$$o-#V,#WN/РBSA~]kV?^l3ݢK1ɻWӵm3LB7mEDR$[Gl!҅\G9Mo^ֺ ͌rj)x\%O} w΢ى]NGXQm6y1-XFOcL `2Vl{`EuWrY4<򅘱 0Q<5 LRx7H짿I!QTS~hCF& f S̋~ɍ4(똵%&9BEzo8NR2@n/ 4kYڂqMSb.XP]H;}zK띾qjӫQ .[(FwHtɎbWG^ 7L>*AC%e0tu!ck =ڒD0 S="Bٷa1fe'0$[ ((2Gu .i E8#" zb}5i[ 5Ec= O |vǑ**gD,CDBϴuP'rC(G tG@.Afv*Bh+ߡ2w> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10198 2257 0 R >> >> /Type /Page >> endobj 2252 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2253 0 obj [2252 0 R 2254 0 R 2258 0 R] endobj 2254 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (PULLTYPE) /M (D:20221102111814-08'00') /Rect [470.865 648.3 514.27 658.3] /Subtype /Link /Type /Annot >> endobj 2255 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2256 0 obj << /Length 19 >> stream q /Iabc10198 Do Q endstream endobj 2257 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10199 3256 0 R /Gabc10200 3269 0 R >> /Font << /Fabc10201 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2259 0 obj << /Filter /FlateDecode /Length 4437 >> stream xڽ[K6W@d={$2=G%U]j۴,Q|&3i蒊>|LOH?˫|Lnzq}}NV]9{NÞ_o\=WK+=kg\՚WNHoy82HO 3\HmbA4 xL!V{0sfcKڨ4L}g狶&lX}NΦPM:8dCitp~v9`D`e&)eJ:B]F': ӈ ~1nf ZzGWlߦ<`34aN;9=w-t"R Sρ;0ܦmЋexM z,XhA tSc7Bz5i?(XA h_ ޚR94%M,+ Px6fE &#z Oʍ2>.:/GCjK > SY: `>;B4sm_q9w 1taFkzA=gF&r>]@ڵ)ܐ:ތ4Or*lsHBV".&$Lkv9=x7B?+E,f7ְ$GVǼ}r]֨a=۷߱4"`.SmXEydG _5&jaUb^<(f" h`=]syRI/LJ@eԀdI}4F>2F0x庁 Xaڇ]ۻ:bb099XEZI3L!Vd1^W X @!3jOO Põٌ(F#㞅ɠRʤg[;j=MLCL=4r]{al?ft#:8&B}2YCA͔,*sp^ܜuR"fENuc4yIVMsGR)e mgѼEz̥ʜV,)\< 40zj !ʸJ8d*$xT ;v $ЛءBL}M0$nBQQĝ׬Ċ*6խGT쿕1p HM8/Z$j3 բާ̔=7I|\Ŏޫ.Wz޻WUg d5\r)HSu3ĊS52K̀ץJvz&b'jIP0J]1Q8Y zDsEmؕ-ZZt$H0Ģp>G;C-0|PEJ+rP F#t~֧;3p;-XZפdߋw5&4֛^&]nTf̮P[DRsw룹vsH#rcm fLW:XJcdC>){JH]W4̫\Š[o =ϱ5e_^*/\eb5 U3;^cg-aͲ-A{nشl.7F;[oLsX𤌁Hce`A^#ڽ2X6ԫiY[jֶ5%,:hWC: -n14^/p"Ww\ b-1~KV1i`Yo嶖at6Cvة>*kIph5h4ƕxIlO>H|goQcBJ>l:I&e=Mܙ%E R}^+L_.PJ%6tKe5pS)mfoH·Z}3j;GouTK_)wy90& a7%Z$?EE@sƧmg8x`Cˆa K)\Xel½:ѶFjDT. 6-pO<*%Ox6V^x٘٧*Vlr@98ͥ a_Es'j'KMꊡxOKyu%a\+}YD?u뉴EӪUWNqZ2X˰@rKiLu3HmLy2=MdgvT+)?dGH՘P/x&~]8ҕzZf+lodяY&F럇Mî]_Y-!踰JMWGK;`oFȝ&Zc.m )|Ǭ7!渻.#:KM65[_8LE+ٞ|_Xfǥv*:$.zdaL6^=P؄ pBBaG T8+=C+Yvя1Aq;4S ` XFbLsԚ\<8bw#T0"(ֻٽ1̮ +m#F'n՞6$bKwY`,~G}M̭ aMVvs0D-.[} ]dʪ1|)EJ堳;[7G20@|J 4Qkc,I2az:$7!6$eEVhkXybs<IAXI ]+){WT[>Cv?hF㌛q룎P@^LK贋`E4NµX*ɩ2 Y tDؾKTPE\ȆҡHxnwG0WO|ϝt4_vU7h Jf4;֍=aP3>@lr|hiLb2v 0س9XQh0V"@džmCGHȒ֙6GM ׺4YC*&GLMۍc=&&2('i;I`S "lzԇk"-tWy" ":QUf@A&#| :P&ۈN D "<e< t-ӑSAB)zrl ,),`^WJGh;R[" i4l\xC $ n-[`PdRNR[:wLw}zR"tr![O[j+Xha#Um%f=PX>31\4j6-ϥiK+HIwr|Zel[Nq+}*+P %:Fj mNt/J!O!fo΅F uX(jg߅\ /kڮKrBjgel6ϔr԰PvHKt:zUTP-)9j|&t0!I0Q_aM\_NZ_M{(Uf|c6P\?F 4Sqm[Ͼޟ;ѵ@;q?+Ig endstream endobj 2260 0 obj << /Annots 2262 0 R /BleedBox [0 0 612 792] /Contents [2272 0 R 2268 0 R 2269 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10217 2270 0 R >> >> /Type /Page >> endobj 2261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2262 0 obj [2261 0 R 2263 0 R 2264 0 R 2265 0 R 2266 0 R 2267 0 R 2271 0 R] endobj 2263 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (PULLDOWN) /M (D:20221102111814-08'00') /Rect [90 401.7038 152.8375 412.7038] /Subtype /Link /Type /Annot >> endobj 2264 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (PULLTYPE) /M (D:20221102111814-08'00') /Rect [90 386.5038 141.2765 397.5038] /Subtype /Link /Type /Annot >> endobj 2265 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (PULLUP) /M (D:20221102111814-08'00') /Rect [90 371.3038 130.403 382.3038] /Subtype /Link /Type /Annot >> endobj 2266 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (BEL) /M (D:20221102111814-08'00') /Rect [377.947 243.7538 397.0595 254.7538] /Subtype /Link /Type /Annot >> endobj 2267 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (PACKAGE_PIN) /M (D:20221102111814-08'00') /Rect [411.675 190.0038 473.89 200.0038] /Subtype /Link /Type /Annot >> endobj 2268 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2269 0 obj << /Length 19 >> stream q /Iabc10217 Do Q endstream endobj 2270 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10218 3256 0 R /Gabc10219 3269 0 R >> /Font << /Fabc10220 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 2271 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=241) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2272 0 obj << /Filter /FlateDecode /Length 4339 >> stream xڭZKo8W<4|?^`9t{ɻ=kRik{ʕ"` >ru뷹\,AF~t:%_ه߾毿}jSA31c^؈0bL> =>}e9вTcԇX<0zkB-LJٙArJsmMUI + ۇbN^9qf"!*UxK音Yks495!οiI7ba\ˊ$[m*~(~.o;/Dtq+_&I3xyg&&,n ;/nuS[!7B#d/#0w1G?ƕAl^mq\nW\U|T5yP~VIkd~JR 5BGv^uZn.%Q/[3-2?:֟ m.g=Ѳɟԭ&]Ai&cf7cFN@J|&0ÎPLۭډu{`0ZVMnG&&ϢfvSLY|{G<!p'c&RX^h'szL,&\~L_Tl[}NOn.}sXIs.Vf *S]_MO!ԥ>'?ݤ[7}zwtvhI]PlI~ZXM;0-uޮЀXt/F I)l>,b18\N8%3h=yj 'ɷm,.z5w؂Pkw|:f@w]u>*7|l+!rќY}DS&I XU9G4:"Vjt4Z7Q2$; mS)h.:w \Z#d*(dtO+ {90ON5ٙvBi)u^'6?^ٸ-P6s#dKKrwɓV}{oY>s7|{rɪAҼ_tMʀ>E7[`W,Ч.]ſI7{+f-&F EAA0OI.۸3t-;H( a_$abϻd-v[j\j#mv mlJ-ޤD~b,̾ApRaSwOuád@E՗c&:'꼸M#c0 6Ty{ t$h's1\ܨ=Jwި)wWИ!KcCn}U!K ^KÐc]Z;̛]"OYK5|oe"NX  XF5wG&n tj?dC:`[H| o2$B^muN3BMՖgnU*$7N/͕/]vcM 75L[K4eIS{bӴV5eH5\6({[{okE6ߊlPdhL mnh]ߍ)Qkϙ8R\2?D]t.K $0rpou#<;kuj#e34[ M%LL`Hm 8{)$kOC˱_B.֝#Diڭ/{mm5tV aveuʹis:;퍽&)>VM [c{3ZI>uy;ㆼYzU#_ ,OVH)T羬~n}KCD׽4*i(YoJ _meXcȇ*?fB+ G~\J]~F!Q4)x4}C垟)Jr3>”䱈 6qsFӊ8TpApZa Y>/-W)躝e-y|9 xJm@ǭr(Ƿ՛.aD躓"{?<;ӗ:e0PH5ZT1o?ҩ(@/KV*5_+/zNECO-R\b@i8x^wlrD$R #&[* c3)R05z >LGF Smx˥n'd??6n[^_Hdnw%E`LK$t$9AF#lpz b@ BKߘ!V:k0 ~Dr*v^})X,zodI4=X (!|1_TGy*673G|CSLQB -[{ U6kOw` 8&Qk4?6O;oPx7;7<,G˭̶S4kW&4 U|*K;XzyVI©qՑȤ߬9B2Hn<>-W e%.ɔ9D ^@-2RO8@-<_\QkSj[T&鑝:^SdϏO~.b wt78CͶZv:yXych&뭟ޠv?X<w٨rd0W Qʩ$0r4VY%-{c<Ƞ'cGs[ЉZ*m* mV@;WI[|g]|o\|:<]1uNH}{8pPyGFGŤFi!=*iuޙud4uLOi0B<ߣ% LN(:V*vr+\vt+*ZH'9 ),UVԝղ܎sk7X׊L{ PUdȅ*M2U7_b)I Gh7Q6gYJWJ SkPtjqx$Xs*F*Kas>̾pzQCl,O.V3b0]UtdA&lF֬U x BCW}AY^W7&N,;`dCYNѓ3YDr`%E !ָFE~󴗮P cf$B -s)lBc\0ciM.jal:_\ mHPs<ۊD[/sϛڵ.e>xχtA;7;Wrytw"#~!Bb//_nO׸~U\c)W EAwRʪV?VO hBk%qOUvm2*mrְ0m%եccœ9`*3!m Βx$ QdD;\8`?u34 endstream endobj 2273 0 obj << /Annots [2274 0 R 2278 0 R] /BleedBox [0 0 612 792] /Contents [2279 0 R 2275 0 R 2276 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10236 2277 0 R >> >> /Type /Page >> endobj 2274 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2275 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2276 0 obj << /Length 19 >> stream q /Iabc10236 Do Q endstream endobj 2277 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10237 3256 0 R /Gabc10238 3269 0 R >> /Font << /Fabc10239 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7P`QthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 2278 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=242) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2279 0 obj << /Filter /FlateDecode /Length 4006 >> stream x[I%W/P@Z0 a0eA6 ]d&m[mT?df$ c.^ru˧ˀ%Xwu|[{OT1]R~y=1 ?_6&\/@; iWrJ_76f,Mu_uјI΄ a gQK LϘ LJc9_9a퇙q,j_d>A"= n%r]7a]uчi$խ(ǬbPDAr85=)|T(,} \e%++i_$hԺmKi;ȳ\Od`P6 Zk@lX-<Ɇ}7j6{lt6f#ө)f4T}uQ\kY~?-XXYod!+㒂9,kurZ,AeJi#JR3(,7e.^>-AD] `E'v4rX!K#\^Ī+6|X d"s LyY3O |0t'g*(IN.n6jgsA/† eǻب@_QyX;>-رq !+җS6J!jS%;=XaNW{C|(PshV!`A*:Ht[LNas@ZN &eօ1>R&4}}\ TIKcN iq88[48=ЩH{bS`xP6Iԟ(ѵiYx+rEOũxeW45"VbXҶw5opQY 6(Z\)0|IRaPGIm#}J)FG"B֧O`ŵ!PBAۺ`x2J+0a:ug 6 =dpx(<+ܴJi i &U]f &uN`[B5p/YԦH-bGbBӘ3=01'N0Nٽ+sЇ ܭ!!hPcelp!(Eq#"NHo .J\i7ݕYs8u0EKF%scɍzsl$yc3fT)P%I2l}pg9 ^#(~OWl;q_1Îm1iIfSI~!9+r( "Dz~^gX™S}%'?K@%_,qfAPC\psqOZ%Qk u#fPW&gYU# ?7g"f 1\/2b~q b' Q?-rsźr[HQny|N0 s5 wYTLr'9>mp^= -8 j>R\Z RKoHɮ^!9z,_:vEPxN*~ҏGU3/>R'"Kƍ:MbyBA~>$hl١ ͍#Ց¹6g+GYU#_B w-QĹ=!"̻Ʊ?'(2?)Dԭ3؟6ި{(V` ׾X V M\%*q/\8,VPN ;Wn44߯H`T ri #1RE"knձR8fW戂6 YfwBB;6o? #y6l7K[Xسy?ыʦ endstream endobj 2280 0 obj << /Annots 2283 0 R /BleedBox [0 0 612 792] /Contents [2291 0 R 2287 0 R 2288 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10255 2289 0 R >> >> /Type /Page >> endobj 2281 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111812-08'00') /Rect [248.631 123.8497 283.897 134.8497] /Subtype /Link /Type /Annot >> endobj 2282 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2283 0 obj [2281 0 R 2282 0 R 2284 0 R 2285 0 R 2286 0 R 2290 0 R] endobj 2284 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (BEL) /M (D:20221102111814-08'00') /Rect [90 538.8 109.1125 549.8] /Subtype /Link /Type /Annot >> endobj 2285 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (PACKAGE_PIN) /M (D:20221102111814-08'00') /Rect [90 523.6 163.106 534.6] /Subtype /Link /Type /Annot >> endobj 2286 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (PBLOCK) /M (D:20221102111814-08'00') /Rect [90 508.4 132.438 519.4] /Subtype /Link /Type /Annot >> endobj 2287 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2288 0 obj << /Length 19 >> stream q /Iabc10255 Do Q endstream endobj 2289 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10256 3256 0 R /Gabc10257 3269 0 R >> /Font << /Fabc10258 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nY=~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2291 0 obj << /Filter /FlateDecode /Length 3580 >> stream xZK7ـ|?ai`=$1bOu` ߯E6՚]d=zTFi+>UfT*"iI}eey4$WQk]IXFOZJN'8XzM{jIWOSϟ?O_+7:eq6շ/ԏU Z' :Er&Nk8p py9/hbgo72O)@X{>z4 uD5ߓ.JR|й(j\)Cw**NjL"j1*s`F |t @E9 els4+y o\`@X' 7%e6:_( {8 3Sh^eIZfI?r0a*; J\pfZٝ.GK9G6გjd 4a8K1ɷlDZ~,W'w sagM% 5R*La+ &e}to^/ c9)Ѩ~PVgv[@+/S0<%ֻ_}k<8[/g!q,(kYmVj9I鞓` 'iY=Leĝ9h> Oߢ$,-/aWp{Ǵu!L%"Uq޵4=̜$"C^|A 7ok- %϶_>-08 jifG݂=gaJn_=S=LA*ج |BFἱ[=^qWAظeC-cNAE>tH-&5wbgadA~5ŞJT⯠HcjjT'&uGDd8X@~lZXZt&¥jpxhbZS/.~cC oM|]NK;W9`8iG)rXf;}F@V{z-&짽roxtNwr`|[ Cm6fr!ٱ6uq#ZkoH3 M`xUMN-zW J/.L>l3;{]xdu5TzY2@^2yy!ETnF'J9Fwv@eyT&z?lV9b }|J締Ĕ`ʯ?h}7RW jƠ.hw$zۛn8kMX5No mBȰN(0baWcɔ5,nz eKvT7,96YKa+ώېj5 6T`q5R?.?*W^4VfnN--\Z9)-d{4߷Z_F7:蒕ݐXS+;;cilf_܍SM%nt _q4s[9إSijm(;psrU[d.m̦=El²#akRވcvl^嵈`ƶwױfx݈H"݂DoBNOntI66~:-vO7[wJ+_7}ެH;6yAۗڪiȾ.8h$zhbWW/+PF!bL턦$1[~:>Hȕ>Pاj=sA&2 ڷ!"Jujv`qj*y o v}#}d9N[ZzO&?SՑJ.ԌaϚ_NEIQY]ZfL'46ل7"&KzQfx I68PU&",J Pg 8AB/Rs^'0ObCfJPGXtW젣>E8 ̶PqRl:Cu|VG5S perC4"Ɔ*C[Eʜf= t weYШ_`| HЅ5K G9$7?ּZRI>N2ulB[:#hFSРV;l:Bx/+K &int6#RWF-z ]2LX` :R8)~.k*&OzHeb#uorS^#=yW];ݔu7H*E'h;CC=;k`Q[B= e0xu!S@hgsD(ѩ d@yzGdPmhk+DMg&DAk \<& (i!I`ӋcH@@>q2PgX i':!tFXB(G xׁj#@`'RwRd.y2 Jm/%@vwg7̖dSK*Zf8_St-<f.TiWk ZZKҜ]Mc|m92 >ɯO6\=ݫG{0d/A- yoBY<҉ؙ۷`\jԪ FOr| gr|ݜ+3b*C3=*Nr̙ Z\Oktn{jޚjm7xgבySHgz; -'fBtiImE 4}Ղ CˡkNH}R:=mUQ N׆m!f> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10274 2297 0 R >> >> /Type /Page >> endobj 2293 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111812-08'00') /Rect [387.9405 669.4539 423.2065 680.4539] /Subtype /Link /Type /Annot >> endobj 2294 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2295 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2296 0 obj << /Length 19 >> stream q /Iabc10274 Do Q endstream endobj 2297 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10275 3256 0 R /Gabc10276 3269 0 R >> /Font << /Fabc10277 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2299 0 obj << /Filter /FlateDecode /Length 3934 >> stream xڵ[I$ǯ!IAf00vƧ{0sbΚPDB[(reԣ߉/!X57)6FǷmRfjrʍo_2:\.JBR)}嫫ϯm۟Veդ& JJz?aNW^jc'9hx3# qI&M .sۨ ,$gZ+;) Ey1FTUb-cBF)PyRL=E\Z3ؙ'ctĆX[Lt!!@?7 Ȭ.TYj""9bpΤ|*eM;[a }As6Y" T(6FXP977U7eX3ڼ}Er <h٦Qɐ돣3jFPby߱`RBdP!4n"KfvڭypD{3jւh+z2~t~"~KWɚ4ykymf_S*]f%RX]~Ii Ch8  ~^@ H# :o2%b6Ev|B~Ygme(ܐ/8iT̳cyO}X"lo4y 1, oU+'}$c-yeWq+E=Nek }=bCT0ɌCYv< \h{/>{;!{f|zD&tQYjis쒚EH \R7uӅ;ٍ0#ɻ|vլT UTW M:Ka#hG;=*..^v8CؘMƜoj̈́.k#On +mu̼Ni(Aw5gu+H2gH(YfnuK}R0WbY pG`,N-lPD7ewlaH睘dL\1qxOu눩tˣ|}9B'2[̉߿}fz /qA4 = aW*.A',F[u;ls^FbuClnoEUѽ$T>'S}GRR|,jj{+}"]Gvs}&NI8vE+fl܉ up@TT\rZizX ﷣3$=%,t-ʑ2͹sl]_bn?oKQVKf&q|$nS%A+ӒF5C"b7Cq2 P4uD3RREHi`s,WBzwABx>O.52z9\ 11p[H6kP1{oM_|~fͻ vA낥^,j\L)ltKb.fGZ׮IJhV qõ=|9Y+&5Y< jXX0B_y_9$ڟdpv깢zbdh* 8*"ZyM2tuo'Jn:[aGG[ EfyQc=9B /'lUk[9*jRO;S9uGK 3ɶTQ҆]*uWPbbfl1&y!/C/|'o=⧅VXཟ R~W*g5Yin`{>&mݬ{ȶ+3 g 8RX4˶GzXMh;QT%jȺh!@o?8aZO6ZT{̌Ľ#U(iڏJd-_kLwV547 ,|_%q.d-p~n&4_ ʇ| iȌtţu~CD?/F/R_ax;GWXg9jxʱ_eLW/΁5wm]sEU m.E%tX:2#<%kUd!-,م=r+y[VU*@S _S@Dψ_R返6Dž]~u nU<}fM;OOɰz"+fvz `mvd?O~̍|ݷr3KR{AÂ{jUz,V\qI<(n6'@}x8v/=5Z/Ҁ!0RL ~>ے7RvB0ބK@"'o`N7Woʫ/_ƓW@d25V"%nLg zN r%q2KQ_X}pdٹQߜ{KeM74\ .=9H@uh<б /֤`;<fATCƑGj3=>|>%-<9۝D4u ;m P)@]RMog( 4[ހf~J,mgB+k#P/Hg]jvu:X?l'~#S#/eCٲל9?d'yZihłZ39:hbvz_D0?T,'I-0OԳ'Wm~ᡷϓ4rftdgز1eXL"hitЎPMۈr4ckC`6Q"f0뺑A\ss4(2r#@צt0c)MiيIXz iL牱atm ;w{sf~D F/$8pP:{Rb`2R1Zgj\䣗mx69*F4`^0`gI]E#B:6n.@L 4#ea>0Bk`:?qڟ: S.P5 M ȚSG}K_*D3a/,a("rz!en'~IJR[@r|SQf ;fڇrY /]SOu ΍?9dl|FOQ#3@C)Y~l3 .[tv -X3q-=j%ea&1N 2'N|3x>ȵ]3{0Ӡ;+A,HaPX<Όqe"'g&H"$ .H@էA"L K91x+"kljEXr t硹^<0Iit嬚Q^kՎTez|~nM2p|+[Hsmh4ET圫"uys/&&Q J ܯ2fN95w37<>Oguln;d9u01R;`Gᤖ6y&fΪo=NuVVN̐ Wx$7:*%۵NթE6?4 endstream endobj 2300 0 obj << /Annots [2301 0 R 2305 0 R] /BleedBox [0 0 612 792] /Contents [2306 0 R 2302 0 R 2303 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10293 2304 0 R >> >> /Type /Page >> endobj 2301 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2302 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2303 0 obj << /Length 19 >> stream q /Iabc10293 Do Q endstream endobj 2304 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10294 3256 0 R /Gabc10295 3269 0 R >> /Font << /Fabc10296 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYMޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}6 endstream endobj 2305 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=245) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2306 0 obj << /Filter /FlateDecode /Length 3486 >> stream xZKWP~vfvA99ɑC2琿&%$U+4xIŪ_Uʦ\|0D*$gVlcʪ8ۿԧ{!o?Ob@WOaJs:EAgm~k} /TO/?=h;ˀ}Y@\M -ھTg.NS;h>~z$+xo}|/]2*?U)꭯gx]QFl ~r\q2->DZeW^~I9N{ހN 5t $3nX7q2A6 "g0ŊLe"۳s/94p׍j&Pf.D}UTtQ-]F0Xzv+ArN]W Ysᐛ\NU;-6ٝ=Os7>\vokݫUD;$ hDDl؏CBv'7.YY$c'X~VR~J5vGLB Z4pG%ni2ϒi{s xZ0FS;';˜73'PppĆ{\KYkdygeM~ Acm kKvwX$'ۓܭ16Ԓ#L- fllTzISmBKa<˕wQAW;E՚;'ы}fy6[ V49K3ZE,ye^Ya7Z*U$y."a$e ޕu(qvUy;uCZen+S#2k|ϫ:7OUf,N5Y$Hk%&EU}cëqi]OmI{]^l-16b)`<_Q۾E/,}m1kkhcZZu}b6ylʼhBnUtG|9I{8&Ⱦ֮v-Ů2]69V%6?!D 5<DqrckgV]6:CѤi\\sL4{P}@u\C.m*8ƹE39WUqkZ1fju>9g3ut$勝C9՚ێz Ԙ9{faeӣ}k0*%nkEHS"RůW*,}dfR{n 6W s̮ahOh&ƙB7ϻJ k_7|C#]֙ ls:w; ΢6qjG(z}D]+͝X$V P.4 3Ó -Q2[„zvN9dȚD\ngSHeg) ]Aiq°0ueʜU@ed}QEq7ٍbg5wȤU˓䤌)j Oq?wUEzW3lszνUνm9^xXk^]6˖_KLJ\&0϶30!=[+ L7j)b꒢)Av1ȸMo-[#03& 2 Ӵbˏ'ejKӈùs Ζ?o\57- Saū62LB<|2&X욋|rQJnxUoJzk݅wm- 1Jtmc=⹙O(umKc&KŽ LMK*Q6P f*\|!N;;%ktv&Wno+6%!blƒxd % 9¾)-ް|6pAأY(olZtܶGjwtz3XZ̾N0Hl\Py8SKv vpWq! 9%~\t3- 8Wӵn3T½::i,9tBъ ,"ÖWK5t-H^-x饉<:H y DNb-J[G@@^+m1\o%t mwgNenf2l ,M6E6`ŻkA8B+9*}c]SjG#̅}$,4&V"+`Yl CqDԕ s-'.of ^Zs4$rxu̥mBcyd~3a1v&h"P6~?ϥiVŕ:Xӣp ~ Eٽo7w(bkI -'+$Zͯܣ^}Oihj7x#{cϿJ!տN2:I?^; )|+]mTPmT\4/DYyN2VN׆r#3I#0y'ڦf5'SgWJ\.B6㓬ﰅzuAw#y07볟sn"*~Y>?th endstream endobj 2307 0 obj << /Annots 2310 0 R /BleedBox [0 0 612 792] /Contents [2318 0 R 2314 0 R 2315 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10312 2316 0 R >> >> /Type /Page >> endobj 2308 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug994-vivado-ip-subsystems) >> /Border [0 0 0] /Contents (UG994) /M (D:20221102111812-08'00') /Rect [234.947 177.85 270.213 188.85] /Subtype /Link /Type /Annot >> endobj 2309 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2310 0 obj [2308 0 R 2309 0 R 2311 0 R 2312 0 R 2313 0 R 2317 0 R] endobj 2311 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (BEL) /M (D:20221102111814-08'00') /Rect [90 498.8 109.1125 509.8] /Subtype /Link /Type /Annot >> endobj 2312 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [90 483.6 165.9 494.6] /Subtype /Link /Type /Annot >> endobj 2313 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (LOC) /M (D:20221102111814-08'00') /Rect [90 468.3999 111.4335 479.3999] /Subtype /Link /Type /Annot >> endobj 2314 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2315 0 obj << /Length 19 >> stream q /Iabc10312 Do Q endstream endobj 2316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10313 3256 0 R /Gabc10314 3269 0 R >> /Font << /Fabc10315 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(RDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2318 0 obj << /Filter /FlateDecode /Length 3810 >> stream x[KWle`g6YƮRkM[G+PFi;%&R?fwj1ܤߟLwWƨw֡^&eW>>iM/Z_ďzVb9k*>^⊶I=]tZ۳66=OR^M(=' __25"7[| qQ!?>|~lG>,F*gȓ6 TYoā5?_/2`@k~L5,|ƿ닗q?7uҳ >+~7<|/{f03:K]V{.LiiIJڜ%sHk!3q90\lE-8!3 *tLM39kGޖA|elJ.k&.y=}fy{| $ӎLڷ__^,-쾿O&r4NA$ >45,a2wru XvmTg1.J4ʁ޸gux,apkqgeg/bhnτ$3}^X$n0, f "+,Ɏ7YlM^J)S,Ցp9%J| $D1!yק;;tQ!- ˰F\ɤ`O?(RP]p-nH',,XRO'Hl#Jl<*d5kbXT[ut!a;ձm>(˜(8:- ߳T$OB%SZ-gijd"ݲ?oIf&L;,3 37j0ދ$/k{5>74IRTzg TװfI&Ŧ,.(4}+.e;͠Z~iifj>8l.+K\SXB_q.~^g#!W5N^ zًMJUӡ 8:3;D(*<`M3/ S.4/ ӧmhP54&q;ӁjhW[}TkJk 3C ˎGʀl( i%ߒ;66F/:$ z_6/$zOgDd WU4WC=*2o&r78 kq窾pAֻOū겊F#hiC8np6|}RW$[|bC] [݈y=xݤՔmx~.20WKM9^o6к[zdZ5zTZgFilff҄BZo=NUAޢv߅DDsi{LVķKn{tMNwML`,Zx&$#p;qw5_=P=Hq(p%m*㋽-L0;25NE_QN;n[` rc&E+?DPkF(4<۱n+X5qPX4[#wL%95a#tXΩm97m9?DrP|o lI=n&m,*gRofTvD.m2*tRyV~-boZ%h841et Xg͗W4x7hE9/1-;u 9;gK;@zp 6ȹ/#/fvWdNILqQB"`9L`X=i@\ܘfQ})fJVGt^ߜ{C'2!D$dN䁌R|@@O ƒh~Dy]ZSν!L#TCsuЬw+{c1SO+(C2 Po<C꼶ӝ6N[H!fz{^6"R˺+^e|]"mim^2H+Mta`X'TtArcs{#TXFX"=ǔ=JlCPgG X>T$-65 Yݓ|Zօ?O`w|,ߐ {EB$jHqqO?(>v /5VhP'V v`Ge< !h6+T m8"ejm,Ѩ]̱ !w-ڌ\B(4bR$'t8X[k>;}-WvNcI%&^oAiˎycoC^ 5|`a>*ZL,3h56ijSqy!4J*i @}le#G57T6.A|HhTU+WӖ! L'o0fF͔ƒkX ,,kڦ`e{~ZB,CT9i'3K\>qt& At-]{(T ڶULcz* 4} s v m`N.dl'O9?fwe]nlӱr' {4b͜i> "CWD,΋iLI"A ,!gU/=O6„Y |웹G{0d:/쌆?b4*tQ#ܙc\V"'W&("'E/S`sATI:Eܹ1mZx#'KFefxCV+դ> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10331 2325 0 R >> >> /Type /Page >> endobj 2320 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug908-vivado-prog\ ramming-debugging&resourceid=using-vivado-eco-flow-to-replace-existing-debug-probes-at390913.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111812-08'00') /Rect [418.317 206.0577 435.279 217.0577] /Subtype /Link /Type /Annot >> endobj 2321 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug908-vivado-programming-debugging) >> /Border [0 0 0] /Contents (UG908) /M (D:20221102111812-08'00') /Rect [308.757 191.7577 344.023 202.7577] /Subtype /Link /Type /Annot >> endobj 2322 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2323 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2324 0 obj << /Length 19 >> stream q /Iabc10331 Do Q endstream endobj 2325 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10332 3256 0 R /Gabc10333 3269 0 R >> /Font << /Fabc10334 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 2326 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=247) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2327 0 obj << /Filter /FlateDecode /Length 4878 >> stream xڽ[Ik,IǯsCF(%eCA9kߟ3_#ry.f"-|1=zU;Y~[LޭI﷟_^} jQyޯ_=)ޟ/VC_IO~y^oׄ-8t^Z.g]i.JYR_1?agZ+B 2!M,ڎu# 9g6.9.k ¼9|9rpl&=gև4xi󘛐zQ%J42L&Vɮ^dU׺-hoj•µFjѱ¥) RAa^}ΖŁݭ6E+`뿱&O2Nۻ[˻/?We5DvX:dBL+oO쨽rF] d |/i4ʻ| -Vˑlq]uӁMd^s"b)}ȭ^S?9py2x{o:CtqӜ-}`:tMLi9 !xme89ڿ #4K:z5Ϸu#CLأ,OrgL\Qe:; lF;^c۟@ 9+f4^5~j|!H ޢJB9XaX/~фa .qk [ #,c03k`1nnTba65{T i; o-/e7;-Lk1| s~Ǻ0/N]zMOa+a۫ZC %R2Ѭifї.*KER\)KnK(HEM5z=BArnXH Xe%3yVwrt ߴؗ7|骖>qTUm[?1K<7sL#Ƨ<,Y\ભg6Y;•%~>DUwu/KWq/Qd\3zx ZgI:|񫈰ȗ9kueʊ:(VI;׏fF[ 6/o5~\`zƩ W@7~b>k-O{ohqXD>\@UnLsXF?Mtal@Ƭ}>^\ٱףUDo?Kj =4MbY۹r0"?&Vtnl_,1ݰ,zۧ[(1m&9jB εx#1i8FB^tL aKJMйV!Ө4P+gpblti-hְ܎R&'˖^Eojw  ad&IjYJol ]-GsYS1)_9tn1o pv275wGd"5Ms3#XmL)B4ХnwW"'p /Ug) -b RS-$CM}GUڰz7 TCBG<_WK % X [/$ 萏ձ9s2_ b )9A-GxN|=?֐eS5-ZX' [AOBi_N>@GE:+' :.|o<#);cEV-Fhۢ )S:!'9 @أ$RQIߵ٬ S^^+R-ң% qKOmVM) sat }q&8|dz' :vN-.SDea<X wʖ,e\'2[ CܠL 3P# ASQ XZD&, 4-.U8 )P԰5'hZKM*C Q-N"Ď1UQ\3uJt̛}Yw9Q@>Rయ.KQwDr*ۃM*dͽNd\Vi Zq A/]F &ui'Sވzd#l(oњCorԆH4 ; a+uC.(CBl,|6Ap{y/Gy;bHy3ZQ*==$HxXZf1H#UWZz쩸?X#I#7[9: @v\:x[J.5#)DB1&?FWe{阞W2V&5mFpg+怗ZN1|r(bw%U.MGP-Vvw>jqRfSʷ$/(^ZQ>K qRLWtd1kurfJꥱg vreȢ?hWkϵ~^vE=0K*R5 4(*<xtWYl#ʳcyMƭa;hT\K/KeJA晄jYjd:^uq 0|դ󍏓x0m}SƸ ~P&ncKT̫}ZJK(ba\V+ iN|@̡X 8@PW}bb"PN:w?ϡKPpXΎSexЎZoAN^o^=x8`+R2s%#]y>5~s\opf\?O]n>8~vT*y:.<´1vRa %Os),ʡ&]U)`g&!֋wQϞ6:b+N!=c(!5&HkN2EԲur@&N(,f%`ěy`{\z;Tt f3j'&eڰS%@Gc 2g8f7Vbi9fsuaYqczVFK]vN~9h\JuU]n2h-c`#m`x6HrM pOe,:A!K.O0K/˒;4YGjG+hxso{RJA -.ŖNg";l-e`s{ ^H'ɬHU`VOs+VeEvSֶ2I$DeٺUMhd#ϘKmPm2&_a4ڮqoDV`;ZŃ< Rĩc˲oG`R>"\FFU˼:b>XwMMoIyJ?{mK6牶zczkz~56T2|E6qyQCl,.&ZM+߼+a@iZ#wҵ_oHj4l͖@gR J+Q) ^U%kW^xwٙ\ĸTJj76)+h~-fݕ0# '-(&uݐݐ]ܖR R.M~VSg~ endstream endobj 2328 0 obj << /Annots [2329 0 R 2333 0 R] /BleedBox [0 0 612 792] /Contents [2334 0 R 2330 0 R 2331 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10350 2332 0 R >> >> /Type /Page >> endobj 2329 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2330 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2331 0 obj << /Length 19 >> stream q /Iabc10350 Do Q endstream endobj 2332 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10351 3256 0 R /Gabc10352 3269 0 R >> /Font << /Fabc10353 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7PethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ/ endstream endobj 2333 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=248) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2334 0 obj << /Filter /FlateDecode /Length 3303 >> stream x[KW9 ,99ɑ C `]S_U7pW# r0VկM/*C'\ru'iVŠz7GezIU5FINٔc\zT.MNlB'*tEmix%Z$mBFq&}j.ҙF> .$'w튶N'.Bq6<w95`bd'.,MXaΏ ,Y$)O"%`1HQS|l6ZI{^<*^Clcͩ)E#ÃHѸh .̌p#.^qBdSnԸ1Y|zњ;X_7.J2EP6=`쀻I:-!rNgnǭ6D4mlޞ%,ݤe.Sh+jD:PG(2 3-w lw0 ^]t=2и3qfr5`T7]h/6F\T ס&(F뒺6ˈ.+ v\*j"Lc!uD녅uj$C|7X㉙`c;{BrdR9;"c1MfI]ȿ.rU5<.0I0cKa}i) lw|I0yчAXV"4OSLփɇ}J,gZ3H饧 l~LZm>1܏-%<=UvK7InE=܅4cDl^1TM}7Pf- 897C˺y Н\TxyGZ|s_J(].xI}/ 1c%iڢ^>) I$ pHN\=mS[ 2ˆNl8ص,]>+q.i+.5 ^܁~*EyڜU5  >.ztumm%Ln؛ 44RSVŹ'/0Β״{6$u*&XjNQ׵ʌe[O--:0=\>$w;SU)_d4>߂>Cof|Beoo#sAy{W߽}جmOdwo_~ reMN27Ο8? rB&Ġc+uɵT]rCq5%+GKQbȺ01ts#M)e|N9GF& bƪvIX,qROx΄1Yzx2=8"$k¾^ٰcI]D ydLZso`6-l&BylnVԆvסeK1>Iqx N!?\f3Vl{8<_!Oؠ kߥus. %[ 5',[ZH:L&M2XO yå<5%eyO֓f3x ^Pm$5Gȼڔ qvJa6m% gMFg O8E-oBmsC$Wk.a?4;LA3YokXCA+Nu/ Oe?҈HΕӞĸFcder ق5dStRi@TS:b[H?߇WUNU^u$U7NmrTE>A =%ptɎRST=(DJc ߏPZ;>z;A*DK9U4H}`Aj>rC$ zLr):#D\!P]|vOh(\v39 H[TRhA@9!">I>N7d*@k)X3!]6;48PqC-#yd@P\l61+ ur8m@@!MD~$f ݶJNLXiqA|yǰ:J*&mgN1,nș-S6yvݑpBNTok\ ?]_$iKS7o]~wo F4Nne> b|ᗡb' f*?~/k(mM$ūݸ!bxL-`o[0ndwki~KܐL6Fy[W麒GL<>e3 d0+XP. ѕ"ms }3#[p endstream endobj 2335 0 obj << /Annots [2336 0 R 2340 0 R] /BleedBox [0 0 612 792] /Contents [2341 0 R 2337 0 R 2338 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10369 2339 0 R >> >> /Type /Page >> endobj 2336 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2337 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2338 0 obj << /Length 19 >> stream q /Iabc10369 Do Q endstream endobj 2339 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10370 3256 0 R /Gabc10371 3269 0 R >> /Font << /Fabc10372 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7} endstream endobj 2340 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=249) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2341 0 obj << /Filter /FlateDecode /Length 2904 >> stream xZɎ+x 9@ 0vs0|qOcPm )QJejBd0EBoGY4މQxBkqQ W^<~|PJ彈#~Z2g e@8)ӳI^Rm?K<#:r sh93tZKc4l/*eg£^ 76W/dv^%8]Z L ?5Ws-zFaT Cd \Qi !a.x ]<[gQ쌗8Fs*6B2ق 0NJc&d"OPz|VM_Y9(usc%܋\XiR3k"׻Azs74i|F'#<-hrq~ c4Y)lTJ$E Ej5Y}Q8Ɏ۟Kչj\l_:\U}%ҭ 4ЀHLanNpX/k vj)JDMˢ9O:/}]Z%7QٹE#gvWo 60pk-e\Ѷ֊ \n*:T $쭆K dks^,m.bIίI:$.N_/Q$}4h%вzoԒຒy~0O(ڡ. /owZ/ ~d+ZZn3_Fki"zXe+0099T?YM|m֧9*r i>{mETB^iKjƴȀf>V 6o.7 oESVΖ?k}_*?[3柤owYV>>4O=&wt:cQљ$^#.kM5ޫ6wV[7\2dcLLJVrbrmeVJuW`L5Ѯ&~fIFq+3%6v;HkxIvLg%/>4(8-OݓEl:BHCG\d3B=a3lp Cnų 4&LCϘ]q4|e,.4("n0̘BVMc:,g2ngPU:E*YβW̤[U{ٓE+&[imL2Җ“5(S6[{ijE "QSÂheuK4+,"xQr.sI҆*6Z89 ‚6> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10388 2350 0 R >> >> /Type /Page >> endobj 2343 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug835-vivado-tcl-commands.pdf%3Ba%3Dxopt_desi\ gn) >> /Border [0 0 0] /Contents (opt_design) /M (D:20221102111812-08'00') /Rect [111.164 379.2538 177.7656 390.2538] /Subtype /Link /Type /Annot >> endobj 2344 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111812-08'00') /Rect [376.8195 187.5884 412.0855 198.5884] /Subtype /Link /Type /Annot >> endobj 2345 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2346 0 obj [2343 0 R 2344 0 R 2345 0 R 2347 0 R 2351 0 R] endobj 2347 0 obj << /A << /D (unique_131) /S /GoTo >> /Border [0 0 0] /Contents (HLUTNM) /M (D:20221102111814-08'00') /Rect [90 468.4999 136.959 479.4999] /Subtype /Link /Type /Annot >> endobj 2348 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2349 0 obj << /Length 19 >> stream q /Iabc10388 Do Q endstream endobj 2350 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10389 3256 0 R /Gabc10390 3269 0 R >> /Font << /Fabc10391 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ3 endstream endobj 2351 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=250) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2352 0 obj << /Filter /FlateDecode /Length 4749 >> stream x[[ȑ~ׯгh~fX؇a٧PmEdR:T`]}JEFF=] .<֯k koOoqvh6jM\11sWp<^׌/}AI K 9㟌qX_ZhyH!xW6R.Oo].b'W7SLNXȃ{clq sP_o/=xgzg\gV6KV<y{wc^g4/~\~u Ƃ}_t0=Hߜ.rwOWp`".H \.ne(P&Tw+p VaK"һ)y(~wOj@J*_䞌;kSQz:J醘Dz-"s&v(mAF@X )^'tTUTU&5i+EH.6cM[* r@eH:9>"006WrMS@zɦP؆*fw$}];uL2r^y{Bt E5?#BBuQ$T%? ﷸtK'>ښ+wm%@sV e6$j+( Kh$·Kڱv$hS;v - <(^qA"Vդ^m㖏|K=KSBԇ(&Ϯn4t BVznILش[3+&E9T+qێnB#mn׀Xn,цDScOvr^ؙNLէ]86ĀH3Tkn8_B!(n6~".GDB`Pr=wS,nHXk,Ԅm"gc\SY,1 `**8&P|aQ(I P|M%05l)q+d;HJWrwpv.gJbs 1LRBN)9 qƶ`o^CSg2(p_5UAyICun>c#f;Eذðx  ;{:d DҦ[Aԅd^ +0mzSuI{JuƮ0nT"*+%[9$l-?+[]:KCB,+rl,z.l(.* Ң(ZJ$ )H԰¢x@ iTyM?yD80Nʅt @ xe˼֍R7 J8u.{ZhEj YDj 7H&6`6؅˓‚I|)ؿD=iGB5p/^TU$y,_!<˅rHՂVOK R7yO:W^Q??Ӱ^P )4ve/~/.\NyUԫRA&HыyM!R/ ĦetP{&4Lb8и8ogLGymIUP,͔s(IWmzΐ8媅٪M֗[yO lqWJ0Ŗn>]ӍꍛMĹ_wQ1'?iso),1 +%s LbO+,W殃S8\o9+0+#EfҴuv/&姈r*W #bKY1rGzy ןgVcԅktD{\ SaTSΞհb3]i]Ij]ﯵ.iʈAvs]n^!b/K)ÐbuJ6U6/2͆+Z}oEfq+6!x# mQfz^#jyxFs{<)ZtmD:kƁ1] 7Vz:dfVu|s盡_85qKE9bu‘QD*(۩w%=(!8 5|CJs}EqzGSgaj^N'->i#,ܨ}̹rorSVڜ˛gB>_#[a">n+4tOvqcHխG4v).,rYdsޘ,bb/ Yu n~5F!gCǔ/ϖ.+)1Y4*R65BO?kb{VjВjBFQ 4`c*8J q9g1RaK"Ly R +NԴr b;#4 iNmS]3.XIȎTf9zHmv'b ֳ.~9J-YˁȪ:kSwj{TSȚPS7%bhܯBղ_5D0hVkdc`n +۲PqCrE;tb}AG3ِRnJe YK5oxGOPUdȫU"'OeT:t/UI G&h7QY6WiIgMr]Q[@Q:7_n9#Kas>ŬpzQCl,/He[d}I~z#1U܎q\cPH>{)(A.˚ѨȩA7D7~?#ߒYN'*mrV*x(]:zKʊ0T}rd}-Y*mC [)m;^&I'q~VSgY endstream endobj 2353 0 obj << /Annots 2355 0 R /BleedBox [0 0 612 792] /Contents [2363 0 R 2359 0 R 2360 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10407 2361 0 R >> >> /Type /Page >> endobj 2354 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2355 0 obj [2354 0 R 2356 0 R 2357 0 R 2358 0 R 2362 0 R] endobj 2356 0 obj << /A << /D (unique_78) /S /GoTo >> /Border [0 0 0] /Contents (CARRY_REMAP) /M (D:20221102111814-08'00') /Rect [90 257.9153 167.6985 268.9153] /Subtype /Link /Type /Annot >> endobj 2357 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [90 242.7153 165.9 253.7153] /Subtype /Link /Type /Annot >> endobj 2358 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (MUXF_REMAP) /M (D:20221102111814-08'00') /Rect [90 227.5153 164.2225 238.5153] /Subtype /Link /Type /Annot >> endobj 2359 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2360 0 obj << /Length 19 >> stream q /Iabc10407 Do Q endstream endobj 2361 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10408 3256 0 R /Gabc10409 3269 0 R >> /Font << /Fabc10410 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=϶f[nC25>S~Y2ȚC^B 0)xpĸ!ld)(` hT*VEA ^|Uv,Os(RSvPBNs(?ډ_'Ŷs endstream endobj 2362 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=251) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2363 0 obj << /Filter /FlateDecode /Length 3200 >> stream xZKo$Wl`hF  |r6 fhe%+4,5N[+O9.AV~A=_mg Ƈ1w=?ןds6*KZxgk-ݫ׿b}C5z,z^}9[qx}x3#֥8'kW{r7DTF+ngrG\:\=/py++rw ȈΌ^׻mqzn{M X(X@WUpc $Ćtvi ).ZGoBM..R:]"w^N H "fɤPM: w>tM X:պXɲAuDN?t W')YCig7m4;evg90+F_"hYx S|NΒM-]=7TE0TV4AVlcz.5!&.W.-Ž6:d¯dKiPIɉly|nao)dFZL; AbmAx>q(0(:d?fi=- lּ ]yuX*w]e3\+xc$4+NQ&-H$#|!y#UglVCֻmæ;И#-!T!4]G %a8$-q 9^=) :GW|og`QwĠڪ ANdZ!qVu(TT0F.UnR'&DѽLc,lpDRBd L P6M3;מ[Ԉb%ř>/wW}_Ė\YՓ5M6k*>`MTز:[{~UhRtoe ),d \4i} E\%p1x_$&KlZ6m1\ C .vbr9\]ysfta!Gdh2 ôE\38L 7B5RPTG͐a[Ԉ|7%)4~DBA/S/KEDك N.k߫Fs: a+I[MΊmqſC*srQs؏KJb:x- ??tY^ p<}v]O}Mj9vʰNg\O .Ά**rfŗ=rgzvwwui,[j=9* pLrr 'k&s+!\R ;KCŐ07Eӿ'Z;kqek{ueZW⢛'m2T K9(v b!IX)~}pוc7{:hܷ8-&1?polVέL4$O.!] +%cՊ&s&!h=ESKUM.όNN*A($+e~F;O&qPN*^b'o瓟_S_ӄ=-0Q2iF[ۛy\=|ۛz}Y af |̣M3Eρߎ0='B#J+E罋SF\Jqy!If%HiLw[˴t@#XlS!Lv/el쌳{5y6'Y{ #p~1zXd%mިF=Л]gRf֎}h\jxI/HsmӮ@N1בvn ̝\1"DlXڏ8 "dCjَ>aQ[ 5,5[#pxJ,fCR<8T=x؃tUg99ب 7$ÞrA$(MI:(I~BLۭMXCA Ǭ_+ >Ufn?Gf\EWxxre@8`9 ,P Oz$ı&G}_}_GU_}~V00{$pP:cVáTcU>P7MĊb#Gag&Rw%4ȁbԬ$q$ *7xu=<ЏO# Qhx;z 8;N[.2GN'IlRx0t!`a ]Si]@B,C]*"3mR&T!&1IB6rin öN 'E:dւ<: 㵤a0X /n(-#eE9A C+3x 6  }g]zbG#֘ʕ !xmEJWd,[gp,mpe+~r& ϣ{[Hfg& f.8K Ū-7NM4m b-H*Y1ϕceb\ ~B3!`yk7ħ>e9d7|XHԧq&_ڹc2+t ˏ]D/=||y(D?01U_ƝԥФ7) *FCț牔b)ouk~|#%(r#I%ky"4q\cf/DawHbFJ0t7=NdT>_q1|? endstream endobj 2364 0 obj << /Annots 2366 0 R /BleedBox [0 0 612 792] /Contents [2372 0 R 2368 0 R 2369 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10426 2370 0 R >> >> /Type /Page >> endobj 2365 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2366 0 obj [2365 0 R 2367 0 R 2371 0 R] endobj 2367 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (EQUALIZATION) /M (D:20221102111814-08'00') /Rect [363.685 630.3 431.375 640.3] /Subtype /Link /Type /Annot >> endobj 2368 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2369 0 obj << /Length 19 >> stream q /Iabc10426 Do Q endstream endobj 2370 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10427 3256 0 R /Gabc10428 3269 0 R >> /Font << /Fabc10429 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)>t\gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2372 0 obj << /Filter /FlateDecode /Length 5026 >> stream xڭ[I$ɑǯ }_ yPoI@ 0̩4FL_#<"3_eU7UIvo׹\,AF%Οنgkhgcl|K+Ƙ=3 fI:ⲩS}t sUeAȫ1 |SiS6ĦX6A촫3gg'xud a, P x)E{ܰh9bB%bGo8 na߶u?M6:˓Ib O8DbCq#g#5͒KS{} 81 owiB;9i#APڠ7|Iav$G]K '"()=ηJ)4۟ʜR7V6gkA4kY+K'?It!`W{<4@v/< rp5o{ v!$~RًsߣԨ4.4 VRGVʿ zL |+_ts,)/{)i}52S>otv`r—›]5GXi6xk ?*㚫= ¸.uږHQjԣ F׼Ɏ~q{zڦoR|8CA=FjLk+aS>)/b;qlb 8oOMBd2i:K }TS KYFVgT9,)Cwx8(Fr8 jC^,;%eKs\j,0mp89kBBuVV18 19ńBGS9˟mn^Ifb{NLyJrBun'gsA/B Q7WK)0}qjo;sa vk>m-@ӆ).pz )Awgd[ރJ}=hZA>0)|JVjR!ʣY %iXICy0@${ 8/ӛ_,uh!@.7ݩAp-@QH L;?0c *_(eUL#hT$ԝ%X2e FX7Zm@L.+:`ťT_L"L G@P4 'iC a#CC/0IaA88)qQűׅ8F`8LNٙ3*UpIjcp2WR†Eh "p v"2WH0w<G}TBQEUA"Œ!zsy[.{G`8@<7sqvy\SmKO/`ܵG0\ ;o'𮴢5zLzЦ4vӋXKRLi{i'5_{3W 2?-ڧ V|}ܩF@lVoK lAy7KiȒ].L/L&h.L=zuPɺcV|ylmmKvX! ClhZ9=s<ìL#LjWfxjyNiuSςEۜi!{6i$5f&PE; eaK:sD%_6x'uVaiS2cӴuv#&cU3`o$-tg)Fg]}h^N]$Fqj7҇]FM=+zDDƈnB쾮蛌hO5MM"z1Eo>57?̂լNwY/!-$p]- 4}w^hիah$i1<;Se<څq}:~Y?U L y+Ǧt|27-㨏ߚ^=-P@: ; Nr^b v:&ѭvreCuኢ|"=9v&HcK:$>mD{WjqS'AkڜwJe `hѝu-٤AS%{IQwi7i/eGZE{]D?| ;Oqnݰtu*b{.V A&#"'=kv8 W]{L>{e!+F {ڶ^DM$L좺*#.9Aʧ1]1^ml";~ސ!滠3~o-hӛ? Z}$}$= $=*KK^B3*k+cMѦeϻ˯gUkTs*OM\臘~K{AI^,nI)T/Q)Bx}l׶VеUr+>ᾩUm8)9߂__t=aݳ¸6NBTEEԫgR'H>ێHMI'216Xe !_{98g# R,{rP<2>6 ojߘK) rjἿmf֋h׮SA35'C1,1]SҘx{ťyՈM[V4LsJʣ`-vSVn\WMߦڠӠpuIW7tNG0eZ5\`_{~mAIw@vt89xֈ[YAtގ!=jtT^RCՖmd\{S\Jy֙ZWN%F_Mlp-ae!~˽F_e.K}|j%s ţ&`\{ypךV%QD*BWԿLA\M0n\w~l%RcܧZYa}#7)1޸xr3)jm[ZڥȸvCndDJܾ>o ofzyލYX#~HOs5C,s؇u[w7xw$ŏn׻qM}-ߙ=nrA]y}m3Mv[mΤr TI\LwcoGwM 6! Yx-d@6⒔|+ЎRKe1^k/-e //C%%:,Cobo -콑x6i=DJeW辫 Z5%iJ?ӿ`*[J, JȰ%O ژ=<3): !R< 2k\rՅ #TgrkRYT! >tް ށnz3,Q; вSZ7:d2J^dql{;,fZ9oTKv{N˖ޜskmn B\Z66B-OmG0EiAбlKhع2+R-U^˳~8{\ = LFڱi{Ҷ<-S][w /B;( WK"ˑ{KmJz$%R9gQNIao\K־4I8<&VeRm gg.umêg#UOLôޠ@wZb_#LBO+Vn9ʇ̵HlZͽ-Yu;A,0zvVQkRCO.x|c|k|~¨2!Q=AjpaW dٕkgV(ǂM'h0eDt R34ObIFo)w):Z*MK;:RY {jtKW04[D7`fCL-+5qV?XK4||ෛUOSrҡl"9Tyi pGp7Q81Hm栵|!Eo.O&F-bSG3E ŲeXߣp,o0Z x@7mLH=( "ָEQG7|%AcՎ*iPW  --[ wlWx^Ktq@wwơ\!#2R^r>\󶎮K|= 8 y7HJ#w#RfaB> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10445 2383 0 R >> >> /Type /Page >> endobj 2374 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2375 0 obj [2374 0 R 2376 0 R 2377 0 R 2378 0 R 2379 0 R 2380 0 R 2384 0 R] endobj 2376 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (EQUALIZATION) /M (D:20221102111814-08'00') /Rect [90 602.4076 169.9205 613.4076] /Subtype /Link /Type /Annot >> endobj 2377 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (PRE_EMPHASIS) /M (D:20221102111814-08'00') /Rect [90 587.2076 168.9305 598.2076] /Subtype /Link /Type /Annot >> endobj 2378 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [349.8915 431.0577 425.7915 442.0577] /Subtype /Link /Type /Annot >> endobj 2379 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (KEEP) /M (D:20221102111814-08'00') /Rect [431.1045 431.0577 457.7025 442.0577] /Subtype /Link /Type /Annot >> endobj 2380 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (KEEP_HIERARCHY) /M (D:20221102111814-08'00') /Rect [90 416.7577 183.2415 427.7577] /Subtype /Link /Type /Annot >> endobj 2381 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2382 0 obj << /Length 19 >> stream q /Iabc10445 Do Q endstream endobj 2383 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10446 3256 0 R /Gabc10447 3269 0 R >> /Font << /Fabc10448 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4գGp#?$_l˜!E_@9?ɜ F0P|sLѨ\U$x2X4/s(RҥC ( 'C9Pma#bwo endstream endobj 2384 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=253) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2385 0 obj << /Filter /FlateDecode /Length 4788 >> stream xڵ[KȕWhmH9H.Tf4 ̢]^ jOi YwNCʬ]LBUOtQ?[˘\Y!rnW)/Wؤvm*W{B_mU7R_cJoo,y[-({, =w'Ez}&g-V-Jb T B x" p%.W!CKh+LWZ0W&ݥ^1)tMP2T{ǮykCP|Les~>-;\.A5:Oo -aMؤpBbb3Ih!ViDt7JdHeA*]_CȠ#8 .Q噷|A[ u*12mA~z-QmrFj&y~9|zw$9'NOcDne:HmFZ!2q@{Mj|.6AM|mx:5%Y4T:ǔ WEe[v=9 )n闤5:+(;Ħ}{%%K%3'{@#[10p7.hj6i4 LWSikmoK;<ف2ftɻ0 "Ύ`a`{R<Cj*t0Vrk~7b^=U{ƒڴP9Q5@2{&HsMڤ7O_3=ӍZ[FN鮌̦ PxYU{F$:W$xnnVY#+\eG\}A,;f:eMR@w55&͞ UQznZHB;H,y9ӘaG22Fc&Q:d7e\좭Հr MLe컠T|EzETDE~ m~n@rȵAСc١#~i&qg՝~q]vjEv&=P׬l}?tGcGt[;xEAY!splpO9lsKNGG.M;J0J}]݄|Fl3FU _kf۠c0;XbI.kh9 kG8/[yNZN"IiBbcz?aG>l^ydfKM-S;ې>L]j}ke$gy 5л`8u`HLr:z7GZhgGN町ݫHBjsOЯMHOeVaǑ;qlHVvc.QYYڭEUj[`bKCK8yL\L+HyÏHӆs[$˸JJg4ؼ7d%]&WDnd"D- 1Wh !wܬU[z DkYgJ G"m7iJ4HpWɍ*R>rrp1` 6<9 PlBD v_r m 8ʱ้cZ~eIB^ЧBSG 4SmƐrn> uJYs!W89JqJ0>It[8NsUpSdFU9UiP]E˳/O, xt$ɽ^pL)v98?ԗbΐ iO T˵w38bz0ԅ:F;NDɥfJW(oPI4Wz[mTɥݴJ!`Zm)\תwKi+&ݻu>5܎Sw$v%IWF$eKLzOZUCoaL좎>zSSr ~_ 6 Jx_ z )61dZ=,%zr= &xs}cE)E}nTwR%G'j}מ䰂[J֞w⢖WWc0Iicd jBz/!t#RܵlE8]6ڽ9n1<[ `sj 6_6=U{JgNje٢Dg|"6An6mWS_TKC ] 9} I#?lMs8JP˜$yN<'8.tH{^U˰KMsiX\ :m|s]s8و(6SFG !Eԇ<WK+;$0H <Y{a8 VSy=/5+>ZBQ6XzC-F:eȞq۲zZ͍.J AAt:)vr[rS|}΁Pγj2q&KRΩģ[Nc/&7eC )$TQ]XsERF[1[T;(Or\Yȏ:Ḫ<m7ʰO=y*١E xarPM=<艥Cǯy޲"zX^ EQP ԺC^{iZԤ ef=ǂI9.7έNZoT"\*EpWӸT_)+obr^ZZkyNP\ioժ2ՔNk)o`M~gˆ3'%` arx8y拯9B")t2c[fx0/fo;9wd4<|EM%Om`EvR_Z`!NN2"KT~U#`̷`-&%(T1%)I=nڜ6%ʐ燯P;ź~5-isL>U&/s&XӜVh=H8$$w(EE/O0З;r/W2hT=iAz]|QUKP+m0\& KlM& JolNeU)P+3ۯAHDN/ Jl) &-.ֆwoE:v=IfX3 endstream endobj 2386 0 obj << /Annots [2387 0 R 2391 0 R] /BleedBox [0 0 612 792] /Contents [2392 0 R 2388 0 R 2389 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10464 2390 0 R >> >> /Type /Page >> endobj 2387 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2388 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2389 0 obj << /Length 19 >> stream q /Iabc10464 Do Q endstream endobj 2390 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10465 3256 0 R /Gabc10466 3269 0 R >> /Font << /Fabc10467 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2392 0 obj << /Filter /FlateDecode /Length 2781 >> stream xZKW𼀸d (9l2@ANNb{4㖽NrrwWU_ҟriNǠGNK>k.Ĩ?.<Xkǵ4`_Y+Qē 9X;$8YQ 8bqZ.nM.uNژqpTuIIAd[b):s`:Ggr)H,2{6%+"F?Hw͇^ X pT9L8O:NKIՔ2@O%ŵ)uۢ=meFO^$E> c3gGÅ0sPW7e}A`:ťߨꔢlOJ<5tO!:R_tHW^1W;bBKyrCAj]xK)㬖*i9/ K̅_Z!X׺#r%lut+bgKqgԛd x85q7GۧvNZzcoQhD&`H~&{vKjtv羦w?FSiO<Ѯgȣ[ zs+ܔ{q@-7l\naien gUI/̶В1E$+]yɷS n_\1T@}B}oejRap |qag?SF"[L1Ss>xo&eW3!ֻvfǫx;~>D`D—J x&2|5z>]4 G}9rs2Wv2Nw@L7VKv?52f!s N6E,%Tߋ+%K_Z4jx& 1*JE*o@K]w*:v_Jci#r#w|rAڛūvA:1+! O ꟼolItVN)hFC~#)rt* XӐpF>I'0+}HوQj>3p8X 4͙v(pd ƧW n&Iƅ I#&+ް-qʮqPyA3?P so`&+aZNaxlvǤTANHC(aML\MUE!ZnnI *xv}dfl oacs56 ?.[H9 K|i&U,ZV!{}$;t>NbE^UV]䄳$+xCeY zJZo.̑:`ZkL ҖcӻÓ,ͮ6VŐ[Y34҃h_D) Ì4ZI‚0z xucU2Tɨg{Rg-tgH-L(f<('El))"FZ:C ,~H1"dmsqRDžLyi̧m_ƹ08-}nb/*BaJ>]#?urT 5<'4C><ٿ~QeFU.x D|> !ґtVU߱?*{ut]-gʡ4 >Uf@W?ĎQpM6TU7 CWq sjg35cXx) endstream endobj 2393 0 obj << /Annots 2396 0 R /BleedBox [0 0 612 792] /Contents [2404 0 R 2400 0 R 2401 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F1 5743 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10483 2402 0 R >> >> /Type /Page >> endobj 2394 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=synth_design) >> /Border [0 0 0] /Contents (synth_design) /M (D:20221102111812-08'00') /Rect [389.729 376.5577 469.6509 387.5577] /Subtype /Link /Type /Annot >> endobj 2395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2396 0 obj [2394 0 R 2395 0 R 2397 0 R 2398 0 R 2399 0 R 2403 0 R] endobj 2397 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (DONT_TOUCH) /M (D:20221102111814-08'00') /Rect [90 563.7 165.9 574.7] /Subtype /Link /Type /Annot >> endobj 2398 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (KEEP) /M (D:20221102111814-08'00') /Rect [90 548.5 116.598 559.5] /Subtype /Link /Type /Annot >> endobj 2399 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (KEEP_HIERARCHY) /M (D:20221102111814-08'00') /Rect [90 533.3 183.2415 544.3] /Subtype /Link /Type /Annot >> endobj 2400 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2401 0 obj << /Length 19 >> stream q /Iabc10483 Do Q endstream endobj 2402 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10484 3256 0 R /Gabc10485 3269 0 R >> /Font << /Fabc10486 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7PEӡ~1OEʚ jo swT+_PQL$V-dAQaaԜiJS¯"Tx5s剢xq-lb@r2dv"`V g{ֈ.{4yc-qlP;bLdtK>R4 <~UxoBDM>5TϬ:JG\Y ][{i4LT>Ѥɻ#LD*)sZ ip3S~3cy/ KaEr63po.IU1" ^_Oc*[}K Jҡ0 'C90‚GŶ endstream endobj 2403 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=255) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2404 0 obj << /Filter /FlateDecode /Length 4618 >> stream xڭ[KW@ 1v98;@ANv 琿zLnQTX*Lj+$=ucjrv$/q}x3R/RbT.c)Ҧx)](LtcۯX•%^ET4i 4i*}~6{MO۟\,u}yij2e=<0UGp\cCӖӔ ?BY<=F.>o6Ƃ^7czЪƿv ja2cJ' {kbBCܗ2Xw%$$Xꔥ,Ȯ/]%JS>` kx~/4 IT< 5q}-z$ԼO7KJ*غOKKeO(yjLlBAӛ-?}+]LNڃkZW?Lŧrf&[?Mzq;@.N҃o_3basaؑӠJTbYII2z"d& O=v6RYgytm`eUJ}NlV|Q\{dIC#^=lht6lAjTW]J5T|1s ׬V nBfcr]\vŴ bmL@{mC^Ic r>=ʔqV,bI0R<٘&2,r_*4Ԭ~%oErmt:2 G}1}(Ӄb dl aKHHf,X,yL0JL,L+)v+!-I-wy nkkŶEL_o ^X,8?_IsTPJ<}P]?腲'% gTx̯!jL!ʎDs*s Ii8v+!#JJ} /eMrg;Z!_WTMzMc*qrWb2jNUf:׏LȖx@J5g  q\Gc;{PE\hq7;VdjC1+9.\܁m\q>(&хo"C|DV.tɑÃ,Ț >cRЪ֊r!@B b`R3UطRJ.ۗya@){y_CZ7u\s@Լɑ uڤfo>5}h;%`po}^j%֋x ~4<@E=%c8\SB]-t }h sS̳|Pj@<٢tY AaǢRߥV-亐Ac֐rW2q0'lszG -sVNxk=-3 2cGZ%MA^nB6djW;*oCI=x^%>r^54CrVCw\oz>1w:tvD|\DsyT90hMi~8$pzXtQpW?}zZj#%e#'=إj7rN٫pVYzTk+!wEݢ1'|}Wl ;Q>UΓMbŬ^4 Gyϕ;tMI}{^C݉]=2A~ Id[# w=dAcYk]a3ӭog{lwЛ k)N>rU#CqI5^cl⹭&ԩloqJݓs" tH=@r"6 SՊnTڤjI+iu5K'c.Z'(tnӆ-PE 9y>J(10—SA +XQ 96Ac Bn"ENoZx~xߝwde,ei:"!#-$lYzxf1;y#)+zԼ.ރ G΂?v\W~ vz{5V싗ƖVo^:+A-*Szql}-fʦI_"sZ7{$ :Vk:(}P DO.·p;<Ȋ͝×)maxK6c|̠N1US}޹ xO$Jb(2 *5 ˬw=+>;fHg_ -ӌ!>w&hVs7--x)fC4/ ;> )%~*'[\nnn?Fo.CGZMThr Z)ꘑ};jעca &'ڌ:6W7tEI4#_&R8oخ{Y.m,w߭aXb5uhI=hgD/'aDi1)+csIiTkm-evp F1.4{f<]nC;+8|-ְT "3$(5G{‚6ZՌsF fK IW$e2 ^?g6GQ!f󉾥IC](oP+WF/gud:5H@^l PaJi_A,\m(WŐmjEM@7$XAAd4 :'\b"J݆DÕ6 ~>t_+sntGT746OAQ]:sF86dj”4(cgELﭑ xڛd.Α^%0fUAupuIC;ɏ Uk1M#kKnKy>LA"ui8 g)4~_  w1c-~n~UdLU2EZi=F|9\G.(?éHm3yzS8(8)C_<ˑSALO|Ɇg fAh$RFfux_0@i8k" i4Uaw>AkjGNYXnk '` ~P"^ 35u\{w} i b꣇#{ܥ.XG&<3p0trSg&H"8].76I y*_Ϧ{ޕZRM)c@=Z/zJTmVV뺾ʋfRv~COC:ޠFzK#GHzgWltϕz [*> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10502 2409 0 R >> >> /Type /Page >> endobj 2406 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2407 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2408 0 obj << /Length 19 >> stream q /Iabc10502 Do Q endstream endobj 2409 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10503 3256 0 R /Gabc10504 3269 0 R >> /Font << /Fabc10505 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2411 0 obj << /Filter /FlateDecode /Length 3732 >> stream xڵ[K7W9:d Q9y ;@|ٿ_n;@P7G'Lf&dI_OL>,CmSӻOGmwխMH?ӿݵ$hMI nNO*]Pڹo<&mO/ӻ $8{0 c_1lOeVig n1IϹRF\ߣ/]vz/}ksIc}T>׶=.6*P:q'PxWtI趹 ou}91ǂ&慳 :}2 BdLnzr;"B.xRB IcSqŹ-CPo6VS%Lh ПrRSs~ִƘI hYKqϐ9[=X`0gicZAs*U>R QyRhT_&ުoJOsQWm}- Yt6 b;s^ީ?'=g ϿN^&Z-`·9QiN~,uP<slcs KzSy}A?e%]4Ǟ e+-*.˻j`"(< ӅO5ӿ"NOn`oc[4dYvY&,Ƣx~)1c jKxk,$`hT\{KI~ +h߃P}.0Aگ)q0o~RDr-"cvsNJvbue GSήaKĊ(D,n` J0qY޻©5q3^v^[m76]feWv"B] mqh +JFS hv6N ϧg޳ٙϼJ_\#GNՑ{ C`8 V?1" (oՌP+7XOw[ cؕ.?KsZ6 GӬ W_K=Nw~(WQPM_v]~aڪr [-Ųñf/"r+\p^=Z1To5$0".๕o/+^漩ҜX3GAƱ&91E ^\PTo}~ݬ;%}]/{yOG_D h)ĿYKǓƒ|oͳAm:.QK"dEd$Ns˷Sà'V5_:FO|Yd̩ !\M]醉_6I['**њ- V,"#D"$ehi%j>NRnzN4/";6ɕHJw6 K`{SΡ\LO2ጯwq}bwqΎ\v)|U}&c8BFO?[L` +s<ڻ̍yf1vaNv$Y ͥ7$(x!4f/F Fr `,9ӏ0,ݸWx:tXQ ZO4뛕=~c*{HZ&s.o1۪kv@FY9dY)L6 o#FGg4[ހf~H,# sd fe6/kZl v@2$n> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10521 2420 0 R >> >> /Type /Page >> endobj 2413 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug895-vivado-system-level-design-entry) >> /Border [0 0 0] /Contents (UG895) /M (D:20221102111812-08'00') /Rect [477.4365 334.35 512.7025 345.35] /Subtype /Link /Type /Annot >> endobj 2414 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=get_drc_checks) >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20221102111812-08'00') /Rect [335.3877 248.1038 428.6299 259.1038] /Subtype /Link /Type /Annot >> endobj 2415 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2416 0 obj [2413 0 R 2414 0 R 2415 0 R 2417 0 R 2421 0 R] endobj 2417 0 obj << /A << /D (unique_117) /S /GoTo >> /Border [0 0 0] /Contents (FORCE_MAX_FANOUT) /M (D:20221102111814-08'00') /Rect [90 451.9 205.1865 462.9] /Subtype /Link /Type /Annot >> endobj 2418 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2419 0 obj << /Length 19 >> stream q /Iabc10521 Do Q endstream endobj 2420 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10522 3256 0 R /Gabc10523 3269 0 R >> /Font << /Fabc10524 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1 endstream endobj 2421 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=257) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2422 0 obj << /Filter /FlateDecode /Length 3493 >> stream xZ[%~ׯгtT 9s ?<6f`?_UIs9JuHmd|)O_퀑MVb~4W`ujȋs}z\zď+ň޾ pkپp(>n,` ރPt$ww~0  p_@ǀpo ׿mɲƢ-;YĴGD>ƒSX8vĭwJ/RJ5uLAW@м;}P\̝yWqϯW%Dz^&P i|Ly>j)m?g?qt;Nsk_R_`G@C9꽼=XqE1\>G~2KeazT9 xʎXXӞYhx9N>NC7* hq5*jNZfcnŵCB%PrǾ; [FEbrb~ʑ 5 YMPY-D>:/=R{$cx9IX 6n!~o9N,/=?g7)ZYF-d9 wz'7zKɏ,9nֹu)-X]Wy 2^x.[w.U |O(8]ptX^"k$?}fީX-*zDLqIIUd"#*`ځЍd%mVc%($l`⺱p*n_j뀰V"RKlh0]RoK̞Yҷ6>Y'PM1cNF CQ{݁|M ]ZunnnF3so4{l[ϺP3V}:{mߖiPJ; -4>nmȰ`3ɉ$?dւ<eTvSӥʖa;ܘS l٬_2ԯ;+]Zf8mC }g]FsgG#,+ !xmC,5h{/ X&vݸ$@mK0ax7s}3CNje@Xkmg&B ݑ0iܪkI&H6"~-'1riBS9cQ~)_?5nIP8H?:kvΝvo)#6>.!ʱ#~G!4y>+J.7ΟSLJS'm'R(Ghd_ vӆnlcb\:;Yr$lfa`M =`hj3۔-$ul$u)mq>Z;wz }g endstream endobj 2423 0 obj << /Annots [2424 0 R 2428 0 R] /BleedBox [0 0 612 792] /Contents [2429 0 R 2425 0 R 2426 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10540 2427 0 R >> >> /Type /Page >> endobj 2424 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2425 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2426 0 obj << /Length 19 >> stream q /Iabc10540 Do Q endstream endobj 2427 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10541 3256 0 R /Gabc10542 3269 0 R >> /Font << /Fabc10543 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2429 0 obj << /Filter /FlateDecode /Length 3785 >> stream xڵ[K79 `$,$ؓ0Hvfz| #Z(OF|*ZމKo R)+ދ{A|X:CſJ'T_ğ0T8Ds<}Oa1A[CdBLBsٌj؍2 keN*ސrV_zgW]}oerh+|liS} 3{JɔsY)vRdz>Bs_<Cs` rg!?/qbAR%쑶rFqTQmrkrF 2?z@ 噔Cm")L&vJ*$+"9\X?ҩqu"U-W?GLJut.Egipi$}f2ܔ_ ;dREA{fO7tkxƛ8ۉv&ĺ^`?%ux+!ҳP1 yf,ASU"[($AX\}m΅*mr,DKM}id9:\.hXXlZL^LQN8cm1 :x},d.H Àf3jQYCTwS~CKy =142PHsg &}_i dԤ} ew-N,~6?#䉕TvJ/fwd>Gټ>U}XyFC{F]Tih˄kWuq]7Չys$ pYً 7i#=YN=i3}f)Ov8aWnz͹vXVKkz J@ * n؈_/? #`Ưͦc9bI[d3|- F_z9LU ^$i<;,P'# MqYPoA[_L?^B dB6'!g@pHrfErH]JKBQͩ. w.Zx_^t"&dt^|`z3Lm[ћ!$Xl<ͼ!^'@E$U? A#Z!>QƥÖ;A?oIJɴoz.2A."$y_`!McߺWm;]#qߤ݆a0 5@lqUPU ajlZu8pmVm6xҠ#BB Xi8x."+{M]!JsP[+xUxKEEOEtuJ\q'wǩŲ"GU~^t{ZB}mLwV e5dJȧst}U]+I*-7 'TGq<}WZ{wce!%UdѲWJcͼۚ\E?)%Ȃr߆ҧG{w;{ F;0F !hZݵ4߲f&Ԟؖ%::c~X5{k7f!>x~BY݁(vl4'F^5j]ov]I$hv!(trVJ)%.g1ys:`zYʢV6;l{*ƟԌolw EG8e~Kk;b@ijU!!{1]7GoZP!ǡM.bla bY_ޘش<.Cj)Qv'+ؼQKG>kuYrݹ\=7qU]bWVMX )|á5͚0 ֠:cZ Y9[:qOMynOHίCU9iP*nW:\S1• gfâzDnEra Hj?M ~ ] Cޯ LM-骺T:޾!ruJry/Σk<)fo~RP4O~zoqeE'x' pULFW Q `e$JF*2u"T!NoLt J!z%(8/nw=O 4bARNVGדmSƏeY :wg3h@5DkA s[].2g!N NiΥ m|[ye>tY># n-a[7khXwΘ]Q#EneVVClхzK ~/uSrІ7/+@y@gQeۯNr}ѨdBv rt`O+O)OyŤ.5 .fΝ䒎[gxsbc{+;sj:S6oF?ޑ"ڑ $"U 'lz Ϥ7ՈQP o5OI\׍"2"KM~t2 L5h Ӭ8r[&"ʎ!.D'NЍǨRX0d(DdmؕRoGvWEi;KMA^HڕCߝ4ݶ"ApL I Ԯ׮R:ѽ"t~r*0AMQD ] %eQ&[?Fa lb*ɊP<:{3M"p6  T*T#20[23$` wn}.siC-wh25J_О{?^Lb/*U sHouM!om~Z 4mP};u4JŐέ7X#qϘ"*J1mR G&x!b2Knz̍2!}?ϓ(s YUVO}R91 TzYI9\Ru\tE1a3 E僺v#nBkw+]r2ca3䢹VЩYDM6_n endstream endobj 2430 0 obj << /Annots [2431 0 R 2435 0 R] /BleedBox [0 0 612 792] /Contents [2436 0 R 2432 0 R 2433 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10559 2434 0 R >> >> /Type /Page >> endobj 2431 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2432 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2433 0 obj << /Length 19 >> stream q /Iabc10559 Do Q endstream endobj 2434 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10560 3256 0 R /Gabc10561 3269 0 R >> /Font << /Fabc10562 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7PDЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fa4C-Zݛ5 endstream endobj 2435 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=259) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2436 0 obj << /Filter /FlateDecode /Length 3556 >> stream x[KWl`hbr- '%a X|7{zYɑcîfz|UŦ\qwGE$>̎oǕoލW*(p7?T+7V(A0Ϗͯ`D6FJyjPgԏ\+Ώ,Tvh F5:i߰߹:E?ކ` A8nQÿoER UToQa>/gEg\?s%d1^GM+W:ۦ_Esr}>1Uix^y8_)fydP-XfeVcx|%/wƥ\+ df'bNEݏzd&#2Sp'v/ ajn1 cXJDWM9k[3Ӡ#_&\"aoSv1WT7 D^ VsҿeMaX-b8Zn%؊SJNSBS U[@4 {/<,.$ γh*lkXthˋ6p 44p6ܷKQN7loDu%AyZ"W|ea_[6C\s܇jD;iN)цj+0 )' Wd#S>Mk7CS.Ӌ9#yХ2|x5ѧ-O|#xnƭLi?2 f<~d `G'mf q' EalJpLc oLpWCwQN\Bqj)%CC|ُ16Cc )D!&(Cr1ϯLN f_ɝK _K9ވ<C)PRNT[';-=ں4;-[ж?UuOފ 8p#;Y^vl}㎽+a 1?s&JBMzXlrrh%ƥ-\@1AůT:痏=Tf<{29liU,yjsw<[z\ w3G:q ^,bZ_FOSfZݥ&*eDwbw-(ϳ_ra[y[bJBQk ^9,ƌTM;Wr:?ۀ^I/Hѣ[=~2#lBCU:mF{UN 7sɗS>%-**RLpa0BJ5rBx\9-'^eݥPL*Mf<>-ښE7i$ K}|ٮx%/uUnJ'eUm{m3bVzuY㍈F̀;8Rײ??D5;$p6lj-y/YqX\}MnMH'(c 40C^\#j6>eM#_~ 7o 8qmcS(\k -(rO?AsOK*f!|qľ< *E,wطX?X}4v&\Moߨ9DFzI }#sa"NXkmo9>}6p^GXkPm_/UcBv{m9iVk}Wz ެfgV]~1} ״e߃Ns>dh9yQ6 d4CӺ BWI]ƍKʤ0cuxݕvI$?g3.NcFBl0m-UYͭrf9m %1H4vdZLJEb3׵J i]F|igݱMU9Ȗ"(_?* ?y4*XƯ"핽3]`Y~(Gۦnm9 {&8+\0pcD )&77z.d\oW?JHUބNXbvPSs~=,>mů04f:-I`i:Tb}y.7vKo+tyfj\a_%#h{A1euO"86EC ~Df νp A7jfgB%T>dҖ(<1ǝFvmVa;40(ZV[-+$ ~?dpO62Xs̮e!.xOl1l;RVlis/c$Yy$fgkP$ :Bڕ<ȥ.%{X'8|*L-edX5rP5^KG2?7#g$y➆ 4ܓNmb6!,rgT$dȳUI_iο!Zw AS4QKXmv`TXb_03 u44 V~K ~HŸ:$T{`u` 7L0є H+{:zIJ3x5WZ4p:QR0>G@Phf/9؉PҢxu 8tPu@<Z7oGbgז–@r D@|b}9h]hIƒkKW`a mս{LTj Td~T v5J2rA%E:2QtɩHm=OzI 9婤T o._ 2ar 3Hn"M0Gh^3SْfP8]e8b]zMb!ֈD}C#(Yє0:# r~mf|2F0< Z?{0S  䌊̈r!ZJg¸7*+('L]ҚJʿ2]4"zHsP:Ñ^t@gȧmpM!ztvƧZG0!өA«⭭/ܶzwvc*"C'Tcfx!fR?^/]*˙$zM\F^}P: -2NSLq7؝o.=_qHR%2-?&|¿.WS#&ؐ߾OٙB]~;E*vF+b&CDϤ0YTM>_~R(U endstream endobj 2437 0 obj << /Annots [2438 0 R 2442 0 R] /BleedBox [0 0 612 792] /Contents [2443 0 R 2439 0 R 2440 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10578 2441 0 R >> >> /Type /Page >> endobj 2438 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2439 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2440 0 obj << /Length 19 >> stream q /Iabc10578 Do Q endstream endobj 2441 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10579 3256 0 R /Gabc10580 3269 0 R >> /Font << /Fabc10581 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Pӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2443 0 obj << /Filter /FlateDecode /Length 3085 >> stream xZK$7WlzUս`؃>,{ݱ1=U=5,MR(Cm:RyIN~o޾NMn[}s ~}{⃭2{Ȃlx Uֈ݆6d-Pgs1s3Rk1΀W E%rt崗V%= ޒyPuVfl}ŀQp*i}_+laNXݐ?ؠlU7OK {><6,e5,%u'EuuEh3bgSКehbd`2u[ S^r$SId56 Vk5EfƺtVc·׺J<9,:h= PǽꡏJa+O% Ra;pJU-QҀfXګ1FUˡpL,6\bpi$PzQ2ú}~?K !(TbR?*Ty?!P$3ĮSO O2< _fm^o3;E{5-Q?K0*+B>c]W /Vmub"ש~EAzA;TdZ3jKt5>#̧4Vn:^#5ukwξ;\(mA}zo~nivKeS\Xbuؘ26 ~~~vTOO6@rBz >}?坾p) -~ߺ$IX>s%| X7]kc֕LNZu|Y?~J#?ߟϝ,E<-@i))ihG ~/i0/5 ߀zfUЋzQF-n t$(gFTquNtϫBeCN)zx?C}R9E_u~m+lsS" C T,E2Ƚ\Z }At2Ai3j`Pwkt/$ C8+Peiϖ-^ywwiMm}0J\CBT_OIC\g>7W$0̭b(Cym`K( ml-DWxŲ/jٹH3hZTMC:޻yCEhaI)nu_+[H9DdwؑD}bfYnƶ6"X#j ؿk&]6V?~c~ ws׼n I|4ϵ25v_(%ƒIPgS}V, ܑˁAVFRLmLl Wni$0' [++.&pYa@ފrѣ0!D޸8[b~,bQSb|331CI ՆDFvW+? R@]5s}RαjL.4nRP9v{ khKy#-l\ƆS%we]yD%nTq  `AJQ!$ p񰗕f_b6{>Rxjݑ/p-r--HE{[v;51UE l;*Ry9 hg<{=o0ٱf G>+7aE"@B# (KK!ݲ hf\IXcE b- } ~$~qM#Z3+=!"+64`ݲ-.mOSTq =d &g}|u~گګW>C7ȗ opTeH)r("JzGg½ A("P K|ؒȰ X'H(eCR) 'wϷ3PyyqC9 Nbda-ߥ {jgǠhĚqy_"mH zJ"'?$+K { Y&mps0 c<{ )쌞?Nz`sk%rE/?lԗփ4qZe_<7iiSh3!;U['91ˤ5i@fm^}gѼ endstream endobj 2444 0 obj << /Annots [2445 0 R 2446 0 R 2450 0 R] /BleedBox [0 0 612 792] /Contents [2451 0 R 2447 0 R 2448 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10597 2449 0 R >> >> /Type /Page >> endobj 2445 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111812-08'00') /Rect [376.8195 577.3615 412.0855 588.3615] /Subtype /Link /Type /Annot >> endobj 2446 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2447 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2448 0 obj << /Length 19 >> stream q /Iabc10597 Do Q endstream endobj 2449 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10598 3256 0 R /Gabc10599 3269 0 R >> /Font << /Fabc10600 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7PP3thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy8)o#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo"K endstream endobj 2450 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=261) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2451 0 obj << /Filter /FlateDecode /Length 3446 >> stream x[K,Whmtf`{:ɀ!\so;GR1=lT*<oLJTHlyOߩë򨋓1N[3g1t1dL8/L{tB6v_`!$U4OZ<*V2̰Fobq=Ka"="cU$bkFФ/ө>2EdVp~k7[|ɻؼ2ΟdYdc3U=SOjeMJZi6>`I XguIn~]Q&1L_mga v bLTsj:pr=vpFyiM~U0eKP]"Կvp̻{8F5|DV78-쬌c[Um~T-=?T~]_|OMX^k~YnxAͩB*KI|L"_8~Gbl%7~6|,XH)_j5sHybn_,̥=SHi H"ꗩ78^lRoR͜_ƜܕV=֞+[&]gKW6S!]WGN'2)X/+M†٬> CSqϼ~WT;Z/ ;˽v_,-]!6Y~ϱ[z-?NOQ״s=:ޏj؏iüF!Ô] mz.%fLioa gP?@SH.:ΐs*JOڰQ3d*x+6Ȋ"!UX< 6s8’\OzD.aD& ]Wc+&!(ɓv< ׇl@pAI#R*bܑ6+r,X|G 2 `p*%yGfj <Nףu9ʺTbaLE>|($5u%KҔ] crN 7f\)O6„9d ׻Gߍ=XIK$vFIɪNfK%ce"B Z$mSJiRŕZ$rZõZOZibة~c%dh_c{y>LOq؞>MRuVoYFtIMDӔ=<;ч3SpGv&Glᔫ0K.OyyKWwygjAכgy11V%MVH%+ ]_[i+j3"(Ѓ}EVؤ]{qљu"Ϫj endstream endobj 2452 0 obj << /Annots 2455 0 R /BleedBox [0 0 612 792] /Contents [2463 0 R 2459 0 R 2460 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10616 2461 0 R >> >> /Type /Page >> endobj 2453 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111812-08'00') /Rect [122.571 280.9349 157.837 291.9349] /Subtype /Link /Type /Annot >> endobj 2454 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2455 0 obj [2453 0 R 2454 0 R 2456 0 R 2457 0 R 2458 0 R 2462 0 R] endobj 2456 0 obj << /A << /D (unique_78) /S /GoTo >> /Border [0 0 0] /Contents (CARRY_REMAP) /M (D:20221102111814-08'00') /Rect [90 530.1038 167.6985 541.1038] /Subtype /Link /Type /Annot >> endobj 2457 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (LUT_REMAP) /M (D:20221102111814-08'00') /Rect [90 514.9038 152.5075 525.9038] /Subtype /Link /Type /Annot >> endobj 2458 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (IN_TERM) /M (D:20221102111814-08'00') /Rect [279.66 357.9038 319.165 367.9038] /Subtype /Link /Type /Annot >> endobj 2459 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2460 0 obj << /Length 19 >> stream q /Iabc10616 Do Q endstream endobj 2461 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10617 3256 0 R /Gabc10618 3269 0 R >> /Font << /Fabc10619 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7PHTӡm~l1wE1 6\Hn:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " /5"]zPL.O5HA?hxfxwBD>.PkPݳ(E϶fկE!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2463 0 obj << /Filter /FlateDecode /Length 4399 >> stream xڭ[K丑Wl |?DUx ۀgE0HRfUt%x~/fK*vmimf ~Ɇ4kXY~ںdנmYk럖kx]4p=-&1j<\/:jkQ{6z&g7m<޵/vH_ OʚJ7@)ԁe&~k вx$hmRXHݓ_9Wgl)?βR!™b>=-o vt|vSՂ͆gd1U.|-IwħEoӟ =?ほ<-,g,Ş#-j,bDJL.BZҨzjdJFfwEg,:zo6ٽtxQg^A`ۍDvդ_m}\i'5۸eF浰g)bi!^- f*,[NP\(ĺ7Σ+&dyyS^Z|0t>m_YẎfS^l\~?-?TAӳ@XJ\[K@oΕk=pqs{ij_s},*wI*q,hiRZOJJEU/>sѰ$mE3ٱ*eM/yϛ`<˜&96$B_ * zi_X̿.wC[!tv9( Jɭ>QNW_^VH.QmN ;iķ\6צxջLsJok Wpx`3m\-: Be RŖb4zx**'sX=T"zF+ղ7 g F P1ј")-'r $Fv=MDB aƓ'71+!&"E~p[IjЬ;Y+@ʜ[ Lh/^11c։HPV5DKLi gdf[顐#N^ecwÓ!)f C{ZD(iBH  ]Kvs`&啛J Ɇ nw_\7`I"G*N6Aj3k Y@[-׭l Ȏ`y]wA_ :T*$}Ui\@8Q.Fy'5]&MFy+ZE ճ~;O޶q_m-dLy2VRa' /jPzt8yh5mZ <_Cr!]s*YlԿxG(fEuI3v 5v*H Pmh&/oooCycn,G 5|ڑbvFZa1XqևƁ;gLSTC. ~Ԭ3<#4 Y1JZ&CQ#McnwбfH}ԝ6vMnE3ٕ5%:y>C= aqՑ7,?%aMW@xy笺5[p`w3j&k0}>G<,YZ[d'UdޱVLԺ58ҁvS5VMζwA ܖ! ̓YHbmb54͘L ΐeMk>bv5e>F/iF[Q>wж+؋Ńɍm gڋ,1VmߘdTmѤL8 K՗fCAG4ůenU"%-i>GΑz.w֫~z{UsazAP) lьw࠴GzVil5|C+T36G/V2ii#?[#7L"GmЬr#Of Qi:20 VW Qe3jg\i)lxLPN@tb}L4Q P5KmKc_VP'v䊬 i#[ <'ivk:F26Qn 'bu% GMBDK>S2M/wYR6EHٚ| ϭu8b]zKl!Y !!Xm^G~Pjߖ=Ãf(Qc&޽{wcFRf`:l@N_zJ[BX?/}~3$`~-*/\.I^r-/$ +#{*( u]'4d?p4W{Ѷy|~q8^ֿL>{uv;Qu$7m¢z1lW䝗g]+Sڮj ͸ _gsH}P z|57w&tår?O6B%r8{~oڨAʊ"#3>q IB%%Ax7F*ٯ6V/먢&. endstream endobj 2464 0 obj << /Annots [2465 0 R 2469 0 R] /BleedBox [0 0 612 792] /Contents [2470 0 R 2466 0 R 2467 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10635 2468 0 R >> >> /Type /Page >> endobj 2465 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2466 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2467 0 obj << /Length 19 >> stream q /Iabc10635 Do Q endstream endobj 2468 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10636 3256 0 R /Gabc10637 3269 0 R >> /Font << /Fabc10638 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nEӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2470 0 obj << /Filter /FlateDecode /Length 3519 >> stream xڽ[Ɏ#+>t[*U7)%Q`/Y~[wⷐhmikzqvTq}]K̢t-ߖRD˒r/X'N|'˄7\>kkY3jGeizE[\`.??A/H)E̶Rz.jPv M&IgҢ#2v!Wރ+zae1&=Pۇr="&B328(p.~&iX~ ^믃xQI2 g`_.RY2҃ɫIA&:VFe(4Q MOWz2VIRC鴊H>VcrOݸ>tM`ˬbm9G9_lrK;3k=Uiu,琨DOTw`  j4(jH3I9L\t70Њ>7rΊ8Dͩ>V漐C8|XKF9M =|UAxƱYڮ[eAn۶k=(ص&(0 aU4s mJ2zZB+Kj-c =i]ی=഻k96GM@M >A0z3*J|w> ѡp C͚O:YZX-)t!%h 6V٥h@mś N TUVQ:ƴGUWh=>lGq6HBâ4UV;Z^ i63KYS/~ӊN`ok 54쥝>Bsl8z@ur2b|O#|v׆Mu:o*%z-GV-7Rg DZ^-\#KTTԎʲRGT,Vw g.G82=#>NCou2!Qڭ!Z#gfu*RB+^)ׂC hQvMFg7Y#uL֔n%}ɴq2N$iu0AqYf$I1xv[ G5VΞf‚\Yu42 D:YϟȲ|; TT w|h-1%>`ӊtG{f46H #3GVKo7cqmU$ *cg؏KfW0HM- Z{{&e?ߐu߼ p\ :c|i<[菆8FAT\^ܗ\rȟowbrqwWK<xu*/E z>=fڛ')1x:Ȓ=9RoSX(=hsrhʣ6ó7P[-/8+,RLl$ͿK!j/UҸ$*Po&gȣEq'x G{eb<<G ^0 `'U֑T&^Lk];q'Q\"+e7\?<3WG)&"nJFv4DІAe&[)־3u@i#o-ZA$D]Iy7\YXO;:eTo̠dqGQb we=눂(jMu'IҺw,/3jzAOL{*"{ "(xOp1Ŭ&{ĭΰLmp,W݂CmL+Piд8“ի2c|2#bs GUC$~WȞrp)e46,uѠV8As^3/qE`v֌HŹk4(df r#|p|аbG:YUOb =$=yb,0E[7]?*r$Ay⦼_#1l  N* oW}](zt_ո,L:AԿ.F 4xd[ǩY|y QsT g@PE6N<@ ʉ! 'IӴE9Ċ'lMÚz?:pa0is} r)zrdXqi\NAoŃXAn2[!6R;w8Xa=ؼ5voή#:h\@UTF~17h/x]3f&חίPߩjE-*)̮kϝ7ÝᅱpYJC& EjR[6kV$BUsR~J!!_}3 *m*qUG.Keqm2!ʪebjހ endstream endobj 2471 0 obj << /Annots 2473 0 R /BleedBox [0 0 612 792] /Contents [2480 0 R 2476 0 R 2477 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10654 2478 0 R >> >> /Type /Page >> endobj 2472 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2473 0 obj [2472 0 R 2474 0 R 2475 0 R 2479 0 R] endobj 2474 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (IN_TERM) /M (D:20221102111814-08'00') /Rect [90 606.2116 136.4805 617.2116] /Subtype /Link /Type /Annot >> endobj 2475 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (IOSTANDARD) /M (D:20221102111814-08'00') /Rect [90 591.0115 160.279 602.0115] /Subtype /Link /Type /Annot >> endobj 2476 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2477 0 obj << /Length 19 >> stream q /Iabc10654 Do Q endstream endobj 2478 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10655 3256 0 R /Gabc10656 3269 0 R >> /Font << /Fabc10657 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7PHӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2480 0 obj << /Filter /FlateDecode /Length 4957 >> stream x]K$W@~X `42sٿ$E)$Ede:QLEHJOjwThjrv I~x6MJM~^.urVAMiNM>_oJ$h|RhxʽI^opc?/ςx~tll+T{zh_^FJl?G)Ǻ|,6}o—4G#'=89)}=(r,:he$Tp(gSꌩ(TEY'8ȨDP$jU-fE>T`mf^;51XF=OiaW qۗo9x,ɿx<8=[XKONc ):-'[) b)8r7Te#`8A&>kg A{4rm{d"?Az1 A"s5}Ey%4KYXfdVTH;݋ Uy]uiD u_*Ϸan)CWq'f; ͈jo!5zC(FM\K|(FfWQ8N9j PK%EP % 7Cܰd;AI<<Ԇ"4j%,Q)*US)j-{Հ4a%:Kt)8_y=|/ \hc)@@V MOs( aHCMP~ԳZ gj=6 i{z{6N&Jick 0 |'n<& hs Ŵ mUV1rMՕ:S]\C.q=|u!@hՕ^S+_08ӗW\Y0 utkG.3hd-04v=&AhUb&q@A8rĦ!Z㔾MVV;ѷeTAu\3uhj g\CMPJt0ދ`oa2a͵!O4(Fgcn90[npKD,ZȱBAΘ|X{>`EM))K;^&@a*55cQY *i:F=VLIU-1\^ztGn]J3Ɂ}ѫL Z]Tr`7Um(L^#_h5 O[iQCY ޶^o8,(9z݈RTFqY/XK@4|Ċk-54<׳z z/u-Y63۝~0yՠrrnKl+K0rㅩ.F>SA NwҜ:m9X5Ku0 R+M?4v4r!7NZEw%\.ڳњV)5-͙m{a{!yMájoZJ"اFi_&v/Y^um TRR~.^MS/\"zf>Ь s}Pվc$1aW$\{a-L3O0Qt&(>WA7zfdz |P]=C& |P3>lQh[%ʮr١ZH#b6fmk|x2 G}YFZ0Y [d$AFn!Ǔz-Ft| Sx ^ܥ~ZMǒ/ iɮuftƧxE 4]+޹"OdyKXx0iB qaMY_8Vh. 7KPb玂v>6sA"5fDqBc_óNM OulBp9y40:X㶧 "9* +P{k4$f6X97Ma>\l݁_(Iˌ*;8T=rE-  Ũ;4B h]BoBg Jk ۓRI-X)=wƺ Vt J!u\d87p '''=Isc^Ip#ęqIOdĒe' Ă;I Ilb8.;N}$v\P%Vv@(CuQD=u=P@]3<PCP %ndHe %N- )wĩe!%>]Re+!%N.)̷Ӡgί8v~9ęA%ί_*w|7l m3WR ƛ WCiY{Yr<",qL{ Ph{9-k9+#p ߓ,?}@4d񃆞q&$ P]-5nqJÝv|/n3k@hh݊v.W>-[q o/;LYTf E DtRgXu8mcCZ23ܛPMؚg,;ԫulJ=-ǩ04PT7SݔeN@P6}Y1\VAGd*R%V^I/F5`jNN2W ,6Ɉ!iΐ_cSp=j!dt6=U42iiT,Dz:nypo "[֐$|&iz!cIn942L8yѢV!v@ D¡z'_(Z/0"Fh?Fb);WG DBŐc{:m/uZOziT-a,0hJ~1ޖ&7:6qPx4& a'f 5;F=dur'b&z,W\d5ʔ3`}#5"4߈둚ӀNHb2SI|cQk% <*wz6 Mr=E!Z H B@9a|p$J [CX:h QE Z74S.Om*3wRH4}0+U * ϸ$AB9P@vF%'vf Xh*yސX QLXN4IEa)r2'Rf ͂S<_<`*.A2B !̉*=RBE9'( rJ;pc Yo^t)2 筻o/WʠLp> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10673 2487 0 R >> >> /Type /Page >> endobj 2482 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2483 0 obj [2482 0 R 2484 0 R 2488 0 R] endobj 2484 0 obj << /A << /D (unique_154) /S /GoTo >> /Border [0 0 0] /Contents (PHYS_OPT_MODIFIED) /M (D:20221102111814-08'00') /Rect [90 518.8 204.081 529.8] /Subtype /Link /Type /Annot >> endobj 2485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2486 0 obj << /Length 19 >> stream q /Iabc10673 Do Q endstream endobj 2487 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10674 3256 0 R /Gabc10675 3269 0 R >> /Font << /Fabc10676 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n5C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶f5{kEŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwo$> endstream endobj 2488 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=265) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2489 0 obj << /Filter /FlateDecode /Length 4729 >> stream x\Ko,nW]8ndYY0IQ*u_3@r >Ub$GR*H;%$Z]B?xSjQrs{%-?>&JOrpFM8y~ZsO ߤ2IJq-5ް- W)m+Lp_*.M |>[n#'\I)ޱ57+V#\ ӠzIpuiպF]Lgo/>r- r7jfF`.dC@f9@ֳA}9` td_ّx|lT*{1hLe< =1AU)m[AuoI[ M2թQa:`Zx5**ΟY͚ m='2.Q'4_կ8yX€=\ Z傡JI/ڥljYx_/AO p*y?.z >h +K=A5'ׅ99pAKvx |V-ڶ)Ic=-^1@JD22%<@05q9Os+<.TWFA6QQec%4t,-E\4 ~II VcV } AlSab64s:.%jc-o&UnnT9J]m\WP&qc6-F|Xxf%Ɯm ΝbCAM$@iHRl6cl"zD^VeO%%6VOx TMx֒Fp 0K臎 oZ=.̎RRv3/3i:c{'Ŋw5{ME9]ʆ(t74L*Cu8E2̀ǣ`Y B$pùqu6~/7Z?3篙8KMYAZx/9q߅2]m/x?`EOI$)uعSI;]EI3I>r| ras&`QZf<]["M+-wM<3vymV{٧3r+kenSs(JvJK(:2q[tm75s(h ugK [cJ2p&81DYU8WCo0R{J;Z%v!A[aF 0i޵X]WT6dc]nՖ)UضmJvtaL*$Ȥz,da0J7 新n bn } fk bf #,a zKXn\n{|gC()8xu(j58;TVbvENfIP | AAǃeu1\unukf=!3Ss=?AH-# fz6vZ4. uD=r`S&b'Lu=Wͽ6R=ͯn49,ϫ?G+Pm7g)nd9./Ӈ{7{I:z\)xJl`,eY<ԓ `%ݜ'n\*=fzd%|kq9/yD{f=LH_$OA8͝^2/o]b}t2ol%_52?_/ xi"9c^1-S:B;^ f]fyspEYX`ʭQ|t.NLA 'MlwH]}9ۣdg6rK`5aSZoq05I-|6LnLFl5`Y=*Vr;md?`\MB6Lx#(Bj2aA2jP=CC]{LԚmTc]qG11^̥~[Uǜ7JH G6\pUPYJYo'#1Xͮr΀ƞxEJtu+bP&$lr w`NS=;KvpCg7LTG3 WB,8` / 6h0Z\]vAn 'ˎv7kF";5nW]Lj N(RLكfi8KFe*n_ʼnN */,BeA~J2MY9w)cE1V7 &/NAl#T&prdM,fĮ*Vue E_@BzJ BGX)=-V3vV J!xVm6;8 pIषp渭s p[8-'I/xx D2|D΃$6IL1v'1pPb%v~@(ŧ/@7p_B@gG/@]Oޙ P_PRbwęe; %|w@JZRbwĩe!%.)qnFH|@J̖.ۄsT⓵A%>[;T⓵A%>_;TҵA%._;T⚵K@%[;T⺵A%[;|sIP^HM@%{!5)P^HQBj *q )]/JBj9Ol6Pþ=@[d[h'zV/Ivg`'$*!ɯI 7$emE|q˶n7{mRu) ~)ЉC]/v?G]0aa(leўDm͂ӵbk]<; VB U` iI«4I5@4OiN+!}F%}G2W { |!)@ rݫ!2plAC9SPҎjt;H=eK -#ƻtҍWa8m_`&bCl$S,ӎCbF ׬ 3͋rM@U^E~,{b5j~NƠ1 sV |,::X&|QFnkxh -؝5't|^?L;B;tzM=PZE1 Lh S;\T2$# >۟>TR5b endstream endobj 2490 0 obj << /Annots 2493 0 R /BleedBox [0 0 612 792] /Contents [2499 0 R 2495 0 R 2496 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10692 2497 0 R >> >> /Type /Page >> endobj 2491 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111812-08'00') /Rect [343.1045 209.2251 378.3705 220.2251] /Subtype /Link /Type /Annot >> endobj 2492 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2493 0 obj [2491 0 R 2492 0 R 2494 0 R 2498 0 R] endobj 2494 0 obj << /A << /D (unique_156) /S /GoTo >> /Border [0 0 0] /Contents (PHYS_OPT_SKIPPED) /M (D:20221102111814-08'00') /Rect [90 442.575 193.862 453.575] /Subtype /Link /Type /Annot >> endobj 2495 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2496 0 obj << /Length 19 >> stream q /Iabc10692 Do Q endstream endobj 2497 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10693 3256 0 R /Gabc10694 3269 0 R >> /Font << /Fabc10695 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2499 0 obj << /Filter /FlateDecode /Length 4652 >> stream xڭ[K ׯ@+~!>9mLiHT",JZ~]"DB*xB2U5#jOzUmwr7pGW*pJʔo/nvQjh%1˗o/R(ksWT+/_Ȇ_h E^JKV5;*uLAiݥKOV 4u)%:d$YD+ge E攟pKa<w^gM%lT5LK  kuEO$BZ~bAX*{8ea|؏%kp֩Qoezm4 ,pjKVDH%Vqu-g?+>2m&;ժb?CoaVX-O킸.tѫ#H,|MLܜвv/AK%JLr*fi{LJmHju|+gh2kJAbz68vU;# (bgU 6Vȁx-p)K@;﫥É޳'ͮ#(tqknr܅'a g#rC@ ,e1if#N̎)crrk#!qf $V#01oS3³D(;Y FdutiyqDd6{9t&KQx'Yyp(X5ŝe'JZf2qCӃ`jpDU<@Xl>y^6PXBrBBn }F Ǔ-ԙ'M`ݎa =HRb$>n6 N(IIIoK+IoᤷplVN5M֘0!4Gn#wQK~!]|}|Ff \cH\olPe$e_?]٧]iv伺y/BTli}yf=umD%rvڀ,3ops"P Hi`jp&Rz>7WԲ49MZ4nJ0i<K@18.4u1E*wk~!xڌ׿qڦu.?dU)j=Ϻ=\+,\!*3~7&/pݫ|;5p[:-]/|ME.R\ dJ~a#Kwlm-5j¼8˒ELWe?w% z]"Yfw 9 j>Wi0 F=7^ĭxfQ K_cw\kX54LkϋF@@a99_t62o$/JOj] r,#WBid`?jбB9(}vCE':jN-;ߜv.xjs[[\9~w!C_s G~cJ#erLx)饇)cd^jJ8lO 4+.K#,(oX-Kv!IRB~60Ch,%:β#-`\VGXKUgըsW`+49³厜p t?RSU"ϋ+ G_xT^zY/A0ٓP2u5-t Iu^ucF s=KB[\6' wQH۴z tn=+&,9%vzY}$q*jAcq*Rvߙ{,vG\(l# + {Ϟ877&Zn+)<TeƝZUF19-%xN'ẌpUH0Ym%P+~/j63jA;K)C|Ap;B=la>-@l@g5RJuu!*0TVŗ+TEպ# XCebG *JM]*nBDT .Kf:`#{ ,//& ^1p V7 CfT9r[u?3/qȑo ¼)#k _쀸1IN^>u|AdbQ z,ZK«(^Y/7A|nq@=%Ͳ 8TQÐkzMr!!=;<_L(x^?@%f-oVO4,7{qIFC%> \t;f1@T΅D=_'GIr9LM ^|bw檲 qeaB㮵821p#Bt75ӵuN Ujx(՘OJNQу伝VzzrhV u*bxN**C݃Y%q_yUm±OsKݢ 'R! gubt,+=~꺔u˳Hƺj9= gʦ5L)1h+l Z6)v>]9v5.'*(9 ]hS]'cdPdܱC  dmש_f% 8vjqIa!!E̷lKVZAybhJ6Jнi燭6"dkQz~v1{5frvϬ#W6JQ3+o۾&rrj"rVEgwG"*IZ'8A@=ay?FG!n 3TBs?e> i\~/YzJf /u22(]ݜ̲jR ֋i91[;%dࣼޓ¶Y i {$AK>0QpQYњg"dvu$!l)BOXI`EdMN,F|8#0"!dP4DIh_+R R^e?߻iP AQAX<H`U*M վoE\F rBe1@*R1ԦZcz X`AI%?]r^]+snzBRIغeMd7HeG*+f*9KGmK@n YÞ. P. j'ϵ) x$Hȁ݀pEdPnXTu D~;AZgτ&DA+ n)@ ('^_YNdI<6u Oаhk;q@ ~JHY]|uH$!rd@vF:Sqf;RD9d.y m ) '3񗣤M%aĵaYpj@ j@`T~Y%uB>3X5l%8rִU1)v|_fe6ot 4Ɲ}Kr[2}}{>>_v%R/vn{:o7:r@*{[~g|#ao/Bh..>62Ci> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10711 2504 0 R >> >> /Type /Page >> endobj 2501 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2502 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2503 0 obj << /Length 19 >> stream q /Iabc10711 Do Q endstream endobj 2504 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10712 3256 0 R /Gabc10713 3269 0 R >> /Font << /Fabc10714 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 2505 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=267) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2506 0 obj << /Filter /FlateDecode /Length 4178 >> stream xڵ[Ɏ$+, T/ >>=i}$3Y`lj*3 Fc'56IoW*vz{:LOӧOa2fybRB>?h_O`}ǘZk~^ ZdNʔ@ &X 4Sxx/uuJz:GJ-Xmֵ&N{ ǩtڴUc +] l g>?NX|[)/ؾ\HK:(Ԙ&n2Av ~ Q]`icČ xV;&_ԗ XU Vw[cPX5KR8l1.JU,5)(|P%d5!Ae4;Bff ]Aô j~q@N6;,!X`#S ~/SǣL\V#M{>O?]-rЋ7~[· ДyK.` KB T[d j/(76H!XRZȔFvgz?8?-QTp !՗w,Ez1-X6jj80 )PNWЌ65O -Rj݄c5nzWj/BWN+,9aUV4ŇJ>>+Svbţ)KN6v u2 #b!W*)Pf[W1`+-Vу-?KֈEfiP-Ɣ/@4cMR{<?m04cε}Û o~A0yGkKXq~T88fmad 6QL^<6?ڞ0 E! חS4&F1~K~)HE=zC,(AfԫAҕ3,ZGimMfKXT"얿*FsEUw[@pƚ:毳6ooTO8T#ӷqrӝܹo$?=dq o>Ims..uɞ퐈i[z~a##*# ;d=]k0G˖vHe8O wT0-:{)]n|43}ھv)3S3 R?L?Ud2:l4L.QWzO` _=`ܓ́0 ޟ #nCZ.c7C]8g[j&9U~dsd3wGmb=_^˜Dv*bf{Z6_mVٚ2UiAT裵2ݳ7>.vTڸj<]8 {[Jߩ+gXiJ~VW>PQdc#P,N cFv%ԛ{ @5 \Yk{GCeg"5Ѳx1|!RM>']duMkYz;'M4/b02DjONߩ+)^Shm2†VK^S ѵGTd@]u\:)O/ï=}Xa==afQ!L`.o}A=z{/H2NTB!ZtpXcM(8br|T3 [B+WWJ_Y J.o6Eݺظvq peuXZNgrA/cK(@k\зP~ kg jȘL)qĹoL81eўYg_z4n6f:>u<a` foXml0{G=ݡJdnd ڈ4Hnˤ'Aâ+iĩmĆٷp0 qG^TXsCǰ{|=04z/.8_y^?}g#jI ѩ̏CkZ,Ag]$;Rz6%筣2Rw)f~;2;:뭭\|G:Lf9Uisx,5n8E%=)&f7WV\xް& 4=q#R)GH}xxMX;?Bم~ԙ2.F-rC}Dᆎrc@ έqJ5x*ij56n颜G  Zm؅̠tKZK.˭#&1_uG=#qw07o񨥿f*e[Az#V52yLunV S@>CMkm7m武n|lzxP0(ұk'~Xr;z >n7I*{>;h,1(?DSN }7|Zߌm'%q8Pl|LD6CeV|}{ѣzxlٮh׮3VMwEܥ=cl;$%rf`[5K-D?6(xyutiV`nl~,5]vS1k5/!&u]Q}+mS+y\/y\wtiưxQ0ǥxn{{,R@nyek#+҉T K\䮖Bx+o^Abl‹x$mp |S=`sAeAs((7dVVCu.X U(_]erXBrexSoqUtN]@Xfֻzah|S7٨{($V ׾k[kdgm *jVui($pxj)f|T<J6n 5߃4URg(&㝍c+xghŎZGAy/ZTu ѼulGRඌy:S#(A$i&)Y2^) հaQ03>6[H\'4uT|k9Bd:Vf hkb endstream endobj 2507 0 obj << /Annots 2509 0 R /BleedBox [0 0 612 792] /Contents [2515 0 R 2511 0 R 2512 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10730 2513 0 R >> >> /Type /Page >> endobj 2508 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2509 0 obj [2508 0 R 2510 0 R 2514 0 R] endobj 2510 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (LOC) /M (D:20221102111814-08'00') /Rect [90 148.5001 111.4335 159.5001] /Subtype /Link /Type /Annot >> endobj 2511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2512 0 obj << /Length 19 >> stream q /Iabc10730 Do Q endstream endobj 2513 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10731 3256 0 R /Gabc10732 3269 0 R >> /Font << /Fabc10733 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ7 endstream endobj 2514 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=268) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2515 0 obj << /Filter /FlateDecode /Length 2487 >> stream xZˎWp=84jb1v{9$Uzvf˅"/V_UozY0Wd/ExvZa6PsLΩ?=pY\q?>_"tf:h)F'cs_aC1㜗@+јb|x~Pp2X0I caRˠWTiyTjb(a'jc|~(z FĩdtR>D% ^?A[Xtpb,_lR6m*" .,`>Kq΄46v`?an Duukqg < A'7qc{&v:ɺ ݣluV'!6=zU=XefαxZy>q- &Ҿy{:t 5|~Lm?u]͋0X+Kr v5{ 45pۛMЂ%ЛMՕ$ G+aMiۯ 1=a!XMû'Or;;+_9dJgw2TZBG3w * v͔n5DNK㿔q̮>hMEgEpnhkk- gQ;HUQh ۗOn{" 5u-(-K6xA!xuhaQMT껐\D\v:k/{MCn5څ.v |B8Pچr*Y'uI (8@dpB`HCAT|x>v-^naVx$ :"$ȷiDv2r,XN|yc&;0KI޿"i,uC5T| l.N#i-1Җ(#W+a>+0hDs @uKavE'?lu t) Բ}>|3(m! ͖Vp]߽liEQ.y>& ;}'&FTc(3;$pPdVPCZX@&q*C°AtD Bhڅ S{$~c5T*lb^z]AidG'${B%8x-- (FH'IdH)Vؠޢ,,Ak`ӻOH2cHDBϴѷC'r͆$!y#ӝ.@fv*(:;HjAPt#?^K*ΜbZ Eb Ǡ` i6iSEg;uRYTt ٌOu}ʮ$4% X`I2/FuΝUDgI`gS5o@> endstream endobj 2516 0 obj << /Annots [2517 0 R 2521 0 R] /BleedBox [0 0 612 792] /Contents [2522 0 R 2518 0 R 2519 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10749 2520 0 R >> >> /Type /Page >> endobj 2517 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2518 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2519 0 obj << /Length 19 >> stream q /Iabc10749 Do Q endstream endobj 2520 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10750 3256 0 R /Gabc10751 3269 0 R >> /Font << /Fabc10752 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7PJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 2521 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=269) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2522 0 obj << /Filter /FlateDecode /Length 5329 >> stream x\K$9Wy!|~@Q10.òipI˲TG{dfLsQ'\B@wu,Z-__5v |瓔SL$fr-cN Jr;ʭZ:Rbo\ /e#Hj,R7Au1^6[Qyʌvf Uei,`8Gʂ@ljf73/oX\i-ikRڠ#ެTo1r5xJo P|o޵ p6!AHbp'% e%io^JbH Q{4r'EC }rpc@@+wx8_\^ZjマM*zs%`?vW hԳ{^ 嫨u )U4K`Voi\kkJ$mAd"k &-bUj]D+2L Csd %הZr>5^~;WJVCǗ(xc`@ Uуt/$[n!g$&2Nk28aQxVhUСz]ǣPq&hmTRi[z? ܾCBX|!OW(-A'`lB  F9q#b4 i(" m)Ӊ Ԑ:Uƌw ,)6SX~] Y9K5GOIQ@hh!J `6XH:4!yrKi] {mHX6$٢G D)yg"YJH]PmB T-Seħwb/O]u\,6J:_ =WY۠Vblb͒CCSֲ|1/߂+k4]Zu FO:P8$ Ϻ6 WXPHj LvP"D*FH^IJWX# 꾾tD !ut7<8xW-#a{:tg HZ"<I^S"XRS',<I;hT2(MWn SdfJΆ("eE@M*Bk`% GBdH)7V} DlZ| 0=a%1,;d6ؘg^A ?4Z #i%I I#8}*\)W'鿕[!vGjCv=mlhRmҨMsr N5VU)sɡ%Gpɡ&/)I+CV(>\ƣV5AuVօo.m&n!%+Tx`7R_ubeMJީkսZ5nkJO]/M,}Vuj(VJl7ێ- \~wd3nv'׀@γwL5K>aUlmYRSDjr:\1K_)?~*v92VIK}+ҋVH;ȹ)h7J;$T?{/MUM*j>lnj(}ُ"MfrMnҷ ڙjbVݤ5w ϵqݭ}͘k(H6[ʘs2')JlHLP,4IcBJX H0~)ȭ'K3kdWX5pEut~QWƲB|$6 RY~{֫g_/b(Q}X~۟bn9'½=bmڙyiaLu޻i#!h~M+n&Zr8uǩN kiUiq}y׍gl.n ⪜κ3W%!H99r-^}][0eQt+@sT]{`՟cBbhiOdd*{[Kx67?%8B6\[֭d;jH_Bj>YV8,8Y{~3}Wvhpxg׻8Wx+?sPn(&rM&?wu'…?<\ti㄂Gm[:o/h=>iW-4&*Pqsć-\὆6&;^}Ip?tۘMJT*,SvQm+~d ێ j̤ٙ‘׊CcAhцN-0 .P^RL[۷%{ }+}in&'M4p6ХMT9:<êMpz:J~͠iftYP,7qQK;%FA2؟ް=-?=j4~uP2)[7t ?ZV`։@[{g}iڡ-Wr7`H6هVKEP|T)wY)0FJfSkrc;b) ~F<od XyC8K0C˲MrYtT$Kh$ߕV,m`~paq` ֋= ?QK>#%;'i ! Ky#tV@=aEx 4Z}Yt}f$v{48>zBY}''~D P@Ô$4`l?JtkL'=<"+8_w9W(]vt >ˡ5݃147L{(UWJF:Ǣ aHDtYnh\bJ]܀sXk`Il8%,1ƇLYA+!W8` !lM>z!GZ+ iua'?:(`@'Y>SRf*PAJtp'8m>b0(8_eJS@`AxC4=S|bqD1"1=!{@,|9Ѓ|s6x֎<>HȾ^-h>r]B轥"%'Mvc]elC;zU=MC#Qi BfDz呇vħΌ>3u%m##gHULZ~CEh_KjJ>Js WHMI?u=YI3 ^-3o ÐԆs1W}PyϿ۷>rf#__tnH;# ٍE/R }^ I|zW|B> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10768 2528 0 R >> >> /Type /Page >> endobj 2524 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug906-vivado-design-analysis) >> /Border [0 0 0] /Contents (UG906) /M (D:20221102111812-08'00') /Rect [331.659 426.7501 366.925 437.7501] /Subtype /Link /Type /Annot >> endobj 2525 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2526 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2527 0 obj << /Length 19 >> stream q /Iabc10768 Do Q endstream endobj 2528 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10769 3256 0 R /Gabc10770 3269 0 R >> /Font << /Fabc10771 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2530 0 obj << /Filter /FlateDecode /Length 3982 >> stream x[IcGȳAϹ/P0 >9Lۘn2\^M KK-N?֦w2ijRgq~'y|aդZM^yI)n2=^R_EnUigO[&<;E'|+QGk^ڼ`W+gitrg+9dzyZ;TSv^[pq~sٰ5V8y| 1I_ R%T#i2I@-(u+^7<򙿫l`Nz8lCc>RQX֠Aa]|?vĕuIR^uJФ+?`3V&tt2dRJxaNr <=Թ$Zch IQ+b(IY&-ҏE~d\3l0hX81Y[ Z7nӘ)isͭMίuS׎g5;r651Oɂ6}2>.D)e'FhGh>g5?ǯoЙos!'tUj#ލA￑FgEzn xV@0`"Qùx 32% ^j"4vVQ=B]h`EJj!Xb*$,̱M2OO ֯+6)= :}b2 BӔFr+Ť 6"Ց| Rg![vmφӤ)ήqSuT5*}:vAlS̶ʋl'O]ǥm2]RE϶םWOs#e ~#G}6e͊'ot_+˞x5`:T&.nh.xp\PqvZBLf÷AVWx^$`ێޢTl2xٗH|%sFޢ8%fq63@a3NGk] _:+l(Kr?kYNjGat4OsєCpJZ "zXKqRNJYeC#ޝR) "yy}tf߉KF;L[L&m7yd1P_>x䣠giJW8";@tndB-dH?l}; ڍҏb#s? 6{-JFZ tҍmLPskzP)</ܔFKO{O)jWb Xd*먩lB2ͥt(k}ДZ겈ʳ/s!-ƪB-[S0 3H3i y~yCM jzBi'v/`6Do"2,˨Ps XG5dG!kZRm$iAR1nm@l+ـEd1N:+YVHrU6piվ\w4Bjlkq]g8cw\;_ urcT;^{g?/1Ai:C}ً =DbTʎr1 i ߴFЫ<jWh]pڵ`7XN s&$Bؼk7r)P;!D(mɨZ g,Gt4nUހ1j,Z}=x=:)) 5[b c6ѓN*QPwMS)\r\pG8^PqK f[0k,X"%0ج6ً{9mQ\V@[(ɱںt@`yxjqv\>f(5{sⰩKf=sj^DyT^8;/eLQXda-D`]OXzEJfU>O)z<PZ ϽRâ̠E&,91mv͊~b)n>|oϷ4UZʘCo]G#^;-.s+$zwTTpʊ7m k:g2%o:5 3.t\Xo%n61FV "jmqPO[Xk7qvV#. |6\٢>rبϚftFh zӊ3`8uY-xЬ :1t! 1^P'Vg?c.5SE<m %Z\y+Kjli_̏77{z:xO/ ذtsx>\BVvx8_H7Cfb@qs}x|%~&Z2et^*ƾBvRȓϩutꩽX3%9[qcǼui;<Tn`t VZ;Sj_Iq̩O<3j;}V)J` ~r0wZx;!y'0$(OFo$d1Ek4X9o&]FL9&*Ѐ4v(;EתL~sMWYe H/H; R.&#H5Σn rA:pfc޸tԬ6+{|GE T▦[%zӜh۪#i3md#B{ b h$Cl>a1ѻ"&iȒ 0̽@`: {ЄI+7i$n<^R,ꖃ6t~4, ROgY*[EF=y!Tނ1r Ii5,~hI˩jk[XybsH i!H2+ H6|'W͠GX] <_chTviH!(["\jJTbe0 `Ц]'#`)uvS^bR|2SS :Od ,_nM~~şݯۯN%K"{p࠴{Rm[55sVAQ&C(͝A ^NPH`&o `(Mud fY747Xf5Ekj]6N$j"# VИBƑPɠ"Ĺ;;DE3>FEBk$ m}J*X2'*"c|I|" F!Z@s&V<i !Om84U*@'W_ vR mOQ&ZՐ=[7L͒>yW u2.Q|!XHakLc6#(D,"I(n? <6<_8a=Y Aw}{0Ѓ ?&D+SPt3ٸ7g"'7f&H"8(d D}HJUl.e薥C2EVVw3:3!> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10787 2536 0 R >> >> /Type /Page >> endobj 2532 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111812-08'00') /Rect [387.9405 698.35 423.2065 709.35] /Subtype /Link /Type /Annot >> endobj 2533 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2534 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2535 0 obj << /Length 19 >> stream q /Iabc10787 Do Q endstream endobj 2536 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10788 3256 0 R /Gabc10789 3269 0 R >> /Font << /Fabc10790 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶fՂf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM: endstream endobj 2537 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=271) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2538 0 obj << /Filter /FlateDecode /Length 2977 >> stream xZKW@0F 9{r ;d7>,u,V}6-N:x-/u|A[_?[]qښ9_迿7A;xЁOmI` ޟs6&C~Gvd43S_Q؊m9wb {ϔ_Όuqxΰ r]yEf5>QJs}QcV6| ,ࢴ f 'l̗Y5PٹTVAQvaNK(2wh-X^|_.f.c[@`L`ջ*tȪ>~*-`y9l Px'x+'7'Oj~v}UG$3GVՂ `JyYӅcZÛ!/C)NCXLeA / zw6=:lc%etb!6>:ۇ}=ZJsHH$p +da2U4l >KGttmvs#'=B;|V"] #=6X YX[H4xpj. &-p8{.9e7b!)SsF e.3@x˂ {f:xDAX3ȟbMh,8]$ۈ'А"6q }tkrk{{*H\-D)I{${њɶ٫dvUt}}iuK&-oKT<_S5{ae}53]`ʼ@SOLDUF*D 9jߥp*b縓Zъ8kݦ\Vma@U"qI?LCJq8N#8R]kts4Fty(/FkOnv#p8ήXDlUٛljqچ4:4F2 ͔SLw &5Zpq C/Gr-* H~ZLG֩!b7R.n&I+ԆE5EaUMUߧ=}՞Z& `1SkZ%-=;p ݞfU|~Rk.(I[t9t- Źۂi nzAlc/vmjx|[܊EgC1n>Ґ~yU_iGj[i}9+9*4}>9SLéO:rF6A7Ld3XїmJs{{:U~La#w03Gw'?So a78=2 qg%E[!ķ_6\c":KA&5~_L7}s{t;<<R"SCt8Mof'[Yg9>u-o+B•w*]W=&:=ٲ d)y/װ2ዖ=OoVL+`@{<ӝ}`a=^+8//S[o?]0Woۘ6t0^.>xFhLdL&ǐ[/\K{A ۈʟAk2[N HPt`@M|?>2iH Z>N8/ }x: y |CIo9ynűP:ԏ2AC7\Jfj u|E6'|>fUA1aesCs򰈥OE;u Vʜ\QHx AV@f@g?d R([G. [}i%*0VVb1Duel1-y\åGEo eq&YxFZ7>3y16,C}͖IAڲat={xrFS1>'IG+1c37ȶ!Dq=e'6A[7$huϷ6 ܁, F=T_D.͒s-4F4|KTsRn/h; ΡS*sd9'>M}}Տꫯ~P_}_t@րz4;pHTۍTCڏ 2 YS( ! Jo4r Z0_DX4R80ZNN|ERB={$5! h.!sB e⌐V hؐ1 [#-Md@5 4q\y 0$BמQj;$ю n(Fri}qb`!j -O#}HM NJt@v]% %T(E5 AʎAxh䚹pX#-h jJ|_*?$Ksvgp,o.L8Cq[{NF-JЋ$FIŪ.Iddy7d~,\}.5I*{(l-0 :F35Έ,^xks/ehq׭ ?2=[_oƹ\0+I@ @Tgyx~(B,L㹿+wj(::B`4*C4~^BQ;SH,<-/F1ܐBmFִG"pSιnj-"T# M~"\*볟sn*v.l"w=9f endstream endobj 2539 0 obj << /Annots 2541 0 R /BleedBox [0 0 612 792] /Contents [2550 0 R 2546 0 R 2547 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10806 2548 0 R >> >> /Type /Page >> endobj 2540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2541 0 obj [2540 0 R 2542 0 R 2543 0 R 2544 0 R 2545 0 R 2549 0 R] endobj 2542 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (BEL) /M (D:20221102111814-08'00') /Rect [90 675.7 109.1125 686.7] /Subtype /Link /Type /Annot >> endobj 2543 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (CONTAIN_ROUTING) /M (D:20221102111814-08'00') /Rect [90 660.5 193.818 671.5] /Subtype /Link /Type /Annot >> endobj 2544 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (LOC) /M (D:20221102111814-08'00') /Rect [90 645.3 111.4335 656.3] /Subtype /Link /Type /Annot >> endobj 2545 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (EXCLUDE_PLACEMENT) /M (D:20221102111814-08'00') /Rect [90 630.1 208.602 641.1] /Subtype /Link /Type /Annot >> endobj 2546 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2547 0 obj << /Length 19 >> stream q /Iabc10806 Do Q endstream endobj 2548 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10807 3256 0 R /Gabc10808 3269 0 R >> /Font << /Fabc10809 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7PРЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2550 0 obj << /Filter /FlateDecode /Length 4680 >> stream x]I,W@/@s9 vwèeIb\_{WWH~j>I?BOS)*$ImLJM~n?K T9G}J^MӤ[Hӟ3&&&4R>9[,  <(=xOt,f`fkuE6FV#@t䍑cPh\=DAj(NT^&Z4c9?##< _hܺ~: ?fhԢBQ\tBu[fhXoe蹂 qa4 a 1; ̉Ynt)a~j VVJflڣ!;7&G>FM{XCygW? (s%"~:(pܤ !vG#e` dnFd߳L&LИejU3@[ ʂme82 YƆ%\J9ه>&A@Z׹Y'n^L@`eҀC,H#4CqlK@*E!ޕo.АnK&F2>lQ< f_)@ȶ}tP7BuAMW .VUIjvF,Rse:R\1Nj61BrVJQ: plbW9jXaR4NZXρ I1+\ ?Zż/JU߅!toPDC# _}~|O4Ϛ03J]diNP,97*ںEf WWt9 0UL^w7>xH܆̑U;dCh`Xx~` - j ^ S aoƕe~ɀ5|H9I0PMt*+rrű1Z;rB; kEСKlbU>Tt7z[ӿR ;ֳ}{=_Ԗ_wT%T=sO]7/5sNG{Rd{z]_Eݾ$e]5];;s1I:d}`:}򣠝b6yQLyKLI]a.#wI<|nP KL3O6 R[w)=CF+?(Ӧ1`,r Pӻ gkȓ]x&\K[TTf-JekZ;~ML ,\wvuMbgSc'ȽK}ZMǒ7Jnϑ:_xUY:/7:8cGb$xѻ]5񊱒/Yv_[ώAB[pu } 4}mug']0hbE 4C+>s ɂ(pcۂFؘmcS MR!A|0:㽣@A0QHUxVp-ɴ=8{h.;, ?Giƕ%n kUV2 I:X{|.@]^L ;-2qCՃ mjEUT@0, SO X,{A/4X-X]m*yv(ȮN )ȚԳJyXTtmXJ# +(zl0p$XNj Nj Nz 'ay}f '^IXN}/ }$Z/s78]$v'8NbcI =$:{NŽ %Pb?(}% P:/D}!DZu Nlu N9 RbkHOn؞RboVHi %t:HϦ؝RbkMX'ί85w~9ĉA%Nϝ_*q٠ϝ*q9͝l4es秷׉vA%n[mAjT=P֨n]yAjTmPA%_4)&*qU qU޼tUklMLStZ}7o@$ +)N~$]6H&=$S/ϖ*<$YggloŃ&-uD&U tauJ xY0k6?nj{߭O;kW m2F_a;o& )鷿g _r6x1^oMϡ$aN g|S~}eT NOZCu4ԌxZw6!1xƕj4Β# xKgb JMDh(݋v.WtȷUMPF[B3A6FOxQ nlZ 7-wx#O=kv OQSrY֬ҴIYTulJ=8NѤ9T-TC^F 3,xOr:*%8ЅVٗ*9Bxeըf0B)i \z&OberYȳ y !ۦaGB?M06ˈIF%pO G ̨ U` iPIOi9LOM2j#l %} (RiT0cI 0"ehAB-r,4T ggPՂ~A;I#G:pWA2=++ iJ0@ATooRs7|.o pIlG`*B\.i@'BGP6\AhW&ص43 H B#>p$J [CX:h QI Zw4SNO}UgU E*ɆLЗ B!jHG: .(?R|Lā\3{@);Kտ/_DשȀ l39 g Лy+r"Z;R3;T]dԂX3'4ϑ29ASW x ÀX> Iך"^dߠ?C\m* e ŵ漾g{PJ  QpsDIRH69E.1_R(59~┃ơI 6V45NSC5s4c[wp2Zwnh?r?qduX>e}b-Go۶ 9C N$Q)3x|,S4/>wGT_+S+_H~g'/ #];J' xRPsv owK& /r6ݕ͵ܢ"> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10825 2557 0 R >> >> /Type /Page >> endobj 2552 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2553 0 obj [2552 0 R 2554 0 R 2558 0 R] endobj 2554 0 obj << /A << /D (unique_153) /S /GoTo >> /Border [0 0 0] /Contents (OPT_MODIFIED) /M (D:20221102111814-08'00') /Rect [90 545.8 171.4385 556.8] /Subtype /Link /Type /Annot >> endobj 2555 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2556 0 obj << /Length 19 >> stream q /Iabc10825 Do Q endstream endobj 2557 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10826 3256 0 R /Gabc10827 3269 0 R >> /Font << /Fabc10828 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7PP.ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽? endstream endobj 2558 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=273) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2559 0 obj << /Filter /FlateDecode /Length 4803 >> stream x]I+9W܀c/@"3=@sa0*2oRD8^YY~I#ŲMjoo1㇬4-I#I?7G+~aR jJ!Z+}<}PrۯP*3%C"3YfxGQ*B=ʌ浼G,h ïEh4py@T ^,,Rھ<~ooRMyoAn% ?4(PZJSI4-=q|U%~\sX |f^6ݤclYZ?˄}'{z}d ߪ!9tq;'uE0Y#B(xmz(eL$lA!Z'5h$YȮ4Ha7BShРzwUJ姜 ZD}v]Ӏك6HC|YBZ)gykmZ-`Q\n 8Tl'Xt]z6>{5߉w?~r Vi)낃eofB0/ҳ/,:2|>@ti|ɼi[Q-(=gƁʪ t!l駉43{\pӥqtfXD²M09k6/\$OJ=_ i RY]ŗR=Du$c :vV) V^NJA2YU2Jhzd V=704Oco"23;b;My ul/DPm -CS7ӄq.,ن|b(4EAB2!!+X MVIῖ={#UrOM43HsiH'2 ӳ T'5L~qy]eTGePglwi+ ݸ[xw\)&lNѷ*`tҖ>%bԣ] wbLk383pg qvI I4{f92\0;yjdGӫ* w` O:o{g+Ϟvu3Ae.g { ]a Y}` qIg;2F&md$4VHW d SI5z6GqLIW^UcKzg_:#kn'U/YKPdqǒZ]b4 XWsm4/lgyHav VJGPɖD‡e@xNj!nu+zVX̼Pg|aqgOWi)oźZLNb ,M^:/w2j:߁_slzi1/7Ћѫ >uJYfKl-9]n=9wC L|?ӊg{wDɿ2u:C}M|8t}aGi?=Q}U=DoM1ҿm;*eH>bJ^##~Z4bA2qǸrPoY.!=ዐPmt%R4v))=ޓD;?(e&$( |Eh] )- /:Rl<'7UɳYjF.ށMrSMX/ie=CQ>$0Y)hZ=kDPd~lWI0Yy1k Y7i"˂m'5B# -L*P5CC]}3O$>6f%=K7c]n'6u|WsicNgJZHTuTǨf\֍D("c 7Zg4+J&-n bc0/i|ًvd Wt~,Es4C+۾p ԀɊ0mL3ql2?ؠ4)PCg˵"0ةqL'Fuu6p-ɴ=8{hZNVut0F̵: "UscV2A~Xt*#l2V;c]ʼn0he*MwVK-bWXEb:\B/` z`ق9U},,*Soj>&՜JmyzwJWrdVXD?]6g;8 VpނނYɰ>'YIYN]>Nb1psyPC$f<Nb1pz&#$| k|WJ `y*>(c%S@]Buέ P_Pgr| P_Pg7\)5w'|w@JlO)qj^Hi; %NM )4mM)qrHi6b83w~#ĹA%̝_ *q~:PK/|rPk/n.Sπ8ܸ/L<$Y&loՃ[uI U .(eJH<@lNڕw&׃ێ6Xs 1xpʡpޮQ&뙎У*E뭃&y2#'qʼ&C ]*+vV@sPv~42~ᑏe$ u3 YSx4ـk}_ȍm *0v7Kt#@}HOm‰ix,Ͱӈ{䁊#]=~ yO$3)`|"(0 ԛp4׌a @4и*̡'qJCW)\5tb&RMt" ZAni@bDCg Qq eӦ3;B_&~,R/QCZ"NZEWdEs I,rt]yQ~ə ڶ|˜ 0#1%ÅK9m{aLQ&l`H-B4ݟK|hdW|eEnPu 5 fʔi^%dS1ÀXE> I׆< 2=ȶST~BpP&]g/=r+@MBw(\$"qe̜x6q[/),JaqrpJ4hG?x68Ty>F:M-̧k4sw:'Щt4yt4ӟ:<:m۲>5sBGmݬrSⳄ_'GMXݰPzF\NMB|ngn`w*x=cwNah5~aWkE4ٳ;-1v6P:> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10844 2568 0 R >> >> /Type /Page >> endobj 2561 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111812-08'00') /Rect [398.7701 413.95 434.036 424.95] /Subtype /Link /Type /Annot >> endobj 2562 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/framemaker/map?url=pg036_sem&ft:locale=en-US) >> /Border [0 0 0] /Contents (PG036) /M (D:20221102111812-08'00') /Rect [467.405 342.8 496.765 352.8] /Subtype /Link /Type /Annot >> endobj 2563 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2564 0 obj [2561 0 R 2562 0 R 2563 0 R 2565 0 R 2569 0 R] endobj 2565 0 obj << /A << /D (unique_155) /S /GoTo >> /Border [0 0 0] /Contents (OPT_SKIPPED) /M (D:20221102111814-08'00') /Rect [90 545.8 161.2195 556.8] /Subtype /Link /Type /Annot >> endobj 2566 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2567 0 obj << /Length 19 >> stream q /Iabc10844 Do Q endstream endobj 2568 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10845 3256 0 R /Gabc10846 3269 0 R >> /Font << /Fabc10847 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2570 0 obj << /Filter /FlateDecode /Length 5110 >> stream xڽ\IFrWFOEj)OlPO߸zg[GZ"vP%mP_7fs/SvuW|@T}nν$8jF6+Ka?<}D ny`8u{ùmŻ0bsYnVbG!Ouf?z2pu=Pᇵn*z%8r `5Y351vmbsUKnyK.Sq~S]\2x&>%ͽ#"XD/Ӗ;Z->LB \Sc'P87[;njjNt>eK 9%ZEpF76> 6.yF2=hb3vl.iфl妯kS`SNqew3@7d,*Q&`zKsmOίsP*pt^k,gcXzkɩiL!?T -b0?IML 1;<}~B P<*nN"+\8TiLϿl)e2Wrt.RV.V%`~G,ɒwAu@E)&abY}}*B[Dz?2)S械lgCRE]!A040RF,CeE0KpU#f 7.<[$VRయCcp Et6 C${]H$1 EaѡvaN]FR qqSވxd0{L5p+%-r$_4=Zx 70<H/BsF98.d֥7&=:V"e6c/U|L;|" `7mѴ PKhgJcRMiib~/[lNeLZ މ8{9(sfPƵ T dFW֡P$b=:Wʔ 8>D68"нCN/S ]Rw< `7U7eLt>׹:Sнz5J#taP,h(#4ڽ:Ṇ0-كΰ $!Qׂ|:]=l1/Si^CCyhC xaO3I\%^Y<5g iosH{Lp({I{7$5ӗ-)^H=v}8ÕhЈTRcިErzN-?N3SCN½5W,͠lQr6CXk 0و'}"9hדWr"'-M} L\lQӠn8cbM\hq" %ϴgjv8LiNç;dGRFo8Ԁ>[걒h`acZ"˚K>:oyzf hqjIu#FMg*@}<<0ZHiDžT=iR-n. :Wʓ.3ɠsY}x<'o,N$ 5sX̼!\/94'MC>?z(k 90&29%% upA/iȘF ĝpj)7XkF*&`I Y^ ҤˊG05g(4#dCеX;D:y={6J1Y(1,<+5 .ёBSe}a^ImU<l'x9;å/9Jf9*yWp.v#d0=Js[؎=2*'6`t`L( v7n f[?TX=Nyq1|6kX`0rU\hMߋ{76+V,"Z3dBg#IT10A2_W,q;[ݣwy w{lV6댽IrtùVfsLNt~Pt&UVNͽKBYxOM;UjΕ٦`FW ~6rrX&[C~QgTl&2cV\t'mD8C0`1Gg~>U7<D+VCpC6[uT9CJ؏5qV4w{ڥbic?JY CapCmVb2j"NS@&*t(NaMa>&&ckmC-KmOԺ?xlc [acMhd w)=Q}lZjDjwxYT$kNT(Ⱥ{T+kVXn-ȇĚ#?@0zRNҾ7fICԧN= }b)*q_ܖk?)FvU.(gb1$'{s{ɽh~T g=ͩ4ZS24heK9R6CjT8բ6[՘ԃAIǙ`{)\z..YYXΠ}Hp 52A.#LbhJ{r z.9,IzLH72+ͥcLXF.Ƈg&c'hTz-hZ}Ep$hA9jJ@iV=ޑyQ?C-{sRTWu^ *JgK0Atcd>f gQ;7(ڟ"˺4猉0IXrZ.6K/R:{jf]{b jKc^[)i,▿Kx/7j#ӉBkoVAf=o6J{"~L볝IhV܊=T- O-4ӛ=j74Ԓ(u!oL&e)-hLvfB-ʅ! sulmO5+@3ˮ.j@k3Rmh Lp|y*X*2R]Zxl A 9#C `W͹2Hm;KaTKq,.MoCS.?%—5E ŲEX8Ty3+n@hݶLGok*-h RGp j /Q,? KŌɽpYV`b"';d>u]3k{0S)5R_$J3?D+SheF\u4mPv|M8 Gf#O ܹE%Z }{%0Yo_WS~V)w S}߱Q&OO߃c{H7BMՒG`$oB ʟhBZMʗfSYXQfȅg\џ#P\pP+A\Zt-2-> @BjL endstream endobj 2571 0 obj << /Annots 2575 0 R /BleedBox [0 0 612 792] /Contents [2584 0 R 2580 0 R 2581 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10863 2582 0 R >> >> /Type /Page >> endobj 2572 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111812-08'00') /Rect [145.858 189.65 181.124 200.65] /Subtype /Link /Type /Annot >> endobj 2573 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/framemaker/map?url=pg036_sem&ft:locale=en-US) >> /Border [0 0 0] /Contents (PG036) /M (D:20221102111812-08'00') /Rect [467.405 140.4999 496.765 150.4999] /Subtype /Link /Type /Annot >> endobj 2574 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2575 0 obj [2572 0 R 2573 0 R 2574 0 R 2576 0 R 2577 0 R 2578 0 R 2579 0 R 2583 0 R] endobj 2576 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_ACTION) /M (D:20221102111814-08'00') /Rect [90 381.4 191.057 392.4] /Subtype /Link /Type /Annot >> endobj 2577 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_FREQ) /M (D:20221102111814-08'00') /Rect [90 366.2 177.582 377.2] /Subtype /Link /Type /Annot >> endobj 2578 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_INIT_FLAG) /M (D:20221102111814-08'00') /Rect [90 350.9999 202.937 361.9999] /Subtype /Link /Type /Annot >> endobj 2579 0 obj << /A << /D (unique_163) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_SOURCE) /M (D:20221102111814-08'00') /Rect [90 335.7999 192.861 346.7999] /Subtype /Link /Type /Annot >> endobj 2580 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2581 0 obj << /Length 19 >> stream q /Iabc10863 Do Q endstream endobj 2582 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10864 3256 0 R /Gabc10865 3269 0 R >> /Font << /Fabc10866 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7P(Iӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2584 0 obj << /Filter /FlateDecode /Length 4381 >> stream xڵ[Mo6Wh݀,~0 k@/y@/rO:l9ERtL&~KdX_*2f >R뷹d~Bѿ_9]~q}15ј kWS<ϭUb<_1'BbL Dk|8{ՠF2:+F= 0+8/#YbݚBT,A'JãWDI;Sa/m-;L/R f__b +`X #/uu&_]=5 |DY%9 z]q6-"kt5vf&^/_<3^$a]b<%1d?c3c'S \Y<bө^zI&s 8o6 VGx?3IKY4g4UkQEu*RϪG_+bӧQD\V|e /m:1ǶMLE o}\iu넎^-*\U )"+h^a1H#Hv_.ϣBõoVgJnϭߵb\s[soF.ЗFoЦuj7Awш#="\>ƭ_T|Ḑy尻޸\7mz3wԎ[m‚Uk,]!x'.iD}<ǏaqvHn; ynH|ٝz*i6c,k^㊇a [KzF "56Ѩ&ը?Tض}C A8"E”Q=uۊ !RSE{AJVR^Tw[]N4xOȟ*.ʔީvYݲ\v==:ʼsS'uD՗! &b_aU 0~^YRN``Om- ٦3XQ*[]I4e.x2%c\퓌K-kJ,svz$);f0!TӍ`먮+yKN)96zjoډ2Qءq1 5cmbn6niEւn[]+Y,fot5 NhO06اJu)""ŽO%i{L=rnIF{J*)+8`UZuk#Lkhpg1 `"D ʚuR'1khC:UX7j0mh, T-NU 8F*)HI̢WP4%Յ!E5gUb~x}ՆtT2xKNh^h#nsT=X$NI#dR+ɏ .kbult6`bH_e`?t /X5%HED=P!zs7yVWK&`!,5`~Vn}۱q"GO=Yl=QoM9?O$KCO *|Mq[FPGb=d]-i[ o(؍fTv$vP^/US1)v-m5s/v(jDRcIy߮O[.J@{= {Kkev n:pAv`=١pvޓQ4/ X]{9qh2Tl'd o dnmf'+"Ntz* VN:Z!f-{SO-wy(| sYO^0sz|@s:PnlfѭGZS4?|础zg(^_OIZeara;6isSۨ CI”4wM%>U Č.t<+P91jVa!cӴ2NxV5eKC;5ՖT(L1ه>Mjݫ,^>tXw|eW @ E1Vah oB/h).\uti:[YXKCǙ%M =yJZ{W[Y}0.lA$ջ- Ha# ex3VLmjdo| pSONғE.,%&AT]˱ 46NZYw >xHO:sZrVw]0Y&bGÀyzZqSءDplsccaєH:D cƗܬuxE4V/gоZbmEjbg嚠W8ӌ탦󠝭>wJ {x.gU fRKUn%XJO0AbKa5 O]Rai jYAIgiX;MҽRLs㑽s_^wQ//$hҟ g[/z:lܨ9h|ߐ2mmQbHMhwޙCo—Gbb?97T &gĴ5u.戊TIGNJBr/w#mH~ͽYĊ\ۀ> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10882 2591 0 R >> >> /Type /Page >> endobj 2586 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2587 0 obj [2586 0 R 2588 0 R 2592 0 R] endobj 2588 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC) /M (D:20221102111814-08'00') /Rect [271.698 588.25 326.1315 599.25] /Subtype /Link /Type /Annot >> endobj 2589 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2590 0 obj << /Length 19 >> stream q /Iabc10882 Do Q endstream endobj 2591 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10883 3256 0 R /Gabc10884 3269 0 R >> /Font << /Fabc10885 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {vPJχv͇׳Sp<I e!Wm ZHn;Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.oc&sqE fgѯOM٧j gVJGܱYI ]v4Lm'٧t⩔L$u$WʵRLsVWӬ/~oC2k,`6auPDt C%xp4N!d53pj WgLE1" ^_/Eh; ʄC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2593 0 obj << /Filter /FlateDecode /Length 3595 >> stream x[[$~ׯгetv 'yydml~wtR]ktJ%w.?I'ȏe#LC8o^ɗO6($ۃ:?ʄ^`y =JC`A09i2unEuQW .d^4Ebcɂ2 ]L< vvdjJp6mo/h%T= ub'rcsJFt!Nch"|[Bxct:VXRVd, 6 lT̚{U# +#bU^# r+r[y;t(a;3.=wzsd0ar9Ew푾u*ڴӞtlg)*uGr(:1!+dtѝ2a%kh'b#zlhWluNdT~)GPY2scj_p-kAY:ǀEok9!S.,w:.)gn,BB={[)/XQ%R11d)lu{|)ۦJ'?yRP! DJfT\ с8K2` drR;8RmKR.X%=WJDFq{ (S& N9 Cw.׾[ed\*)&[wqˆ <*@Ѡ0V"w,b7Ufd;8΃XIyyُRrʉ`б,!^kYDb`JrTBHV?O DCx \!y)r{5s_ᅬu/ﯰ C`9xJ:7o;# B">,22Qq]Dܕh)mA61 1$ɖoiPϦډƭb%3͵>՚sI(;d#r2ป=24Ch9y7+u ߮#zeC4 vbq?$ VEڔZmZZ6c89Nq|.lw᤽^'J˽[E.;qwRnj{H ܞOم85w;dtfȡ}jiۿnz<ه9YkbeU]G-z8F8G"n_mFYs\l{}xjT۸bF/nv`i@`!2Xt}<[Wi-cXcX/F뇞d`0pƿ߅>d,~U,N8lUP츽N7-7JН_4JA%@mn5ރo=7E -?+%:,%K_V=>wZ-=|MkʹgYEI2d_ OiPdG70Aq;gSO[LV~5=Dʫ3bI1`â75ì #0*t[߳w)77yRbH}_nqϯ5j;k~fMYso&%Z]|T9 J"~' .?/FDJ; "* 8'PۦI%ԁA|~MmD5U9Nw-ѰDF=G!kz}Ov#oJmmw8 VB~ (y>1Bc_Cg.6=Acջd#1X!_籷=!֓w0ru8 Zz2a&+Q Sf}/tMtU6*NQB).՚sѓ(\3EyR|\2UBTFo$| iA*yỹYq 92L J~U u5yvΆ EȠA D;G_ɧlg$"k' 2L`P!:wGfbeA7 ƥ[z=9^ (yifW֜@2꼶bg ""?&U"o8Blttư4C$![1ѻj&le^2Tmi.,BhtKZ bQ!2qJ.eX-,yQp`᳂b jm`1JjkCh*f sd-7{]?O`;>ɰgSl2Iom4k73?4?zhCB )B_|t ~Hո1hԈ6RXM0F6hY㒄Z?/G(CO1t ,mn+w_ʯW|!rï_O*yL6r;;):ҾG ˩ HLܫ4)7~ ZO`z@c)oM?nCDڴ0ssh%1a*%벁wr&A&"&1F8qZPNq`:_ ø)C׎D , 0&>@ a/*eQEPdoO@,GˁyC:2v9G8f ݶY@7Hf- C _ v >}0hio_ܰDh5>LÒiOӺh].QcaGkڋC6#C%򃲨a\ 7˃6*~& "ލ=nJ/A.oȂ)o zd\X(Fݺ\Apbs- έh[ lcRji+B9mgL/(yKv(ݛG_hMߗ 4] s<o(s)"T:>B|4)<b3fN^4x=-CRWwݡƮ]䟦Sv6IR+YGga^mU6N3xm\m1e}E⛌~%R'." fU / endstream endobj 2594 0 obj << /Annots 2598 0 R /BleedBox [0 0 612 792] /Contents [2608 0 R 2604 0 R 2605 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10901 2606 0 R >> >> /Type /Page >> endobj 2595 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111812-08'00') /Rect [92.937 426.65 128.203 437.65] /Subtype /Link /Type /Annot >> endobj 2596 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/framemaker/map?url=pg036_sem&ft:locale=en-US) >> /Border [0 0 0] /Contents (PG036) /M (D:20221102111812-08'00') /Rect [467.405 377.5 496.765 387.5] /Subtype /Link /Type /Annot >> endobj 2597 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2598 0 obj [2595 0 R 2596 0 R 2597 0 R 2599 0 R 2600 0 R 2601 0 R 2602 0 R 2603 0 R 2607 0 R] endobj 2599 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC) /M (D:20221102111814-08'00') /Rect [90 604.1 144.4335 615.1] /Subtype /Link /Type /Annot >> endobj 2600 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_FREQ) /M (D:20221102111814-08'00') /Rect [90 588.9 177.582 599.9] /Subtype /Link /Type /Annot >> endobj 2601 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_INIT_FLAG) /M (D:20221102111814-08'00') /Rect [90 573.7 202.937 584.7] /Subtype /Link /Type /Annot >> endobj 2602 0 obj << /A << /D (unique_163) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_SOURCE) /M (D:20221102111814-08'00') /Rect [90 558.5 192.861 569.5] /Subtype /Link /Type /Annot >> endobj 2603 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC) /M (D:20221102111814-08'00') /Rect [271.698 351.35 326.1315 362.35] /Subtype /Link /Type /Annot >> endobj 2604 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2605 0 obj << /Length 19 >> stream q /Iabc10901 Do Q endstream endobj 2606 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10902 3256 0 R /Gabc10903 3269 0 R >> /Font << /Fabc10904 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ9 endstream endobj 2607 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=277) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2608 0 obj << /Filter /FlateDecode /Length 4642 >> stream xڥ[Iǯِr  >Sa6x.BʥgJ$ -.f;]|]:,/n1fր5kKd|!K|;,8| m LojsqOty7)h-/ϋó;k|O|Ʈv ʚ6b'ocgYQr5|1l`Z7 6LqҲcr񎏿D {xoc_*~]ik:qɬy^+xqlZH7dG8Qvo m~@e\}C+ 9ZJYNj:Lw)KD{1[ǸpǕ+ٷ䅬(ؠ요|z{Rd +%\),bvp\凪H…"!^30k]Y8_ZP!pC dӒ^}lJg5hI+t]t}4]s>6%l{)ԥ@;nHh2CqLXڊ2dSYc+xbڌ#eSxPr'0~ETS7McЬq}"ѯO}<-cp9eH)鰘\DC^6u\E920c~?3nたج2:"`e_Bژ=۲g7j#ώ&T?/O݉F.YepvꜭWaR vf3r[$5y1Jf>\j߮=h(۸ JWi86H:+jIkq_E+Kp00nr^-bKz #ZeVPV࢕1qu kJ0m˯Fb@Zd8٩O}hQ_T>%yKc\k6!r<1_ij8 7 ۲BsP;%aypw;3x сzzeyϏjwt8MBA.ܔOMdv%g>kM2W "p["pom2?],۪MN$?Hio&eBarߓ`/3 Uϕ%3 |;rkZPL`sj"T&>C;d-U]EY; vR!7KKT);,Xm$U3j HCbV0 IsԚ^g13q$@f8Y~PֈIAa!uZ ׉7 Rns'ULRdur1y)9,m[,-]ǐVRCbҜSfV,moyvQPyՐ3H1$ Xp=3C7#2kN⥔V\w*yǡ'y5"KwK,k\$-LE!F?P'vSTw9i޻t+*jwRj)⬘f2Pv [MHfUzWxH6N=hsqQ^~.HC PX:oҚRZ[A_6[XHH"Z;ͺqY:'>+ohkp|NJȳ3ҝVq"EIJu;W&Rs;[Boʩ{ӇuO#īk%&̡#W7D֍$Sh^[;b\1v28Vi+)0^iwJyu9a щ]̦tݻ}s~(G.3YTJJ 5S Ԙ}ީEr2cRW^&>U;ίZ(uJ9\oƯ./sh]ؒ 'Ч^QH)27R"[Ԃ6(>Iލ Ւb~\v*SEL )˺uK\9:2l!"0;UeW1ܕLLqS)- tt¨NI3^6)fC\モwχLP.oMyV)N3usvSwv]6vY)]B^P fcPT| -~GvSzlWF"Qz?g _NyW?|M&HŐ\tD2Kw4E[ճߵn z;4%qҾưzQHu2bQ',I.t| vLKbVA]uR-+ov*q͒A>T> nh"mwˣN65iS ()5J¶#"DZTm\*\M\[hAmY3܈h;n#;0w.q=%\!lK1|e x|՚KXF9h~h6GuyC쟌~~GҎ{aݝG.=rNf;ny`5d<^jcl$c$eq)L]DMXaJnV|D#ȌVM6˶.b嚲go ;܃tWӸC[/Mh}7@/%7ä~D0O 2׀I&Sel4N3&P4 W׌>Sfc6E/.syCl ,)bk n@hZ#wܥD %MEt9Bl„Q]W yIbfW͘[ 7b Yfע좂zw,wmf5&%E4Qm.z#8N,?G&"$>lIJ쌬J2TqFD鷈fx͵,MCS̾Ֆ~i?o䔡znk$C;ܯF"x_v1zt#k%Sϳa <,>s3EOjW۰qkP1cب~L߹EعlE! ]9\O į[nblS5] eRy'y1b* 7%HLݛ0>5pGc~|г2*" endstream endobj 2609 0 obj << /Annots 2613 0 R /BleedBox [0 0 612 792] /Contents [2623 0 R 2619 0 R 2620 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10920 2621 0 R >> >> /Type /Page >> endobj 2610 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111812-08'00') /Rect [92.937 250.0538 128.203 261.0538] /Subtype /Link /Type /Annot >> endobj 2611 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/framemaker/map?url=pg036_sem&ft:locale=en-US) >> /Border [0 0 0] /Contents (PG036) /M (D:20221102111812-08'00') /Rect [467.405 200.9038 496.765 210.9038] /Subtype /Link /Type /Annot >> endobj 2612 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2613 0 obj [2610 0 R 2611 0 R 2612 0 R 2614 0 R 2615 0 R 2616 0 R 2617 0 R 2618 0 R 2622 0 R] endobj 2614 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC) /M (D:20221102111814-08'00') /Rect [90 427.5038 144.4335 438.5038] /Subtype /Link /Type /Annot >> endobj 2615 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_ACTION) /M (D:20221102111814-08'00') /Rect [90 412.3038 191.057 423.3038] /Subtype /Link /Type /Annot >> endobj 2616 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_INIT_FLAG) /M (D:20221102111814-08'00') /Rect [90 397.1038 202.937 408.1038] /Subtype /Link /Type /Annot >> endobj 2617 0 obj << /A << /D (unique_163) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_SOURCE) /M (D:20221102111814-08'00') /Rect [90 381.9038 192.861 392.9038] /Subtype /Link /Type /Annot >> endobj 2618 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC) /M (D:20221102111814-08'00') /Rect [271.698 121.8536 326.1315 132.8536] /Subtype /Link /Type /Annot >> endobj 2619 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2620 0 obj << /Length 19 >> stream q /Iabc10920 Do Q endstream endobj 2621 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10921 3256 0 R /Gabc10922 3269 0 R >> /Font << /Fabc10923 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 2622 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=278) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2623 0 obj << /Filter /FlateDecode /Length 4735 >> stream xڽ[K$IrǯBR a4 {:jvv.}f55;."6ﳝ ߾fb 2׿NlmO1~Kqllx{ Mݿe1cn؈2Řt}3+~eWv~g.'0|r~ɱ/cd9e̵M'ka/½QeN {{OuwGqwo_f!Z>R׿,6{lbCwK c$ K f3f)m.XDX b*P!)VM`KQ[\)M|ʦ4q$5{+ 5&3tWhĸܭ(<#|Z"95ʼnbQ c#=qжB)kB4ՁzTN>YEO;j|bl:+sU}ͭo}v%.NىkP?IN5 S{JO }[r.9Y00]ui`c6V `D]n[X߾hfHxM.ߥZZ1Ov5~ZZ*黚so6`U&]UD}jgmo ^m3Y}[DinHw JGu<6"u6WhPaqrӥ}و?uޛ6~}odo8;9 .mB# 놐:0&-8++"3 U 's5)xkj+ l=׍p]:X' ؏:݇SOw[ͭ\( Ku־ O/& =ȶENZitN'y?ecHP3t*rvЧ¶-e❨#J[$% grcN*x͕zG()Nܺ Qw4I˴)[Gd<&U cr[qw)p0ZXgQYl#/B e78R Yvڳ:Y7:mҖmSDx,tw<{ "w26&pJ+ Eȹh9B* L8n[8:ih;FXoc[r*vZ0S|Z#jxks] PMZR s7!C'^C -=:! `0>p)NVqo$;"Sލj!a -<٭> yg9xm=whdjsh;66m-6Do$l[nxV"#;Sc݅[Vz `j=n%{ǎ$,vda Տ%C -r8rdxVMڮԙjtH1ٙȧvDҐbXC4f|yp;2XDi]{ΏyϏnpXg-dk4*g1P;Sn` 8KP.sisKEeb٫x*'izl,}Q>"4 Ãb%=M)X9-TZp~ͱ^ iN-Xv:"†qwS]7g,OV%M[Mv?Rih4JΕU>tFC=dyEXZN5+G޾U'bxЄ#x59$ƹ #4{1^/}1iw>:sFdZIrBAVlk%mR o_Tؗ'cXG!50x8}B(M4e8IuLҞPT}Qta/jw%{I.Q8Ѷdv&RW[M݉Un02Kλ 6|,ݎ.ہnb \8O|"żQ>y DjYkTLM-Z^ X9~g{w9Z(Tɐ3Ajc*&H℞L3 PfDn11HNԎGةHt}g}z|ua#j'I*!RMXkiF<:G>[(ߠ %k{K`Q\ f?  tG/4EaRt9X9~(USUZah6mKV] #h5AOŽS":1Jzʕ3,C]Y*F;?G;?;wz}51X:C&4>= 80]#=bQǛ44BgWH3iO`3-z7㓂,B<ݣ& :StU8~{-\v+04["ΪNyO/h}5bjYS  0B`{PTgȭe*U{JTGޠl9> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10939 2634 0 R >> >> /Type /Page >> endobj 2625 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111812-08'00') /Rect [398.7701 118.5574 434.036 129.5574] /Subtype /Link /Type /Annot >> endobj 2626 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2627 0 obj [2625 0 R 2626 0 R 2628 0 R 2629 0 R 2630 0 R 2631 0 R 2635 0 R] endobj 2628 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC) /M (D:20221102111814-08'00') /Rect [90 296.0076 144.4335 307.0076] /Subtype /Link /Type /Annot >> endobj 2629 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_ACTION) /M (D:20221102111814-08'00') /Rect [90 280.8076 191.057 291.8076] /Subtype /Link /Type /Annot >> endobj 2630 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_FREQ) /M (D:20221102111814-08'00') /Rect [90 265.6076 177.582 276.6076] /Subtype /Link /Type /Annot >> endobj 2631 0 obj << /A << /D (unique_163) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_SOURCE) /M (D:20221102111814-08'00') /Rect [90 250.4076 192.861 261.4076] /Subtype /Link /Type /Annot >> endobj 2632 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2633 0 obj << /Length 19 >> stream q /Iabc10939 Do Q endstream endobj 2634 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10940 3256 0 R /Gabc10941 3269 0 R >> /Font << /Fabc10942 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ L endstream endobj 2635 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=279) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2636 0 obj << /Filter /FlateDecode /Length 3049 >> stream xZK$WPi)􆦠`a {0>=;, 3}2YHe(/6%ҟuYΖAF/砭կO]qL%d߱~1c?)(ƤW ׿ {b?r͸IEn k9c#{%u>N.Ե+{m 0Eb*6g*ŕں0k8W?37).W;]ZJk#J@<6(N|L͸aٛ4 ckzZ01۷Xo΋HXCBuE6Ddؐ|6v]y1d* ԋBeG&#k$vgsFl'vdg..ǎAº,tEs=zRf4fWfۭh+lGЏ"~  S5 ;CzOMp.6XQj5%bd66*7ٗBSyFvhbTkøȘI0'4XǡI`+Ȣ*20,Lޏ)M-ǫPGgVt5Jn cܕ_ fYn5uT\veK}WU`}Z~ 8r(Ni)GI\/G"Jd&)}g x~^޵ty"/5eF[žMz|kǁB9Ww;Eu,$L#=位nw5 Շ)'WŷC냷CV{JϫݙvVQߟ=]*CMB!7C\vOp~q,`_zHK&GKڥdrC4q,5uΩn>-J^ zj S\.rD4w_cɣ@QЯWia4UJyYmծכ .cvyoR`zsOaG6~K/\ 93"tmZqY`0 X 3UbBjLe \tQ!rn{heb4fG쨵ˡ<%\1S ܹ lؕ0TJ,.t\٘M[.*N0%ǹyITa-C}2L-5ᚁCyoDx7shw1"/,xg.G4xUGtE`q2`0pVnaZ:7If? Bp+~CTIUbO*.  1Nj+8Y-P!\ QM3 h!lei#lWЉs!xM J,^~% ȮH/*PѓYeVX`g 2xDw#35 j\m* ?- .jƹĊ6$# !y/N-0qTkD2|TcBn-6`pe˂Q⧲);t!q,po~_}_ ~M~YGCd$|l  ّˎ5bS| 3qo2EҲA M !PRK6pjVo8PJ BNΣ2J Є(HԽݺg'iF.88"t?IڟV`&ԃkχrkdO5 0Ix<||T!!A*"2mRfB.IB(Gh7kO#4t91d3Hf-H@oQۖ r-Qc@v] %%d(E05_ ^khEB_YX,ш5SJB^[P@ҕ/I2?(KSv5=Idm`ZO6„9T ׻G=%E;#w0s!jTGc\[:;4`)ߞԧR4~kǣ:C;piPX&)!j hƮxjLeh@rXW_H}NB;`qÖzJ's׫vn۽;gѕD0(A>"χs/+5):4U > /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10958 2644 0 R >> >> /Type /Page >> endobj 2638 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/framemaker/map?url=pg036_sem&ft:locale=en-US) >> /Border [0 0 0] /Contents (PG036) /M (D:20221102111812-08'00') /Rect [467.405 673.5 496.765 683.5] /Subtype /Link /Type /Annot >> endobj 2639 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2640 0 obj [2638 0 R 2639 0 R 2641 0 R 2645 0 R] endobj 2641 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC ) /M (D:20221102111814-08'00') /Rect [478.729 647.35 533.1625 658.35] /Subtype /Link /Type /Annot >> endobj 2642 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2643 0 obj << /Length 19 >> stream q /Iabc10958 Do Q endstream endobj 2644 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10959 3256 0 R /Gabc10960 3269 0 R >> /Font << /Fabc10961 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2646 0 obj << /Filter /FlateDecode /Length 4650 >> stream x[I$GۯsCl_ Hȥaj̡SMKBd5H}7̊*5 UI?,NI.,flShZA9bbXS*%/s,R}cVcvUkڏcjBX_}J2KX^ pZ."S4\Z~Jf5nF X^3`dZ,Y>jm8֬OŃ6愲.[׋n! !ڊ }MMIk{L7W{NJzA^䢁Q,)ʒ욜Ʉ՚@† Uk W` rvblS^ 1mH-\I4E괐Xav-їŁ%* ϫqX{lH@ݥ~^,4lcW'ǽ:YŒc- Ҍ 5S>3V5Ae:.iȱ {>}~^~\~6 !x<ċoM4Ro]pM%yo;"VM?SK\́\YBV`:Œ*plhX]@js]ƒAjq+`N٭:RIJ@#c*n'Pe*2EA8 7]r؛0攩Xɔ<EN<0&L, jUDz wѸ ;Qp\lt6`1RΙ96G}TwQʢTCڇP!dNƫV… v?H+ue},iуy#k^꤫g{c<+hp "qUO%ڈd>PzbxVi_#vOLtoA|Z~x3|yK7"0aeYk=/9ka0;ِ Cev𧈢 0M nu|Q}nKcbxT8Mvc ,Fsۊ˃j:1f  $‚m 9ij,r m.(Xcg5=ڌ/XqeܿV,kIٍ{RLU~ǽRuV6 qE()E5v?,<-%&67; bW? Q3:C3nkUɻmVTC㦣yVsiV"j"\I&bTmv:\ d7di]G#9&jMtݸi ~;-7a0WO5ل !E '1vmC,ۮNHAyl*]t[7q"o{:ß'+]_2:\nyά ^0uGʕ4~qjMlػԸ@njm@% T+;,kχp@mv)X"yn`EÊ4wM _:RY1.tޜ`˧Q,jz;S搄T 񘏷nPkvó l*2(x}OΛ(ƝwBgt{XlrHsj65CnjvC꫑1.HÜU#Vj6j{3ǞL o%|S Ӝ+r٬;>wki%}4D]}PVEaJI$(\H3qKd@w% ^D]kE;QYUCI" ʑn&&eWĄp}}  y_I:b+(9{ =JRyj;_I2 ?ȫOOk8]yfqϖ= ;v5gx'⚊׾ ]miNdwU{ƚauŸnv;DS! NyGeaji4Jd6uϚ8!GXAch(7ȵ쉊i "pA7ck9 cLVؖ~7̿ͥy=m5Pn*Jl>cvry=c+CJ^{ys9͘PM&t:jxɇQMYY>kK>gEL|ED>tߟ  *eR/uځG'Ugn?#ϵbOC DaJ>!mxi(q|'vR`$bn]wmrM!;Z=5ISJ0 jR-M-yED%bl")6_/ye[a2M&}16?'׻+qχh}y6aP 0S 7Z_%~Xx9c7nŻU3~8d 6]UCLDf#Ǩj:]C-ëu4iN,u~,@Rhtx G'\%t\@҂#ܡ1Zgu v$4r|=֫^6X%Vܪ'Lɶk(請[G});əK;!줅4u$?DI[JVw_%Jb/{ [ }~/cxمCZu[I`;[ь攴ex4oSB1ݢ%қxav+;Sqd[K~9$k Tpݓ9\jg4D_Niz+4ѥ}a>O/M9^>yy Iy vIL:U>Z(meC7 ;ؘW']}ob Bamp@c6 Ψ5iQ {i3Tz ƱAﭶaf 35vXI9ר&̂i8knw׶[o 2j|0&?nQ`x7:yX]8%.l[)|U t'^XSui(ljf|T49j[Mc .wy/dH=$UH;U4O/G@{l 9jHjcl"c"-;3AЌ5Z>O⎠7ld78C63>߀`r#{.0mͮr-iF[I[}_r3LnO+K/G` !ǩT0r4Mɩ76'` td켤)SAA\CO o/:Wi~һܢWIz+zջHvtY`u;p`:#ӓ=`S[B=] d"u~ .d_hg}wu48Jr =` CzGO'0F D^[]A&]&rij{\ A(dBƺNiOP3IS 1~"Sk:yBhFt뭓+Oe|С |eM<ґ u_tL[k DUK< 6|vDTtjY8wgLы^P"[B4Kʦ>|M38Fк.Uc%[h5k&!~6dH fWØ\[ eb AL32|݇g0`جHih "ێ{7}EWQI[*ԒN ^ZR8,_ L %S[StZ*n[_NP@ Z^oeW7XqhPo,YNAP^^w/D/_۸qVn> n9i>05΢'mȦc/YEM?6` endstream endobj 2647 0 obj << /Annots 2649 0 R /BleedBox [0 0 612 792] /Contents [2659 0 R 2655 0 R 2656 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10977 2657 0 R >> >> /Type /Page >> endobj 2648 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2649 0 obj [2648 0 R 2650 0 R 2651 0 R 2652 0 R 2653 0 R 2654 0 R 2658 0 R] endobj 2650 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC) /M (D:20221102111814-08'00') /Rect [90 675.7 144.4335 686.7] /Subtype /Link /Type /Annot >> endobj 2651 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_ACTION) /M (D:20221102111814-08'00') /Rect [90 660.5 191.057 671.5] /Subtype /Link /Type /Annot >> endobj 2652 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_FREQ) /M (D:20221102111814-08'00') /Rect [90 645.3 177.582 656.3] /Subtype /Link /Type /Annot >> endobj 2653 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (POST_CRC_INIT_FLAG) /M (D:20221102111814-08'00') /Rect [90 630.1 202.937 641.1] /Subtype /Link /Type /Annot >> endobj 2654 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (EQUALIZATION) /M (D:20221102111814-08'00') /Rect [363.685 487.4 431.375 497.4] /Subtype /Link /Type /Annot >> endobj 2655 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2656 0 obj << /Length 19 >> stream q /Iabc10977 Do Q endstream endobj 2657 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10978 3256 0 R /Gabc10979 3269 0 R >> /Font << /Fabc10980 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n |:c֯޽\:H%_rPdr~L$V-T0EH(.$c"Ks¯"ɕ jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB Wuţ}"juZՇjxpf Rӻ6{ik_KiRGcb>tD'W9F&[:WɅkEYΌl iV5xkEŐL$5l)l,ȱaSi ܟBd#Xr>q|s(dWLj$x2UPc9ΡLH9vhwe™pv҂bwo@ endstream endobj 2658 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=281) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2659 0 obj << /Filter /FlateDecode /Length 4739 >> stream xڵ[KoȑW@~]%i{S@msE>$RwzQxGdpǬg'^b6sٻ9ioW;k=e}ՆE)@ՒBvgK{şSUJ+`W?ko}]kCe_,_wx8R9y4y $.9tNsӬ~0NxR+&yu[p^^&ңsXk'a`umyk@{%\nw&ջ6O]\뿆0,.O>^iuV6K CA~m9; k+ Q\;5<<;jLK?u'v e"u1xm>LPG SE˄QAc`ڛ)i\~| H-Ԯ8+l\%K96QfW qz3;RS}-9(o)8!K>dS2t|e5SZ/&@/ʏՋ a .o[9`iIlO(QgS\AfF?NilCrӷԑ:Ew")쎼~-,܏7K&W&S:Eȹ5} eL $:kXy:ax6%OA5%;=Ŗ$>bV  savt24d7nǓUusjBćzmNQ.IQsѲrZ.;ReI dP0K.#d ԈB3#Җ7 IQʒACRE]TH GëxT6R_Zhq!v io5 PDAǼٗu ŻFщ*u`ԝ9\`2Q'2.I ŹB16,:@+nP6艸˛BA7BP 6 Eɋ\rWuj7D7j2b)!CJ}C\.[|9 YZui$F6Apy/GC*x_hEvO7~K :!)}^4xSqW68m4_@<& @߳f ATh&̍m0DI |$tI-jKEm-^|qc}2[|wG^XU2 C?z]FOZ͔ۻ2 j?Mdr B0><W쓷.vf]#8){e! /UѨVâue#=1~zrp]E|-#I5f)f O~if힖DۭLiI e)LfPŮԾд_ Mcަ|Ny7Vvşbbӿzg/OwQ3cc\";l+u?vDsRi k ,jZ:թ}-0<tOOXzՠU DWaEnSb[*וZ9$ZǚC_Uucշm&/5U8Zߚ[F>}\w( VXs!zx]us$`q' 3ZΆs~<{VJJ|-2/ahs'w$`z0j3Mvjoۂϔ[hIGx/3P`W 5Qm;fuZPL#S:oЌ_5{@}>|q? }1h?,VvM&s(򼃒<ڌ65jQz/x47lh}:i6S\[e^L%ڐr0E.n۝<&f;6YL렉h]L+aQwue$0،>&3<;(lj cK>ny90o^zr]Y9D1*.Q>?}0kT3@~;O?1s,f #17ֿZj־Mh[[ŋ0kR][tHABC7tTZTaj*f6Ua[EwlnFJ&{B9_6! y OlsIUkC=aRY=ۦs\Φmy$[WN60z(I 0WN kװmx t@{-Іt<1<:?HW:V<1_*B"KUƣۿ&+}NLv!`)[Y '̫Cb7چ=':垐9%Cuj ;*X޺77fM֌RͭLZ[OF`VG8l\V~` QLcĝȆ^blˠ/"kв>*TeR5[#[=86=wP!–=)KwiS멆ڽg}k7DkRW&Ź]\4oC6Mblf)vDҗYwҪF#ÒDLHN7~mpx2:#j'⳴qPEVt4-FS#ab йwh66X{[beol|M@ޒ:wrﱤLzy D[PWid~?~?~MNéWvGM;*9@Wd :lR@v>]>a *:{Vz17D4 ODAM--Mf7E.# Anfc## VИ횝pt[*fU;h ؟+ͽ#QSSrlR[cKz)#(o*D2@*MvBTim |arD`‘ܕ/]8WimggIO.z[C z@ YZG [NEI_)1fxo'wޕ0Cn -ӑ隊7$ 5fP ď@@_x~`d{#X^!߽*vыɮ^D@w}LHoj0Vb<I$ ;6LUl.B.5о~Kuq1oɛTWkZҗsdڮs6дuO(gv5ԼqLM:ޠFfO#oKFr撤DtEyy }y%2Y/ʿXRL͖[MߩQ&K}Ǵ=Ţn;gUIzK#2_ Vy(j [OmV?62Grǘ-(&w$Ht͵]ܒRb#UiDj8ԙ  endstream endobj 2660 0 obj << /Annots 2664 0 R /BleedBox [0 0 612 792] /Contents [2671 0 R 2667 0 R 2668 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10996 2669 0 R >> >> /Type /Page >> endobj 2661 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug903-vivado-usin\ g-constraints&resourceid=aji1643195297986.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111812-08'00') /Rect [333.6225 304.6577 350.5845 315.6577] /Subtype /Link /Type /Annot >> endobj 2662 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111812-08'00') /Rect [147.97 290.3577 183.236 301.3577] /Subtype /Link /Type /Annot >> endobj 2663 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111812-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2664 0 obj [2661 0 R 2662 0 R 2663 0 R 2665 0 R 2666 0 R 2670 0 R] endobj 2665 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (EQUALIZATION) /M (D:20221102111814-08'00') /Rect [90 504.6076 169.9205 515.6077] /Subtype /Link /Type /Annot >> endobj 2666 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (LVDS_PRE_EMPHASIS) /M (D:20221102111814-08'00') /Rect [90 489.4076 200.44 500.4076] /Subtype /Link /Type /Annot >> endobj 2667 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2668 0 obj << /Length 19 >> stream q /Iabc10996 Do Q endstream endobj 2669 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10997 3256 0 R /Gabc10998 3269 0 R >> /Font << /Fabc10999 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#؟,fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMX> endstream endobj 2670 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=282) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2671 0 obj << /Filter /FlateDecode /Length 3782 >> stream x[[6~ׯs^ECWuw`a !NB $/;ؒe},K~J)T7%$?Y5TׯAION:h씓>_NJ Íy pQJ? qotU` )?Y('2s:)m߁j1 B]$1*DAj ˮDu2}WX3.@S* \aÉ,Eq|pAuZő,EF{+ I}ܠe7B+|:K& rcR^2٣ iaXf|<\¥iI#Z쒂&Ox-ĴHmHA"fό b#+ l;<զo, n7{C]5Rq0IVe M ۵ .Xph.>7в|5{XwQh3mmr$q&8G&)6Mٰ,\S$&Jŭ.(0 -аRXaƳ ,c/3%3C4$5e3)wT`˳>E4Wr[f >r8XB\ذ W*Mo&{Hz4 _vAp3N@~#-M1kn xY "5C_~.,y,h}%7=T1S3@;e6i=kUϽjY;j,olj c! kTŲha 쉺n,: 4G .(\u%T`%tkiu`4eWhJFI%~,<;TATW/LVfL/LbZ[„?x)Zx[oW4җ=]ss0X*Lwzۛ9v%F9׭]_•7ֺ \VϛĢꨧK|zUrQd*,{Ka~Ct蘍nHL/ih _pQz(N{ve7\_6 x:&}W㨡Vq'swd`p},!Q*C*"ԫmR5q=;[mc|7q/*,)ۍ=PKd}у!M`lH.QWQnG5@HV縯FLYqT]9&TwHN|+MꏫԵ U@L|c$%Lrw#@ܟ삁 bH1IYެ [|b q;n68-Eowv>!YzL:*'`g, :',jSlb`yC6%?G&q6ؼr *hhwDQ@HMXTξ{t4HІcA`Qp@` gHh!Zk`[ Ŵ6ٻj#|V|Ɂ  @GÊL-0{-`Qd;]._yC t0OjpN#Z$pg<'p >]W+^yDJz^qޟFTM w~mX(%_ܫ$d\ZW"'0Q5a6!;JaC||qh9'p DN"J/HԬ",-ދ!qbLa5DZ1c6Q[#_;( Td'2Wi-- ]-ґܕcNUAn過w̠(rSAb (*aÀ6w%M͂u*aKs<FkGh>h(-l5sLDV@ԕ`/!G~`hJ=pF>dL(숹{؃H`cl2"} fdED.0ʑF qm_4qbR 6s=[kֳ6Y5WwTg5"zOkRo>ky6ں/9_=cjuo~9s ֹ~S EUi *# #]/+U.>;S'2!/[oɟeKuU Dp#I'jW-fMI3NmVVNZvΐؐO"A;X*.Jermsl'Q.JtQD6_E.| endstream endobj 2672 0 obj << /Annots [2673 0 R 2677 0 R] /BleedBox [0 0 612 792] /Contents [2678 0 R 2674 0 R 2675 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11015 2676 0 R >> >> /Type /Page >> endobj 2673 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2674 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2675 0 obj << /Length 19 >> stream q /Iabc11015 Do Q endstream endobj 2676 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11016 3256 0 R /Gabc11017 3269 0 R >> /Font << /Fabc11018 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo endstream endobj 2677 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=283) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2678 0 obj << /Filter /FlateDecode /Length 3983 >> stream x[Kk%ɱ篨!hI O;߿Yu1PQ-'^JeܒRAV~y5--_~ڗZ/_/`sr/Y`1ڒgmz6{=)<[[L^\,&z/r 1dƹ,_{&5ځXn%}&ܠO'AS_ɇc+1W5#]x$ ۜ,D+kr /E1%݅hʃ># xgu‡9 WRCV,bS\=VdMdטuB5='y}}I@;n4~75R\w'E5'K|-$ݽt␟;/=Ӿ; s(7,O|6S ~]W =O\S/n{Ox?Y{N߀g-͇0wo%0rk*Wp/o~Byj8WA.G~SW)G%@QSiPԳyb$,OPzm+t6#w`(`'̊Owg㰽.aw"L/ň:Xt*V̠-*Uz Vݕ/j-jCMxkt'*޽2Q#潦L֖PTIzqVJ3ڹOB_׭k4"Cۯ )،ˉE .ݮ?Ź5Dž>3_ܱ # )XoNݲTgޘ^D\Kk tءnE '55*Z' Nh Ic" s,d6;b2ahBLeE"8A KҺ ^t>q.w2)R.&S X ս+q!*la8:%R<}@e@XȖjf- HȆhuى:%YK-)QOgX?/f1ͯcM|nRDЃ G>u?-?L!D<đlEqfA{Hp/M4V5]PʚZ^Kh\YkB^Ж}e(/B禪Fw3旊BqXjq+5%SIAJUQf Nҗ4Yb:d(qdV1ݾn |Eޖt_T %یJ|rS[>G;!jCpćcZ" L%|V֢oRZ8+Q]mor(Ǫԣƍ)* e5X)#@$YuZ"t$%.3Ԩ΄vP1{+F(`S`Τ<ߜ7)*9M =p Ya7f8 K?ٜ T'6Vۋ18]r5lGiwl]@w>Šry獹kAn%o@3X½˾5h4)2E틜ک.`XQdzVK^(I#x}Ɨ~dӏ$xۃg jQ4 5,|ZzنcIc#ElLtckڭullf<;F^Wi -ۍƛ 7Zjd'm.֢22=&iʓ5{BfՎ:6x48J@04w{$qcL1_~ij{\ [&VN0'zH'5q[,)ul5v_*`m|=7>LJWYLOZ: |1J"ґ ¯&p 2ty?[@c= ԩH Vׂ_SrYP"[A4+Ɨܛ=3x]fp 6L?hXZ4|ڤuW"Ė9M]akP~ cr3®͒;9c&{^An ƞLMV\H֟Tn=S& EZg[g|7wazw?o^w~;fXC.mg.j4=D?7x'z/qPΝWo΍Gls]zNQa>~I~z%1MO_W߹ykRz i"~C9ӄ}jA7GOۏW!`|tgmrm^k;nCSEV'l!A )u)mT)9nqgp}¤60 endstream endobj 2679 0 obj << /Annots 2681 0 R /BleedBox [0 0 612 792] /Contents [2687 0 R 2683 0 R 2684 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11034 2685 0 R >> >> /Type /Page >> endobj 2680 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2681 0 obj [2680 0 R 2682 0 R 2686 0 R] endobj 2682 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (PULLTYPE) /M (D:20221102111814-08'00') /Rect [490.165 260.5115 533.5701 270.5115] /Subtype /Link /Type /Annot >> endobj 2683 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2684 0 obj << /Length 19 >> stream q /Iabc11034 Do Q endstream endobj 2685 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11035 3256 0 R /Gabc11036 3269 0 R >> /Font << /Fabc11037 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwo% endstream endobj 2686 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=284) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2687 0 obj << /Filter /FlateDecode /Length 3727 >> stream xڵZKܶWp``;w P (r "wHJfzK~Qe߁TU9WpJ߯?NנQ>=dTKW6Ijm ^k}>qo 4S} 84֞/_qŻAu>ԇ+bS&h`dh@vQD<#BɅR!T6{m=&g $~,[mI%bl/':cWw&8'¥9xiTg-%3]k|4P' YhE }5zMu5|Fs|lx;:Qu3wmv$x;8JJv[ܥb!!Nm.T"^ Fi=’= 3{Z%+*PJmΡa@6QzΧiu=|=MedDkmтU_@m0qJELcs*-S0 e !-M{IS#Ҧ4H{d YW{0\|0D?ֈଃ9!Ɣ\گVSj<'ۀz^YeX9V?:F_|g]7+(nDJg5+9hSMt; ;YB4aNփo4u&<,`|DbCLh^Db.g[YY$,gd#9*R>v? /w}it{cA1WGg\s??«,$T`$J5Y~`My sw}e@L댏%uZ+"ndxGR,|TwD>,+̥0:D;(f7-NĂwY׃)ΥO}qlJ3 뫌B}U:~us,K8d65~PV`{d[ds#̽ZqM>B۵NrML4a[!8'c ,0jR@H}g%'GΜl,]_ .hv@Ʃߘ)]iD#pM_lNea.|gy0Pkwnqڢ ܾAA QExZ1-fFDF}/b,U^R!V@).~! x3» w[`@&o>dЅn\G[;lϚΜ*@pq%%.@L_R]VpfzV Rۈ!Ppf ;֧kQw&R\gKݯ9I) # ,30ՖR0^\A&tl{ɳژI*%Ov}V1hX.{El*dXMk&ZXcC}:k9Tkys^777d EgLCݮ,rY+{qЊ)Hv[FSՅ "0yg?kB=׃%°33&1.~Wꄌe9ev<7-{.O]m%*-xxi Jc s&y#|gkG.9$Tʹ9[OnYxwB Fiti۴1ާ`\m,bWץm,I9̞u)Xe-}5P& 'k6 $Sg`g}qiτu5,S0Q% l9 fzjɏƇ FU)s)7x6-<` Ѻ`}7vxFn4?$-"W:q6M7j1XÌ-qxwwkg{?$MW@<UiY\v-l6CFRbo;4ލ7xQfc;ъ n:@ yk16,'5[ n6 B[Ic֛>⎠7ld78C^N%,XRxծX짿`<|aN3g뽗zr:3 Ois|~WR?gBd 7*@4/@AL,KjnrkAt쟖\9_'369w9=tTN4EKP$o?&lA _Nx$SqJ >9΢gmp:@UԴjA endstream endobj 2688 0 obj << /Annots [2689 0 R 2690 0 R 2691 0 R 2695 0 R] /BleedBox [0 0 612 792] /Contents [2696 0 R 2692 0 R 2693 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11053 2694 0 R >> >> /Type /Page >> endobj 2689 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111813-08'00') /Rect [92.937 590.85 128.203 601.85] /Subtype /Link /Type /Annot >> endobj 2690 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111813-08'00') /Rect [347.73 590.85 382.996 601.85] /Subtype /Link /Type /Annot >> endobj 2691 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2692 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2693 0 obj << /Length 19 >> stream q /Iabc11053 Do Q endstream endobj 2694 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11054 3256 0 R /Gabc11055 3269 0 R >> /Font << /Fabc11056 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 2695 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=285) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2696 0 obj << /Filter /FlateDecode /Length 3782 >> stream xڵZK#W9hFQ98Y uֆ"n= ATXϯ؜~d9O*4}21;?eCF>.navi6ME_O)|66\׾_OӏӇw7 ȤDOL4YxÅE]*d 2:˟+XV剠 3ި"/<רcQmS( 09P':˱2Lk~".錧xeJ4|}08u 5h+?bvڴk6̾e֟sW qE~z5Wpژ{mS=IM:E"V2KQ4s\Wٸx[ֺ}|3wFFl BO At9`>ug]~fQ%zT J1:+ lluMsV[ P\"@V@+~]cus:g |ϹxuLg!l*c9vT럻uZhsUV?۞ǥMw#arrm%;ʭ~RtwER;YpMiq椷6PQ4I^įx/+~T"B/Dac{o8:CF'"ԧT[qbRwQryXc- wKk^ W]b0glȭ,yϲ/w(.Kg.y)%2S׵5Lji^>JK4j(,a #0WZ2miacp28`-IMOm8q~6xU!`0`t+^sH ![QCm[Z {Ҟ R1vQ5K`r0inW,a:g(cRVפd/`Ύ!q84[5Oŭ;jokz9u PZ4B%jҒzCK^Ukũ. YqG(˰g4s8T߫EY^ xĪ{/1sKX3:WG+C ȗ2`)lH3?$C:z[|Y"c^OX(lF`Hm dzJ#{,'2.$XB"ا9Za,(3]꒖>ۊ!y]Rb [y`Iicٖ@eMSuٸ.m(Px$V]ksg[L3=!@25r҄Ѳsz?’71gыʺ2DJ%_}h:tCT8[Np Q>/95VE3'% |MmFţ$M6EGʺ VgXJ@%8^ŪwgQV0-9wۮS ɱE:_q)R} oJ/}c.w,{Kz'E116w:ZY{&0^^p]!NbvV>A6]|}Z~5"]!O>0ƔO2P߲Jc~#Q4h&A8 FVȋy/ȿ,P\:urc@ :v ~l wmw0"-, {k\aFnhjg~?跌|\MO[v~as^_-^D7AUMrW9sej_2UiKxK-iH)nj~<G`ٷEUFbt]CzٽGf`R7{}"^_?y҂יov<~ oME!XLsu;2c9A' KԻ-ش|-ryq0U?t zi83 f o2RE'SɅmϿڔX7+j m/t&'~ŠO6D~k/GtIKh‰8&ʥiX` vN%C$k;+5n!b)0<$+\3:c!uF?M? *Mp!.%yԬ3kѰ[9?T |l:D~i.w_S`4J NP\tp{0ua#},'#JWlKꄮG S0͚Wb5^СF/MdD ޓu٬bVqxz'^7V<y16O+gD `rM}_2.< #wbfoh#ۦ!J 'FX٫uBh0SWfκo& j؁,KCɍc`ѹ"V0Ke;>Ky!cd ͩb 7 copؑE=]֣ ꡟzJ|@f,OvUViO^'U0j.[x[b 3s'%aB+mcx o@JPRKQN=F? i DBNΣ2J 4z>G紅 €rbDH $i\ 2 [{Ċ'lMØX4<)3,*a(" -J]*ru((Gn(J${E݃e3{@I!J,$XC zaUf럥93A! FiRAUqi\{5;ڎ[iӜ>PS{pEoƘdhGvxy uXׁka\yOvn۽!:gNc ~QQ=ej\n^ q/S~[l0kIL7E5wkC7̌liʘ'f(5v:ua<=P(g|nj-,T# M|UV|?3nh"v]_nu7tpسcP endstream endobj 2697 0 obj << /Annots 2699 0 R /BleedBox [0 0 612 792] /Contents [2709 0 R 2705 0 R 2706 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11072 2707 0 R >> >> /Type /Page >> endobj 2698 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2699 0 obj [2698 0 R 2700 0 R 2701 0 R 2702 0 R 2703 0 R 2704 0 R 2708 0 R] endobj 2700 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (KEEPER) /M (D:20221102111814-08'00') /Rect [90 624.3 129.842 635.3] /Subtype /Link /Type /Annot >> endobj 2701 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (PULLUP) /M (D:20221102111814-08'00') /Rect [90 609.1 130.403 620.1] /Subtype /Link /Type /Annot >> endobj 2702 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (KEEPER) /M (D:20221102111814-08'00') /Rect [318.78 519.3 352.555 529.3] /Subtype /Link /Type /Annot >> endobj 2703 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (PULLDOWN) /M (D:20221102111814-08'00') /Rect [357.14 519.3 410.215 529.3] /Subtype /Link /Type /Annot >> endobj 2704 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (PULLUP) /M (D:20221102111814-08'00') /Rect [432.84 519.3 467.025 529.3] /Subtype /Link /Type /Annot >> endobj 2705 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2706 0 obj << /Length 19 >> stream q /Iabc11072 Do Q endstream endobj 2707 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11073 3256 0 R /Gabc11074 3269 0 R >> /Font << /Fabc11075 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2709 0 obj << /Filter /FlateDecode /Length 4393 >> stream xڽ[IWl@~`{81c?_%v& $Q\ŪR˯Y4.tI.?|ZzY_"S]?,_bǭ(kɹçڗECjI6,83mtojsqt&&T -SX;4qM_Ԥ1DA) >zukr\5!:QOX#B]5DШ`/-A2CTsI++k60_/5S t JG7P _d?4F#I(3UcƸ56S u[hKFJ>w}YlXSf9&ҺR Y"rA/ޗ5NFT6`@&4zP/!d jKJRIi '\U7 La~\%+\laS̯o=D&;P}\;(CVnؚB  [EDK 0P" p֘H;T[Sj kJ8.3Fmfi,ݗf8 ڈjcIL1`úno-l=uQݺ6> v Xt kL jWGCząL>lbHL^Fl4bzT¦na}i8azY~a29KQVj6djǽ NƓ'[jM8輷oOa.Ul w@ė L9VU 0ibZTuniN q[S=DQ=cHWSBU/4L?U r('O:`D?6]D-DnlڎpXG}ZX`̤ y _]@8!Hb6K^}(R+yr!cc1qF0f~2è{G啽U* ޢ`KA-|#l7U1q=N$-.|IG>Z18 wbF:R*LJ4mQ/4AߚN ZLH0IlEY+3q[gX;,_6f:e[s:7<#LB.r!ebT,]!bYϛ?n6 Uoso[D(d ]l /otc$pO+O'ރnN7$$Zoil$LUN|8ep ΗF97Dc/+8^+:_9 Q" o|Ehkb.έ5qqA)oA#>8Z0X+W' Gva@;YwOn vqOz .+;! "J`yc2~ ]sOVUjD,UUUMRS/2N!%SZd)ȝ(̂Ίʚ346PgɁtL^D FdnvR@ dmj] 6jsWLj? ף- lۂ촚iL&$'ͱX7<дR-RΘpǗ2.-8OLωN _Y膞8Cޡ!:]rlBK6ұ0[uV_[<-S{N/.%Ug'/b4Z@ պIx|TٙIE2 A:엙(@ڒ82P5E )>;|zy )>fJg MsHvvmv~$\g; ;NW7cGf9'ؼcL!&ބ!"Tה|L!4eŢIu ϧf3%{*9S ۬$?81n^e%b S>Q &,@g@;%]i$“\ȱ2iOǯok6IB9d]s:,qiWxL#_7[/u3|x^B^^j 3O/ڞʨ/(.%pPW};DዚQ{^\kr' 3ƛyt2HO%)g}\O+[0-5^`WSXpGD hׁ|dqie-@ hCgL.O+(;kOgF )`۽Ӧ:O_`YR6Qi(^.fP(KkwITB Y ysDu]-%eiͮ1 wa SKL|ۻ7mAO-/B+C_iۨmYSY2N̐!#`Լg!k m)Tq}HC<'>W -aC-uVm?䑡G1Դ囟ehmX)eh3CL>zv=i XT >gğ~W&z3gJ[/m\zE Q1 nwS^8c?qt}G4<õr?lbJh6qVSKg.Xi=*3>q-6P6_v#~06?Wٯ,M:!XϺԤ3>M endstream endobj 2710 0 obj << /Annots [2711 0 R 2712 0 R 2713 0 R 2717 0 R] /BleedBox [0 0 612 792] /Contents [2718 0 R 2714 0 R 2715 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11091 2716 0 R >> >> /Type /Page >> endobj 2711 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111813-08'00') /Rect [92.937 684.05 128.203 695.05] /Subtype /Link /Type /Annot >> endobj 2712 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111813-08'00') /Rect [347.73 684.05 382.996 695.05] /Subtype /Link /Type /Annot >> endobj 2713 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2714 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2715 0 obj << /Length 19 >> stream q /Iabc11091 Do Q endstream endobj 2716 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11092 3256 0 R /Gabc11093 3269 0 R >> /Font << /Fabc11094 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM endstream endobj 2717 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=287) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2718 0 obj << /Filter /FlateDecode /Length 3336 >> stream xZK#WـhjF( &k {WG[-fmAb_U>Ov2'eNOeϗ_u&k˫.jcd&LcOcRp}jONy<=h[˧ :h `tП(fƍ]=Չlby[aZcUƸ1EF2ѢdHGOsЃiRlhQbiRW{7*,6%jfp$VD˪Mش3S=SY2b1,Fl:fe@ɷ[,Eɩ)bcS-RyQ3.:JOKY .bF`VK1(Fg'IAc)fe+;viGo00vcP@#{ePˀns) ^{sKseqb[)$}%Hw!B Dn1ony7X+P[UW]ճ\jou\a"$7sw,p=GqaIӜb[Xuae/CC(e]!ө.nhj;Cޕ$ V*rR*sNBS2Хk"~؈i%Vz*8K?p10G2YJkY8o!i)z!zE|7Xj e12Beo6*ٲV,H17//wLV4)<92d1l+@:+xx ׹yp t_;5%Tx*Qߋ}ίk?dg/'߭b<`-H,nbu5}ϙmt&;%[t˥o=jc :}쁆~lPR/xϽI*ECUi7ӿ-2-~ȁSxˏ֦.=\շmahZ)to8j=ό[T҇hF-D Nv፠o=ㄬ]H-VCit('Q>6ag!Wrssf-;o~Y[rׯUU:_l͜2_  >چ__4E0ftIK&"LԄn/䜕pYs \h a@YeG C?»T2!L,%ȣhJoYF|P5û֑~~T>2a38Jj-9{U̔Cֲs!hyXf8C4+ZeF="nLY]RG!,SQ 8/15K YF9TonֲEiTk}(K)rEGoR꒣%+!ᛂ`!bVqxz'^VT&^:jyh6fYhLt6=[xrFSβlj#xNؙȶj̿e4{Lm n뭗؁,oiWIE`^-11}RKhdUtΩ` w/&h+vdiyMSdC:h_psz]ﴷ^;;]W\菖dtp? ȅy% }hXK`ŒETLAoJPRM ٖ 8I@h m†E#^G]&D'QE3J h hG`'i ˉI~"2 [{>R6 O7Ǒ*_ UgDYUPDj"J*r&(G d7k#9Wiqb`֜ %O%}HU FBu/lsKJfKfIQ0.' <AŽ.Ur=Z85豙C6(+!^d~HJkdAop'8(PC\n'e(L\H"5T0լ Ve0c\, i0J\]ĭ˥}3ggj҇FPrF4m¯3pBg2S&\m yYX өgqs[[jvZ\`BU$+s윜 ߣ}X/헿R'UJyw.tmxݙ>qy&y%wޙV> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11110 2730 0 R >> >> /Type /Page >> endobj 2720 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111813-08'00') /Rect [92.937 197.9501 128.203 208.9501] /Subtype /Link /Type /Annot >> endobj 2721 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111813-08'00') /Rect [347.73 197.9501 382.996 208.9501] /Subtype /Link /Type /Annot >> endobj 2722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2723 0 obj [2720 0 R 2721 0 R 2722 0 R 2724 0 R 2725 0 R 2726 0 R 2727 0 R 2731 0 R] endobj 2724 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (KEEPER) /M (D:20221102111814-08'00') /Rect [90 624.3 129.842 635.3] /Subtype /Link /Type /Annot >> endobj 2725 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (PULLDOWN) /M (D:20221102111814-08'00') /Rect [90 609.1 152.8375 620.1] /Subtype /Link /Type /Annot >> endobj 2726 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (PULLUP) /M (D:20221102111814-08'00') /Rect [90 593.9 130.403 604.9] /Subtype /Link /Type /Annot >> endobj 2727 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (PULLTYPE ) /M (D:20221102111814-08'00') /Rect [487.24 504.1 530.645 514.1] /Subtype /Link /Type /Annot >> endobj 2728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2729 0 obj << /Length 19 >> stream q /Iabc11110 Do Q endstream endobj 2730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11111 3256 0 R /Gabc11112 3269 0 R >> /Font << /Fabc11113 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 2~f| fpYw.Z 5J8.y-xvw7>6W^vqWsRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ_ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١PϡT[z7}ϯ endstream endobj 2731 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=288) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2732 0 obj << /Filter /FlateDecode /Length 4361 >> stream xڽ[I$Wِai4`|{< ޓ""rTgGR7I?h]3-?|]ZY[&-]]?/}1PVѪOZI}ߣc6o X%0=J)|'mr:a 㟱6w|c@`W,4˳"W[q|?IT>ܑ/aj Y19 pH zA)ף?/ ~MR1uk$+Uʯ>+cc~ϋ`xwB ixڐQ"Kk&6,i7z?~bDgO&9嫼Q}x,x|,!'t+DF<`?n;k||WtF,&TN/~|sJ4gi>!.Dno 2 kt5۬!֒3)B h#yLG! 1yJ\v!4B8ti/V˓ CM]\^'/$(tЙlch<WS ҏ 늩I[~ZO֔oY~n.(B~AX=b!3xWm0Ig$mW"nւĴ`5!,~IrKhғǴ9./&,Tc%jfY6Ɗﱮ'VAfw'n}xT?7)/yV1[>g-o秡) D/@{+tS.lmb7 Z\ޔQ.W(z\qAXPTN-#lH] k#Mh< w~b-$eIc{Qn| M5SY۪(;muYiD՘27w)Oax7m :t '+m*eton O"|fmƧ`c0MAr%6fz I74b@$7^yzũ 7 D>@--D]9lG:B0 b*=5sڨSRvMhЦV;ɘWL)öϚcN;3.c}èd Ą9ќpuc}QhbP&(8K=Di?I<7Z~RMR"͒-U7Z4d4@|VR|џfOǀm4֘ft+.3wX1(Ş )BTcqSW Wk!csAbIϲAp0b'F8VNo^(lnOH^kzV iqqK< n}Cn;DcCPܝDLEVpz)^CɌ[UiXj/[Kx`bTP€pxq(Wz x;jKD ~yjެoeгВs/"GɮU_`E{NN]S6t PwF" \v9-_n%G%2+Jt6%ߥa u~% y]TUQH\;]l#cB?fjÉmӐ\]hci݌+o 7 =ə5t"ed9vi( j.R`״'Aֲmͧ8L'TDRC}ځy0$XR K[%emmr 2D+ !mZmol׈@Gi+-m8t4ste[.[۪ʕ16oEvN%Un{Rb, d!^ϴhKt+1^eT4pp;mgѺC-i [§$xnGѼ6;meK̲hV%ru5qFc.ͿRLn;kFIHamD3(SOOk-7 $NJԟκxC9ogQ:ֿT# P+3\۟҉SKan!$iIӜoTtԉUaC.5M]'zիFF9tj Evl9" &c>/5{y nȵwrRU*"P0 fTcKy ޹9hK~sD̓_-;GgJųOҴG*P ? pfvG\M1Ra-Sλ>냀ߌqq?5L*u27fe =U͇BqMBinϛsG#h}ln{w޽#\OxpD˞*"2Hpo9pĔ3K'm\L:r_gkCz3 C7Ց6$bp㈢wE(ȒY"n2JLf]PG Sbsnn{RQV`cW)XΙtVz:+R1AkWoYV>=7]"&0cu\kkcFЁ7&b`rdf4d2a)+#`Z\SfǧGemM?Ǜ.'ow9W}#_ugoT2R[l4nޱU[[竡ǧA3`Yh'mgD шt rbzŖ V@)ZQ2V6J+HԴe'41AE~5j ^2H6=@5 mv = H\)k_+Q*.I!Z06* MNL )* Ԧ/CS+_ƟΜ bcV mOыM|d͢ؼ7J]nn-ӕz ]zbF֬+<Bڌ}Y\-nLX2ʆ¬n҈ =]߃p?PB+[JRw=HVNûE&NUl˵ޣmC_/p@i$]Mv荇֍ؗz,ljMH_r pL>{vn4e@;IT}/H5 w#~'b}Rzxr{o(6) 70lLWTnp|> rޤBmUff&2C.2㢌~Bar.}6J#y16nڦF"" ga5/2 endstream endobj 2733 0 obj << /Annots [2734 0 R 2738 0 R] /BleedBox [0 0 612 792] /Contents [2739 0 R 2735 0 R 2736 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11129 2737 0 R >> >> /Type /Page >> endobj 2734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2735 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2736 0 obj << /Length 19 >> stream q /Iabc11129 Do Q endstream endobj 2737 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11130 3256 0 R /Gabc11131 3269 0 R >> /Font << /Fabc11132 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7|:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON4uZG)zr8knT-=%MW4yrCodE#2yk))sm.\gy4~7/? D?l˜!E_@9?ɜ F0p|sLѨ\u ^o/jj; Jt١PϡT[z7}1 endstream endobj 2738 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=289) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2739 0 obj << /Filter /FlateDecode /Length 4804 >> stream xڵ[KoFW<@q{=,ԳP0$dI.^t #22ޑ9߅\ava. #~uW?[;ib f)){?v2&gƄLf8S0}T׿i]y٘I΄W^'(蘮 :c9_q_GK}QE:qZ6nmA2[\MXwº/чaDխSQ%q'ԃ]RH2)#9WLҶ8Գ$ez%.`ҫde۫nmm7qL$J_-OΊ~?m䘔Ƀ58i J~p"Qf7&a*3,5DG y{9f͒R\T?Yȁ [Y*Ds ,fS9HH0|3>. x{j9G`s9 GN>Ϟ`1,T~xƒ {vʻ_^vMwbs\9G~n)#wt8RJ{nM4}kXf|S-qv&՟p [{~Νs9?:_no_\]-l:0:0| IY.EZx&.wA&$0%Cp.w1NqhkwF8o1MqlzpU}K`ToMX|\RQlu8ڬ]g2iME릂up'>U5ػs3~2K45..,&>NYj[^4t>: zW¯9Jg%:UBڮʻ jl*} v]pƱ(WSV1R0!Tr`;Lcm\ j g^r rr*o -փ@p\ըޜ"سY6M@p֦+R( D':{ }B󦽽X~͏S{++,#i~gf8,lS[ Lwoǵm6_ 1Wf=6\licd~S?mxSt;D(KyDq.ciMШ,iGea[ȟ@A*QnςK]F+K67UZ;%ToyrˉGħ|V>#_^N* ߦdޡpb*H΋Ğ /CP.L/n)p[ .BG<氤8,!0oVs&Tg 5o%.V88yC|0!'$&IL8)t4 G_Jn^ÙM o)\@Ϸ9Uݒ%)t/9D#α/B !.dtsq26c>t)pV Y'Z!mZD}w)Awdz~) Ɵ4'3A8pduf#@BJkSgEw^xP' mm 6`lKNN `ʼOkT 0|m IK:at40kppWT܂x\x:=:% `0%C&N7M79b51f OM VZ:T󸐧ۖF w NzŨ"e3+(Ӂi8 /ăiBFS2;RLi{i5W4NkHuJC$ d$eR=Qrgb$z"&JlujU${4\Kи͵Bt ~oTiHiҖ5kN3K' } y^iJWN9;}:=5f$OKTTu!! WXK8{}T([Ulj)B7 jvmd =-CA,ܛעY Lq#D{~&Vک#5!7+lK3fLҽٓ5ԃ\H+PX?=/U7rNzCRp3F)Oc(q 9N'H9mm{y5y\LK.F;e0WbvT j/t&SSZM^+ӜXeԁʈ`"0FL 2rĎĚo42t`'?RL\s+n哖9r#m~ؚQ̟S4T"P!BED7 ?A^pD8"dgmOKi* OS9ӿLǻDqZe딎n7ufy]ȶǑ+GOldx"oK׳5,~7`폤eYhQj>PG(OgEgnsw4sk%ȃ#5S6lM?ܘ'Oer,42 oˑ*m##rv`?{`lLxZn~aX+^ m}&#"q(ݱOy36:.*PCvzݔMH5Rv9@'uRa4f<ŧZlH_KVXzbMj.S yelY&3_R^ɺ2VfC $[&<.5-&e|c3 ;vް ށ7f&]KxDbB&*T_6t,`=3o;4LcLƄeud݌wr78ȬoӲ6!) P5VK>݀A㘗^csa> h3݃-ژ;L^^Z׾XYmr.Ǡ x>0G|A8g){\lsHyԿG@Dx}Kr/&b)X*_ىp[ y`Բ/'>I*sKMX!Ҥ/> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11148 2748 0 R >> >> /Type /Page >> endobj 2741 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1%3Bd%3Dug901-vivado-synthesis.pdf%3Ba%3DxHDLCodingTe\ chniques) >> /Border [0 0 0] /Contents (link) /M (D:20221102111813-08'00') /Rect [314.4275 194.3577 331.3895 205.3576] /Subtype /Link /Type /Annot >> endobj 2742 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/ud/document?url=ug901-vivado-synthesis&ft:locale=en-US) >> /Border [0 0 0] /Contents (UG901) /M (D:20221102111813-08'00') /Rect [92.937 180.0576 128.203 191.0576] /Subtype /Link /Type /Annot >> endobj 2743 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2744 0 obj [2741 0 R 2742 0 R 2743 0 R 2745 0 R 2749 0 R] endobj 2745 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (RAM_STYLE) /M (D:20221102111814-08'00') /Rect [90 297.6076 150.929 308.6076] /Subtype /Link /Type /Annot >> endobj 2746 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2747 0 obj << /Length 19 >> stream q /Iabc11148 Do Q endstream endobj 2748 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11149 3256 0 R /Gabc11150 3269 0 R >> /Font << /Fabc11151 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM }j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XLZ[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛܳ endstream endobj 2749 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=290) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2750 0 obj << /Filter /FlateDecode /Length 4052 >> stream xڵZI#Wـh ,pŒZ =J(vy\8u"*3ﯺq$Sw'`xV ƃh<_B]CzCn uCxOT7Mrb0]T~y-$-1.&sJ#^̍Th|g Ro@ 3R̼2c'!Onp.E./]L.t ҄X9YAXpYDu{Z N?ѡ!,a]w 6\D[Dh[1~]SV,6bErNh0z_";tL C+Ue#Bfpq⟑I(J 2At[%&knj#pz .ֽ^?~Q!J"B?C^~_:4lr! iKt|.+kx\>«G_5LR1L/I O\3%W@n]^hD_lYu7;D/mjۆA5MBw}#V2Dоfv10p8^ &lH{}0V͚O0-3nRT3cauR<َ0 D0p$< ˝a|\bͼd!AdCG; .X {;!3l`\Ẫ,3a3TO[:niZ,Lh)t"[aN+ĴN*IYNޝ5tBݹ{wiRîRrkh m= J0IJF\ '"}PMt9 )a_ZuկA_/ysxZ(עw]ץWn}Z;C[6UȰ:kzXw}o+ִ}],q-RZQ#ET$%dI|݊[Yx+mP)&FI7Oӫ=n3c`cpd{mL[RPˠǵYnb-U(0^WMp\T1s@9ސgHt'Tq0TP7Ǖ2MH[-tOsTue'HD!^&+9 qFGӕQ3a}L-ַ&+Ox4r=-Wdq'mjK Vmeg-*i@>6ek)gT-]nkƶ]19E~FZrX]vjv5zl@<ՉvӒ@@,Mܣ뉥f} DT6 ő7S,K\[bGX%Z]&sd|GۥZ"}M%x3_^zĮőЏq|mUM3Jެ,:V2@;*[cJ+WXbqlm& lV1Nyo,xVlM$gWŎa5CYFNܹ Ŭ7qf=kjn.U^n7_nqdV:DNpjw`C[#3xC9-J"Q)H7(auX za Emv;Sv1M!6وֽq l0Ru΍\2`mN;DQqwnURn|xpl4qJ#Z6X-r]1+pW;WnV)RHݩnEwQfgj koS rFoLSxD]&`#쐃L+68nEY^ .2< 5ljaF_g+؂]_ 1M %=4Sa޸tv+{#!"{]vOqK':CiɁ# UWV܀Ae$#l90>&eJ hwbO*C@k?Ŗl3H]4d6XGGL,`e֢≮".4QPj ]E;' 0&=h-Ig,d`iϳֆPYGQkژy I&N&4$eErSֶJn7"~2:V *lUl?@" UB~ jGY]=ԣ /6E;€t be/[`ǔr#F%)[ VAKrcw_+l5JFʹR+)bOay#C/3)sU}0p>aF:{&J0/Ci`m=fY71RtZkZ 0))EgL1Mۑd@ٍs|fɠ"@xb?N`FEBk:g"B xmJ7#?P`SL1zJB|z Qww)F8s&v @'x">e<yU,' [N5)̶}ҧ&ZgfQ5+a ҺZ#O%7Dh)|.f%t5ЗȞElqc|o2U6㹷;lĄYDtr>=C߃s#S*SP e{>cn:iIǘ (xmhHJUl.mh*] >nbڡ[k>S mq>Fʡ?.MkŞvjM[7F Um&.yk_tnA;;̍V=6w+J75qxFywbOWB&ڮj*h\kyֱ(G}Q;UQ7ȝ1x?BFS ۩h6Q7-mV~VrD!q~ٌBaruI|?肛kgLHTx25YXM:_U endstream endobj 2751 0 obj << /Annots 2753 0 R /BleedBox [0 0 612 792] /Contents [2759 0 R 2755 0 R 2756 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11167 2757 0 R >> >> /Type /Page >> endobj 2752 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2753 0 obj [2752 0 R 2754 0 R 2758 0 R] endobj 2754 0 obj << /A << /D (unique_166) /S /GoTo >> /Border [0 0 0] /Contents (RAM_DECOMP) /M (D:20221102111814-08'00') /Rect [90 258.6191 166.967 269.6191] /Subtype /Link /Type /Annot >> endobj 2755 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2756 0 obj << /Length 19 >> stream q /Iabc11167 Do Q endstream endobj 2757 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11168 3256 0 R /Gabc11169 3269 0 R >> /Font << /Fabc11170 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫@Dӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoOS3Ră;6+Ir޵iK[ZNߖj?'=ʡ|72ْԑJ_%+VK2ufg[XL[//d"Xl˒5_@ʧ9 ?d#98` dT:I*dz)UD=ΡLH9vhwe™piF;i z7}  endstream endobj 2758 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=291) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2759 0 obj << /Filter /FlateDecode /Length 3294 >> stream xZK$7W+3[1\xpFp:ZOiJO's҄Bc<$€ Wh|̸*Tʊ<纤 w:/ROm݃V%:e>b+扖/bZGe~`qZ-$JR2/s@LubdmV)xmx |wGpu9c<@{;z<`:@iPE3uٙGQ1# Ɨ g(h(S|`iTb;3u m}3L*ǧTzó0UICr1'B\jC-:U݄k7́~F1jRUԕcޗбʹsǜ]Zm)7b pYS e[!iOϓ ID(7EEQ 7"E܈¥&؝fX1ؚ+^㞁+$ƴA}q3JcƟ)L# }]vnc`oD/X'>_C#fg 3`U?O&PNl;;wV^5<*;ģ[sF,q]][5,9cU5`ҕMja=òfwd C5\*%KKDD#ib18sR`8Fa(DꐫBSKa[( g-`7ʢGp+= 59$͑V| 5{ث9c]uoڅۥ cNxrrcM3mj@̜on}l%ͱf$m7fx?ʁ1=!:U /vIa}sݝ m_Aۙ>]q}8fItG=vZx%dB~8I *R{z1?'*z'%c(+g2^e hϿI+p]e$w9\ז֜_)k<ޓ_$3+mϚk"V%xKzn;N+PKsJL:W-dxNe2ƹ^*ZOϜǻj·}᱅^U;H:K ! 76iֹN'"ȶ+n`].F'sp%dۛC3 W{ZUuGssqMFzB-39Ij͋x7m*ũ"jN J+E[{jP*ɜ{+zTe=c|&heEn4M8xL}j`*]˻znL V֦ wLa4+i {KԜhFȥ.j1jeױ8m[FO]K R#FpǏ83;i[_tȁ"2ΓUyX9_|VJK ta墶Pף12vām _:\w 8VS_RةT6S;0)K=„UH.Rr*Pa;;Wvk~9SnT1Tz[\#r(| FPlʄY,}YFLFWdIY`9L@UX"|J(*i ppDEV m3xv\GC&<2+PF*8*Ygv `ԏ 2̣l9l{ nk5DY_ẗ7f_]BB4!cC_ jT3Ì|9`#p Y\c }X|U tɗ@yslּZ$ STܮ .mˢm9KMVpM{0pjVlVyzZ֩HVT @{^[mMc |lmy:,v$cEx+Yk_Xy`wܱɾ YI ! H ]QZF6 Ug *K[M"`ex!" ~40 \YvyKL#U4B4i-g/-h#`)M񪬩B>|# '}__{߹_y_qs?AP)6hF88ё25`Q[7.k~J`BƦ45܃mF40/@- D/#,+=$~"c4Df3E'^[]Ah( Mg&xAk`S20ȡ#@|b?ȸM8C֞"` M|zN瑯n*X3uǨ+6H}=kTX HG& xׁr34d;1@},8i׉Ը up%~ *0ݝ1EKiy6Cl , PpdIw4hZ#W%j Y4|*w%Bڂ8] c|o3 M7 |Lv-ϕ .0joOTI[*U\'*tT3v$KoŶa 13}v,ASqwVhovglxrn[:Fv ږ|dvBuy YBIh񃩼Jv}*h5p[`Rȳl?d  endstream endobj 2760 0 obj << /Annots 2764 0 R /BleedBox [0 0 612 792] /Contents [2770 0 R 2766 0 R 2767 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11186 2768 0 R >> >> /Type /Page >> endobj 2761 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=am003-versal-clocking-resources&ft:locale=en-US) >> /Border [0 0 0] /Contents (AM003) /M (D:20221102111813-08'00') /Rect [352.8337 399.2076 389.6342 410.2076] /Subtype /Link /Type /Annot >> endobj 2762 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?isLatest=true&ft:locale=en-US&url=ug1387-acap-hardwar\ e-ip-platform-dev-methodology) >> /Border [0 0 0] /Contents (UG1387) /M (D:20221102111813-08'00') /Rect [447.6592 379.0076 489.3052 390.0076] /Subtype /Link /Type /Annot >> endobj 2763 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2764 0 obj [2761 0 R 2762 0 R 2763 0 R 2765 0 R 2769 0 R] endobj 2765 0 obj << /A << /D (unique_169) /S /GoTo >> /Border [0 0 0] /Contents (USER_RAM_AVERAGE_ACTIVITY) /M (D:20221102111814-08'00') /Rect [90 327.6076 255.231 338.6076] /Subtype /Link /Type /Annot >> endobj 2766 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2767 0 obj << /Length 19 >> stream q /Iabc11186 Do Q endstream endobj 2768 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11187 3256 0 R /Gabc11188 3269 0 R >> /Font << /Fabc11189 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x]A ?RoI2ɭs.DAZ/zTЂ7ݩ-V &$y'IW??߰jfk> }0+PNii4]ocWTӡYzrVu4ǪH%_r͍(Q?oG?{`b JnF(BBʘJN2&49)S~3c e/ KiܟBd#Xr^(`s2ҫcDE O/rUvX9 )evP(y Ph|=B/}$2 endstream endobj 2769 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=292) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2770 0 obj << /Filter /FlateDecode /Length 3817 >> stream xڽZI+GȳA5/ Za`|0sz33ؗEZzRY_%Z*+f#?}mLKd4MxԆE)+Z鬔OE0ƌVڽ7&)SkW|W9<\ƽ}>1k<nO"x'Ow0*3u.OFS(lJ$~ |μ@f fhJOBkBER*'ݒN-Jcǚ<<_'ؼ_rJ7F]cqXί$Z$^ qI6y9],VkW&C7Kv@4KM=ԅSo4XRz+IuYSd$y-#)xl)[e|ڄu1uaڤ(Ǔ՚eVNFe0kvİCkѭP\UӻLI$'Csp9C>z@pHc]!kߵ.Eu& m\J70-B;n5TM e,%]4v׵YovfѾIo:N`ѿG;!bR mF hO^@hveE7$ceyDl/?O1-L5mH6XVt&$m_1^+ʙ~ 悳!~*a7h|B4^l]7)X%w*9e*~u b,kF-Fu3mbq_؟D8Hn;-2 h#z#1wHCi͑c>'a[ 1gvbh^oLXGB|d:Un:W;l3Ri#zV[`WJ?~ĎԢCV>TrRqqvbrW6✔me%mb@j} %UT!; IG!5޽ 'k_!g&Pi5y^pFڲ ?&*QҊ}S[[KܪʶqpKerK]ɑ e'i] ͂v![ lj /)|l}5[IQ+M: %gm! @O!ի\Ove,KMȋ j7!.׻wQ vw] (#,AUH45zᅰFgCvwz_xsPEFL"XAT =3,Se<5; Ny\6RJ%<^>Z/I**.,jZq\0TUnymi+`ZZ YXdN)(7Bv'l*r} 5{J5n*}d<T&X*(+yJğXhPET&W/(Y~ĎeK]`{NT02º5uu`Z+!cR`9 grL~_-*U.W05r ^[knq#U#XN5}{yZM9Kk#p{[ҭ:[l2y~37RڮSf(_sG5J%grZkN䷻Zfk. e?e\M2qS!Ԯj:*%R]/NNNnnWn]Za8:1uF m ^|˳CAL}i}zzC ۿUJmjŹK>LӪk䜴97'{#{%~)?#{~=|:mzlrH:+*QmRl6=iشGw彳Өc8ߌFzʯgF2;M}Lθsj[ۣC0ղ;1{ڝBAkL;[Jϡ>6C6B ^Wpq|sWHM ^7P.ZWjyXeh\֯m[is?0QTWSx+yoՐZ j ڂKr\)4L4NMjK̥k\Mo5#(oL;n./!JkqN77 ЯQX_bZ(P^I#fOY)쾡(|*]B\">"ye h9rXQ@IZlN- D䛛Ƀ2ϋhgH3i'sr|BEЙ 5cn צ2031Ѭh7.V=~#E TN~fӚA"ʊ1]kn&8FullQ 0{rD.b5 "Oă5VKe0)kCg&Of,`Q0ɡ#1@t?ڟVø(C֎Ο"`=Wⲙ'y* 0|F5qUrEiGbBI)'4ySiUAn[d@\#zI ԡiR:1! rTPamc6l"YtdataIWޕ4hz,GKXohܴC6#(D,.ɖ0׆=Ýa~B* P< :a$d%E"& T*T#EL>JD9ɧ/tr *6rN\ݥ_aJhTvrL]@/YN*ַthI_[|lWhھ&W}Z܋ƿ\s 12[> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11205 2775 0 R >> >> /Type /Page >> endobj 2772 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2773 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2774 0 obj << /Length 19 >> stream q /Iabc11205 Do Q endstream endobj 2775 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11206 3256 0 R /Gabc11207 3269 0 R >> /Font << /Fabc11208 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd>3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}' endstream endobj 2776 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=293) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2777 0 obj << /Filter /FlateDecode /Length 4308 >> stream xڵ[I,7WP9hz5 0̩=1 /2z=Wd*J BWb'>Rץ A"u@uXLE WW/aM_,Ř5Ť՘vjC{=Ư.%է$Z5Q5 ۏkYK.#kyB|f5nF'5%% 11(5Z 5 x0¦ښPB_tEh+%DqL$_kz&SQOo2˽hP(N˱~Z+0ͮ<84@.` SUi^=6$҇ϋD `dwu,Y1X J*NfO>CXUa,:\ e )֪m9;qA^UzW:138 LQxikȎ_Flg;Ec}`"fRbTHݎԙK|xP/ĸk'Vpu{sKDY5Mw63I="AVS6Sݐ\CHnD݈jb'.y< &7{MHm'.m4Dd*@r?WZmuShzA+n .W(Nc["HCݎL:`KcE(UioM\mnZzao_`c"Wmf<|y^gzy!]vj C}LVL}wfûBovw!mFGu7?_*i&Evg &lGY'`/ZOu~SCH,\ x|4stI^3kC}UCuwLA?>e. [|5t@DۭHkNXA&af-&!CqsZԈQC~aj%#td#UGA LOK ꤊsen ADêK1^"pAmϱQk[9IDH0cپ0saX˛ e}߲ Re _؛1Hl%c^AKxZ)Ч.(8;a`"?u(Ka=LQB5s: 7=lOa)?v8vQXEP>2u bXu9a/eoj;ǥ弶`Q,s+~Hƻ힧 jT}}!w|gk. ~@r2ϓ}6fd1R2RAbZI;Ȥ_Kke쳩~V~rLkZ=vapN%a~.yZׅ'nWLD뵳1ާ`u->^ bgѫ`"SLu)a4ɰ"Ss= ̰_@ {.yaEpQ{\g{6,$ ظ2v!H'W]ޑcp(Rۥˀ7xӎ6G6 g;|G3aL 98-7Ҙ"ڲȻ2ž oG(: hKe֦ۚ́Xg޳ k,m`'=l6Gu~C^߃#vh#JyODzHŎZ82"B$36vc"lGRؚ%Xz:Sz'kSV%7mY?Ob td78C6ض6bؙb噲Wvj G3f4θ-_r3LN*K_0Ѥ[oɩڲ6;'`X^cg_rUqͅ6I牶wOҼy U˥?y~[Rkҵ5Hb57*A $e9"~c Z]@]:{V/w`(ms'` ]zGK'0fK=s+ # Vڮpt[*UNiN׎פIa{kaO51HTL=&Jt̗  _,MTiP_i#LЮC, HmH׮:KΪژ< 2GWON׹E ų%xlu/| {hEGKU_oj4l c˃BC5tЗ$%Սɻ X6oNA:-DO̔&zY=̤%E4uSBcj4oS73$`OIJ;iT[إ$YWki(%vorfh7ʦwHyoeh?͋{tܫO}_n鮭g[v9'o.;fo#{QRƢZRCvyxϭ}h*c6.pV (ap"} [eUuykB+f|H A6kWB+Y͜[^rW.9戂TIV첅zuA;, G206ٯ8YTN8>USgP@Ae endstream endobj 2778 0 obj << /Annots 2780 0 R /BleedBox [0 0 612 792] /Contents [2786 0 R 2782 0 R 2783 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11224 2784 0 R >> >> /Type /Page >> endobj 2779 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2780 0 obj [2779 0 R 2781 0 R 2785 0 R] endobj 2781 0 obj << /A << /D (unique_173) /S /GoTo >> /Border [0 0 0] /Contents (SRL_TO_REG) /M (D:20221102111814-08'00') /Rect [90 101.3116 154.6635 112.3116] /Subtype /Link /Type /Annot >> endobj 2782 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2783 0 obj << /Length 19 >> stream q /Iabc11224 Do Q endstream endobj 2784 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11225 3256 0 R /Gabc11226 3269 0 R >> /Font << /Fabc11227 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n bVthǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZNu9_>Y#rU %uaT(P;b)G+QO TϬ>JGܱYIZ)6{ik_KiR'Sb:tD'W9FF-I U4sm.\gy4~m~oC25h}'2g("_@9 ?ɜ F0.\05cDA ^Xb^@wevbVC90ڢ_Ћm߽? endstream endobj 2785 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=294) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2786 0 obj << /Filter /FlateDecode /Length 3252 >> stream xڽZK6W Y|v{H@ANٝAO"%=ix$RWoO߁4c1ɶ~UWkr|&~zG} 3*ٓ Ϗ@ϘrђЄ֯Wg;~ц1h?~[mM#EsA׵mZu&8Y8}6{z]M9>w9=j/y(9( V=>S_yjZ_-Q[Z8 B6>P/f|{.UC ȬwE_QԹ:8~ v3]s-X:3$'y]ǒ(y $d}!09^OCl21;a ,2u<(HFQprw4+׉DYMghY:sE? 7Z:~m_Ry;퉻YLe`煊&/d!zʑEMqj1Y\\baJu0sO8lk @]ٱEȚ ym@4iAa2âeK?MIfwڳVZ9},H f4c9ztzjov3]S\75Q)I6Ӳ]SL)yA=3:ׇm5G5_[H$$g@!5㟚H#T=79ˣz:Q҃qZ7s[Zc7ORq^n6.:_7Z: [L 0ZY;tDɔQ"ZW3oʿ(~)mV$D&0{0>S݃!R=99ꋃWS. iMh#L?7G!|OnYv~vbKl׀6i[sR ݃qG2Lf:y$I61-}$WmջT.[^q+sB[Q,On T')ҧMjj{\i**<")1ENk{Z.aR6Q9;] |{yQw 2=p40JEd5I\g޺qkAid[|=;/_ᛯίo:?]:ί_ޕ?[b$>242_ CbjT( y,>?wfD-q|zunQnǝs C%/PNN oG(J/>Fv5k-c{7̡f'l][mD;Y_Qp%USS57TST)Z6_WK}b}R_ux'[%&"J@i'lè2qXRh??v/ؗ5N/s8KO<ujk]ӫ!{Y'{{˅,vdl_2 y}e7b?4n$,{V2ײwf)O%P+:d7WVn37>iTo6%AH{iC[eB$QwxqMF{K[j-.U *LIngo.ma8P_d k?C"XKwLEO]*Oj/mYk/ >Gӛ q tSk iBvϳ-T'Zd.Imvȶ-xǤ ^×MՋ..ݓ4OQ$lHLx2_ncL Mi LTЄ7'1ū}5RIc( <:L9v]unAgcA 6x Ԃ-# :~D vY"@Rh}v%m%Hy޻i5<65!^(i71"'-?Hݹ#-:\=!,|33KW[*S9r5kWFV@5ߙ= 8$]#@[*fԕL{Tbc[B@>3$/ А(IiHDKzku3IX S?۱˨QEzR шvv \1#pB9pF+~h!4[ [~qh~# O ؚ&e0!+&*T4H C©(JMMP 7,P@;2Q ;:ȲO*2|qRdږZ!M$rVTЪs` m;kվ[ʖQͲ`B|Co3x 6l.k=1,5ry_#h zJ*?$˦^9(dlsjp<蛵H`t ΒHaŬ,V}1N"0O&"ccڳ5e&X3eD,ˮ\+% gEu"$C*2jίYc!&ṽ~?Ib[u1a BLj?HnFcvKN'|M㙅> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11243 2792 0 R >> >> /Type /Page >> endobj 2788 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111813-08'00') /Rect [92.937 553.35 128.203 564.35] /Subtype /Link /Type /Annot >> endobj 2789 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2790 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2791 0 obj << /Length 19 >> stream q /Iabc11243 Do Q endstream endobj 2792 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11244 3256 0 R /Gabc11245 3269 0 R >> /Font << /Fabc11246 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ+ endstream endobj 2793 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=295) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2794 0 obj << /Filter /FlateDecode /Length 5569 >> stream xڭ\Io$DZȳ.\ kiޓcȽlSUٹDFQ--T%f#MKdԤoV-2n.D+s_(ܙvgMo _o_J7^ǟWRF\A˿E:ggSHw ד~ u~[io#Az;[?C3RVό4͛X+Fqr"ch ˬ*<@~LD"6dWveq>P1yK6i@6$,b`7`z' BΛK=>jwnexCy|Sj>'b T45M3hfb킙Ҥ 2A@B^J Mݟkw˭"h4DOCwZn6ih^&BC4O@e>x7b|{ӬBX%=K~`!%ڌ*nJ?TJnL&a@ޭ32,:8V&lM\ IE``ꃧ!4|'8ن -(x-8@(lZwvȂ5l0YPWuQi]I??/ ki$uy8Hܭ2[?7zs^BD<{bQjِ#ECa>%C;!ܬ14|IxA#iM[[5EM舋wrBm E: >>bi|nǶM&AB9&?)]Zowtǝ "q4% efPEG77- QoˉuJR\Pn`vQ,/N9ޘk4s8R)ɐ 3dVLzIЅ(;M)#Vcx P ]LԄ1SCO߁_OSN5C", PƧYFv1G9ZO@;43Hsb,^f 's$6V.j2!N]B`EZg箥#nx N}i6∉%z|agƯpsp8MX䡮~U~O}hf.ƒ+a41]lFP6 ;Z?zF0r7 NCO3Y/!Du >ѵN"dS#7xYÖn>iZ> 'a}a1h9{5RV;ݒhظ w5_sV4+>)a[%))FUWiS͹i5.\O,dLP*k(SAq6q_Q&mŶ5Hl&w2fM7ٛZ%h^gpT+tbn)z1pĩ~vL?Px a$=.24v54KjaCrS/狌HpbJoԨz*Kq`71r w Ha,c#nN!WM,H-BS -rLSJrߪє5jN]I:c:qҫbT$Ah<,8A?b}{KT2%;ʝsؓa$a$/gGCq\(FTu_ 9+BpYdSDbe`}"ga-sfyvC3`T7#u3SfġnF~nF͈k8VEϨP7r~R沫`n͸ O#4^ ;GC!FS&rl1w](/G%@NnwwCe":e{@Osց"*[[0!gs<ʉ^9٧},<8=@XP/As3 Xr;2k ɚc;!p%_9dL_ }~L'`gZPHMR0?+0N.z}{cEΪiޙjΥ14KmUGu#UmXPkCJ$A$ (T{^KALS!ݵ[mKf$%e ge{sޱ).bV\|_[~y@{\z;2_(#@f xP~uʶjGc ڒwMT6Ha^\%Y!J:*eqtZ: ԛO. Ċ6z ӐSl_yد54Jw8finҮjib7='pp0R%Cޙg ^'mg%<`^ T5p|)ZH5Sdƻ9lhvS#e_0)ShǥD15Ū Imi8문D\ o͸ ab rX~ˬiej `KNbH~ UPV[R !`L-]gs%FVr Ly[M25U(g _ϔ5i:EIhyfCl, C ͒+fuӺLK]o-ѐ5ɷBQLW~Yܒ-j K+<9+k OvȤ&,|쫺G{0SQ%E"a@2q7SfD_4\E҆\[6J~k~G^ qy||.hJ_ޔ5VhںO(߻ :R켵ŝ{oΎ#sR:Be9E%p8YsY1=?<+}bgjld6TQxcLH>)Z_po-sV?hC@ky`0˿!O^\ڬD=D>"ϐ ͸ȣo;lrPJ|?kĹJfʙ|γ5s{ endstream endobj 2795 0 obj << /Annots [2796 0 R 2800 0 R] /BleedBox [0 0 612 792] /Contents [2801 0 R 2797 0 R 2798 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11262 2799 0 R >> >> /Type /Page >> endobj 2796 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2797 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2798 0 obj << /Length 19 >> stream q /Iabc11262 Do Q endstream endobj 2799 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11263 3256 0 R /Gabc11264 3269 0 R >> /Font << /Fabc11265 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 2800 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=296) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2801 0 obj << /Filter /FlateDecode /Length 4347 >> stream x\I% ׯs'k_Ao ` ǀ}?RR-ogrU$HF3j;> flOK?8w ZdFUay3Ek @Mx$2XME]Gs0Vlz/c 'D/ e[?6QROec e4XgMErmmw~h,'ք8MQ:ȴWp u9]sz x.W\z<<,{yvOH})͂tu|2uF >.^s{^;ig/8?;=;2#wgp˼v#-N"ק'>}!Op}*\$M_+G&0m_mο :3#%$\!Sǵ"Y1q>5FNǴWk0FXw̎_S>Nm=! ʔ!+Tal{j]rܫ뉟J03qA`  uYUh>PEqd*b,ڛ_Ϫ,!0Mi5L7eM[)ڳ {'M% Ǻ4yh_MK}O+M|<=YGZڝOu6-RWJR u%3ܒFp 5'DjtaKrHA͡,8/ƣH3"E"MZ5+Z_+SUJF"ݯBmRX3lN;yʮok5Eghʗ־q] _7w/)VtJТ'˜eKLMoԨe{"/[|_FkÆ,r&:u9:-}iqw%vLޒخwSږZUX3<[bXv賖=ºi.#W״N3p%f8,*z%;bUκJ\UǬTKe1 b-V :~rs}΋6Ahb95/\eM EHSEeïdCS;[2:'Z]Z@ƠOІ8ٺ7!渹O"C=m0H@"'`4 TS0aB?QB+.)Cnxv,=66=ӼI;XP8Fխ^C*vlABDR2aAu@+`9783 /2987_$RGAaFjw7_fHq{ɻ+a+iƊًLF,]!W/KZ-lÖ/}\*Ce9qSK2bY/lvyzZ֩ (R)X`j=@>Y")HpZ7OgJOWcGZCovG;6c2$6h#3X Tj[BN3gk:zЫ'%8s0Ⰽ=(DyrC{ yif4頃`{ RRQt $@?s"ßww~.PP)#gQ'J(=Р)Y$ v9* )͍V% ?\,h8ϋBhV)}|֜+ BU.l.HLR<{5YI B-Z+$pGH3t^=en.8FxUkimZ _[wP3ތ=i0Z$ ?Z&h[h4惘7Dߙ&BNyD6q[WdCjQjGyD-ƛb*vU"H[lށ2]s2FÏZC=QcGӱG!;\?.sY$#F1WMD#m_/ze_QA.ﯗvǕV) )$ AN7oǤbnwiеLR8] K A4 o,mHVןԫbrN#2":~ldPM$ʈ_[Vgr,6ɜ\8+ЩͧL5lJ endstream endobj 2802 0 obj << /Annots [2803 0 R 2804 0 R 2808 0 R] /BleedBox [0 0 612 792] /Contents [2809 0 R 2805 0 R 2806 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11281 2807 0 R >> >> /Type /Page >> endobj 2803 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111813-08'00') /Rect [248.5382 285.3422 279.5932 295.3422] /Subtype /Link /Type /Annot >> endobj 2804 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2805 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2806 0 obj << /Length 19 >> stream q /Iabc11281 Do Q endstream endobj 2807 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11282 3256 0 R /Gabc11283 3269 0 R >> /Font << /Fabc11284 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$!oU櫶`ѼΡDHI]@ U8Ρ\j|=B/} > endstream endobj 2808 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=297) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2809 0 obj << /Filter /FlateDecode /Length 4238 >> stream x[KWe@crp@FN؆1k DIݳ$T"zWQ;s2Ɵ/af ~ʦ\|0D)$gflcStӯ~ӯ?O7Wzúnp?4S>}>k6Ek g}o{Pxu'S]r%̄:NG k+^Xq W+Fl3Pyzk {S?.neϕv3B؃t3`!ߛiƴ._`Zc87cpT ٸ9e+̋(`_+ /.ua&ch'IWymx]&1}2,9j Dz>(2+0%ܧ09`vMVJC7R;rF+i/(Sa(]D_'T(UjCRK@R _%5Ѫzշ&/׸^=1S_Xsӗh89&! |p\|wj<3+ Q CwyUh*?ս:Wsûcqvak4Dj7Jh531Ew@;O.P=ARcxWOr/ 89>F{HD*Yɣ}p4Z}>"/ K`~]]8cnҙ6#-Q]\[!IEBHS}sS{HA!C*%c3w$ϩqŃ $`g3(٘ad↬;Y*=!%^ʐHG;/#xPGASFTF֞d^&cw=f/0kG֏Ju|՝S8y,28P5ǰkR^Ġn:r[yvtC瞽.$WM6J^-a`nc\]B&6nb1!a¼ϋH=i>ʰTcnXZRP@ y>q v] vcqel%>?.i_hxNBJ-hGڝZD`0P7|Q`uӗ9 u%❰_MUVMg:nfv\KJ|U~> ^0~qeG%˞-HS9kgVCPÜQfց˂g_՘ ~ }h6 _h a;,͒N!i!g”S>7D CܾʃN s}-(8+i0b:mgJ T=<*FHո;Qp\:6,@U &;%2WX0_6:GTwQʢTCP!jN x' Uv!l=z"ԕecо2CvX <{u{'?IW:ޫWƏ? 'CtjP -6f+9S j({gyKQ gBjc׍dn[Y%9!TL\s+z+ǵKm' Km@ϴ`:ܩ@XbA^:lV̦RF'Mtjc^|k=LΉ. ecMq{t 6 s-_zmZ~RdрFvˌPMQEzX}]dZJ={^MZH59Ůdډ`S˃r#9 6(f52Dw]r^]8Vj޵4P/luћMM!7\Kv]M 4`Y՞jZH.:֥9\jH"&ȷՒ\MiRbKmDܠ,Lhm+iM9KY2ٝ"*v`>֖*ӛD>N:S( K7JɄ:ڳ4ؠHRl@U Ark7l8>or*[P" /lyP6T6X2UN_erCh\|*En@,02'?QotXy!K[ԏ*"i-j_Sui(Η=lidI>>&J[8zǗeն o2^ditpU4OD+6Ժ98jբ"XF+1[$i.L]fQh'qG6c2e 1?Rr#{ i?iF㌛toV_.N{y/&B%{ ?PTkInɩ7,0:2vDi ;("ʅ>Pߒ}AtwOҎ~w_UwmyH\=j!%:GA2{O,.dlzhgy^0 Ҷ0!>v- Jc?1ZZvVWOQesa{# ^n  [&ΖS'zH'kz6iRʏ_s)5.XZ' ^q6@yP!]=;Y">?7JCb/HG:2@@W>KSn>4LVg4jT nZ۹= %%Dl);'_ -#7ڥjd -%}$ZKҜ] cro3Ħ΂| ,vc&{AwƞL V\$1?Z!hۨ] VqDV"'?V&("yT(ϛ,U\P!DV}J'jY7W3b* Sk=[qKu0y:VhUx|S+Cڔs ֹm$5nnQ>]{$ӵ_Kj8β7w u5,ܨ9i_>0m[Nofq [cI#0y'&g|4N+siF O2c넶#y06lKm2V yVQf I0 endstream endobj 2810 0 obj << /Annots 2813 0 R /BleedBox [0 0 612 792] /Contents [2824 0 R 2820 0 R 2821 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11300 2822 0 R >> >> /Type /Page >> endobj 2811 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111813-08'00') /Rect [219.437 467.2577 254.703 478.2577] /Subtype /Link /Type /Annot >> endobj 2812 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2813 0 obj [2811 0 R 2812 0 R 2814 0 R 2815 0 R 2816 0 R 2817 0 R 2818 0 R 2819 0 R 2823 0 R] endobj 2814 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (H_SET and HU_SET) /M (D:20221102111814-08'00') /Rect [90 675.7 186.0135 686.7] /Subtype /Link /Type /Annot >> endobj 2815 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (RLOCS) /M (D:20221102111814-08'00') /Rect [90 660.5 124.2925 671.5] /Subtype /Link /Type /Annot >> endobj 2816 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (RLOC_ORIGIN) /M (D:20221102111814-08'00') /Rect [90 645.3 161.665 656.3] /Subtype /Link /Type /Annot >> endobj 2817 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (RPM) /M (D:20221102111814-08'00') /Rect [90 630.1 113.7105 641.1] /Subtype /Link /Type /Annot >> endobj 2818 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (RPM_GRID) /M (D:20221102111814-08'00') /Rect [90 614.9 145.132 625.9] /Subtype /Link /Type /Annot >> endobj 2819 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (U_SET) /M (D:20221102111814-08'00') /Rect [90 599.7 121.955 610.7] /Subtype /Link /Type /Annot >> endobj 2820 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2821 0 obj << /Length 19 >> stream q /Iabc11300 Do Q endstream endobj 2822 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11301 3256 0 R /Gabc11302 3269 0 R >> /Font << /Fabc11303 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہHC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄ ߺ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3ȚCC30y8e8bwr63pΘ)U|/B5_}t{ %BJ J‰p T[zw}3 endstream endobj 2823 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=298) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2824 0 obj << /Filter /FlateDecode /Length 3747 >> stream xڽ[I#Wl@e 4n|rdl3K~ǝH걓bo# &5oF6'd^hC鰩s B6%ʭ" TFoŚ̤:0f@)*<0We su5!ݏ.\B"BDT]cZzV`˴p.`m+jx6ܭ1uaëR-JOv!&2ns;z-pLa oW㻔CE\aOF2~h VE -R(y\fg4w{l'fT/ V49|{umb z!-ľ Xb vC Rll VT@쥇);)C}P[;[Xטm}hpY&QɚFm6┽Lbo#zuc oɰS ea.돓Z-U{Xy ;~IZea6w9dfpl8*S7% +'&ڸFɇ=6%)U5uYXϰeScCBQ d ¦v\l*$G^Xhbc VrԽe+=$3K+]謁)\Gsv2$-eDc%]J- XB0SXǿo&C̻8YRwI;. v^]HM꧳Pͤ>}n쾗q=j]BI\jrR˟dimm)5齚k =(tIY$/튯٢4kak7AbT.h# P7 Dy-(ۍw2jvi-}wfS\P+ Ɇj( Ҥ9E{YI5_޿.#'(DKӂnYR ܡd-qcUJ~+2ĩTs}ɦH\Us #Ep"ޮ֕Rܱ*2̙)9z]߰梑d|/9l負d/KlcjTuBX7X- |LEX 5/TpFP82H)`M ~7yG|MOs#J3{k}I{RRb/IgkDd'K"Lg'#uJ |`a| O߰EDw~]zU,ږvY"s~>ҧ]V2k<@|" ns׾pO|':ҠUb@+LgU&R#mP=cl;EEʅE;NdBLΝNH< hfe=x6#hĿXq}Hٰ%Fo 3൉jV[>h-#,ZA'yHZ2:Mc'He v@#8Sv=:o#Fxٖ7,KgXmM] ^(u7^:L"6KoۮAORY"3s{ŗdV$t4-]ƋJ22OVLjҁUyKkֹ"֛T3$YdZFg5O2V'g'f};F، d YC ,t:t0m3:1J ֯pNjߏ^jlat1?6 QR`Zu'G)+Wk#z:ori_AaM &ƎChV jH>9D@Z/t^^gzfp?_"D\ltH@dnnB\ @ >EzgЪ-_(mdgqTaA|tzіɤdWIЌݑiڸHǦ(2EG<{5 *U}3$Lyr('7X_ fzA‚!kC3)ဈY}{~Z"iF:JH)["KVXH -]X(X8K JY7+ Oi<4*@'W_ :ܶ~04}2`"CW{)2XW34nWru3x}3#19X 'cdN%Bh(S!Xrgqg"'3f&H"Rf]e/1;iEw?t`\xtR=xȰFKِ!mtUq"})1yS:`Gj#KHvg:rY' K"ri/z/WU@\eTYy@zioowQL_3+9ٱ}n"&` _w_rLh6q6lfJ~5E9b!f1> Y[xdT*-Z,"M.yfQJYF endstream endobj 2825 0 obj << /Annots 2827 0 R /BleedBox [0 0 612 792] /Contents [2838 0 R 2834 0 R 2835 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11319 2836 0 R >> >> /Type /Page >> endobj 2826 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2827 0 obj [2826 0 R 2828 0 R 2829 0 R 2830 0 R 2831 0 R 2832 0 R 2833 0 R 2837 0 R] endobj 2828 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (H_SET and HU_SET) /M (D:20221102111814-08'00') /Rect [90 236.9038 186.0135 247.9038] /Subtype /Link /Type /Annot >> endobj 2829 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (RLOC) /M (D:20221102111814-08'00') /Rect [90 221.7038 118.325 232.7038] /Subtype /Link /Type /Annot >> endobj 2830 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (RLOC_ORIGIN) /M (D:20221102111814-08'00') /Rect [90 206.5038 161.665 217.5038] /Subtype /Link /Type /Annot >> endobj 2831 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (RPM) /M (D:20221102111814-08'00') /Rect [90 191.3038 113.7105 202.3038] /Subtype /Link /Type /Annot >> endobj 2832 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (RPM_GRID) /M (D:20221102111814-08'00') /Rect [90 176.1038 145.132 187.1038] /Subtype /Link /Type /Annot >> endobj 2833 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (U_SET) /M (D:20221102111814-08'00') /Rect [90 160.9039 121.955 171.9039] /Subtype /Link /Type /Annot >> endobj 2834 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2835 0 obj << /Length 19 >> stream q /Iabc11319 Do Q endstream endobj 2836 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11320 3256 0 R /Gabc11321 3269 0 R >> /Font << /Fabc11322 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&oj gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶܵ endstream endobj 2837 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=299) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2838 0 obj << /Filter /FlateDecode /Length 2696 >> stream xZ[~ׯh́33gP&]a 54lL+d>7ҥ}Tgd򵮞!*oY+Q2.ȋ-XŊdBd5}B7]}~Ng^VbsUC,p !sŤSɺm̃jї'WwyHrLtqel|^󝽃'2.&H4ΑͰkAs5K+cQΩT83RمZV,}|jZwNQཚ"/Qh򳋠yI{K1ҩ[- II%grnR3lH7ӱKYz`L5xIojѥmɴ2W =wá`~imIN p>v%a/ Nc9|)=7ERlrTt!z A6?vkvkop;F:5!XxCimQ+xLs1dNj@M9[t;'BL.F8.daNBԇǘ'E|ENiW&2U@:CC]']FѕȽt|.4_N 멑^:xmsk Iz1ftvc6.zM]Sjb&- :/]Լb7ԥO};Q ٸr>]">B?rϲ$pϭΓS3=q1i>cm/YKM09:S\Iy͵ĺJ9AMC;t,L>y)C?Yr'KTgKF?\)&hBѩ2zTrɇ =W/e}ԇ ICnA ;C5*D2hC*av =Cbtqr{ܰnBQw[^rHZG\{."cVDag)xsĬ ^bí7ѳlM,6jS&XΖHEra=Gxr&j:6Vs|$#oČ+ G6&!TZ_I?i.7QKjw^ޢI w`ԄBx/?@ i?IFjεXٚ.\[ &AϊILBA4@?s!Wv[@{շU)WݕofV@5L.ձ̈́3aS" 3QSI(N:cDA<.DI $/r"Z4R8 l ^G]\G(2# YhDN˖C !Ium)"FZ:Y҈~z1?NPAH SQ6v.ޡ oh#>kw^'"+HNUliy:\Ow 7[EҶX o/a(-eEOk X] `mB9VƻTjF1;!DmEZWBd,[{p, o ';e01Y{H`tgsaYAV s['C' m?ϛڲ54#}өB;r:eEu`@/gS?` '֯yHcO^; 3SxW~ƣ'մud쏋6A^4/D | R Ъod7>̴#S?M۬Y+:URZ>1N6 YV?fla-%C%H\]J %T-*bW`fje endstream endobj 2839 0 obj << /Annots [2840 0 R 2841 0 R 2845 0 R] /BleedBox [0 0 612 792] /Contents [2846 0 R 2842 0 R 2843 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11338 2844 0 R >> >> /Type /Page >> endobj 2840 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111813-08'00') /Rect [503.369 620.55 538.635 631.55] /Subtype /Link /Type /Annot >> endobj 2841 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2842 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2843 0 obj << /Length 19 >> stream q /Iabc11338 Do Q endstream endobj 2844 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11339 3256 0 R /Gabc11340 3269 0 R >> /Font << /Fabc11341 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OMߠ TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 2845 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=300) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2846 0 obj << /Filter /FlateDecode /Length 3853 >> stream xڽ[K$7Wl(Y]Sl7`41/ =2%eVuM׌˙#"C+W\߁.!i om;ˣ&̿5V_oG);񃖸ӗvgݓ'6>ѝ-S'O#̾fzЃ/Iިz_G.Ol&Uu6/¿jx!J$tMڞx]@DS  EA*{.%~CTˢ@3ߓqȳ|<$,nbu`Y^,ﰂ <~bDj "V  "Ϩ(+HD?ӘYeIH-"U^oC]LVBYgsݛ^BNr%3f7TAŠ,b)x<=ܕNI=kbv^hЪ~- RW` 'poMd m5E:b4Y԰O{5潩6qEڊ 8ط [ݩcgOmXsZ8W^h⇁ypnV;٢HtVR\&,Ōb}ڱr84'^~8~d|%CkCe)7t~7uəfYqK&8yᆠt\ҝ<07Nh79X=06EY&%^xA+f)Sl6*sAyadP+|sYwyS`WyW+$,[4UZ7'[gUY.D5?fς hSN|Nٙp͓,>mtd-Falo7l+qF gO׊:xŻ(lti b feb=UaN+4 zI5WbڋDx%wuuok(\>ND+&)9|b S tU)Jm~ޝ8\}x.W ͥRrlBltG{J*;{y주r *yM#LfUr r>7&sZy;uԩ+޺Z8Y:mN]tz `pGZ եRo-@E;j rK S=J ߊW/IJ-Rh#ĀƘ&E2|A1`N.PU/4e- ItI.j5ЏH:+daұG@J_,8a7](DIhUHD.Ӈ!b0QRЮ\9!?W}"0x_m]!z~HL_,~8m(au!A٢#ԫ~ cθݬE';FxQ~T*~N.ky,IquC܂owׅz")c维ݡͳc4T7m[mJG{D Rl-ڬM eXG,^f9[HC Ҧ;T۶PPB$qYA-M1u*B{|:N.~C4GM;֠2ԅYt6Qc$C"RJ""E/e͝WjKol\4D K|ԓ2:~?u"<]- 7dA)i5Kmw햊N8OibGvmUjǛ&E<<F}l=Fk4l@3DBkߵ-:8[@G]Uѥ92TH&1`=H%BRYfeo2^`9X22OkV  Ъ[oGErilٳxtovRK3;gKA]`vl-ӑbk Y4F$4 ` D ]5%dʂ|32\inPYIJȵ7}fb"Q{ QRW*d{:ҙ0[33$9(%?;W"֔T*~zBB+ʮL:3}R_Xhh-u?CӝΟOjG$FS{5se*M\m{Bxy1x!bR~~+B*1avT"2! I/ 6-bn;kdIZ=,?"&糠z&X-&?`_sڬQH!ӐG߷ٙe@e6tGq|v+6Zce詊(Bϲd3-h endstream endobj 2847 0 obj << /Annots [2848 0 R 2852 0 R] /BleedBox [0 0 612 792] /Contents [2853 0 R 2849 0 R 2850 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11357 2851 0 R >> >> /Type /Page >> endobj 2848 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2849 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2850 0 obj << /Length 19 >> stream q /Iabc11357 Do Q endstream endobj 2851 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11358 3256 0 R /Gabc11359 3269 0 R >> /Font << /Fabc11360 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n 5thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}7h|3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶f5o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/} endstream endobj 2852 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=301) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2853 0 obj << /Filter /FlateDecode /Length 3363 >> stream x[I$W< $U >]a6L_')BdVV4H|oQMw[*V~,{lIߗ˫1<4heF|,q'qW݋F3Z$ CIԻ)uP+< 1(^kֹ͘cl+=?tDOyIS{}o%(hAl9ð'ix~!xoTQIu_EʦE\+s]$}Upis;8K/6L]%ʹ#VD%vc&)3M]=S%]X {fQSV&KXntU2Ζ,JqCͲ.7UdD+9dH6,m_-? hz #I2:3xS;ކz,LXT|28̈́O[>CQ_Cv#N@X_k_~4hV}\g3xN[k>/4$uǺo{陵AtCPz'{p}~nz}qq4ŁpvGL2|7En~-r V/6pV6W w^Kw i7NЮST[+E}x=6Cels׶ܹb~<@1P U,BW T1\Ȕ/d՜_ls}wVSؠ-$:x=42SYQ!CVZ hi]̴PӚ20 Љژva~];];keumcos[;\<:~7]Ϯ Zp̛18ψs=9h~mKCoB0ƾ=a_u;/؍yp-*%mڷa{ĕnJ@s9CLNaXB WlAmh]%Р9F6(tLqʗh (b$U*ZGF'A~Mld`sݦ5` e kny,؊U ˠmZZO޾U vJ A@:S}eq v eqiĻ*;x(gxN'M.w"ژG54 lx6ztaa|dԂ!1CFHz]h=[u$V_zM'27WUn_6 գEH2A{}/b]}۞Q„jtǁ)ض(vOAo zXV?cZ=˪NX붧kӵ7u1|74.S{#G.b[ٍܬOŧ\CM~bnT1fI}uAƱ] mwΈݚᐒ;-Gk1qpD?^TcaWCI!kEv:/ǚs҅ڨ<**,`?wH wRKImҭf8f't_s,/ ~AgcEhOCP>-}X7n^"STN%J Cr7bKOQlDXS0"KQ&7/?2b2OqIY\t5Y:.E`PcQ9JTAAIV.zDşH ,MXwo!+؄#iv %J)|t r `O<N)BYS5̠.0Zl%h:Ѫ =j za_DL/~+m\~ CK݉>LܨҠy7OJȩ;]~O`8i1j&OP6+)*Pģ6P\jWSikz"նXYtj,zrϠg5l8 endstream endobj 2854 0 obj << /Annots 2856 0 R /BleedBox [0 0 612 792] /Contents [2867 0 R 2863 0 R 2864 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11376 2865 0 R >> >> /Type /Page >> endobj 2855 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2856 0 obj [2855 0 R 2857 0 R 2858 0 R 2859 0 R 2860 0 R 2861 0 R 2862 0 R 2866 0 R] endobj 2857 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (H_SET and HU_SET) /M (D:20221102111814-08'00') /Rect [90 647.5 186.0135 658.5] /Subtype /Link /Type /Annot >> endobj 2858 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (RLOC) /M (D:20221102111814-08'00') /Rect [90 632.3 118.325 643.3] /Subtype /Link /Type /Annot >> endobj 2859 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (RLOCS) /M (D:20221102111814-08'00') /Rect [90 617.1 124.2925 628.1] /Subtype /Link /Type /Annot >> endobj 2860 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (RPM) /M (D:20221102111814-08'00') /Rect [90 601.9 113.7105 612.9] /Subtype /Link /Type /Annot >> endobj 2861 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (RPM_GRID) /M (D:20221102111814-08'00') /Rect [90 586.7 145.132 597.7] /Subtype /Link /Type /Annot >> endobj 2862 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (U_SET) /M (D:20221102111814-08'00') /Rect [90 571.5 121.955 582.5] /Subtype /Link /Type /Annot >> endobj 2863 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2864 0 obj << /Length 19 >> stream q /Iabc11376 Do Q endstream endobj 2865 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11377 3256 0 R /Gabc11378 3269 0 R >> /Font << /Fabc11379 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x[A ;Q_e.yz΃(H "CϞ*^-(~{3۝bi2$I77 fڿc}Lh2hx&$gB>Ʒ.PkPYuG\YZ(\[f{hk_sm\'Tt;Ig9/V&Y)OIkp:3ϳ-n]#x#> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2867 0 obj << /Filter /FlateDecode /Length 3524 >> stream x[K7W;| FQd=y71q-fl뱠z|Uf\r|P'^h[I$NNjR?ZWf!4s?4OG>yPȕr=ǵ? a/w+>`*R*mO[!̂1|"GLFJ|cLڞ3]_y_ESY-޹4`944 0z%N(7L2$ &=rmp#T#OՍQlh\>yu[P"P{n] I`(I;>9= )\kp<)AW`5_-˕*TWliQO3Fiw&߽p+ν_{QEc/߰}vaAS1 -ǷIO\sIRaJYÈC'* TTDIBc#,39WZPP)a<%&yll:0%ʵavkfЩ?cV/轨7ogoi9R?!!0K@F+N!(얤ZyXL5.y0PR78t+!,YŊaGʐ]-\ yRH]Y"X٩蒾K3\ەeaG1d6Uڨ ׋*~ĝ붱Sҩo_OIծ}cʂ/E71k<,h4vSoЉN1x^ qUe9,@)eÉL@XrpʼnXNΤɁ(3@@n 0&V^3٪Wgs_qR[Ѵ [G9ߣKy򻇧٦8tik,z㠓vaP1o ޾ަE'5)lJr?gBwtwCwy`jK`[R벛THqC|;Jܒ`Np/ |j`;uwz>lžO 7oȈH7+;1;;Fߡ x77CA;u0`$j[&M}&>M(V+wYjU5 ic$>p9f~);ȍVÃ՛ *!Y;tLdө(E~UZbysې΄#uxLz{,Uv>κ5x!% *\ͳ;s3YK/z[_]?d7 !nMMá$R-5{`ZBB!rE"xb+bYtT7r< iKRk퍒6F6ϳ~t =d;|k9@}[꫚퀠+8:0Ɣ74e֛2L!Qt-7G4%kY_d >kĕgo}S޲k}֭ɚS}sͲiCb:Bhc٪pIK,g9[jV}rw׺mёڃ;M{5ԻǷ=]yVgVVM)Z)74Hf^;͵~EpdN]ŬmX"r?]7}|VY NYB+n[Nuޮp^ L,_d5E*I!f3;`|?'cI$Y_7ɯLeT2zj8BH6c|}# IɩCymfx#5Dt."{W9@I3= endstream endobj 2868 0 obj << /Annots [2869 0 R 2870 0 R 2874 0 R] /BleedBox [0 0 612 792] /Contents [2875 0 R 2871 0 R 2872 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11395 2873 0 R >> >> /Type /Page >> endobj 2869 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111813-08'00') /Rect [208.041 78.9764 243.307 89.9764] /Subtype /Link /Type /Annot >> endobj 2870 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2871 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2872 0 obj << /Length 19 >> stream q /Iabc11395 Do Q endstream endobj 2873 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11396 3256 0 R /Gabc11397 3269 0 R >> /Font << /Fabc11398 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ18 endstream endobj 2874 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=303) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2875 0 obj << /Filter /FlateDecode /Length 4222 >> stream x\IlWhhYY\Y^bsJt>vۭ֑JU_j_8p.G?u 5< '՛Q+xQDk/W  炬 Ή8?RJi)H =h>=lja7\^G?.gߤOy8phzqW&ϫߗ{kk^_K~8|m@pdVtyhD4<7$BakaW$Fzb|&+lozs@{;wg$XL#ZY]=~`y7 gI&٩"@/K^}7] ^D.1֝U=/ .`~ί zŧq4c''%qhbxjGgwqB&P[uNax-1LIbvxݨx0 vw00#C$W%!]CGHobv~t9935ĢՑ¸ b8*NY =ӳp4)3)f+\TD!1 *e+ rZ!k -tѨއ" YkVe -eq% Gû)!QZGڅؾ ,@٦$@sdÙ `DZvٶ a0< npD~g284YzDp1Fm!$ւPr6ݭ:5M>V~Z :j4ɳJ~՚UG(U#5_ZC 1%+~U#NZ{YT5zP (Thn`kwjZn[Z7+"mbCmk\{ .)gSJҹPT,BWt{91X$uYUB* >_:F,c 5"nqFf1wu˕6/HIz<;Ɔ[ԋ6~6;8e.1 k`/ۃC :"uה㟾476C`Գ/Sm ] } ]\X4xUORom3'ZY5mdu[)=1oɫ4im&w|T"tD`&c:۬GA(ZKBKWImP- sM b՝M_իR@m<,sY1D,0 ֮g7#tuܹ %XӸLϻ]t_([QK)=6泟&{ 1gu]`[zB@پ=kן]K6;w2VuS]OEicq 'l_>%1X/8Or0?% X#NS3xL ]KJm7" +0~T cf(~$6p1Rw~q^(J\GkcH)-;%R_nDGj$ t3Tƒ]'wjliP?(I(4hme&"՚ʒ<he,~ϿX `<sgLb>RVp4,7;c Pj)iA:MkO{5([w5Vj3F(S-i8S4U| 񆝡p7}0̶A%)/Rޚ .h䅂>fiTxo3 8=/ 42fg/|)Gf-#Hy/E>,#4:bV (X3Z\A͈?Ιh4lRO YՓ֍٨d;:9C pH!K":þSxmS|FӁ|VCԐ^<ȴt:⣚z[K0 "KbajYn.*NLdΘ&JUmǸ0Cn Pŝ'r!щKN4)Q6*@D0>ҋg]EBFj$)ROL ]Fr.s G]ZQ>ñb[s8K J+dB y0T@:qx!8T0"@Om0y63?fІ9&4̣y9b.}"Ft?F rP_|Gٍ*Y`uhUL57}`EJ7pQJT Yzf2˓=13[~?O]?H+JUtL뀔:rCYMr<]JtRң\ڽ-Uy~[&+}c<4=!k'%o|J Hy>@ k2 \EM:ol endstream endobj 2876 0 obj << /Annots 2879 0 R /BleedBox [0 0 612 792] /Contents [2890 0 R 2886 0 R 2887 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11414 2888 0 R >> >> /Type /Page >> endobj 2877 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111813-08'00') /Rect [382.215 215.7577 417.481 226.7577] /Subtype /Link /Type /Annot >> endobj 2878 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2879 0 obj [2877 0 R 2878 0 R 2880 0 R 2881 0 R 2882 0 R 2883 0 R 2884 0 R 2885 0 R 2889 0 R] endobj 2880 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (H_SET and HU_SET) /M (D:20221102111814-08'00') /Rect [90 519.4076 186.0135 530.4076] /Subtype /Link /Type /Annot >> endobj 2881 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (RLOC) /M (D:20221102111814-08'00') /Rect [90 504.2076 118.325 515.2076] /Subtype /Link /Type /Annot >> endobj 2882 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (RLOCS) /M (D:20221102111814-08'00') /Rect [90 489.0076 124.2925 500.0076] /Subtype /Link /Type /Annot >> endobj 2883 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (RLOC_ORIGIN) /M (D:20221102111814-08'00') /Rect [90 473.8076 161.665 484.8076] /Subtype /Link /Type /Annot >> endobj 2884 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (RPM_GRID) /M (D:20221102111814-08'00') /Rect [90 458.6076 145.132 469.6076] /Subtype /Link /Type /Annot >> endobj 2885 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (U_SET) /M (D:20221102111814-08'00') /Rect [90 443.4076 121.955 454.4076] /Subtype /Link /Type /Annot >> endobj 2886 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2887 0 obj << /Length 19 >> stream q /Iabc11414 Do Q endstream endobj 2888 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11415 3256 0 R /Gabc11416 3269 0 R >> /Font << /Fabc11417 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W/& ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2890 0 obj << /Filter /FlateDecode /Length 3719 >> stream x[I#Wl@ hI-rӀANNl1`_=nEJj LC߹Q?&54'+,tQޞ4xR{)4^Nc[hJG ђ1!ɾMXO: ݵ!Zׇ( 6# Ҡǒ4 < eTε,^ sW|BTPۢ>JF@ɂn\3Wjt uYuWdkC3M3nX mI0/B&ظfi>5U b 12+8YOB'`ܢ-MV'Nk18<0Bi҆i_ɶ9'C[H\tn[JfTzIoVFsq8(w[Leha%<&(s0 b]6f -~ B\4u lrH#zyVu,K[,M׌TvqV'kҦCLgUs+Зg/"Q4@%DvLhycKU% O$ؕ]TUt \6Y9GS ge,2':4ɋcts%MVY~Q2~"UmS/'V޶~Uf߱TTFOIwnog;=,'uh yC=D8¿+y2-LB;>=w5xc"$,36F%*FvBmza˧UM09ґP]ef\l> kL&lM{7d;`mL$b|M"p0c$Bjr*4$SC /W rjQ.۸2IM鏣'dӤ]|9G;+*iҸ;rbu)d+Rs2xl𱺌YEvF— (FR8:?*5U)S]{r]N| L<9. : U%N(MBM:!Vl;N?5^)]5G,zѸ៍NéFy#e2Y+->v)Ehd#,PnP u+[q4DO`@3tEx}¬"7VZ12b;5mȟ:d"e'IMydjūAyQd=!KLrlWTStf]$Z(97pE9.\e#UܱpQpghO-}!.Ru<I!ZTǃQ ځ\;:htobc1s2%hs3ؽr<ՎK=oM }Sot IɯO~s_~ܗ=,G tms0}/SNj;Ŋb1@J¤j.{=%ki[>滏s __'>UePwN28CJkκ=%$ϓ]pS_^?=(A }ظwF E+BЫ_Xv:}&_x=5XXK1D?߰=%39^iPx[=Ix9bY`+eݺEZQ &*`٭FWXR.k"5ƅ܃}!% PjUQs`HHiɁ6/^*NJOVƢ']2̃blpAg3tib;b;d PmyJZuԛ\ $"+4i8rF·Ǒ€n {=: of[ހfA-K0N)Dp*H`4xdڜzd֦V Ʊ$q@T"Y4cs{K2+[vM[,Š脊ZXMZ%BVoiϣ:WDz3⏪}I"̖$ SxV$eu"¬C2G@@ ΐfK_8HBG}0o9>tRKroPV`;[?6 QR`ѓ#ڈ^, ؝϶ &n+,еI jbl?fc+&5O$zqqDl&_//~u9/tތk8pPڼc7J,?@ ޑ^EΠU[q-V%jb!݀,"\3{O~,B4MQZKL;Ϟ1MJUmG eWܖ;O@ D'C3~zAB!kC)a@U~U]kp bW*"l.Z|9t0BHڅǾ9Tlk@Ġ I ))M]SS3=f[?SׁOj<7LyZsJ"auҚ5O'Rѡe_-Ѱ5KH@Z@UA_|/Ag7~d4z f5٪L4"|ꇾ?=NKxRFIT"D UEtf3ٸf"g&*h7 |VM*skt}5]AR]Jlr8Q4T.V)m)uz֪U]q}2ԧd}VχtnޠGj% $3+HHGh >DF|o R̅XvJmFۤtjܑ^k Α"焮}aϙr?mlV`?Y 2C̘1>~N؉,<ީ,GAfRi&$eE¢k* tp> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11433 2895 0 R >> >> /Type /Page >> endobj 2892 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2893 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2894 0 obj << /Length 19 >> stream q /Iabc11433 Do Q endstream endobj 2895 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11434 3256 0 R /Gabc11435 3269 0 R >> /Font << /Fabc11436 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫@Eӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf|=kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#/ d_ ECC 0yW!ӘV;!JϡmтGŶ endstream endobj 2896 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=305) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2897 0 obj << /Filter /FlateDecode /Length 3201 >> stream xڽZK賁i7 , @N!IlR+Hc1dXϯ;6߉?iv ~̖$~y8_had f197|zhLxeq%ca|,Bca$98XFlX_`+٘b|x}=b0 YOH0 ?>˹_b'qS }QuNwa2p- Y )X7)8,Eq#{),8E\4'+W%U s"{gx,Ы}cҵaZ7sw$VJZrSƸX(<+YcLo`U4ئˢi+6.MUlLrp&hOi1&'nLfJqS=~nƚEMǙ 4'MJn6zQ1b,-#Ҹ#uͦ{soB4O$^&0?Όf'Ao"Ywb:hݥJɘ씠XSR=CG/Yn6WߟkoQpz1-ږ1E/4P=/nc<Ψf^ۋ؅"}ΝT,u:$8<{нݻS]wծUYՈYV3WSvcc|.4Q<4>Mwf?OjSfK:`+A7DS=?QʭGs8 v01LlMϙ5[$_΄&0c+|khwߤ̑^[QoT3MV M^LhŒ nj1Dj"wEn H a iFUJ+̀f]F %2}Cϰ۔SǏPHjg*Svf;nox3v( da~!;CSTq 6F+t3Pa#]&m+P;v޽nX]6\ SR2Ohcj.zKiaAUxJŠ9)ÌHM]ҲGZQGmwdbNVW[!fƋNpqUټϣiSjiy2^żzަޮv櫵Z2A4u@7w@8xWxD]*SG?#fӪk~iߎIXxq_mlՖޖqeKϖ6clVQi`}ck_Zu;F}) W}m}&1G^[ ؐI?q涴T{n@IZJ ԃ.=Hհ͇i5:dh!IS4 2C;EQL,3t^ךIz'~$?HθM^Xxw2y/H?7@g9ydkfoS)gr۲8gv֫&vE UD2ܟ3n|Dg8Ia F.S 9ԍ3D/'SsMCML-F' >~a[v7oo{Ծ@5kbZ+C++Kt4'0$/9HOHoO_Mė_[uL?|Sҷ8"׏)! *@nʩd=kwp>oN5 ΛXӣĀLO;dCNrڧ1|O1MWFڐK5 V df,$/ T_Y!I\i`iu4lL$<kр)T-+$n0q,`%#aS)7t6:cmi]_#ѿP 싿Z6)D&"VB_˄LVʔfG# Wín 3Tµ2˲ DRGK YG9 =Xj `>jߒףwzi[ږꖿ(xOퟘM O+6K9}`CEF=o6fy@HeR,#lm=<[u|4#bf74ȶiH_X쇿eDh0SY ~E$(kVj+P/6%A_.P=Qs4j46ːr3?bb,mSRC?9)特n3~ǃߺh7w~hMf\P!\k Z,*%T|W qHՅMo4cl ҂h~_( RZ0_`"ZXZI0`͎E'F]td(U= YPG;,x- Čhߐq %dTlHo- OZV}?WTޠTgD*C0ViQO I(bkri}ۍ@О!2>HM NJ娨~30ݝ5Ō.sy Cl , x*Qj}#0C~Kw ˆ'ByB ]C4eW˘ދfW:j s@׻7kfX$1-? b4)L3=:9[:_w&h"~,k+pY`DnVhЮ|P*3! Sk?ZKS-h!ktz`J_7VJ66[is֑,UTA=C=_qU}>_/~iaZhT6*CNZL<{¾n;~0І01Ԡ6MZ;65k++W:'q(g|[EF &W郭ѥt>)zBrس1?$ endstream endobj 2898 0 obj << /Annots 2906 0 R /BleedBox [0 0 612 792] /Contents [2917 0 R 2913 0 R 2914 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11452 2915 0 R >> >> /Type /Page >> endobj 2899 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug895-vivado-syst\ em-level-design-entry&resourceid=reporting-drcs-ad369450.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111813-08'00') /Rect [162.0995 482.15 179.0615 493.15] /Subtype /Link /Type /Annot >> endobj 2900 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug895-vivado-system-level-design-entry) >> /Border [0 0 0] /Contents (UG895) /M (D:20221102111813-08'00') /Rect [486.7205 482.15 521.9865 493.15] /Subtype /Link /Type /Annot >> endobj 2901 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug894-vivado-tcl-\ scripting&resourceid=creating-custom-design-rules-checks-\(drcs\)-aa373702.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111813-08'00') /Rect [223.012 443.55 239.974 454.55] /Subtype /Link /Type /Annot >> endobj 2902 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug894-vivado-tcl-scripting) >> /Border [0 0 0] /Contents (UG894) /M (D:20221102111813-08'00') /Rect [510.5135 443.55 545.7795 454.55] /Subtype /Link /Type /Annot >> endobj 2903 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=reset_drc) >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20221102111813-08'00') /Rect [367.31 317.6539 467.2123 328.6539] /Subtype /Link /Type /Annot >> endobj 2904 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=create_drc_check) >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20221102111813-08'00') /Rect [383.128 288.7578 489.6905 299.7578] /Subtype /Link /Type /Annot >> endobj 2905 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2906 0 obj [2899 0 R 2900 0 R 2901 0 R 2902 0 R 2903 0 R 2904 0 R 2905 0 R 2907 0 R 2908 0 R 2909 0 R 2910 0 R 2911 0 R 2912 0 R 2916 0 R] endobj 2907 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (H_SET and HU_SET) /M (D:20221102111814-08'00') /Rect [90 675.7 186.0135 686.7] /Subtype /Link /Type /Annot >> endobj 2908 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (RLOC) /M (D:20221102111814-08'00') /Rect [90 660.5 118.325 671.5] /Subtype /Link /Type /Annot >> endobj 2909 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (RLOCS) /M (D:20221102111814-08'00') /Rect [90 645.3 124.2925 656.3] /Subtype /Link /Type /Annot >> endobj 2910 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (RLOC_ORIGIN) /M (D:20221102111814-08'00') /Rect [90 630.1 161.665 641.1] /Subtype /Link /Type /Annot >> endobj 2911 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (RPM) /M (D:20221102111814-08'00') /Rect [90 614.9 113.7105 625.9] /Subtype /Link /Type /Annot >> endobj 2912 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (U_SET) /M (D:20221102111814-08'00') /Rect [90 599.7 121.955 610.7] /Subtype /Link /Type /Annot >> endobj 2913 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2914 0 obj << /Length 19 >> stream q /Iabc11452 Do Q endstream endobj 2915 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11453 3256 0 R /Gabc11454 3269 0 R >> /Font << /Fabc11455 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W/& ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2917 0 obj << /Filter /FlateDecode /Length 4123 >> stream xڵ[͏_%`x @!x''}W"lIc9c%vXUl^jwK*~6]_e_??\jQ7c5[ɹ'1>s)1 ŸJ`>s_nO(E]kmS맿cIs13j-f9aWE}Y7e{c/_ ldL5q%\ɖh׿q? k|\n$[fMW}rgյW%"ğA$}} ^YGbE^ tRҴ=|x^N#)edZLY162"MV~?]h6u*AޤT5L5pd~dcޭYշaA'7β1򼦧juFrUEmCFmo_S*{?|X |ٖ}d,j"V؍smeRReɤmٽ(=^TٮA~D_LiUW̌ +x"lFїc4&+n(Ɠ+q"C4v>vOLan4f+y5vEC91.ƭDҙT8<%5N;ΘI]tRfUJB1ƕzZnX쥲"C,5OȺ彭~:Zlxi%(CC*bQB{X19v/ͳ w]{CU8 .]v#b׷q%>U_LkҍPݫXjN#.>$N0].oʾ-vc~g]Kw7qzӣW^G*>{~- 2P517*v v1糃1l2_Qoie*[r[oyd ir؋ukXEᯒ4`Kp\R͟ygkj^H=•ך3DKs 4?ٝaZ&/TZwiBCTsb(aXj_,_OEWAneXC,lQFL^u /Jupn%) |x.waQQ}&f VY*fv@6Ș6& %m?| 07i",ήڕo-DM6$6?2m0J<Pe.י f(,'# ݗ- R2f)L0eh`iAΫi5i&[;mǕ\pعw Wy%5`_AĻVP/l1x j][`N)i۶bd}f$|1CZ ̛ŶۦOMA?+~I7Q +c+i׶t5cEɪ[60O-kzaA`64fY*G:T Ra26K!f޳5ULzt=hq/nxi{{}8-j)Ƥk[d=MfTqPH&9d3hB[`mJB2-x)ldtAH7w $"tK 5{vacв bUmHԐfJOs{:=PPe1fi Q%j*:Zb*;.>Nzy"F});xK-UKL*M$37?&lJa݊MCJv{[]g?J䑷 4꣥l"L\W+v5 -k>EZ !%Aбc+ҘUOPk; n!h:$7f=*]WmUI ;N9=q_Cpo1yvܗ02bh컈h\Ũ;p)!GTY_ck}au胿"ОUH{v,yߣ\ޑ">4KCPS|6rS ja1f鎭e^^}w: =Ѥ,V>r2Zv~bҊ&C]QIi>{O'O' n M+܃Z>Z@+o~# n# ݭjM/]5Emb*!r_m-MjV4|&MHwOي J7cJ.CYہB5S{?Jt<cys5oI3}{on44Gڒn&2ϥIڮ[Qnz3GVX`O:\c|{ qS72n'mV,rm,;HNa1]9-Qť0#~~v#+:TZTyѼ _?vO:Dw`cx y{ztRYxzN*˦ү*n ?E\Α]_ ߋxHRM?S}mx]_ ;-$VTnIה v}`7 VϢKssb@5]6=m9^]{{7Y{Rw& +s3|a n Gة-{^܀ ;u6QXJ#i' ܚ-|;,>ɱ؂uUxDZ,\;<[: d TC.jyڥ}I氅ȳ>򐈭 j^.7` =yW{p0O`ycxϳƨ"`Q3#)Ohg3O6V%>O⎠7lfop-^[!WH)/\a&ț\n KVa g>R̻z&=4+U:9̖Rep@X`Yt-~+3tܖ P>L'c9r렟7W]-JYaf&9 r&jrS>6R#Ӥa B|\-"{IlᄢG`*%ijG\ Qv<- ʉ=BL''A-g!k>&kဘK_h-[53 PA͐Wڅ슈Fkm|㴘IHGh7s#4vk910b1Hf)Hʣ/HolpbVyy=GdKfi]z4W <FghELk-l5[Sԕ`/I"?(K[v5=gU7GBAc&!'>7`/U .N\-CK b}dR's=EOI*N_Yvq䘟Zn18-ɨ6Oyo$1ʠGfsDj2=~/?rǟnmT7Xgy΢ab^ }01EK'镔 <|>[viܨ#D楿Z z睩]+|K01sP~? m欼!a ,׈TI~LBBr}F$(d`+mR]۫Eʢu> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11471 2924 0 R >> >> /Type /Page >> endobj 2919 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2920 0 obj [2919 0 R 2921 0 R 2925 0 R] endobj 2921 0 obj << /A << /D (unique_139) /S /GoTo >> /Border [0 0 0] /Contents (IS_ENABLED) /M (D:20221102111814-08'00') /Rect [90 299.9076 153.7725 310.9076] /Subtype /Link /Type /Annot >> endobj 2922 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2923 0 obj << /Length 19 >> stream q /Iabc11471 Do Q endstream endobj 2924 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11472 3256 0 R /Gabc11473 3269 0 R >> /Font << /Fabc11474 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W/& ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2926 0 obj << /Filter /FlateDecode /Length 3023 >> stream xڵZMoWl`dHrج=9y5 yW,3#AwdU`wK?~Ct 8:{~ꓱRkJG<'k~lmLV ~h]x#X$ yMR<MdC|b;E o>C!€r=jyX;-j-2({D{Y7ASi,l^١/v^|}wM==oښK y~]Gk\ ΄)r.ҟ>ŹԴZWlg8 aX 3ܷpE\=,W&o+ќ+^XF[OwwaV'Ygx7k<+. 0-Ka2w|}0Hm}]qkŋLaav$GQJM؏y՜ZoQ%<P0ے eUl)wʅmj:Y c_m(r$ؙ;%Q&䱥X8Vپ0bb ΛPH}Uu`+~:ը% Mlnd` mJ8 uI[Z84-!h 4'~@U `jg_U$ǻKAwpЃ qwBF$#748$ܡ z@W=K$*rߢÚ) 2rI%<Q75{s.!w+ xq F~q} _WIY!P[$Sq\Obido!zﳭ-]Lȫ]*t&ujQs!r(>L.tW_ 3lɑk\Oq+qNȅ֩sb_9Mv~P5Αs/wQ/x.G]t@oaxD$1\Kݓ/j4 mԫ:HHQAsXܕq7+S28=ֆ+uhyzѿ~X٤Z0uG_44دˈ u@e6xͼ?KC)2G8jWKH}a޴j6-6S(aUqִ(9!Bc9\N["Lr b01䊎}ïw*Mi5>v9+[22OE !Gbe}),tqE-Ư} ArHِϑ4$=f f*íCEK?Фa&H2n8x g.,E}WAau|)DH?'0!TaEcǸ Z0Cocve-WGSEűj@ȸF|x7[ ؜ 6=^o%62˺ ‸]|iQx0܃c(#[vU# O G)L}=CGLRAhюbϴ;*U冏WY%rd@wF8kw^'"NnTlVt$Z@Ow 󵤂ZߙSo4l-#eE9U"|< [LǠoKI'X~W!Ľ )] |-Cl4Eb >+@L8Gu5WsfRnb jdtDMgkaY3X`qډܙh M [_$ܣO|< 6\/{įJ)>O{΢:?5X7_Y| xm_oڹ}v.ѕ:?I]ڗ=~|:+rRGǍeh'qAC&eR>+OB*C)[iFo+>11KDQAcØg3!g즂Y^8$c.նJ0َ@ ¬^S3gៈ endstream endobj 2927 0 obj << /Annots [2928 0 R 2932 0 R] /BleedBox [0 0 612 792] /Contents [2933 0 R 2929 0 R 2930 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11490 2931 0 R >> >> /Type /Page >> endobj 2928 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2929 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2930 0 obj << /Length 19 >> stream q /Iabc11490 Do Q endstream endobj 2931 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11491 3256 0 R /Gabc11492 3269 0 R >> /Font << /Fabc11493 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJWg]DA(~oOL@:UoWWV??ߴj"Gk|ǾwT؜ezo}W"'q4 n ЎYzrv ~KU].n;|NIp498Ińd(`Q9 }G8%pV<.ɣ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2933 0 obj << /Filter /FlateDecode /Length 3013 >> stream xZKoW@k0pa{4`撿De%0dQ%XTH;u 2wuz?^2TBO}<2Z' ?qgń{Ƥ3.ZƆWǶܥ)G[&5 dz;au8j,ePOa= p<Ѓ/@o!.Pa9cSLJbV lL(8X&> c(i\H6(,ZWDP6Y&H ZdZJUӁr|lFq\b*]h!AL,3/Ѧ+HjyV=ؤl©DYMT1Qtjr#"z(Ve+϶M j |TT09+`^;IY!q Oj-?Ar47s2D?SA\K!lfU D @7e*WK"$.D!#RdƱK&8m6xS6rL̳2_V.T !moƼ:ɜX:/7rqG0ZN=eB9 s rrYN'zXa<>S9Q-6ʹx>-~}05,k- ++Z2ޡƲiF KkHL&ߚEk``6 ^S Hۺ{'̭%\s13+j;jP_MK5vȢ^НĨ_H%zGZ7>_Ji?1n 䰠<ІeS*=Mj6sI;xh-ۃbPCWJK-s0U}AryCFrh$sG.}i>fm =K^*JlUu@.(a^BmBuuV]{庶kͺ*tnK}*G=?g<+`˼V1UINhh߆JsRl7M:R09\mP҂ꆱHzvNf8&AFhBJIC-&e 6-alx)[׆sec;ǦLGf)`&^`>'UB}A4ŶDvipo{M]-v!ՓL:cR2<+@6a _=gjcUX޵tzنwu=UlBl7$ݷ%Vg=_ܼ|/ +|pX *,ʴ%FQ35w0D1l|@GC@_R? `h_}@@I.`uSN%_~P)~GL.48bMNp.RDS*/B'bWw8/#%!PM&v@MՕ4 Ğk;8g(<3pSBzL^P @Qϕ*UfE:Ad\NjTJYf(+ZjwV5T]ߤ-"f˓F>v`ABā)Aq=٘ `u-YeuD)jQy_B$dAYA/ÚVb1#Fu̓e##k8{#Ʋj,G yցeYXŊZ76ZDT0V,sHR9{:[`=Yag3 GaF[%DIq䞲Wk?A3ZgK؁, /a T pq LAwK4znlN5!f>ؠc(mH+(zȧp =RLc̠{"z_=~7U/uG >l8(c-GŦTd>gM|RZ&V2^#h0ij)Psx!4JjiUr NYG?1BAс^WyTQP)![9m"Fhr $i[1L)CמO%tMLbg>P UeDYE¡(L'$!rdvFy7K3nNG HT{ɬ)yy5*@'+^RA-ˎn)FۋJfKfIQPak_ khEB_YX,|[Ҽt%KҔ]Mc2& /ea n'a*|ݫG{IKP$vFIAݨ0MLVu2Ǹt"?v&h"߶0 Mܪv6ο~ ; ]~P o>=(/F/ y>LOyr77ojs'bQHG.NBy>]ԤZTN&Ҩy8~|YEدԆn1|11r&I+0y'fʏU*̫ae㤹9/ C6㓬MBBUr]]$HlMJO97""t^f_ endstream endobj 2934 0 obj << /Annots [2935 0 R 2936 0 R 2937 0 R 2941 0 R] /BleedBox [0 0 612 792] /Contents [2942 0 R 2938 0 R 2939 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11509 2940 0 R >> >> /Type /Page >> endobj 2935 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111813-08'00') /Rect [184.3525 503.75 219.6185 514.75] /Subtype /Link /Type /Annot >> endobj 2936 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111813-08'00') /Rect [439.1455 503.75 474.4115 514.75] /Subtype /Link /Type /Annot >> endobj 2937 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2938 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2939 0 obj << /Length 19 >> stream q /Iabc11509 Do Q endstream endobj 2940 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11510 3256 0 R /Gabc11511 3269 0 R >> /Font << /Fabc11512 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2942 0 obj << /Filter /FlateDecode /Length 3270 >> stream xڭZK6W hm,$!i6 ߯H=fGX"~Pj ?:], }U>oQ[?|ZFl5K4Q:㞍1W=i𠜌I'qxpY&,Yv&`?Y~f!ZI!_~jڅR\/O ͖9|oLe'Pxe$0?;9cbg,s< rW#X<I}i>΍ rܝV<(Ϣ;-~}q[sm  ^}d'郷qY"l&;gSv,Wa)9a%I[Xғcc뗓xiGu( $"G1lޣ>iD_;.Vp'§bQNe0+J_YB 4qv@gؗ1_eH"L E2,h r ɳؔN#ZpiT4u &6gf`Rd;DVT7ɤHh =L'&b.d1-{=7]I'OrWʃ0mjˆU;᭫Gw d ./,QP@wRdE@O4l(/!S%\`1x"x6fn7f!Ht!$Qim.4oEh$1,P$ygFГh [V5Gt`s9\F ,qjU?bjCqK]fެ~ڄsVťUA .+T_ygWtyq0%Cv@;C%`]HVϰCM+u JW P5+2g$e 3i>G!V$J939,X5ڟȀ5eJ0ԚCxGfj 浡ѡxlnvxF*-5<-2&M8QF}Ww@A\|n),.Ol#ޓ qXʆq-~H-su+ pVli,#$li= ʡz[<{'Ʋj,'4YK΋pOzUUlEdE#ڔ lEq}IEزa<{"ҼofoHN*&Kz5޺:V2N7NkPfBՏ)I%˂~+&#XL %,4EDgѹL}g J endstream endobj 2943 0 obj << /Annots 2945 0 R /BleedBox [0 0 612 792] /Contents [2951 0 R 2947 0 R 2948 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11528 2949 0 R >> >> /Type /Page >> endobj 2944 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2945 0 obj [2944 0 R 2946 0 R 2950 0 R] endobj 2946 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (REG_TO_SRL) /M (D:20221102111814-08'00') /Rect [90 433.7 154.6635 444.7] /Subtype /Link /Type /Annot >> endobj 2947 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2948 0 obj << /Length 19 >> stream q /Iabc11528 Do Q endstream endobj 2949 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11529 3256 0 R /Gabc11530 3269 0 R >> /Font << /Fabc11531 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 2950 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=310) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2951 0 obj << /Filter /FlateDecode /Length 3173 >> stream xڽZK$7Wl\)􆦡˰ >=wlLsٿ_̪NOR(_jR!02U:RBЯ/5}_lƘ3b ӣhL<='fWx~DrK }[eKC'ke"|gg+ܙ sǶ̡Q_q_rgNǝr{^^_,˷zfɑ,IL1em;CUf03.>Ei9 *n^p|k L:'Bg[HaYY"S SL\ 8OmSZx,=*Q06 vsQEjJj=$;RPul]j&O}}O$W "Ę&:5˵ZN#.Ƌ|5bZ4.7[z/hԷ{̱]^{RЌĉ.w2] o]Y4*QW Lv(SaOQ" s&y6Nq 㜵bi)gj橾ĴҰ8@aevf/ ,gءD8R uoWjh_XJx!g"p |[܂&i6X`!Idn+60Gfj 浡uPqı 9TҖH;G3C#i}U@Al^fWU6 $nեl@3Dkߥu~@|p=Ad-`\v/[Zl?X[<ɥ) _弨=bNbC- l h>f lY{:[`=YQܼ/fl8(ٱnP+A5 | 3qoh#*% `B[ >@hPs;4bԬ$q$*9;xu<(C MDۭKpv,\<&(i0O 0`se- @5M0$#&(? UeȱHCQh6z4ՠ |B& Aȣ Q> ۶~CwZ&Pt#TPٲc l;s.→YR"_j~`O2iZѱ7֥j' {4wԅ+ !xmAQKW$,-4&$X&6Ӧ G';a*|ݻG{IKP$vFINM4OaKAUq?vM]ѭFfxCLe}ԶehrjVVH}NC;ivSz~Ѽuwo!#ފJDT(DLLJNM <oB!')GϽs3`wޙ4.&F~i3 P f8l?tl4NKP$SP\'"t&#y66)agX"zn{} oD endstream endobj 2952 0 obj << /Annots 2954 0 R /BleedBox [0 0 612 792] /Contents [2962 0 R 2958 0 R 2959 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11547 2960 0 R >> >> /Type /Page >> endobj 2953 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2954 0 obj [2953 0 R 2955 0 R 2956 0 R 2957 0 R 2961 0 R] endobj 2955 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (REG_TO_SRL) /M (D:20221102111814-08'00') /Rect [90 433.7 154.6635 444.7] /Subtype /Link /Type /Annot >> endobj 2956 0 obj << /A << /D (unique_173) /S /GoTo >> /Border [0 0 0] /Contents (SRL_TO_REG) /M (D:20221102111814-08'00') /Rect [90 418.5 154.6635 429.5] /Subtype /Link /Type /Annot >> endobj 2957 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (SRL_STAGES_TO_REG_OUTPUT) /M (D:20221102111814-08'00') /Rect [90 403.3 248.84 414.3] /Subtype /Link /Type /Annot >> endobj 2958 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2959 0 obj << /Length 19 >> stream q /Iabc11547 Do Q endstream endobj 2960 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11548 3256 0 R /Gabc11549 3269 0 R >> /Font << /Fabc11550 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n hЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ D,o)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ endstream endobj 2961 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=311) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2962 0 obj << /Filter /FlateDecode /Length 3069 >> stream xZK6Wd  C'gm# `_WERԏiۗXT"~h v|K7aVeE2TB/oGƚ>O6f S` ec W1 QQǼ7&us:G_9в3 ܔB_ƖGee<=Z@H.< wܾ-kWϻ8|ܙ4soqܖE`}Z_?`&9̔qS6ҿTuYPhV 3c)IQfNј=(= Ͼ>˷ *;9!G9'7ƥ c䝅7،skB1BpP) =*Ql,nPhy|5&I851V -4󼎇l*><1c# )xCcW^8kp b#Z7zS\^u:- s 3ck cGqB(DfeUwBvN/ᆘKk#AdpxfZmuO=XT '*9`g_b 6)Jb! 0Cg\ǮX/_ƚo9-.B& rS\>V^9"<7aZ (]KVa>.eH 1R߻L$$[Vt e\thܼ?~L`==4^jSŔp3?HHl!&K\"suQ|ZqeyFas'UQ j(L J]tx?F0CS{:X.1b:u a-OfwPhpiQ{{E=fyS0UN'd EvH-_֤`o=nj`ꊟ|&zcz'%/׆b~R/l:-d8+IrbᛉmI~o-nǖlPClY=_ 2<X)ϠڅDͭD&ta&FnMZƅbI%)eH3 s$oPVtktg$e,k|M[/շ 8(/H$ isӼe;3'MrlѪ)&d,+).f9P"K Y;ٌ߯:nz͖+A/i\$&O=:C嬶ل)Bho[AԙDDIGucˤ)X_FqVr6*U{ AA {JzKyVul*3"v㬋2i[gQ˖*4F UQ&6&dm>rKH #kYDi f˻eubKyW!5UbfS=:;@cseΚ5x`UֲJ[8▶{:RB6IpiC\ZM=%xQ\kѳ1s5U|)V˄bmN62w:.)egS#[AUE~HM#uFMkoz˝HH׮Qa*u/MY <=rMXc~RoF4bPד0Í/ҒݔSɅw~I'Sb'  SAxLg#: 0yUx r2s<$t2h5w1MO%H4Vf@ 0:v%N[P'=B+{.j5|փj/h B()*)BGr,X</Ȁ0$`t,3dP 2 ؜Ǫ ߥ-"f˛F}Ur.@A;ٯX)̮L ⏋l=^I]sـf׾K-;#ByX {8Ad--`ś-o^ִ&9 _4Uc9"Xa ,*vO*VԢpl+(o<6ƦybPFR,{=-0쬨JnV$"/ߐ {MMBWH){Ϛ_>_:MQ" !y/?N۷-0qTkD2w.Xa 5AǂQͬ@!1tzA*G՗U?ȯ>W]uH*E'x;CCsvf#J^L+z8Ki Z]`zϦ@͉Ј"5mԬ^%q$*OxuGe= Q{u NӖe!-I=1: ]#,Z ,,k`CΌHbr"RPDZArć|LG92@@ \|Hm#ȉ$ճj %OFxCp~TPDzc l;s.→YR"_j~e5cK\O,h WBڂIAYiLI"Ll!r-~& ܣo`Rv"-qBFijg93A! F=YczTj&nU\|h G͡ [9n0b*=˗C;fʑ[Z} uc;mx?훛ο^s 9ˈ.$mQ=DË ^ޟ"Sڝx)~94*B4>H3z❈yzmz拉R·Jh6Kp]I]# Rm'}:$4ɋI >)bѡI6s>g%'Bc endstream endobj 2963 0 obj << /Annots 2967 0 R /BleedBox [0 0 612 792] /Contents [2976 0 R 2972 0 R 2973 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11566 2974 0 R >> >> /Type /Page >> endobj 2964 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&url=ug994-vivado-ip-subsystems&resour\ ceid=generating-output-products-af371232.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111813-08'00') /Rect [90 215.05 106.962 226.05] /Subtype /Link /Type /Annot >> endobj 2965 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug994-vivado-ip-subsystems) >> /Border [0 0 0] /Contents (UG994) /M (D:20221102111813-08'00') /Rect [474.153 215.05 509.419 226.05] /Subtype /Link /Type /Annot >> endobj 2966 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2967 0 obj [2964 0 R 2965 0 R 2966 0 R 2968 0 R 2969 0 R 2970 0 R 2971 0 R 2975 0 R] endobj 2968 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (REG_TO_SRL) /M (D:20221102111814-08'00') /Rect [90 363 154.6635 374] /Subtype /Link /Type /Annot >> endobj 2969 0 obj << /A << /D (unique_173) /S /GoTo >> /Border [0 0 0] /Contents (SRL_TO_REG) /M (D:20221102111814-08'00') /Rect [90 347.8 154.6635 358.8] /Subtype /Link /Type /Annot >> endobj 2970 0 obj << /A << /D (unique_177) /S /GoTo >> /Border [0 0 0] /Contents (SRL_STAGES_TO_REG_INPUT) /M (D:20221102111814-08'00') /Rect [90 332.6 236.927 343.6] /Subtype /Link /Type /Annot >> endobj 2971 0 obj << /A << /D (unique_121) /S /GoTo >> /Border [0 0 0] /Contents (GENERATE_SYNTH_CHECKPOINT) /M (D:20221102111814-08'00') /Rect [132.965 120.6998 279.205 130.6998] /Subtype /Link /Type /Annot >> endobj 2972 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2973 0 obj << /Length 19 >> stream q /Iabc11566 Do Q endstream endobj 2974 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11567 3256 0 R /Gabc11568 3269 0 R >> /Font << /Fabc11569 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}1: endstream endobj 2975 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=312) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2976 0 obj << /Filter /FlateDecode /Length 3909 >> stream x[KWl@ Y|gadgmU)Rn -(XϯJlgR/s99[&5SLyq_jluc)6/03T(A)\aGJ?$ jez{&K\:*nԴە)iT݌BRQ֒3ZM[r0 lDT^4T,3:ӃwMEݩ=mںu5 g)m3v M\ٜE\o\uٮM>a7 H,CR/~ɖhy5>*hfَjGp0qҙ\"Pp>GjrB)OJq{D<Ou+WI( QC -[{#)tju`H[ws\V[$U1wddN n+zJeEn#6-.5\5 3u4X.Rև¬ў5]ǽCKy!S$0eĻOeS(%*yojr~KA1]a;ܠ bcg0\fi~]{"!tBXNh ,yFCj}ԏx6>Ƣ'n1wz٢ȱiN7p=ZEm2n:VAjWq|. X5i<&kAլ=u?9O+fSW (n3 T_0(n.Ehij"|Ycڱ6Qtvr U^qptnR1py͉xF~.(cn. Τk:𐢹t)[_ <ۚ bُgG4_lѺ\*U]3S9GBk7ҩs<y7/koҢwUQ^dݩ{Rlέـ۠pZB`8lN>->PRy8p6ի\k+*z-GPν~0kTr կ_ c@<⭽bqqѯlߴ4x **i1a`(ٸcU8p&y XE_RPɬNkrwȆĉyj O~T| h&3J⮹!$8! ]2F) 0ew@e΃2W՘=Kvj-" 5-~{p@_2Ca;ؼ=5FX!$Ȓ[ЋC>K30{K m}_vy>ۦ=b%bnky l:?ۦeqbw[vGYH=3%j/Q.^'q1]Ou 85P퐎1GK9t3ӈ^ˬ[obs@Rl}+ue/j{-8nL6aԐ2ۛ3H;Vkz4օ{18mrl 4L+]- iƺuY|j ֜OV2%G@N%;!?ُwJ4̵]Mzef2a>\^Mޞ9m]Z-7ÖKr{U\wrΡ++d Yj.TdMN3:43g|Z Ll9^n,ςȥ@ 聰2'O)IJ@tR"qk7:np52Lb)@XxA }[Ǝ#3A츆j|~<q\\ߥ-0ejtVA$ [4R#7Ӟ I척V6S]$nFײ }sťaJ_qzǖ5@̧1މUE.:B́Z.煟ژg5=lU,*ܓ5_rm? Gk@ddس”w*Kl./"_4umMLJX=M ,=.+Wn/GbmQђY3IcB.P7eh5AţH=R;scd>tYe=;~aR* )S(S/l |{e`C ZjZ?o3#s?_(*mK5me6<>e d}}%D*o}3eۀ>U3_SP endstream endobj 2977 0 obj << /Annots [2978 0 R 2979 0 R 2980 0 R 2981 0 R 2985 0 R] /BleedBox [0 0 612 792] /Contents [2986 0 R 2982 0 R 2983 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11585 2984 0 R >> >> /Type /Page >> endobj 2978 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=report_ip_status) >> /Border [0 0 0] /Contents (report_ip_status) /M (D:20221102111813-08'00') /Rect [364.681 547.8539 471.2435 558.8539] /Subtype /Link /Type /Annot >> endobj 2979 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug896-vivado-ip&r\ esourceid=ah386406.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111813-08'00') /Rect [369.928 519.2539 386.89 530.2539] /Subtype /Link /Type /Annot >> endobj 2980 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug896-vivado-ip) >> /Border [0 0 0] /Contents (UG896) /M (D:20221102111813-08'00') /Rect [207.128 504.9539 242.394 515.9539] /Subtype /Link /Type /Annot >> endobj 2981 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2982 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2983 0 obj << /Length 19 >> stream q /Iabc11585 Do Q endstream endobj 2984 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11586 3256 0 R /Gabc11587 3269 0 R >> /Font << /Fabc11588 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7iЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2986 0 obj << /Filter /FlateDecode /Length 3830 >> stream x[Iǯȳrb_(6|If$X3+Z cDSxMLjwT5IGq~~xqR>YJ3)9;?R $K4mg~{G9 籭12Ici.K{}x-jkVO|}} {g{q~;^N:O+D!t= 厹ըCkAF*Xs-(izy5f[b6cGP`b}`D0*P{5C[N~J]M"bª]W\6v&FUqu5ƃiV2J4Xޞ|ԞawR)QPMR/ 6`'^,taCy2ו7RD}M4Rz<LTb}\IGW5\_.Ӟwoxx|M#)O OUIxAYoH; I # 0b`߅4h`|Z×=}}0-<)(se+8ʽPUeD`G6:jo0t`T[mFv v}0;YQ a:0Sjflf0x]"UJ GmuO6#ⓨ1E5h~62)O~x1bC{4d N̠hg6OTz?Qqr~PRbf}B552ˑ5m-hԠ1K:q/%s IVR'% ʍ=v%-.OҘk~SuqՙeguX)f {PLqfg6Tt_~2TY3[ʀ+ȿ`l O]v.;%1zg:< tPW@.oSst^8|.y$6s밷YقM^k\}J3|ﯷݲ{!f+N0.1Q&_✂QME樆#h4.e$jJl]MB سP ~xp p(*^/$# Y/xm{< sNWs0B!75J7yHi]H6VvlW#TH_}-d2$Wۄ MUzmfJ!Pg/W@X^!B( \niӍ@FivEU̻.VUN]s>Rfw=,3Q/W]5(<300{Q7Mj- FI Ft_gg"~0KQ%}MV|+k=f"p)ﭙxŞˢ$H%$b(vfS>;@:s|2;6/%c[&ϵ rŢT)|K3m\嫹9A/J m2Zb я&+&.YD?ŀ`?vW}OX䆾dBոTHC#+ox崵CT9ݨ%M[v#-_ojmA#_:t՞\; x+'87paʍunĮs1+(g7du ;Pqݺ{6N:;I8x}bcbWR87}~U`Z"ñtJ-OYuU8) گ[!p_jU1ݖlTF]jzxwZh<2vQ8{ɿ¾q̴6u"Y:D!$ҝjŨg7+dėmwȩ\RPΥ*d,UmfQY7>}E:&288>Nx 0Kxk߮|*Ez1$F8Mý{eo0=+\du r%<7syEmWTtKׯ{4'?X˒[ڐN7'+q/U%ZtOۥsnt.|%;G5+_EK7w/l{aUou!|% ƃUҺw_p'427 {>mHo_`;c!oRt?zt^{ڌ r/1/>s=P9Wa&,jfkS0'_ʝ~}<& x4|$'`֠rJIXgl4il;$?GRwP'Hw&; ܩnν GovE$gȲU(`I_i'6\1r^e? j#+@䌝`6Q`uc #DڈAΑ̰   mJB 1vlŤI{@X`Hn'ic[;mW|~m N%M x3ZA7tC9[U, Dܧ|;V"6 f i*d7!>G@kء!*)j%d T&q%`>Bo :?vx (kF.+1*ѐć秡*X3!/C,!(O뙔*ˑn*pdhQalNEAnɃA|CwLR4} +sO[N,`Q\ǹ <> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11604 2994 0 R >> >> /Type /Page >> endobj 2988 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111813-08'00') /Rect [324.707 162.8538 359.973 173.8538] /Subtype /Link /Type /Annot >> endobj 2989 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2990 0 obj [2988 0 R 2989 0 R 2991 0 R 2995 0 R] endobj 2991 0 obj << /A << /D (unique_121) /S /GoTo >> /Border [0 0 0] /Contents (GENERATE_SYNTH_CHECKPOINT) /M (D:20221102111814-08'00') /Rect [90 333.3038 262.337 344.3038] /Subtype /Link /Type /Annot >> endobj 2992 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2993 0 obj << /Length 19 >> stream q /Iabc11604 Do Q endstream endobj 2994 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11605 3256 0 R /Gabc11606 3269 0 R >> /Font << /Fabc11607 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n ҠЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2996 0 obj << /Filter /FlateDecode /Length 3349 >> stream xZߋ6~_@+R74Ӂ{H2pO{ a6r}Ul\lc,˥WU_RU>B'eVe/?Mg5(k`MVY hyzPh|ī1"kCO"\t6&pcRh]yPPSOget!T5qyQg)E˿ǯԷu318kX"*~>d tu<`̥k.x@v9˵lc 󴹇1lerעŵ|]k(#7cF!#X{pZ:S :bd`T&U&jX b;~4&hg_6ޯ3UGFUV9Z3su| ƴ^+Yts"ƌ 45{ᙀ1 i p8@I0zaTr"mAQGf^;_ Gc{YϘsBj*♯76VXKh/#]+W{!ل6`S6GCC6̓~Pej35V/?FT!$Q *Rhcz5nj?G+`W/J՚y|d  fw@4K94zP<|Z!3qokVz ,nǝsPK8V)OYSIB_\.40LCՔs۞6U -;‡RdArmE [$&3wkI0 H} /S~֗_bzQ?|~E1KFC.HN}"7 wQ*fDDG,gI:33eF.GYŚ%.w;07v >)^9'FuIK":t MT 3`%‰RP">K)f"Uxaw`^axvKJBUǟ䌝J!XG>@Q}; c7l66CSpqf/ٲ95\ÿq ?Orav%gLhx6&yx:$ey+([)Q"XZʁ$XJlfc37,ÞmS19q䘲@.x1~AЌYCY=0xTb_r qt ̣&~*f[46ReX `Y :6إ-^zاp =ʢc|E?U7;[~xnӫ~- od GQ5 @xCf^娉4.d zA @͉ !PRKǫrȶS"y#htх{+<:QQ)!Ssڲp88"Ezb|?8M5c=ג"a\ >ql2HB!_ĪB˴QTr$(Fqiv*B@’v y4 jZV*VRg@vw\׋™/i1Y,+kWM~-ݱWj'6 {4b.i."- %IfIgWӘDW` CdcLρK}fQo4KE;e$XCM ӦSm1-X݈R4qF^ ;Bb.E.z7ĴhHOh] D gy!A>㻥~WoHӿn;)v[Zs 9ۈnlb6QZ/;lΨ(OGN>]YvB3"l!?4ϝyCHnwfxla &"TEٲ\8+uz(3>MP'>tf#U7 gs_&:Ȳgji endstream endobj 2997 0 obj << /Annots [2998 0 R 2999 0 R 3000 0 R 3004 0 R] /BleedBox [0 0 612 792] /Contents [3005 0 R 3001 0 R 3002 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11623 3003 0 R >> >> /Type /Page >> endobj 2998 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111813-08'00') /Rect [198.5257 517.15 233.7917 528.15] /Subtype /Link /Type /Annot >> endobj 2999 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111813-08'00') /Rect [453.3187 517.15 488.5847 528.15] /Subtype /Link /Type /Annot >> endobj 3000 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3001 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3002 0 obj << /Length 19 >> stream q /Iabc11623 Do Q endstream endobj 3003 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11624 3256 0 R /Gabc11625 3269 0 R >> /Font << /Fabc11626 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_jM Q?wG?{`Eb2j[&!#(H!xs)NF8%*S)!^\y"/)b6M CZNNٷǞ5" 91J,:6(~S׭x飈fgѯOMɧ5UG)zr8kb/mTߖjڞӡ'4yCodE#25J\e8>϶f55ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"KvPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3005 0 obj << /Filter /FlateDecode /Length 4358 >> stream xڵ[I$7WP6anS6?_T.U4u*) E|H=gғ߁1שɻ)ijRǿOZOCmJI+镟>}qToT(4VJ:净O E{H":c2ELFm2dhf㈍کΒ8zc2<}uhs"Os\96L~4B}I2̣ ' x^|mr244I//"X_&7l=iq1H=Cݎ*jYCLQKLvcN>b:- ۵]0sVb"o# ƥ>Ν~_=,E޵} tE+-T{oEԘ==i-Ш °Q̖d(y ژ6uVhj[Y*0>vﶡ2 *`4(qkZ^ziO^`BJ`ދ2\Db]cFzLګnS}-[{O7`%tY&Lyfk%AaWj^B%4 kUPiHlph SÂ֤&?B%hP4gi>BB ;dtt AB9,.*i]&% %>">A_5DߠR",I&nx*1GP8@'7KQ2鿃 $HfslxZeR-QRsYzZoS)'O _h2^, HH:Nzb ekPQL<"BF24>ɦŲۢm;OK;,ha$Mj AŚتNs|,6PKHȴb$`u[F (֛@c6 "cE48t :cXKtdMsŦ:P`Em@ا> w  ,7t2Siq` )}>2meHgALCOm&޿E.m_n^qf"ޫ{JQ +EvUg~y.UX@lț|$T1 %Wq e?ACPg1_僤4I$T;xhKjCV5U!_U}έk X؏ -V 7K+eu;c',)xp&wbW>f V_6T˝ؐؽ' h;9ߜ5,3wZY[\sFU29 sf/osY^Oy}*n5[xUb* 2{Q g?#đ6ekg&ik.$m<ɳmy9\,J-wihf6E~>OE^=PVZR?u}nɌVlzm'D:]ڧ'żb(68j{c/w,#]֯5jB(:V`Uqȓ#WA/2!ڝʾ%a.h溮J89QRr ŻbM\E~exn=1$v@BMʈMg Qm|n]w@A}!әe5<$ð%--$Q-^~XshǢ*:o<>ެ-8 ؅eC|J6R֩O-g}S]mezݳM'd/&‰/=wq9sjeׇz(Uady& à nP6FV.FUQbøVX7췣P\Ts:-џ5k/Cqq\4nE{2ݞ`Z'mNsá>>-®}'jeHH̩Q—Ցª~ڱ4L8u\zsSq[1xL8:NKiԾqv&dy~8N%"206;$~Tڄc XXg7VŞVPA z#W 6|2 =)()=6o 4l:'A_x8?)ȇGkw3!2N&Ąþ|7}(99ʓKFzXsrk [pHq=}4m[0{6mkRh.Q_MzKlXn:JC~Vr?/^>O(} i.m_\q*:Z%$S>Po9!܈YWVe##"D[~KY6dwV6d2Omp5㌉0 N.$Ks31[,y5$f8 kЎaF`c\E&8|f n[ҁE+[ּނcZ% da aihIƊ+jmb1c3:}C2dH2=3YFr&?12_A[m4%`]hⰇxx/ڱ B +WLnf.HQ!zJX  mZA- v$3ek52eP O8iBқ~ߗv+uz7wڬW]%k8<&h'K;j9#WTnךZ)yI Ϥ7Ո PxJՀ슐 荭RHƭe$?* &Ÿ=M@AckP92m.xOE3"Y`5]G6ɧ'B mpCeJ_*X3%/C"!(K_Pam咪A@!Z|sUv `'xgeyjoCS|ypieϩ s&l'}lqxo_T找yW uB.Q|!EkdH@V@^"{~peōwpVݠs?`o„YD.?=CJBVBu2FMLLBm}(?{ N0J­Pu HJUl.e AעLg,k4^7S)B\5WZ)Uψޔ9}u4m^'y\ӱQǏC[HrbDT+geω>4WtkO4U ԛ8ټR .B;"~P z $t? IV%cidw/Srys,M.]2]xsrKNTXDtQu~&AH endstream endobj 3006 0 obj << /Annots [3007 0 R 3011 0 R] /BleedBox [0 0 612 792] /Contents [3012 0 R 3008 0 R 3009 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11642 3010 0 R >> >> /Type /Page >> endobj 3007 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3008 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3009 0 obj << /Length 19 >> stream q /Iabc11642 Do Q endstream endobj 3010 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11643 3256 0 R /Gabc11644 3269 0 R >> /Font << /Fabc11645 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;?C ( 'C9PmтGŶ endstream endobj 3011 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=316) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3012 0 obj << /Filter /FlateDecode /Length 3181 >> stream x[KWl|?ӭV98 #uֆ1")QkA%XMiB }ϢҔpVDIO)6L/?'{6a! 7[%/?tgaT`,yag@Ї(ϟ9)A*m+skA=>Z*72]9ǭc&>[p$ߑE0v-F{]4_uWU'ezԹU*@zsY!Z/Z-Al|lڊFcvW)Cq;]5 izo_qBqx}@r2/ګK|[һ2O0c0eE<:P3BZ4!p w8|Wq|?q_q<988P|WQGQ;p ցofҫhU!I|1z;*:ԯG~H[UBPtGŚ ILVkv}-tkk"M7ҬX7Kxsgd1݇ >%jC\KR T~6`>> @4d $H3a.OkV{$c&(^0b2wZڨ|Mt2ڐ9N1#_*e)ybJaPĞ{;4Ï.W7@hXe|F_t`MH$ Oq:MVL*%QCBY3k-m5O'L=E^'+o^VHtڶQ]NW,-桘#3yXlΈgr= X%=5dd[ ͌Ogl8\a )7#y>ijw=[5sLJakuc*n[18+>dC&!2y;J24Hbώ8Š*knz'b^`k1=Є="E6 m.4 U3IuHO-QWV $dIT! d$cžꏢ1T/C)C2FDGRsXv2rd{LKI-,mxL=RO[zrAJ c)kߟg}` k<>xIQLS~JQe ݻi[H>?,?mٶ>b}u^sߖW94'Kvy]*Vr.FL\YƁj^}5 ]WRWBvZKi]تb V={/@`{1"+qERڭ\|0\b&M%o콥ܙnݶlb8|7QӹLdK)Z{c*$i*NrV,q"UR␗IXo{;!nb} W=::p9hx^ɂ3=OitjLԗO{N3(ТKfs 2 Wʿ8,\kH98CX9Љ6!']K@OoϣE wvO|N=Sq~>+/A'|Nt}\TnKj1Z?:W02.UTºi ڞ*\eOe(u\Հ0uaErPe~Cm}]ӧrrMvW&E'ߥx]kuwFOod¾m': )1D'Z#{ {~` wR&!0QUKN7Ln;;$$Pڂg>L74`p".> 荥^DXYDSݪDK$do:T$723hT"<6LAnECKeUB!H\eu~6OEw  ־Y7aij5NxD.唶Tt4xο,4jQTu;4(uƒrs)a3gl3a6n ʽOe^yZg`K9ޤ*LQƠKY5nw=(BvAnGp)[ʖTBw9/1,>ۑ{ZVΐ ,A͎Z %iTyV$gҦX.ܵypɿ y ! H}K4hETB(#v4F^l GJk"= 刔kASCe@CS6hؖJOK*Z(^B=yX D+v}~~/ܯ;?_!$@6O~I옻N; $ PCVzXҒA N'~/@C% v`ܽpޣHb2FCU(V,*quI;)v:T΄&DA+RRpq(\("@rb?.Ȟ2`R@,,AC׺u{ӾP- JHkW2gQ&j\S/B"!Y# KG5ǖT6C >oLZ^Rr8K*2Dms2Ha"-nș- Nzlr~Ȃe %5#\ hĚ>Qy&t>Zsd8 btd& Ϡ‡ּ{ī:x j@ΨfAE YzfpSs'rmg&|fgk0> U1)C@+^YzCW?p7a}xPsL,6:ϦsTZ@]%y|ooL2C|y6oubέ7x焑9Cˀb(?`IR^'|,Aױ~'o]Pq(Sj݄FfH#oVY`whsCWpC(!hǂbc YONydzzR m}N,BVrPo+$`?TLkc=݊>OkgV5 endstream endobj 3013 0 obj << /Annots [3014 0 R 3015 0 R 3016 0 R 3020 0 R] /BleedBox [0 0 612 792] /Contents [3021 0 R 3017 0 R 3018 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11661 3019 0 R >> >> /Type /Page >> endobj 3014 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111813-08'00') /Rect [248.5382 594.1308 279.5932 604.1308] /Subtype /Link /Type /Annot >> endobj 3015 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111813-08'00') /Rect [145.858 257.3808 181.124 268.3808] /Subtype /Link /Type /Annot >> endobj 3016 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3017 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3018 0 obj << /Length 19 >> stream q /Iabc11661 Do Q endstream endobj 3019 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11662 3256 0 R /Gabc11663 3269 0 R >> /Font << /Fabc11664 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4l?EŐL$E d_ )2̼d9 M \09 `FbU$!īEWeGyݝC.]@ U8Ρ\j ۾{wF endstream endobj 3020 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=317) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3021 0 obj << /Filter /FlateDecode /Length 5451 >> stream x\K$qW,|?B]A::-/=>̪nFom%ȑZ*+f#߿;47/aQʼdg-:$tg̳R*1< C(^dsŬ'7`}=Ʉo.u^h/ԧ#}< E_Ou .ᥴ;=\>-$*߻>Iڳe>kn ZT XPQ-].(֨!tiD#ޒI>>8HYKy0tVVбq#li95D8ǸdrN {qK6.g|. `闤q{!3XWEr~ b<@os.h;|n^~gJk*}&$hߴWc@6tUN֤% j5)*Jn[sG'Fr<&[Gݏ̬sgJ9' = ʡ? WpY%HXIBQ[-&n*%]Tn_JMt2$-IQI?6?K(?K/ =H,uK!'GGc'u\W0P/-&+G|bQjhdÇL|JT{XchJ誸3A6 Ջ zq.KN%f䅁d!$ğ^ ҁ&1Ӵe`c[ &`B\C1JSbnwU{QNEyS2%ZR@'AOL'.Ƃ fr MebxNNcSA6uHR;E7$oWhv̒A#]ZО0+uK scstg]61Ā f<= H+x MB1Җ|Z ul xڤ&T N<*nNgqzKmTw u&te<;q4$41&5T%ũ&J:I01YĂ$J cZL*s$lkRE]T &*$ Lb(ֲ!+Lȗ߹b @>; j!  {Ivd"H#p\nm EtذhD0x)#I2 qSްxd0=teY"H~Sz0Vx ISڀC\W(C}'W-aiu,{y&z*탂,>:H*==piU\nF=A>USZ'~*?JlQ|ad [RMh(Ĭq؆]+x908k-$Ja yZ]\H|Iʌb(cgf1 ?kZ`ϫ{쨻-tñKuWyoԙ'M n 1=r)4G=[-j8 i!wq})XG>P5:hm!͹v=dqEjL+V۰q2詮\Ož}j΅K\ۢKͳiR_k&"n)7{A΍C^Sm 놰aړ)rPiR7";hQ\xY^70&(4^A3lۈjYP ^98X],-cHE;vka;* W6" 7Rf-F2+"n!(oǜ9ZVص  }1i9p BL@5RO>u]jS+ F"M$ƎQCYcӪj /L68jt!0'geN- `dOr@U#`݇j3C9EEH:#E)Wf}zr pne4EN^HWsEsS7m%NmG~/vL G)oKrnٱ?nt[5k6l7lR)fS֚Me5l/ٔcͦMٔۚM5r_)fS5 j6\)>])j6k6d5fS|fSnj6lʹfS|Uͦk6ŧk6fS|Qͦl1gk6fS|IͦlOlÚMMyT)~fs*6݊M Syjf_* [kC.۹5?^ MX^@0q| GFL7P#wg?q3`uh<4fQ [ N9Ŝr.pRBKjx NRuqL0.bSC rޜ|H8dFD P8Cȥ NVXSjK?HC7Wl#'T`D“3 S,5mI؆s% RŹ;4}ӄJ7jokͳei7͹jvyU3-`@:!ŗ3J#AF<mLŞ6$:uiJD0Iz3'ĪхV0#qƌ!2#ۃ&#Ȅ҇f{C# ^O IS(&$2WL"TlFO{6z(dA3O),0tOشfUqa18@ft"eHuKBɆcrہh+UVǭAY]hyy/Ʊce[`L=\FuE}P4L+%ŽSL *ݧ/z+5frZ/V9K@r~k`GܯWqF%Kg(ͣ€n0B55t'H29e)qULY-TY=M AQjb fzSƻޜ$4)p/uޮ&;*Lʔȁ={D5A Ӥ]Sf̖Sr iOϕdcT^|:JNjbTc|DŽ ~Jp *`ӿwdwKVu %y9 jn luy*cU,gI GFEz%*efQjnKzBfh>q(YH3s!G.A@@^"[~`dgÚVޠV)by`&)/9M #X#ŚnC&tEQN_~ *6wpRd~kV/5ņ }Xy%MkMYOk{p]u"1xkS8 FfK#OHrV8zExyy{H_[OߦRm?"0]Q&As޿ /IQ |笚ߊC()<SR3cjeEZSӬi:Cȣ;lFrP^҈F,u-ex |uy&_5? endstream endobj 3022 0 obj << /Annots [3023 0 R 3027 0 R] /BleedBox [0 0 612 792] /Contents [3028 0 R 3024 0 R 3025 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11680 3026 0 R >> >> /Type /Page >> endobj 3023 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3024 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3025 0 obj << /Length 19 >> stream q /Iabc11680 Do Q endstream endobj 3026 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11681 3256 0 R /Gabc11682 3269 0 R >> /Font << /Fabc11683 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7eethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 3027 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=318) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3028 0 obj << /Filter /FlateDecode /Length 4598 >> stream xڥ[K:WxݐTcaw,YۗK}-;3tSR(B9}߉\ava. #u|=~݆o?Ɔkz߰T}6Ƽ>ͱc149i۟0MqQgzgw<_4m~Qπ2[ϋ~gOS2)]B۔9>Μv:w7?ɞBXp ZyE׆rH$DK됶Œr^͠!W; <JR-6yo9ْ|ruu \?&}!--&ZN\y[<6SH$Ùk;lK wB9Gʺed%>`( ~Fi".zDpWQS[ˊ[;}^o㺴K T>YOpl_賮Kquӗml#=bwT$1-ť\?Ϳ- Yjm1/u4T}w5l &4+'_;w8m1T X7c|c Dzn;j+߉> r7/N-E ^_-Of%/n>yĢf…F%!!5sl*m`T԰_ܤJ\5NIoSK6.=7a/֭7YNRitphm1ןAT yO+[8[g7@qt<) &sU۠dtR.u xmG۾5暳Y^EU~GJNf\unKiT+o1Akdg >%ig5g4'5G{ܩNt=BHxV'.x@`a4K +뤘R\h !xQɐ;}ݍk@W` iy7{ t2Lqt3O!""y~t[ZD'(ce}lU7sP C4mOdW.(M1K 3Ma/=Dvjc=CKC2 .:+PmcKqtqҢJ>ʣ_LID b|]\"||)3tV*#(sBZf˾vX {]똈DhlE2I B'S9 qp:'|?`|f"I}??fz~8%١:gX3D#,e#ȁEذKo&HՄx6OK RZyN5yZmjĜ@pp/䇾RF1Ad+IJqSHJӦH3nwT䩡ٹZQe)Xt'cHyg3]Ee2w{+}K<Ú?n'. ]d=W.alO[ovlܢ^9;5/%7}u)ОηtdOOz䣦ʝJݒs0=c̙Jr m';@MY>4Z/KK-R8A:M IsN֨&~-6\8K.xqkٲL4>㓐FsU9{unsn-Yޟ=VGaڙKLp`m ,kar+KiM,{K 3^/e$mMQ,T]uY.[%Jy y7GrB#jvF R-YUkvl·pJ5<=$|[_v1Rj;:ZDݡ; OპJ1Y+Rc&ySSVť܍f&7[rSD]\jZI,1!) 'e2

SWYZCgc봣:˅wjoT<;2]ݲ%.17qnPf ȷ0h Aa{ b 7#O׼` ~h[y= +ĢɃΪ,m`yS {ZlsH,&y؃%2ɲ%, f3x~2ڈm\^kSj[Tg;eiʊ衖}iϓ#H PmPE"ؙK roէa4qoP`;z;X< iʑ\#0GRt*\+ͦݮe\  07'cG:6H牶@ouoRzuNzNw׻,J;t5Qj1Q($cv%-\:.BDR:{70v `(]s*[蚧{$a\qxuA;G'W*3=b`ny? nByEO'Z^IoBBxVz5In;`iG{~ PUdȵi*MKhTAN ﳓ$pdvnM@lYe'I9B@ ЩF-b6ԩc>̾pzQCl,O.ve|A v҆=Tё;; [TP ģ4:KR1ywbe` D9=90wy̟`%E\''X#V13A! 31<5Ҏs2?hhExˬt5Іx8_Helˣ9:~;>oj?2[U?ҹc\ynGYN&O.$~AjyyE*{?k\`?W~RBrCr"o9R/jSuo4%Ó@r07NZ769kx>3PBV [ Ha*3!6[HMmW616I*mߨ %,E// Oj(5 endstream endobj 3029 0 obj << /Annots [3030 0 R 3034 0 R] /BleedBox [0 0 612 792] /Contents [3035 0 R 3031 0 R 3032 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11699 3033 0 R >> >> /Type /Page >> endobj 3030 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3031 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3032 0 obj << /Length 19 >> stream q /Iabc11699 Do Q endstream endobj 3033 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11700 3256 0 R /Gabc11701 3269 0 R >> /Font << /Fabc11702 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n @ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ endstream endobj 3034 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=319) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3035 0 obj << /Filter /FlateDecode /Length 4598 >> stream xڵ[KHW< $TDf. 졷 )w{&ka2!5tHn򇹽kǬgmn0={7'M>]g篿lCmXs^o7U[uH(tW* xZ),f>M"pBofmfߧPqaafN_ˢ1 u1ky+F@fMܮǵ'°aṶնF !~n5\ֹ68a@(8m.lԥ`it{eOx||mܶgcȢ^ծ{GAVġ / NƩF:tМ_W;Ik8_x+X=w1ȑ8 ǷwuHns i`ѐWٓJi&iԚ|Vz^Fc O Ԁ|=IJ(h gӻD),///S|W^L,8y۽.tNv!UۤIm9*LEJcl|Jalo:{qX[%!Zq`)hq*̒ʔ9]"!m196(teI!;e?/;__VuBhĚtؾ ]&o[,aDz' AǏ9-H|՚er!X'QBM)\j/b&VyksUA5u% ,vL~4U/.A:Dò9Jj* bArwHl, Z4Zg5Q@˸0P4|g'-i.Woz\>ԳU3P m/q"\jkHx6 }h!/$$.vNuϼjm`O9@̹um6ڬ&-0!E$*5ޣpG7D _N՚|=bh'&b50SKj(xxP`W%]{BӏzW8oۗ~y-ߓ*Owyt%:yƆ_!>ysw⠾ql0Sp}N{s㪑G8pރ΄8& kQyLWw`2P}:j؛* g NƒOY~gW]V^H3\pG(ZM|^CcN񁁸#.QK\ ŗ"**Q j 7ڃDe7[?.d[$R_}&.ws}Lj#!P5Cg[? }Uik ȭ*,\^J|*2Ĝ0+=8G 09Q85x !lo^`so{!7a&ַUح;i LmCܝ?So5BBCN `E@$=f?7y=WR"\ْN}(vF6Psa ?++9cC8NdmdCr|DPڼn-Ic0jKJ8~3T(clX}\+DLwݧS*%,U7 w3 Yukr$7R5(ظĈmKZ2%P1A@k4ZA Y:>|ץ5a;9ϥ dz'ItգÖƈmy |PvA ]J&Rs}˨H&U^vgw5'sI LfEczPbR\+;֨ZH[˸R5(?PP8Zb|Pr 'kʑ~vӋSU9J{@E괱2pJr`f=<K+2@K'뾱RzrysU|3)*Fq,67z4>jm7M㥶?Pc;af#$' f?6r~ #,@lTl\FkɢFecaά 驎 xϣ(R8 >Mb )9$^e[͖#M:9|C) s4kѦyH]w2l0.yFiTB/sn7[ВuH]nz@D;EWvG^ϿJءY3ii|F `8E\Z Yp PVM`*60X5m+ | )iLDO axoyܤ&F@_柆 `py'@Z5ܜBC]T0S萮'0:wjb7\)'G2nM%c7Dl7>yӏkI|#h ԥ\^e6UIEZ4T{ū쾓S>Խbox{bjt42XTJH:ۮuFtKb$wP_DL#cS5z[Y_X/Ņt#ꭩt"D̆ey^O\$FjM/v crA6Xƿ)RZnʔVI] o5׵%&j]u^! &3NfBdU1,p+x'->7;ؒBAkޙ$ ggӮG`z`p;6-FgI2)(:[@ &3 ;7=B3;=  %ݯM!fx˳GV#FofKSWu.lA~ iaD?l6S]YCiA}wK36S]39R6^,mG秱qՐ=hR) nO9l9hfM;wÔQ1ΊT찵HؠH<ֆPYÚgfA4,z̦ր8%#UnsL_xE$,NoP[! /{ѲCCa`4MAYKײEONCد,TxNߎ@xZ-UJ1%} `@ZA-;י#ԁ>6ty s-(3MDiyw;~%1Cje5ovXkA55ٗKЁD$$ݮ {V* k`TU@\JZ hƻ Kh5Yz,=b`iڮYׄ+ePqvhbokTT0Y5䲑{kg Pڤ ]%CUio QhPrL`iwKMn" ],.)Jڬ9L> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11718 3040 0 R >> >> /Type /Page >> endobj 3037 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3038 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3039 0 obj << /Length 19 >> stream q /Iabc11718 Do Q endstream endobj 3040 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11719 3256 0 R /Gabc11720 3269 0 R >> /Font << /Fabc11721 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7eжYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLZ[/? D?l˜!E_@1,s#9p!g9`Ni)QXI*d~{QUh^@wP".*x Pڢ_Ћm߽?? endstream endobj 3041 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=320) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3042 0 obj << /Filter /FlateDecode /Length 2357 >> stream xڽYKoW@ Y|Fn;@Cdg{K~bn{zvvFCRW/N[>JË4c1ɶߗ_IsOZ5Fug-Xgq֖dA* \<`mNiQ]YA 7uۄY@^PXMzrm1=a 3jjsrOU>!k\&鯀9u} 6xYyvH0涬gS"J8Z YW+l EGuG038?#8NYmjdb =ͧ^< vєZv tK=>Ji 713ۈA#BC:=b;<[h%g8_Lm ra7zPcJ!)]5y)ȢBv=@cVHHXJ󂫵ҕ661ݖZMu}m񕈨rI&o6QlP&!(Tҫ_c/:zIِϑ4h=zP3A2NHPX<ӖL LTOV#\\i{,c(@U[(؝7\>;uL<"'PZs`V^;Z dJr= Nhu&oMLynWqWWhPb" XAkX|5 uװ9756ܲ^QAC,9Vy^Wb]I1rTq#ϫ/p&nrrf7%<]*N[T&zE9M`]kL*ʖ ۥw9ғ^-=[`5"Jθ d8] |ݷQ_4RF_<3fry` S"/?1l%pH\+NuYjJnA,L4gYLS45t$sZo+~ߴW_W};կUo}wh*U#'XߣCӵ;x:eGVYSI)QCW 343'z zHp_BX5p9,uUlQERF?4 lw>#)prH񔹠,\F`=a_kvdf˚"/Cb` _DStO*Zd$]!RD{MAtB/fMwE(`;2QG0k^'"Ծ~ mIk=APX5l91P=j_X|K2YV_?dg16 iÞ*]t)İpF֘;6Bڊ l] [f/mS oۃ=6s RU.Dynn' [`/9gԵݭ,VkmCD0g'BT)ģNc} Qp;|HE3!eMb1kǙ%m:YXHC.~xtz o~.7d]b99QW{xؔ>YڮqG(::(AvKj+W޿^ qn|~럕/sG5Zfdm7tW9ށX*1r{6g7@7? HbǨ]8эCJ0tS{1)Tk endstream endobj 3043 0 obj << /Annots 3045 0 R /BleedBox [0 0 612 792] /Contents [3051 0 R 3047 0 R 3048 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11737 3049 0 R >> >> /Type /Page >> endobj 3044 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3045 0 obj [3044 0 R 3046 0 R 3050 0 R] endobj 3046 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_ROOT) /M (D:20221102111814-08'00') /Rect [90 228.6576 161.687 239.6576] /Subtype /Link /Type /Annot >> endobj 3047 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3048 0 obj << /Length 19 >> stream q /Iabc11737 Do Q endstream endobj 3049 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11738 3256 0 R /Gabc11739 3269 0 R >> /Font << /Fabc11740 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ  endstream endobj 3050 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=321) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3051 0 obj << /Filter /FlateDecode /Length 3884 >> stream xڵZKWlilLf!iasWER^;LCR,]%_UB0W2o?MW%(ke.!׷Iƌ?lWW?=M2O*>O+uw&ͻSv7^^_˗r'ɑS|:SLY٨~XeAY+8̌@g\1'PzyH .x~^޵M0"[:7䝼،+a\f1\6m'?Sᱎw{D,b8- yʓbyR[HAf_vG{l:I>HeD:פ3DަX dd>1oF1m. 97Mzm:h$Hw/bc\yL&qrߴX`/u XhO}y^֒s˸: g_NJŴJlU%OB̔#:3 .s@s`q=ug5|Xȕ;<ӗ(=0}2E Qġ4ef2c%eeMQiF$4llpblZ)/=4Cso[ں]/ޔOIP堁'mqJ=>fKG] tcu(& C>vRd:VPy:| M߹ d22}n 3`p^hQodWY7i~6U7.tVǒ1YpE@V/ k|~Z 1"p<^Uw{\24Tbf }/"kҥepSShHu1jKKQcGR݆TQ_^&vسV)ǭ]IucӐn7g_ -qޖ=W%Z ictgD( AE }Eu+_"[%Nf,|}I1rD-V ":AVT_>t_VͼV/yId)d8%*x*,ǃ׻JyKsyt Z6.kك݈asU/I)[4[Fhx#:MOr\ d+̫Xx7.QJf8mkCtl+ش ѣ%ˇ{ލߵD6](OfOvBǵu,yN~l:yl;茡vXڬm3JeάZmnTk)Z\'ҦZŕLfcsQpr'_ܕ!9]KD3TirYHSؿS cܡjcHAsjUQkuh]9]Sduqkr`"xLI~Lc\N->qdP*o'Q7]Dn[7*P<7lLDŽ nCKu+uZjLjTK ddC % kOzcMֶ0Jv"ڰ f(Lca  (I#S < LYG j5iMqo:h/?ν T: |K`/}p&8+] ] /=8 L!r߶#x5# +3t^ e̛`}Ӈ. ~B_?E-j^E~x1Vpskj쿤dϒ楧U0I=W=%DXÈo0CڜsQ!m[uI㎋*ٓVt6z[w2x?IoιXvUץ:iRà[⾵d_?Cv9\fXCLeI/< ZFk׍"@ӹͷU_ʹmyD;fk/,j}01EO~_jWj\q4U.s`fnT> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11756 3059 0 R >> >> /Type /Page >> endobj 3053 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=update_clock_routing) >> /Border [0 0 0] /Contents (update_clock_routing) /M (D:20221102111813-08'00') /Rect [252.6845 587.9538 385.8876 598.9538] /Subtype /Link /Type /Annot >> endobj 3054 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=get_clock_regions) >> /Border [0 0 0] /Contents (get_clock_regions) /M (D:20221102111813-08'00') /Rect [304.7964 439.8152 418.0191 450.8152] /Subtype /Link /Type /Annot >> endobj 3055 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug835-vivado-tcl-\ commands&resourceid=get_pblocks) >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20221102111813-08'00') /Rect [368.3325 426.3191 441.5942 437.3191] /Subtype /Link /Type /Annot >> endobj 3056 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3057 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3058 0 obj << /Length 19 >> stream q /Iabc11756 Do Q endstream endobj 3059 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11757 3256 0 R /Gabc11758 3269 0 R >> /Font << /Fabc11759 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrvE\1%9wr @L$V-T0EH(.$c"KS¯"ɕL jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB3Wuœ}"juZՇj<4hڴ[쥭}-UaoKdOM\w>@lIHB_%e:3ϳ-nYGFx|1$ɾFxy /s"r,̡dXx<30́s@`K 7bNFzqHB>W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?7 endstream endobj 3060 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=322) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3061 0 obj << /Filter /FlateDecode /Length 4796 >> stream x\Io$7ǯsBJ49x>4TnP5оߟ{$d" X3۷sիω1뷵ݚ4AJ~rW/6lJU+~A)? )3Fi263<XڂO_~Ɖ~9gN]UEW`([e`/VD*Mr# V$R&T O>X&PswqaOXN{z.|%uMz< Rx( |qc߱<%U&Xi mcI!v]*w{nŝRe|5 *:jXv@mԹFEMf44,dXux:l|9t-9n귛1霖Iê3~am0K/$*psVlL(0tļYPSi g!w~@AcI{y_yլˮ)''[g ݉<.We0tkȼ#C,k.o~OF(RbAey&U,LxpoJ{U`XaI>WÿIB}VL:F?<,;/}`gu7d w} V\bf|OGG1.Րߔ* 1Y| t،hJ`ItBcp ,Wz/n;M?>b.2տ>5ܡKBKuŽSKKjs9X?'^ki L^AZ0Tcת5}Hdt0-?*.^B2Y4 4±|>+>H\1mNK}V^j\!QG''2fj#UjGB@#L󥉙珙֨{SU3F}Gӱ@9Ǧ_\ϝw3fKuU66 [ni[жMga6=j#t#[}8ɏI()%IZl!/ħg|/2vygk@3-#0YOPg+YDuefTP5UT|;XJ _5BT\ͪN&-GS-+r }c dܦQhnĺCn5y6o wʹC187Fwy!(k yjoBdr1^Ċ̞5SFCP{(5;3bŒ s:ۥq 9n^O,f1 Z3v&`󞀞WxcOk,Nnp̱,"M2aVVns{=k S)4TJ.ozqI'C֍ rJLܢOʦ} q-뮬 4%d ڷLǩfǣR:86= \,JQ\̡H4 ofɍ9C|'3Cd̚~3O^b]U[Z4ݡ L$f#8(T_ Akҗjt,*qWW7[Mg:8[7{wZ)yGp]0͞U1ۺKNFe&G]u-Jh$/Ntgfn1޴}?1VwS]](f[a}sW(b\1RƯb=f%@CQŀ^ *z@ K1V<RdY{D1@j 9%>;{ҙwv˾EXc7/(u!Ert#D%-{vp]n0I)qϓNy#恂S[(e!QmͩBW>I* ˁ<=%t_č8aۯspjw;s{y&?I8]םWʏ ?,DqO%8Grz!O5O=FS -բD †)LRRՋL5Ǵ׼pui/ b,kxWln3`an{[j)Zh //otU.pt}>983PKz߯]{5&weVaC]I7>{_:6\y_EPo_yUh_{y ~~I] »ʿaϵ5SBce#LO5C‚ߟ@JXvnzĐ4E@0}]av A>PS.)(ji}+H*E FEآ+.>#01`jV`$r`K8;I+!:N9 m'G1Ƭ):*6폓;ecF*$Q;*KI%MS:$xtL=i>gy%vϖ!/;MXg{.N^/3tٽKZ: gs>rT]2L-y81L(":o`x7 r#~4 &bqLEQ"E:XfYַ0q5d3j\Ҡ}j!n|$/Y/>HV.4OgE+&lQKX> cڜy̒BJ<-ڋT6ywT4]E}3l`xgLni+VJpA[mh`CYZڀx/&uiʐ(鑊r0r4)_"<id츥ά'(6uO=E"D_PY ]]л(5zwwI\;Ԁ $K潮"=:J6A55YnHD KBeM."yޠho0 /fxi^z` C3{Ҿ2 `̖9lрV qt1)G<{k~f| r [8ʉ!vFcTT- 54ݐ[_>uB4#F)bV*]7 JpBʗUAnUMҲBjcv|mMy*uU,G kAEڦ1E6x1Cl,.&z++ih -ۑv-% _S w%Bl`#(D,nɖ0&F< ,K+vr`Dٻg{Ӣ+A.IFT,Zo=tl?927ŷaW8iRR~;;#H/25^q?3!-$Z5ՋO(yMᖾ^YmiPwONOu=︄~+T7X#s4;QnUX7Oʨ#~ςE$KorLm4g ̝eC.M?1s|.Q17蝳t1_"#rнTNjwmrVe٩J;Pe\tEY>a EeA%5#UJumu :{YDM_m^ endstream endobj 3062 0 obj << /Annots 3066 0 R /BleedBox [0 0 612 792] /Contents [3076 0 R 3072 0 R 3073 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11775 3074 0 R >> >> /Type /Page >> endobj 3063 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug949-vivado-desi\ gn-methodology&resourceid=ssi-technology-considerations-aa579382.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111813-08'00') /Rect [439.789 427.55 456.751 438.55] /Subtype /Link /Type /Annot >> endobj 3064 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug949-vivado-design-methodology) >> /Border [0 0 0] /Contents (UG949) /M (D:20221102111813-08'00') /Rect [315.2635 413.2501 350.5295 424.2501] /Subtype /Link /Type /Annot >> endobj 3065 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3066 0 obj [3063 0 R 3064 0 R 3065 0 R 3067 0 R 3068 0 R 3069 0 R 3070 0 R 3071 0 R 3075 0 R] endobj 3067 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [90 604.1 203.3715 615.1] /Subtype /Link /Type /Annot >> endobj 3068 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_REGION) /M (D:20221102111814-08'00') /Rect [90 588.9 172.511 599.9] /Subtype /Link /Type /Annot >> endobj 3069 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_ROOT) /M (D:20221102111814-08'00') /Rect [90 573.7 161.687 584.7] /Subtype /Link /Type /Annot >> endobj 3070 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLR_ASSIGNMENT) /M (D:20221102111814-08'00') /Rect [90 470.45 216.2635 481.45] /Subtype /Link /Type /Annot >> endobj 3071 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLL_REG) /M (D:20221102111814-08'00') /Rect [357.795 470.45 433.5685 481.45] /Subtype /Link /Type /Annot >> endobj 3072 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3073 0 obj << /Length 19 >> stream q /Iabc11775 Do Q endstream endobj 3074 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11776 3256 0 R /Gabc11777 3269 0 R >> /Font << /Fabc11778 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n RUthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y@ endstream endobj 3075 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=323) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3076 0 obj << /Filter /FlateDecode /Length 4339 >> stream x[K$93R;Z-muO#!qXf$²Z |~Uݽ3 TNլͬޭp_\?}1GmPZU/ZI} mO?c _DR aڸ%) ~3kFj׿~/CjE&&LHTfӄ!-Gx:b\oǥ>|!f__;2@7QA>v#)w=;ӵ68njl'|s\y[nkd){c ~p5{bcJ'nU,^Ѭ`U&6M,x/|d߅2^Ȍwi]۾G6剥kivnH}61{ޞE<{nǶ ^:@ 4x)TxRs@Т .TLjdrE޵`vNT>8q13aTY^*F;{RɄalEqtcMwe}(>m|P4LUJ9Ixb/e@1\JM_P"X_Q|g!ʟ,v^,bB LDumܷYp7 &e@+A:Ss ;ZŁ?kwuU}[]:,kOQem+P0"RP4 @V8Tԗ)Dދ7e@YqI22jv{>LƦ"؇t'K9<̘؟ Ě"9{QI3kq)LlʅS?`Sx=gbT!d+6Sx6J87{17ݦ&mYJeo o mb3-ث3rPhizX*{'xjrAe3(:vR 4zg,AYYd(EAeN.)BȅLnhtbu/  :LЊi?בG';2}I/ ~P2=.N+GSt@`Ml R1gsfg'0?wl-c@ČIx wV{$.Q$/Lo;ɖæ!llhac6QH_@K_9Y~½!JsMI)UY[7[Nw5;%]ҋS0Л7m6p(qNcf+mA[' oQ=TwRe݈?憨sk4s6{[l-mjcPy֮W?^•ĸV}Fs&DBDG/Z[KE' E?B$! he]f2%W/hHCigJGhXB.M4MцG 8$ / =c:<0^Y>:Oa]C#u+O&"@1Ι8kx,e ;_6XQ-C$+1ĝpP!˩Bv|H%}%jyer7M-x }o [xnLJv};[n`f.} f^D;شe1jDSGX(~ΰ(C{VbDL5O+zAݨ~mGg,Vc641|·W{'[j sVRBlʎ=|J{ddmbw[[ETI/9 B4|W7'h3yvLzG#Z~#ͧHҏezӊ~u{r˽9UN[B So'r|Uu;-F<O褼;+n3}vmG%tfZŲz+|KZcZƋcz#(UWOR} OmS,‰~ՊJR+;8I(x\fqP-R8|BI`QW'=aOsB]Ƭ)ƶK*9n%>O@Ν7jϛKoK1Af"B3]M*pV9K0?[̼qUѹ;<[:`F>q,kP{LcnW1ó:r5Lˑ3^&Uƛ-FGgo4/Gހf~X#dKRKEfe9vVb6r\a_a/uK.wX,YO6y:+Z1QkS+d5VBA%BKr.Հ튑 QiOCƣebB Lқ (HԬ;9W ˆԌQ3`ȚY1 f,4 kږZ(=?B4C^2i[r.' /(pdhs؜4ݶk93$kR6}*;KOgN0`۾ѧ&gfq rck^w%.0Z7ᴜk)-l9|[  JJ(Elqcn4˃U7Kꦣ9P<]߳=i1 Kp@xJb}Kt(ggNp1L Fy*6W!Dwod_p@+ Z:2!li;߽:;h#v6iV;|BH/?~Sp.;΍2 ?4;Qx-Ӱ겡k#]01Ribk_-f yQt&r [H(B.K|\Bk'A\r*> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11794 3084 0 R >> >> /Type /Page >> endobj 3078 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3079 0 obj [3078 0 R 3080 0 R 3081 0 R 3085 0 R] endobj 3080 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLL_REG) /M (D:20221102111814-08'00') /Rect [90 170.2268 165.7735 181.2268] /Subtype /Link /Type /Annot >> endobj 3081 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLR_ASSIGNMENT) /M (D:20221102111814-08'00') /Rect [90 155.0269 216.2635 166.0269] /Subtype /Link /Type /Annot >> endobj 3082 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3083 0 obj << /Length 19 >> stream q /Iabc11794 Do Q endstream endobj 3084 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11795 3256 0 R /Gabc11796 3269 0 R >> /Font << /Fabc11797 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n RЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMD endstream endobj 3085 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=324) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3086 0 obj << /Filter /FlateDecode /Length 2742 >> stream xZK$WPi)􆢠`a==wvXzf."$e*]k0MRzD|V6O*?}՝fu:[&:꿜V~^3duJXrлd[FܸA;g\CsP?׿a]kž"~7FOȘ ƜgsSp%Tޕ0S;2/F`rv<8? f2Sn9T^򘽱[.I`l,Yf N/O`+Gƚ%8( $0mv6t 3SVQԁs)T{uf;_}EݥgE؊~~MqW8hj:8Y_؝J,'0#xvqn_8͛]پ3uHܔytaW՜BMX{^xHUɘs׌HD5Ѹ#DA|⽏(A6edUotWqc^5{(%r<*{.GRM4)7q`RܩU5AQP+̦#3k㦕9Tg굡 sh\iD@q/1>]b9nUԲиA|vZBCmM~vV<׀|bi4KRY>_Y޿:쌮ؙ_ָۙl.v=6GVkE p%k6z&pW 4DMR؏%L+3"i[͛ %ɉJk. uHmScOvJ.!I˰Bj܀q]/$%x=˅U\qꄹRG^-oגKNva򎆶W>huZ@P-|d )۰mѼZɊm G+{%p8nnzo1Fh&VoWb07iGƅTpfF&9?| ڣbG_@u㇈:P6AR!"䥔eB!c1A lXesÝzWwۑMg쳖\&{VmإV lϑgVĨm!Hb'JUZuL~leIIﶔwϬ^2/RubZzx8&GѾ7~BSH.:n\r*ãc=d$v3krB,0>ͤpS*g G 1Nj_肧}%ܽH D*h2&7Gڮ &ȡ!<ȑS zur {E,%<#k\J }[܄$%#;"XG>Y8@uC5JIL͘V\C.Ϸbw+*1Җa G˓FP]ACSB ʔ\Qpx AV؀g@{?eP%`sl,7k^aGu)ewmE1q&j"GKܢ9/j8lV>=XqVT\(Yr&̣C}zeVlyvdg/7o?w`W|U'<Ȱg )k"A#{j6`*g4uߚ43bv|/~ɍ40rtfinߛ|;Mҥr3l@6qI[TT,*TM m&}+?+& yMyL d3^S-6jgܛQPW2^/hgSDh8NMG?1BY/!$O+2naNеS ;0>2#* UeDY!PDZ9:G(G xG\tv: iT{ˬ)ym 5.'+˵a=Sv7̖͒RL||-3x֯u9}e]zbXأk•c+_d~ʜ]McrOneb i ?ـ swws{I쌖?0b 5.LS#U1-Ώ `o[wTj&Y\;Uغ=747qe+Ukb #¼d8`ξunYZ]hmQ@m7bDW7 /d.ˡ̳!sǔ-,T% hCF`+olR"~B9`gU5;׹e endstream endobj 3087 0 obj << /Annots [3088 0 R 3092 0 R] /BleedBox [0 0 612 792] /Contents [3093 0 R 3089 0 R 3090 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11813 3091 0 R >> >> /Type /Page >> endobj 3088 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3089 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3090 0 obj << /Length 19 >> stream q /Iabc11813 Do Q endstream endobj 3091 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11814 3256 0 R /Gabc11815 3269 0 R >> /Font << /Fabc11816 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 3092 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=325) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3093 0 obj << /Filter /FlateDecode /Length 3550 >> stream x[IGǯұ/4tUu sI30-t?{dR%3rf|-R@|Q6ɤ "Xi|y?>j]V|=(eӣ<EgIG):570ӺOb3/ Qhݙ$wSsV|H.kkSQdw3~d =P)S%Tr2ك͗>T,pculQ9e#?a1..ShR͓yCL9z"\Dq`čĠ&KI +0XEbx%`Rp94D =i'In.%dϝKh&;UgwZA]ag[zYўt!1ϤT_["* U|IWۇm\^= ۄI!1Wuv P-pH(X \%0gS­]oCB]S!bX͈<<ŀ1WƯP(?WQ3$tP- ymU3]Ts* L+]HWPpӔs s!T͘"jXRyp05X|T @X'cLl@͡X&L[NvSҾ+4jaΪa_b1t "ת!@ 8 &jޤVRq#U=(ꉎ + *Q2(tJ/%3C#D9 6۬ݓ ]|Qla0DRg3,s¾(nUfNM,p4#0v6MrQ@unuKgd6;8' S&_/ vRL 1 gZ{]\(kNi-룻oF%fT({r6Sr aVݜgkAƄ鸉L1AQv]<,f8s84­p(d)6esn<<҄MWB+f+wj1u`խ&se.^R0ܳyk)%W3*bs3uVt]1 lHl.Œ[;A͐\lPs)ծL|5XYweYvn2o'U<#Ycʄe#(z$L , vvm)S .gc~̍˪2BRW:֫GHkVn ݦ]r0;7(~Mk)Q9ft6٦Dmsߎ@Uy2֯KUw,8٨Lzd|g"Ys(V[WהX[/H7غjviΥ;T[k\R8q0InAH_32k:)2j\ۼ0>Vi.=K͓i&Fa Nn̻1 0[z}STUk:ud4}*&8h.k'DC}1w/g<<=OPLWW\(Qh<8,傎Ěz i-7*YD'uT"ΪUw0]CJ`̂Şa2Ԇ%{%QZ6A_|T$S&6de叫0CLB=AzKjU?2SOZ-/'5wP+?йWu"wj7ݳK]oKCz|3:k+Y_|*lϖxSc| Zcz X#%^HI3AJCTj{F:u!'~BLV55|m<_JC{SutͱŽ1\־.Yk3L9#9U>k<^ʠ;WۺW]4ȳqP+9 G-+Y8+),v.Oo=bM>Ey &^|l]=E8Xsђ/f)Lr7+}EA$l))րM'־ 9x'X O n+R)O*5J?GQf )~G-&ɡK»w0p)l z죄YHԑzy#ѹM6WD,z]&`(j9^EFJ҄5+ !_}pY g$D\GhP '>hHsgY"[ɐgX%d LGeQ{4? f?'fДqA.!v`M=x<Gʐۏk OV("0hT(Eh S, !7 6`Z- vDkK(Mb!)A*r_=~7+7I%KmgH࠴gRP1E| qҤ^a1h>% #@}i:i1Aorέ7xgٙ HK4C'eߑ>^K;/֣Ru|7LN]!=4w~p4aɡ3-syAI]$5K$hv'>A V2NӿrC.6"?}@e5*#Ѕ62)f%7 TSƎ9@E3B3 endstream endobj 3094 0 obj << /Annots 3100 0 R /BleedBox [0 0 612 792] /Contents [3107 0 R 3103 0 R 3104 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11832 3105 0 R >> >> /Type /Page >> endobj 3095 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=am003-versal-clocking-resources&ft:locale=en-US) >> /Border [0 0 0] /Contents (AM003) /M (D:20221102111813-08'00') /Rect [352.8337 536.1 389.6342 547.1] /Subtype /Link /Type /Annot >> endobj 3096 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?isLatest=true&ft:locale=en-US&url=ug1387-acap-hardwar\ e-ip-platform-dev-methodology) >> /Border [0 0 0] /Contents (UG1387) /M (D:20221102111813-08'00') /Rect [447.6592 515.9 489.3052 526.9] /Subtype /Link /Type /Annot >> endobj 3097 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug949-vivado-desi\ gn-methodology&resourceid=designing-with-ssi-devices-aa427406.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111813-08'00') /Rect [412.102 251.15 429.064 262.15] /Subtype /Link /Type /Annot >> endobj 3098 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug949-vivado-design-methodology) >> /Border [0 0 0] /Contents (UG949) /M (D:20221102111813-08'00') /Rect [281.5925 236.8501 316.8585 247.8501] /Subtype /Link /Type /Annot >> endobj 3099 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3100 0 obj [3095 0 R 3096 0 R 3097 0 R 3098 0 R 3099 0 R 3101 0 R 3102 0 R 3106 0 R] endobj 3101 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (RAM_AVERAGE_ACTIVITY) /M (D:20221102111814-08'00') /Rect [90 464.4999 222.88 475.4999] /Subtype /Link /Type /Annot >> endobj 3102 0 obj << /A << /D (unique_62) /S /GoTo >> /Border [0 0 0] /Contents (IOB) /M (D:20221102111814-08'00') /Rect [90 279.75 108.997 290.75] /Subtype /Link /Type /Annot >> endobj 3103 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3104 0 obj << /Length 19 >> stream q /Iabc11832 Do Q endstream endobj 3105 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11833 3256 0 R /Gabc11834 3269 0 R >> /Font << /Fabc11835 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wH endstream endobj 3106 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=326) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3107 0 obj << /Filter /FlateDecode /Length 5066 >> stream x\I%ɑǯ }_ I\@C tT3L_33byY٪J狹GQFi[鑊U_gT*[#ԟoPAo&90ÇeSV['#/oX>njopLR)_E,/ڄ֯':ⷀw $J㭏 <"ϾX~"cܳ#yd 3x~O/q+IZ-P_LKvFz>pWB~q2wm`6wc/OI֦>:NGs^rߔ()cWpIF:CL}9']"VtS_ ƺzn6H/Gb>ot\X6U0"|8qyO/ެ0+m@ 8aQByTALVvOhg޺We{jfu^pGxaoDSrJvm=Z˛?{*X I۬)ѨYY=~R?yZįI96&}[7YUU%6F!ˉc+OƈxTAl وIn"\b̅E Upʈfuǥ{#o),GHt|61> %gL:͙m~% U'ɉYH3 s~ZV%zfg:h1CM-g蜅<RާqU7oRBhf#uɽ<쌊3-v:7o`a mztKS'hk:;]}T T~<-:3qxԍ'*Ty|iXxzbr=Ovf˒bCAX;85F+3AVk ^d,CD{GXNz@T(?^1?wȂ}s|Gщ6͹TI`Y'(w.v+4ͻ6_l~CYpxBE"|_.u"ɕR} U`Wi).mRܠ$ȵ: e҄Z@QrJP*w0 E2#7|HtQVksjyTW(#@8-Yے䚼mzyN(m:Su'HzjNÄwtejX=%Q:>qݪW=λp~\87JH;"+\;ivfgl!\V}/t~A(GSl=cvul0{=kU9pcqCiNGQ&#lJGvA0J7ecG^El`x7h;<&+ مnڗt c0m xrqTݩ^wqd܄&GM8+28"Lkԓ1c;o;,UA(w$IbSA5Ѫ?vgZ]dRMH}s6kgs.`&뎭[v>u~F 0ꠏIjUy mTf @a݅]fvN|Tv|2\#ΤM~U/'gL[v~G:HRJso]tŞ )^ .*Pn sttBX 8&3k^QV1iµ1)C}ԍLi.SYFR~ z ři tB3i Z#0O/oPPa+9CgqLŒ),>n0ƚ-My ¦>в^tE 'DIhGfJ 6udD u Ot>T,BEAxYYeh}8]gDЛ5Gkּl,{v˗ՁZæs/0Wbiڵ8Dׄ5J9:"XF5C{KmR .wc7`CbJR3. Tv"-}jIОf߀zd:!L 1<}~V?N7X@@⫸y.i]pLo&# Wu'\1 _H ֓{渒!h!NR& 6(hK7r˛rGxM8wy'|۪ERm~\U|,|yN4ʈ51VSZf*wG[=ug-Jt+- %=?\/tH &&.k+6KcY2;EHg1wB&5պOʵSh%<):te91;2QBv66g%fy`$#l CcwwdSfp -1xLS_O+2 DuOU_ u0;U}sfιb<tqa%L}f٘M]ךe4ƥGWd6WiZAL`>5;D-|j1?^ .sMQ4!:"ŖǾ&^XW3\97E{h`֝DeH=tꋴ8WmIukgȧgb4DέtF&E}"WrG~t[{^#愍Fj3ǬݥꩌSdǢ|qaxNrɈg}XhΌ*ݍ^ɹn3h8Kp!9?uj{y7}v X>w1!nfRcu7=(MDD[-b]@ [|ʘ1ځ=yg[|;%'^EEW]Ut늮HE‹ .C=dv8ytIH.:J.]^]b洷vY9jtN.1l'pbg#%-G\#bsV xNBvs1]tVsZb<-C]n@xӽqCd[S0.3j bGRj/P>w5;]Hz!6fHtftHV%Wim/|2c270CmZLLS_ W(hq&MhQЋ}S\;TLzLTk}ѤkI ^`3<P~׻PB:tMeJ@JN;8UW]tyro`TLp~TӇ[Gq^M-_y d KD8Ke>!jw AQj"Lv41/Di5x?fʐv+M5AsEx3 R/0 endstream endobj 3108 0 obj << /Annots 3110 0 R /BleedBox [0 0 612 792] /Contents [3116 0 R 3112 0 R 3113 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11851 3114 0 R >> >> /Type /Page >> endobj 3109 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3110 0 obj [3109 0 R 3111 0 R 3115 0 R] endobj 3111 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (PBLOCK) /M (D:20221102111814-08'00') /Rect [171.774 631.15 214.212 642.15] /Subtype /Link /Type /Annot >> endobj 3112 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3113 0 obj << /Length 19 >> stream q /Iabc11851 Do Q endstream endobj 3114 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11852 3256 0 R /Gabc11853 3269 0 R >> /Font << /Fabc11854 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 3115 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=327) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3116 0 obj << /Filter /FlateDecode /Length 3555 >> stream xZI$篈R/tuw >#!f}/"2#{G]dX޾E)4bՇϪÌ ^eC/?Og9(cuN=g;Qyx:,X5;s ƺO#Ap~u͈ó5ld1{j ʚ[)~T+96q3(m\5qWS 79G*pGenK^`xv9NW?L?D>g4!ed>k ȔYZY)D.ŶcEH滦YqJUN&@Q U %8uqb5nh-HŶ>fd=.j#Ԣ,)WXUPVUUoCNܳ;iMULxBPJZr~2q@jdZT0*PXA|Y'f<(mdg}mcgV Po6ziggC)]M*M[SNT+g| \r8s[fCx (7',?0Pi跰(Nwy<2Eta +5GR2==O=D*P蹂9&`.eE}we smB\ 1(ez 5!agS$f; >)Gdt 8\0L!l:2` CtR, ЅsXʗq&EzwRcdEB7%^ WlQ?KSʆ9EA`@/- D@).~!`-/k@e%l˃i`N 0H8w5 &@ziB54&Wc5Z`anB`³q~eS[?zI}p\+퐛I=5eMvNkqmuFgrZzǶ'-/fv0$yrE횩$["zHk`ɎvG3XWuG˨0oQ;( f44|Zz*67lH>e7wĠ/r+)d&8# 0%v24,sֈ!$gi1uPЂvk _ ޫZ&yBmj\w/w\W_4 Ո!$~E=??BVWiW@]Ce!#6Q3ll~ 8uO/5R ,a:A)NнP8?b<и196t$gEc\-d[IZw}Ϥu$LM6;,mcu>fW3{M*!F]7*P BdvӁŸ՝%~IJBK]zi5Ai¢),Z`SF5ޗspJŊbmR Ნ>YՐFowx;fQRz])tsrx=4~K륖H6QuXV~u+=Ew6z[EP븡SC* UyoM}^&X)TC֖W6H2 ;Z1wK;qd͚q^oޕ$7܍.:-VRy.N,i<䵶(vD֤XTS7uW9D'at]o@s@KN[2slL w~\t$c/I{Mu HМĚ'=% '_]{oOբh?}/ WS}oU!K[qa]T42Nq;'wpLÜyǝx`~XCCEoJsT웥U1R]Hƕ:'Gn/!;bwZ:Z|ұ\dFM\ b$3"/FƖ*zKxP62H6r c.y/%`%Id`N)K0I{Ufc){ttunڼ6M:4Tr;E~Վ];O*73t!'u1{Op1M9^\Œ. aΥ2;Cژ~|k=n^ѐ,bBf=΁$)n/#:$g}8:_*p!Wx6-n1̮xʸfkɻ%o3X½opfy֦lKr<ު.`B=lqdI>N4r`E[pw|i$O0|~fAىh[7G@yiZmM}vehat@=yX}qiσ#hAKgh9K +ה SN3gK Nn_r# ц%I=0RkI#!ɩ2 ]` td츤)~.[#9PFU( >HBGU EzoAP) 6hot.ѱ~_5BNIH5l cwc;o^W2.`9fD(m (!ϙmCI= QhwKt$QqtL /hmvz/Rʁ! $h؀q41CNH=lпO71ʐ%tE<ܘE :!ϬXG:2@Q>SѰd&}j@R> xpr-2amcV.s- "[B4KMoZ,`Żk!0ZvM5[ _3f%Bڂ$4gWØ[ /eb AӖL(CoȫG{d+A.hm> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11870 3130 0 R >> >> /Type /Page >> endobj 3118 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/topic?isLatest=true&ft:locale=en-US&url=ug949-vivado-desi\ gn-methodology&resourceid=ssi-technology-considerations-aa579382.html) >> /Border [0 0 0] /Contents (link) /M (D:20221102111813-08'00') /Rect [439.789 483.9501 456.751 494.9501] /Subtype /Link /Type /Annot >> endobj 3119 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug949-vivado-design-methodology) >> /Border [0 0 0] /Contents (UG949) /M (D:20221102111813-08'00') /Rect [315.2635 469.6501 350.5295 480.6501] /Subtype /Link /Type /Annot >> endobj 3120 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3121 0 obj [3118 0 R 3119 0 R 3120 0 R 3122 0 R 3123 0 R 3124 0 R 3125 0 R 3126 0 R 3127 0 R 3131 0 R] endobj 3122 0 obj << /A << /D (unique_62) /S /GoTo >> /Border [0 0 0] /Contents (IOB) /M (D:20221102111814-08'00') /Rect [90 675.7 108.997 686.7] /Subtype /Link /Type /Annot >> endobj 3123 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (PBLOCK) /M (D:20221102111814-08'00') /Rect [90 660.5 132.438 671.5] /Subtype /Link /Type /Annot >> endobj 3124 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (USER_CROSSING_SLR) /M (D:20221102111814-08'00') /Rect [90 645.3 200.3245 656.3] /Subtype /Link /Type /Annot >> endobj 3125 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLR_ASSIGNMENT) /M (D:20221102111814-08'00') /Rect [90 630.1 216.2635 641.1] /Subtype /Link /Type /Annot >> endobj 3126 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (USER_CROSSING_SLR) /M (D:20221102111814-08'00') /Rect [220.7955 526.85 331.12 537.85] /Subtype /Link /Type /Annot >> endobj 3127 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLL_REG) /M (D:20221102111814-08'00') /Rect [357.014 526.85 432.7875 537.85] /Subtype /Link /Type /Annot >> endobj 3128 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3129 0 obj << /Length 19 >> stream q /Iabc11870 Do Q endstream endobj 3130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11871 3256 0 R /Gabc11872 3269 0 R >> /Font << /Fabc11873 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$L endstream endobj 3131 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=328) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3132 0 obj << /Filter /FlateDecode /Length 4632 >> stream x\I#ȳA]R`a >CbL3E*#cy%RWJ^6:FEM~iͬJ~CRU1/g;)m熏҅rdW)m W{)eSb}WZ 맿cI+/+9)'4oW{.]/OD?k2ӇN[m.*_h>f0;bGߵm?fՌŭ'oDJ*1_:I|{.J m?1ОMҟBO˿d\:i|Tnwh )\J^ên خq.ˊ;`/pu⒐H>h B_ h+MϨE;.uޗ7\oz>?k:`Zv0ZZ8Q4J`2GhU;/2aE*eQ4Q B U6ʖb3\Q;mf" J1f.{W1R ٴPtHh~TTz ^VSv"&[[C >PAgl2Cna%Y9"*?b$P7e2 XhB] m`%дl 65e"fKGf{Y#3Xd)$-OBYQz̎KF?oV+[m4үH'2v\ΙyK3 `Ub wjU"hz4 Z;YU+2gEF1U1mUC(ZO"ΩZjunN-cH2A5>B&V)D v A:30Ag ^;FZ?Dh(dҧMܽ/Ce@}1vǭ^{ >0 JItf G#v㓕mt\,c%pe "1'wWKh 0*JVUעm@6sJ/ww;j,7q A VQ P5ۆFߺwe. Ky~YC*;QcU)M{t@)8|љW^$pNp iqAtOsf`jk%x n|z6xzhn)&\!LnvHzaбUa[k S-c*z`-cktalBW ֌rLOy:p_ߘATur^N]j<*mw }T(As3 FkofN Jrd˔8s9F̘qH ,음&xVr_偟LJ$֬a3ƱOþrZ8ae{/R7x9U̹x~҂=HoAΫ=Bvp.U&kۡIwQx`beC]~9& GuVnw?]SFcXQMj:Ztw8@&6Fy <·ZgTQdB!"-SZ;6FxD*R"}Ԋ)EG.̞+!Rz͍@({k}@GЯ?f p܃Puz⧋ƲMK$\ }J4%Fџ]2TM27A*rц!7)gFLsL"XeJi5i*eȏbHUgrNXM3jpQ%CSzCD"YD DSA;Agݶ,o4q&om#V҄ж"lnT؁;&/-@Wæ^;\ȏ!**WR̬>jΙSvPV5"s[>G8owIVʨ:M_"ބ9~3a{&/ł6mA1&uZ^4 ÚJOD+uJA] OTV!i2;=y(c 4dr`% 6K ǰRDm ^gX:: z4ܥgrԘTmEZWl#֊u)AeTM*,ުoza'c?;J\n;r$s^}ݯ&?faOu|T4H~iG{1)675n: .J _8?WщmFxʞAޯ=.AToRyسiJ'9(_>XoO4sHWVwkϑNUva]O\Rj 3Wj sF+KQbDm4 [;4 Ik9 4CaNԷދUGk.VnI#i$]l=&u7T,2|྘ugHe:Yfw۷wj $Ѯ)N=ώ!۞C-f]nB) |Թv:vl( O@4s*1|FC!Xxg <6%'\4.7zJN_ 9¼l*W@u?!ϟSdKPmF՝x~@uobl '$LA+D 杳_t2@TlB;#Mf)Ysfj:Q*(wB9׎-gRؙjcLqye椼$R/a7nnY]_ў.!dftc3η'GkMqAyAWXSnt毟>rfM41-6jٱKߤz:xeIw7<KwҤG2=+>cyT`yGmBmQo0˻f.׹ִM)\~|ޖ~hǔ}t{7Ë8*\s1k_\‚B-EԶ+M$ªq&+RGg+.|k+YyL8Im+0) &0TmXj5M&jLphl;%/V0ra&A0,Ǔ(ʘ 5?x; JFx,rGa hv/KGE"ؠH)XgKs5NxYVvxF_GSR 7,(rэV6(ZmM3$~q<n`p͝eE"P}8fQxh,q3/fe673Ь~RN:57x)[^j%Zq~-']HB9Fh?5k)$hהRqXpж|_~yh/0-cT֮XZϠ\(PBإ#9mVp#{LT`4} -#j\ul)UD]Fۤ:t(B7е!`8O߄(2ۄY~fio˜Ĝdň> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11889 3140 0 R >> >> /Type /Page >> endobj 3134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3135 0 obj [3134 0 R 3136 0 R 3137 0 R 3141 0 R] endobj 3136 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (USER_CROSSING_SLR) /M (D:20221102111814-08'00') /Rect [90 288.4 200.3245 299.4] /Subtype /Link /Type /Annot >> endobj 3137 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (USER_SLL_REG) /M (D:20221102111814-08'00') /Rect [90 273.2 165.7735 284.2] /Subtype /Link /Type /Annot >> endobj 3138 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3139 0 obj << /Length 19 >> stream q /Iabc11889 Do Q endstream endobj 3140 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11890 3256 0 R /Gabc11891 3269 0 R >> /Font << /Fabc11892 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!W+E0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪ l?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 3141 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=329) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3142 0 obj << /Filter /FlateDecode /Length 2893 >> stream xZK$W輐zCUm0ކ=,>zvXzf."$嫪gSdfCjE;m+9.AV~_Sg'>k~|dm/ښ[ yMGk\ a>d \K͸ȴSWl`tbG\Ox YVX\Im# l>EӮt'Lǖv%z63x5T:x(L)>Kv#~.׭Wdlp*U"cD,Q%-=Tq̪I<`/8OH'ltӎQ9-KGCs |"+ b'7R;7Z`"Ƴc[Z{XmylYJMu©r~whE6zl9sѐ<̘堏rǎs{QM3cMYYSWɗMq!E#=,o^…{/]ԋtfMC!Ggǝ\MN㯚wixֻcP؈,O|ZҮ.-qd'ǞAUZi{XjAI^Ż[`w7!I3[݄9]IsPˋK9,ZNRGmLI:-|!ӥp~&-#)-vCHN4o3m7jd P>`t7Y ki% ۫yη9ĺ5I\clSr+ `[{oJlx=\mTC;kc+WYA-׵ح.uh* B 8s YDyHrlVjfe56*ѯÛ=ң0~U}PuSm951nCg߰Q_๜S bu"A~ @, O^{oJn??AjIZcAWF:oOD5U9:C65Pb*ʔ}\qW4ȑ4g<x@3aez нj؅xzM5J} w^ۑ"V^ n_A(^6Xsl 59,8O!Q h0Z3,3TZ\۳#:U#jI[Df[Fűj@}.@%/uKadّenll X4C$MjY0( -IJC--`e{J,fCR<8,'UPM-> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11908 3147 0 R >> >> /Type /Page >> endobj 3144 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3145 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3146 0 obj << /Length 19 >> stream q /Iabc11908 Do Q endstream endobj 3147 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11909 3256 0 R /Gabc11910 3269 0 R >> /Font << /Fabc11911 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 3148 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=330) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3149 0 obj << /Filter /FlateDecode /Length 3111 >> stream xZˎWp=j~B- @4E1hl9璬bIIQVE^ǹ/eߎXcFᐖ_sPƨRg*s A=Vk.e5ntzP .'ף:`j8a ^my7Url`j1R.,Ozνu8NΆTJrKؓܧR!O`}Z?Y=S6Y#C)+կTP?JYoJCFEfxkaO5%F ^@Uȓ\Cy7Z JPq[c}.mhL7gg~okpwޙ^vw. Z `ʱr}M/qYW]5j`n޽FCWpx}o@hlyPr_|γqzvf.FSMtiFT$>36Ȼل'"}V^.ٺہh5䃝uefc8+48ɳL/<`Ev1yp&DMb1<ZRfq~T? *<ćS7t6-:C,p Ӻ`}~mX_XGZ֊Z&9D&E }WnAmgŞ -ٕ9Aqttw O׺P ,;6_`325lM:,ʊ/lyTI>N2j4Z'g_襉<5FEw98DNPQ(ʼZ'}ΖHA2{:St֨qiϝ# ϘLf&5 YS;tS?AQtKhqO-3bvMg^%7Ose`JrK"R2F&-ɩ2l0tQ#IS<(z0RAɭ QZ>N*%+yW6$-k3lj>܋M?N.d%gs͉/ !PږPև@05O/I00 }늅w2N- Є(hmvz-eLj $i 6ir =xAb [alЫ8|FyT!ȈY*a(6L}=mrW7d AȣFQ>åc۩vbHej2 %Oz|krpt+7c l;sV.s{qCl ,M6E +_ Nh%Go5\oz4b\dB^[P@ҕod~pj{+aYذ/H,~rLϡ޽{ԛ&3x g:G+mSNdM4=>-[{2h?ip$ fUI^qÙ~8O^}ڦ/D I6,ڨh_>~> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11927 3154 0 R >> >> /Type /Page >> endobj 3151 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3152 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3153 0 obj << /Length 19 >> stream q /Iabc11927 Do Q endstream endobj 3154 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11928 3256 0 R /Gabc11929 3269 0 R >> /Font << /Fabc11930 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ =/W-j}'dH5aSq ܟBd#S:q|sLѨ\u$x2X4@wP"]@ U8Ρ\j'-:A/}& endstream endobj 3155 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=331) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3156 0 obj << /Filter /FlateDecode /Length 4268 >> stream xڵ[KWlL6]v/7`!"zWI-N| dkY57O.uRF%9'?OU]/ϳRJ$E(_d} ڞTI~/&_oR-:oz'Ԣ!#,$K$^o7۲ մg02HcUyA+uo/J7^{-]}OX2Xڵ*?~x^M&',ŗ =*).*c/=&qCBP]Vy}J]A˵^i'_\%HW.5 mrc^>?s+ԋ<>U^*^s::'g8m}RzԫC~3lkH۠զieU7"@3 ,>@0ˋ Ph!V))4I&Яzg5j~TZv3 hFLMj23Y]Щ6~c5Kk{9B\Ej^/yp%In] LԩmI仫v@1^t#a痘|# g;&JfL~WI 9>4ve(4(W Xj O+1ºJFq8UŠ߳lnSĴt)>G43vot+x|UM[S/\Um䷹hd]v3BUx,Mnɋ|޻x^Y?ĥ]uԍɭ6&z"vQ|ąbzq>>li&8+]%HABXh{wg)kPC\rL#ssIB>`n؃3-MyshܣAhWȀ=?jP5:،w6\-֬礖ԮXРO\A%8m~I+})-[Ui & qv+<Ę$F#KyPdTir覐˝] Gp8YG.WNxK(tP{mlvM0N3J?oVY++gj%.%&h#WxAp8U>w9ȉb9|,{' QX^Mk0Lm}. DMpeEO;YNF-MZ#h#סKC:p8֩D_ݘRPnhŀ{{siA^ ic2V0ؖ\4{sӜI;zHrNXGg~2Hg 7٤LW%oSv|eŒA;sM䮩a[j0xPG\ve.5%no}TrE<QA{ ٹ,D/Ƭm8jVVV`(Z*X[{\nV %S>.XTbX6^7Uzv+GlǙeHsE"nX*ӗ]eoV\)84ΦZ(2z"c&,?Mki(`߭8Ezv붵CKI'Hch$he c({eRK gHMġ0@KI"8o,g>EO珺hf@~ٶGawm \M^=D?p2>ON8dF@lK>vxtk>VgҕɊoJѱR:9…ޭvm5^<Ħ ;on Ӧ=sM+gͭPUBvxٱ0t~l7~}2fu7wV0?K/p;*n5:9c<)3v|gNm K!>RR Ziwc\mD*IzR yXZ8d0﮽X,H+ d#a1`@됖,&nZvJ~|NV6 WlKop ќ!=v"DžN Ԅ!v5*N,pI)X{f ;a GHn4XҴHNd▎nq{3ɂ#xVm@PcYh@~F<m̍f pIx21Lfrڜ{@Ì+1u^נ'ؘES<Х.YN`E G1'd53,S+5Zf5{ >3Y&4:A.ZOo[w.nq@ҿU&t(Ƶ~: ]\9*s4r(?肛mb6%sED{uAj֙d8+ endstream endobj 3157 0 obj << /Annots [3158 0 R 3162 0 R] /BleedBox [0 0 612 792] /Contents [3163 0 R 3159 0 R 3160 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F10 3308 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11946 3161 0 R >> >> /Type /Page >> endobj 3158 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3159 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3160 0 obj << /Length 19 >> stream q /Iabc11946 Do Q endstream endobj 3161 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11947 3256 0 R /Gabc11948 3269 0 R >> /Font << /Fabc11949 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7YTthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5o)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}>B endstream endobj 3162 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=332) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3163 0 obj << /Filter /FlateDecode /Length 2082 >> stream xڽXK$7WlHYl7`4`z 3"|UW5"3CR(ٯ6X$~bgX)ws|_؆`_>[9;l_|w>0F>F<P›Q-9ۗ> ?]fZ*ޗ}& pFc\wѼi`(cS=b`{9`1}aCzgVB; @i藍ӊwL))Dv PE0}QfKhLϹ{)u 2[ys:Bk3bAB]]`KV~nΟyX3鼧9:b<nM.sjv?te)LpE*GrVbi߲F[ky^sgYVgYSۀ!dŖ%\;RgΌ:V\TFdOx3=OȜcWulcc9Wދo* ,A)_Ys..^SbY3MgӳZbB11jbS^[6oc/@* E g̮V5\Su[cҀˋkb e_PN.ƒI RZDžk~D5& zrQ=+EB"dK;`V6"Rz}D%nTl)YP趴u3]J"fTAټ!'q;v\fIQ /eY#YbG-b;hHPs^Pڈ|h^Gx=9ʳ{f/wN`7|<eH &OhT5e>ٿ?4s6mQ`" i ![ >fH,~~q-0hA)ܕr+lp ! yu ̒eD$ȴYIY\!eQl 'Q>nmll3I Y%n@ VRAm.oꆚ Y1T2z~XVRe,=\O"hפ|6t%KʊӘF;`8lC^?\ Dȍn`2a%E8cϤO ?bKk'2mg&B~QI` MҪp7%%MX|ы oȥ=ѳl=W:NP E&gy!b>647̿ݵssݸK.UrUoN荏'}1M)' )::.cZʦ [&7~w7]d#=-Cѻ+` IJ[$B0Uf%KZXtcV|)]F:mbRn:X":/7}vUB endstream endobj 3164 0 obj << /Annots [3167 0 R 3168 0 R 3169 0 R 3170 0 R 3171 0 R 3175 0 R] /BleedBox [0 0 612 792] /Contents [3176 0 R 3172 0 R 3280 0 R 3165 0 R 3173 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F0 5742 0 R /F1 5743 0 R /F10 3308 0 R /F11 3317 0 R /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11965 3174 0 R /Iabc208 3166 0 R >> >> /Type /Page >> endobj 3165 0 obj << /Length 17 >> stream q /Iabc208 Do Q endstream endobj 3166 0 obj << /BBox [0 0 155.6752 96.8199] /Filter /FlateDecode /Length 800 /Matrix [0.58455 0 0 0.57839 54 700] /Resources << /ExtGState << /Gabc210 3256 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xmj-7 _eɲNBɢtYB!B(3ќKdˏ_/yH)_秜sm|ĵzXǀ~O!?ޣmgb$pN9gQو$q#-#B^uh@,Tz*#P&.-6BɊ%ʴ+Njiv11BZzTuWrVh-BLS.R *6~LҘ#p* hCBL 2WyL e5K"s μBV%"WNzI$^&1v9gc[(zT,ɘz¶ɭ\`h̽N;2L9NE7mϊW1d'^? \;G`2\ʜ=$c,8WW_& ! m#Hlܯ0ko8Yb= %՜}ӋGXP̈,6=?:A endstream endobj 3167 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Contents (Xilinx Support) /M (D:20221102111813-08'00') /Rect [504.4525 475.15 531.0835 486.15] /Subtype /Link /Type /Annot >> endobj 3168 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Contents (Support) /M (D:20221102111813-08'00') /Rect [90 460.85 128.5055 471.85] /Subtype /Link /Type /Annot >> endobj 3169 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=design+hubs) >> /Border [0 0 0] /Contents (Design Hubs) /M (D:20221102111813-08'00') /Rect [250.8472 196.3038 312.3757 207.3039] /Subtype /Link /Type /Annot >> endobj 3170 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?t=docnav) >> /Border [0 0 0] /Contents (Documentation Navigator) /M (D:20221102111813-08'00') /Rect [299.845 174.2039 413.995 184.2039] /Subtype /Link /Type /Annot >> endobj 3171 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3172 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3173 0 obj << /Length 19 >> stream q /Iabc11965 Do Q endstream endobj 3174 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11966 3256 0 R /Gabc11967 3269 0 R >> /Font << /Fabc11968 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3176 0 obj << /Filter /FlateDecode /Length 3434 >> stream xڥZKȳ4~@3eXa >==;, }Vzw( ITd1ԟ DoOg+mg.ñʧImC v ΪDT2ٕxC?\4E EV2[HپЙH y뒗Dt+Ά4]ӭ`L],§'c 5V,#Ɠ-Uc1\W`Nm=@7~}f*?Ne>q c {Xj5Ң~ 'ߕ%+}B"73UZ!R%k}qpLX37/#`&C\uA>JsսYoXT^>Tgn2H9@tR/H':GH7&!L۪U)¾%nADŇj[k=v6kGþ:vtʋmE$E B`uZ(AP~ө|T9ɵ:W@pdڶ^>ByA-lT6'.: _⡑&*Z-ψ@U BUcVKqN "-E!AgHZ[|-)"̰[_GntvٯzP+GR5\\[^FaOqvJ KP+N]0eF T|[5b3rPP4EG/$'t 9GJGpAT[ ['% j.C5'@rAl\WL8ẃJ5o`nՐ1y Wjyf^eh7>3Xߪ=旒c fkzA<[:1V =-T]۷ KZ@jРI jW*#ʨBsD#wlH֞ Tk=/uSW-#s߫]Ql?P\%T a{[v8iupx&sT f7h`6R@¦8>ܜGo{ {*DݜYki]jNTsp7AnHsPk igJJHUk2ZG,;NW8ͦ: /aRu-uiX MZJ;(&s6I„|kbǶWP[rfVЛnEX, 30r&*U~q2l#umr-u n>U>)iI~[un5ev*)n_f+Mv+w}>1pk&ް|+O˝M+;wgz{s~N!ͿF,ε>a) 0rۓc1lۮeiwyi#/>-oTUوvدոzF~xw+Ne{=5U1o{_6,Us b%limK_^hxC{|N+󀒮ޡ-JkNtS9gڬtO( A\Zx/!#{olN<#flM8QvfSeDƙb;値H@emtD"Ƀ2c"D\ ϬHYu:8/IeS&Xq3D5LkqְZ+zݛ1qE56<@`Y0[th˕C-BaF#ݠ7ŵn3TBk,c3&zW 9G..4yJFXy5f]2h KuxKYT*u"+xOY[K>jOkԆPMg ҏisV"(yhWƯiu{`"T-,<1E"q%EUC*tTV@osù:HmK>mQWd.y4T1\%TmƜM7 73UWPeL8Ev}5Ws( =E jH)aF2 UɢL&kщܙ}%ׯd!Ֆ`jS컾E_֭8ôC|;J_"zO ]7y2=Xks)΃Aה%o!B^5tCe##Z33xϗvG3 RAR(ꪞJ;Q΄!W uSYUaӳ/Մk&S~:UflJ!W[E~i6NL^'#Nм5tiʆR{ZT9o`z endstream endobj 3177 0 obj << /Annots [3178 0 R 3179 0 R 3180 0 R 3181 0 R 3182 0 R 3183 0 R 3184 0 R 3185 0 R 3186 0 R 3187 0 R 3188 0 R 3189 0 R 3190 0 R 3191 0 R 3192 0 R 3193 0 R 3194 0 R 3195 0 R 3196 0 R 3197 0 R 3198 0 R 3199 0 R 3200 0 R 3201 0 R 3202 0 R 3203 0 R 3204 0 R 3205 0 R 3206 0 R 3207 0 R 3208 0 R 3209 0 R 3213 0 R] /BleedBox [0 0 612 792] /Contents [3214 0 R 3210 0 R 3211 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F4 3281 0 R /F5 3282 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11984 3212 0 R >> >> /Type /Page >> endobj 3178 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug470_7Series_Config.pdf) >> /Border [0 0 0] /Contents (UG470) /M (D:20221102111813-08'00') /Rect [300.1755 698.9 335.4415 709.9] /Subtype /Link /Type /Annot >> endobj 3179 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Contents (UG471) /M (D:20221102111813-08'00') /Rect [324.469 678.7 359.735 689.7] /Subtype /Link /Type /Annot >> endobj 3180 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug472_7Series_Clocking.pdf) >> /Border [0 0 0] /Contents (UG472) /M (D:20221102111813-08'00') /Rect [324.997 658.5 360.263 669.5] /Subtype /Link /Type /Annot >> endobj 3181 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation/user_guides/ug474_7Series_CLB.pdf) >> /Border [0 0 0] /Contents (UG474) /M (D:20221102111813-08'00') /Rect [349.296 638.3 384.562 649.3] /Subtype /Link /Type /Annot >> endobj 3182 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug475_7Series_Pkg_Pinout.pdf) >> /Border [0 0 0] /Contents (UG475) /M (D:20221102111813-08'00') /Rect [383.099 618.1 418.365 629.1] /Subtype /Link /Type /Annot >> endobj 3183 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug480_7Series_XADC.pdf) >> /Border [0 0 0] /Contents (UG480) /M (D:20221102111813-08'00') /Rect [140.571 584.7 175.837 595.7] /Subtype /Link /Type /Annot >> endobj 3184 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug570-ultrascale-configuration.pdf) >> /Border [0 0 0] /Contents (UG570) /M (D:20221102111813-08'00') /Rect [336.811 564.5 372.077 575.5] /Subtype /Link /Type /Annot >> endobj 3185 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Contents (UG571) /M (D:20221102111813-08'00') /Rect [361.1045 544.3 396.3705 555.3] /Subtype /Link /Type /Annot >> endobj 3186 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug572-ultrascale-clocking.pdf) >> /Border [0 0 0] /Contents (UG572) /M (D:20221102111813-08'00') /Rect [361.6325 524.1 396.8985 535.1] /Subtype /Link /Type /Annot >> endobj 3187 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug574-ultrascale-clb.pdf) >> /Border [0 0 0] /Contents (UG574) /M (D:20221102111813-08'00') /Rect [385.9315 503.9 421.1975 514.9] /Subtype /Link /Type /Annot >> endobj 3188 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug575-ultrascale-pkg-pinout.pdf) >> /Border [0 0 0] /Contents (UG575) /M (D:20221102111813-08'00') /Rect [474.003 483.7 509.269 494.7] /Subtype /Link /Type /Annot >> endobj 3189 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug580-ultrascale-sysmon.pdf) >> /Border [0 0 0] /Contents (UG580) /M (D:20221102111813-08'00') /Rect [346.5185 463.4999 381.7845 474.4999] /Subtype /Link /Type /Annot >> endobj 3190 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug835-vivado-tcl-commands) >> /Border [0 0 0] /Contents (UG835) /M (D:20221102111813-08'00') /Rect [345.677 443.2999 380.943 454.2999] /Subtype /Link /Type /Annot >> endobj 3191 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug894-vivado-tcl-scripting) >> /Border [0 0 0] /Contents (UG894) /M (D:20221102111813-08'00') /Rect [345.424 423.0999 380.69 434.0999] /Subtype /Link /Type /Annot >> endobj 3192 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug895-vivado-system-level-design-entry) >> /Border [0 0 0] /Contents (UG895) /M (D:20221102111813-08'00') /Rect [382.5435 402.8999 417.8095 413.8999] /Subtype /Link /Type /Annot >> endobj 3193 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug896-vivado-ip) >> /Border [0 0 0] /Contents (UG896) /M (D:20221102111813-08'00') /Rect [341.794 382.6999 377.06 393.6999] /Subtype /Link /Type /Annot >> endobj 3194 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug899-vivado-io-clock-planning) >> /Border [0 0 0] /Contents (UG899) /M (D:20221102111813-08'00') /Rect [368.0895 362.4999 403.3555 373.4999] /Subtype /Link /Type /Annot >> endobj 3195 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/ud/document?url=ug901-vivado-synthesis&ft:locale=en-US) >> /Border [0 0 0] /Contents (UG901) /M (D:20221102111813-08'00') /Rect [305.208 342.2999 340.474 353.2999] /Subtype /Link /Type /Annot >> endobj 3196 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug903-vivado-using-constraints) >> /Border [0 0 0] /Contents (UG903) /M (D:20221102111813-08'00') /Rect [342.707 322.0998 377.973 333.0998] /Subtype /Link /Type /Annot >> endobj 3197 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug904-vivado-implementation) >> /Border [0 0 0] /Contents (UG904) /M (D:20221102111813-08'00') /Rect [334.556 301.8998 369.822 312.8998] /Subtype /Link /Type /Annot >> endobj 3198 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug905-vivado-hierarchical-design.pdf) >> /Border [0 0 0] /Contents (UG905) /M (D:20221102111813-08'00') /Rect [350.7645 281.6998 386.0305 292.6998] /Subtype /Link /Type /Annot >> endobj 3199 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug906-vivado-design-analysis) >> /Border [0 0 0] /Contents (UG906) /M (D:20221102111813-08'00') /Rect [443.038 261.4998 478.304 272.4998] /Subtype /Link /Type /Annot >> endobj 3200 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug908-vivado-programming-debugging) >> /Border [0 0 0] /Contents (UG908) /M (D:20221102111813-08'00') /Rect [394.682 241.2998 429.948 252.2998] /Subtype /Link /Type /Annot >> endobj 3201 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug949-vivado-design-methodology) >> /Border [0 0 0] /Contents (UG949) /M (D:20221102111813-08'00') /Rect [377.8465 221.0998 413.1125 232.0998] /Subtype /Link /Type /Annot >> endobj 3202 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug953-vivado-7series-libraries) >> /Border [0 0 0] /Contents (UG953) /M (D:20221102111813-08'00') /Rect [437.2685 200.8998 472.5345 211.8998] /Subtype /Link /Type /Annot >> endobj 3203 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug974-vivado-ultrascale-libraries) >> /Border [0 0 0] /Contents (UG974) /M (D:20221102111813-08'00') /Rect [290.0115 180.6998 325.2775 191.6998] /Subtype /Link /Type /Annot >> endobj 3204 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=ug994-vivado-ip-subsystems) >> /Border [0 0 0] /Contents (UG994) /M (D:20221102111813-08'00') /Rect [460.781 160.4999 496.047 171.4999] /Subtype /Link /Type /Annot >> endobj 3205 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/framemaker/map?url=pg036_sem&ft:locale=en-US) >> /Border [0 0 0] /Contents (PG036) /M (D:20221102111813-08'00') /Rect [382.164 140.2999 415.945 151.2999] /Subtype /Link /Type /Annot >> endobj 3206 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=jtag_axi;v=latest;d=pg174-jtag-axi.pdf) >> /Border [0 0 0] /Contents (PG174) /M (D:20221102111813-08'00') /Rect [331.443 120.1 365.224 131.1] /Subtype /Link /Type /Annot >> endobj 3207 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=ibert_7series_gtx;v=latest;d=pg132-ibert-7series-gtx.pdf) >> /Border [0 0 0] /Contents (PG132) /M (D:20221102111813-08'00') /Rect [504.319 99.9 538.1 110.9] /Subtype /Link /Type /Annot >> endobj 3208 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=vio;v=latest;d=pg159-vio.pdf) >> /Border [0 0 0] /Contents (PG159) /M (D:20221102111813-08'00') /Rect [337.229 79.7001 371.01 90.7001] /Subtype /Link /Type /Annot >> endobj 3209 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3210 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3211 0 obj << /Length 19 >> stream q /Iabc11984 Do Q endstream endobj 3212 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11985 3256 0 R /Gabc11986 3269 0 R >> /Font << /Fabc11987 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}KF endstream endobj 3213 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=334) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3214 0 obj << /Filter /FlateDecode /Length 3812 >> stream x\KWlCr0r6%?UER$[ݳj"՛ʟN3/_ek3; 5iq~ Jk+ׯd(_*瀏C~GxG8ku(Ϗ2`?m۽GwG")Q)w5>J"Q&v1S%РܱhtDPi"i3Hj~(Sr"ݟFmBL9eL5 ҫ!gY-g ^^AӐs4 r3=|P`ϏM?̹>[0@4LGB?,i`H` W0U4 (J r J*ZqyE<\A܇A8@aO52sY\G!"}d`wmx C&6Llhܦ_be|TI*ؓ 3M<|Y Jz`[x4]G9`l(8AvBܾLVse4Lf/T&~&~g & pҩڍUմuuᅲ6[mIH~S,=\Rz|I.+,CJ ЧY\nD4ʲYLL*Yk2sΏUIY-陂UѣTEJMXVP6 "-C#Dro.u yp DWUt5ƛHƞVhɁIWq1ZW"EqS͍ӽ3cYiܶJv͹jS3%eYsj^Xs@ i4#^iߦ5[ٍ/σdicYBJ5tg5Cbi+6uNS.tOXWP ujHa 1UqUy'86jibqN t ]]Z>7Tg1M%K8^ Q$vKsU9幢+휔?o+HJ;33v|Cz~Ccl*+w9J[j֞YU*uo< [~3a8zpK8?M\S|/f"_6-H]Z3Қ6CrMP YyZ8Tp}FķњŃk;aXd1 TVaeHaE!E$3("]Cm8лvOҘRSƀ&mJ-鷭Z@RoAir׀[r~!/k{fe_f Bp ^; ; 9sn Y\W}P8Ӽ*Tje<B@?%򽹯lF^U*6׊Z7mΐʘT|*;7Dߐ٭k[mt5M V/ tUnڧ.Ꙅ#%PX]mB)WQ*B1lm}v5c;Jx*\w ?|jr>RdmTr q0q0d#߈E[wJ9lPblC[W gpyLD\LT{ֈý1U;;e'--D;ȫchԕqy`2~+dUb(&̥fJaE\b1:i2fC BT㒋&w 6mUǣ^JVV4ovc.( -α% 9ʘ4SOGabep78>sZFQmTe=8r@D Ѧ^Qp8XӴq/IRRץt3y#LZ*7ud$8_wz.q8zRNúYc1rh/5]??_ho\_r"|V i͉NIz^l3Lzb@G~0[p`hl_E{G1/ovtҟ; F\5_);/O+~T+k;](t4)JUcFx*WI}W<=7y QyiG[l3 Ai25fewo;e4Hx^o$Lםgjͥ1 i$,H; hmCN\<~/ܱ Q.(:wgbF= LL ^Y<#й!.ChN4!"|ymŠ"`Pс#d0Fp)6-o ,'|0pE %d`,bǒV 6خO?()[6W|K'.M0(䛔ѤYA,KłZ%X0{ZRkCL[{ٌ,pEҰzZQ;7>GF3kC[ 'B(J#ېq* j0FZ%behr](Ke+J)_&zl85W+޻^9Zxzfbw]ZthߢGJ'H!Dz@Y ?ԁDĽIHAqiZPH(Ph"ӀU(n | \xcGuxj5Dkj]N2"# @vc#v52('qn :q}촿,2뉱( XC&Sy[2=ߺV@W,yb "_=m`RV\ S0Zv)F7* M HN .I&8Mo]SǑS*R}6"z(( }+JA]Piݲ GL_H(-hkJ@6cQBW@}-n-N3?XeQb& u}$L%DRFC 1*z(Ƶ99>3$8mpU*!4 gޖRH]^l뫾PGԧP]}=߾K_0Zz}M8]nrgzƿwV:XxTL1K.ru<1 ɻ s' }'oRAW6_iG S& d-3_*kڨ@] J(y\tYeK f;AhRK&iˈTj¢N5)y< endstream endobj 3215 0 obj << /Annots 3226 0 R /BleedBox [0 0 612 792] /Contents [3241 0 R 3237 0 R 3238 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R /F7 3302 0 R /F8 3314 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12003 3239 0 R >> >> /Type /Page >> endobj 3216 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2022.2;t=vivado+docs) >> /Border [0 0 0] /Contents (Vivado Design Suite Documentation) /M (D:20221102111813-08'00') /Rect [108 698.9 283.054 709.9] /Subtype /Link /Type /Annot >> endobj 3217 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=am009-versal-ai-engine&ft:locale=en-US) >> /Border [0 0 0] /Contents (AM009) /M (D:20221102111813-08'00') /Rect [312.721 678.7 349.5215 689.7] /Subtype /Link /Type /Annot >> endobj 3218 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=am010-versal-selectio&ft:locale=en-US) >> /Border [0 0 0] /Contents (AM010) /M (D:20221102111813-08'00') /Rect [356.1325 658.5 392.933 669.5] /Subtype /Link /Type /Annot >> endobj 3219 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?url=am003-versal-clocking-resources&ft:locale=en-US) >> /Border [0 0 0] /Contents (AM003) /M (D:20221102111813-08'00') /Rect [356.6605 638.3 393.461 649.3] /Subtype /Link /Type /Annot >> endobj 3220 0 obj << /A << /S /URI /URI (https://docs.xilinx.com/access/sources/dita/map?isLatest=true&ft:locale=en-US&url=ug1387-acap-hardwar\ e-ip-platform-dev-methodology) >> /Border [0 0 0] /Contents (UG1387) /M (D:20221102111813-08'00') /Rect [451.486 618.1 493.132 629.1] /Subtype /Link /Type /Annot >> endobj 3221 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=vivado+videos) >> /Border [0 0 0] /Contents (Vivado Design Suite QuickTake Video Tutorials) /M (D:20221102111813-08'00') /Rect [108 491.1 331.839 502.1] /Subtype /Link /Type /Annot >> endobj 3222 0 obj << /A << /S /URI /URI (https://www.xilinx.com/video/hardware/design-constraints-overview.html) >> /Border [0 0 0] /Contents (Vivado Design Suite QuickTake Video: Design Constraints Overview) /M (D:20221102111813-08'00') /Rect [108 470.9 434.414 481.9] /Subtype /Link /Type /Annot >> endobj 3223 0 obj << /A << /S /URI /URI (https://www.xilinx.com/video/fpga/7-series-fpga-overview.html) >> /Border [0 0 0] /Contents (Essentials of FPGA Design Training Course) /M (D:20221102111813-08'00') /Rect [108 450.7 313.007 461.7] /Subtype /Link /Type /Annot >> endobj 3224 0 obj << /A << /S /URI /URI (https://www.xilinx.com/video/hardware/working-with-constraint-sets.html) >> /Border [0 0 0] /Contents (Vivado Design Suite Static Timing Analysis and Xilinx Design Constraints) /M (D:20221102111813-08'00') /Rect [108 430.5 456.6175 441.5] /Subtype /Link /Type /Annot >> endobj 3225 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111813-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3226 0 obj [3216 0 R 3217 0 R 3218 0 R 3219 0 R 3220 0 R 3221 0 R 3222 0 R 3223 0 R 3224 0 R 3225 0 R 3227 0 R 3228 0 R 3229 0 R 3230 0 R 3231 0 R 3232 0 R 3233 0 R 3234 0 R 3235 0 R 3236 0 R 3240 0 R] endobj 3227 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (USER_CLUSTER) /M (D:20221102111814-08'00') /Rect [93.5 306.475 149.7734 314.475] /Subtype /Link /Type /Annot >> endobj 3228 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (RLOC_ORIGIN) /M (D:20221102111814-08'00') /Rect [93.5 291.2749 145.7266 299.2749] /Subtype /Link /Type /Annot >> endobj 3229 0 obj << /A << /D (unique_8) /S /GoTo >> /Border [0 0 0] /Contents (Netlist and Device Objects) /M (D:20221102111814-08'00') /Rect [93.5 260.8749 191.4609 268.8749] /Subtype /Link /Type /Annot >> endobj 3230 0 obj << /A << /D (unique_8) /S /GoTo >> /Border [0 0 0] /Contents (Netlist and Device Objects) /M (D:20221102111814-08'00') /Rect [386.5781 260.8749 484.5391 268.8749] /Subtype /Link /Type /Annot >> endobj 3231 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (ASYNC_REG) /M (D:20221102111814-08'00') /Rect [93.5 245.675 137.2031 253.675] /Subtype /Link /Type /Annot >> endobj 3232 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (CLOCK_BUFFER_TYPE) /M (D:20221102111814-08'00') /Rect [93.5 230.475 172.543 238.475] /Subtype /Link /Type /Annot >> endobj 3233 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (EXTRACT_ENABLE) /M (D:20221102111814-08'00') /Rect [93.5 199.3473 159.3711 207.3473] /Subtype /Link /Type /Annot >> endobj 3234 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (PULLTYPE) /M (D:20221102111814-08'00') /Rect [93.5 184.1473 130.7578 192.1473] /Subtype /Link /Type /Annot >> endobj 3235 0 obj << /A << /D (unique_154) /S /GoTo >> /Border [0 0 0] /Contents (PHYS_OPT_MODIFIED) /M (D:20221102111814-08'00') /Rect [93.5 168.9473 174.5078 176.9473] /Subtype /Link /Type /Annot >> endobj 3236 0 obj << /A << /D (unique_156) /S /GoTo >> /Border [0 0 0] /Contents (PHYS_OPT_SKIPPED) /M (D:20221102111814-08'00') /Rect [193.0195 168.9473 266.8438 176.9473] /Subtype /Link /Type /Annot >> endobj 3237 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3238 0 obj << /Length 19 >> stream q /Iabc12003 Do Q endstream endobj 3239 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12004 3256 0 R /Gabc12005 3269 0 R >> /Font << /Fabc12006 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}ܫ endstream endobj 3240 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=335) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3241 0 obj << /Filter /FlateDecode /Length 4890 >> stream x\[8n~ׯǫ(PU'@<$>y`%?$El{uvT{EE#)ٲ.Tw.+MKdHR7E˯VK^~yR:8Y~77sҗIJTJBk$|" pgn_TP'|*׍yZA۳4|2? ?r{,6I|zieAC9˃Q~8LǟCy<ːxOeпebW4dHXиH Q,ȎNO JScɽJNKFDkfKV;#gI`tIFAީ4D[z A%‹!3գi9<'ހ ݷ0x\&r\O6٢^ ͵E 2+M䦭`]l|F!z\;{3$6:Tz/|Qf{{ڙig~u$W,Z$Zi/"S{3W;:KugF1mWzԄP.p,B0 W_eZб&) :_@jrf5:VUp0%WQ*<LIIa2RF eHZmAy^ @<1C6hܠޱё!2c ꃊ(U*B'zq5RUޜc] 3:d6^qx7iEzN+mr04SXC..S*Fl<g%+NxPĭI yFz/ lO3M ؿٜŰ"/,ƬVUй:&.گ4ZZ1>)t'h2^-DtWږyx:W2^3羺f4o2;ysxcklsn6gV%(ǵsdrZ%q: x`d%J{[cYL.e~blt0?*XZ.5V[bXsE^-vc9OTp5'(D0\cpUgO~qVQ j;.U|2zܺr>,y>]G>;Vߡ3 ؂a@.+UTSRCJJw+UP*4;t(*"8>$#8ZG0EᢔK2!ƒmL`5k6. ^DZc2%]0򀑣GFƃeh畠*zIơY:㑏Op|V^巔(cɼBAl=*W}aXԧnf5lS<g%1)uvtɘV/2e&\#/l~A0`XWǎ6_+o_dK[Z(BsVRK -ڱShr1'e|ed="N!x;+#VycwˆCڅfF.ӬqMM ߕH6K*O X>'ߗUeYܞV:u*b,v}#@K%_y9XOd2ҳ=GmX/+c/#1]ZC[z޸-h-QzxVF4Ɛ %*@ oe}ՊQrQG7{9PlL{5.i`Sy;X_!=1yo=EؠxHD98v km|Ä~#N*3%,ƜWb2܋j/=qBlz5.׹sO;nJb-es]n9Ӝ H;viv3ӑH!{UK 6MݲpXO2N l>Oy7__nV iڌ"/%Ɋ. YMݢFw3LG-/5|;`(IhOBBYH  w)aYeN\Ȕ&"څU^pԤVf5;!H<J`?(fԡ'$zCm>s,ҹ2j^Ԇ#(?$ƂY=fj~kGG"FuD)p5.ZAzfWvZF)RS|n fD]U&* 4 zfb*p$Ѳj IFdl'ĵ6Zh/j7[R̊VZ?W޹,g2j2nFۙ.вX7#N4O9F!8DMNp43ġ߿"XyZ^ӌd-Ģٰ:*XcLhU D0)"4g? VG ZQkGᣮ>#!7 \\MoQH\dL|TшR N5ģy(ݛjZe$c|eW_9!?Wn&|KOۓ{[]^S#SC J /JLP@s<'yz VOŊ=xY .i0bPPq)כJY@('S & W!L<n!& O$焧Ca׼FpT޼<y?&Z(Ko|Xՠ HYl>G>>=>Fs˶VO} $.N ɤP\ 0|G+R(mW ^+i-n;Z8I1,1*3h=4R`M;@.z-K٢Q6CW4VV(V ZA|y2{i Ylp9#(Dו;REG@䑵s,/t ~CӫMR5dyVA;`H.' DpD> Zo\:EV=\Zd䲻J[:M[ɁFty{u^YCY3X9L6/1tF\lTtYlex\u0I-'N-u2ղ[f^ YGv D2g7+y©!̶NOg*&n-Dq3j!8E֙4"l̳MMrG`; Ad2 7PcJ-@ \V˩:p3~HP+Ѿ8HŸ %FX|`ؠEMi;X(ޠXkxU6CQRWx/tn3 Ӎl4/& :{6ȳG:J FXW>"mp+R}\_^g&[M0Ue%L NSlg EXG׃,p8<6z윙6Go6)KmG޾jTscq)aªzPn5kzkuE)^/pGMxzxD5@} v 4F[G4nK3HgU 7 $x68F)[xDWm?h=̺*;f0z?vW%Pw_]u?KnI{ ŠϢj. endstream endobj 3242 0 obj << /Annots [3243 0 R 3244 0 R 3245 0 R 3246 0 R 3250 0 R] /BleedBox [0 0 612 792] /Contents [3251 0 R 3247 0 R 3248 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 3324 0 R /Resources << /Font << /F2 3252 0 R /F3 3253 0 R /F4 3281 0 R /F5 3282 0 R /F6 3283 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12022 3249 0 R >> >> /Type /Page >> endobj 3243 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (https://www.xilinx.com/legal.htm#tos) /M (D:20221102111814-08'00') /Rect [501.257 434.6501 535.995 445.6501] /Subtype /Link /Type /Annot >> endobj 3244 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (www.xilinx.com/legal.htm#tos) /M (D:20221102111814-08'00') /Rect [90 420.3501 236.388 431.3501] /Subtype /Link /Type /Annot >> endobj 3245 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (https://www.xilinx.com/legal.htm#tos) /M (D:20221102111814-08'00') /Rect [154.427 363.1502 335.553 374.1502] /Subtype /Link /Type /Annot >> endobj 3246 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20221102111814-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3247 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3248 0 obj << /Length 19 >> stream q /Iabc12022 Do Q endstream endobj 3249 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12023 3256 0 R /Gabc12024 3269 0 R >> /Font << /Fabc12025 3268 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ- endstream endobj 3250 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG912&Titl\ e=Vivado%20Design%20Suite%20Properties%20Reference%20Guide&releaseVersion=2022.2&docPage=336) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3251 0 obj << /Filter /FlateDecode /Length 5094 >> stream x<ˎ#w~E ( hJ v>{10s2 J5L~1R˯Y4]-cf ~t'uk?ʩί䖯,jr>G'ϟB|Q% >rկ`}啃u!gvWqZnԩ@志^޾_+E|[メw?,?/z%%$.5%Rv_Z.%5췀zF@MG%YMJ/_YD {D)+,qץ1cB\mV\j릈dl`u/m 4cd\RxQv1Jb٠̡hҒ p00H7Fxa pLq0[J|9aUaQ I& K^x$ KMXMb` r4aV^JDT!iKE1׶!ڪICE)fZc X W x%J^#_- HT58HĴB^^2G_DLVR< 4q4]XMay>vIVϊL-ib[cJ%/UXy,ƼB*% 5/`pZUyI ]<,# RX"HDv]thV]鏘::\^m^зB*~BGD~q$/h70BxOECx,oxE,-ʚ4cR۠W(ĂeslZm:IHti2s}`Yݴ($d4O}gk!N?|A1Ī{:XdnM%s@\KO$,hHRL;yﮂhqW 7ڱɮqL4[h-|Ŧ[3'S{iɌm&3ٓfb? Lې}2$El;je<0B${:kyMc<'RJa߽a}YJ>a80VV oO-& TMt9E[Oq*;P9 M"`12Q" Ǜ{( M1߹Z-y+0ƭ] VS .x4'C4H<&n9f <\@}HU1Rc6Afɑ)i>q{SJ|zxO˜-y>*RGxL)ǖv7K +*ʰ9ةFveqIru⭄|FhO'CCD%Ir wsn q&WQXN|"] ;7)IW a5[lXBt)`?CI3e}Vi:2xv_\#IF#M0;n俺&KIn-m&YHF%E܈5"ams5 NS? R.-̛,"_`#SQHRBٽv; ig 9 9=%0SiIFem!?8(iYq0Ʒ_>N*,4wX;u;S@kv\jK{_iڣ|ZK2[aϕ3骪#{} 8).4 aw$8Kun4bO7DM0{+C*I=I\@[7AQz'tP<4UZsX~2F:q:w*u 7ļ)b]ɖ\ M"3z1BAz:aۏuY9x U&Sru7'=:!÷ JPb\؞J!Atc&I<黓 g˖͆ 0TO1Z,Cѵy^-VMQ/7°NSFF6 E9;BZ ~I(7f!JuhzebI~b+&@ګܪx UK+2D1QޛH}+n'ol6y>LO dHN{Z/D5 ϥ"lk#hOYg]yAqwW2հ*;'_!Z;7c$Nyz8ggyB6'Gu]Es# 5<ŔײvdW]sa_|EUNіhWoOQT3;|^~V|faI tVH[?d/PS]/DgԬ܃a9eP@Lh}m@d@SMo>qy1i%'lWo,:S$dOD2Hf9dY &^Nqb!ͯWRV'I>uPIoi"s3ǃZ^ۮ6f :Υ![Cj5Enj0RNt=Ȥv.TAcw)3#ܷu0֛z n&QCF]&{Ƈ8v:N#ukg0[ж}e` XuHY~#g|ۦ*jSQnq#RF:-U4U1I*V,u6ZfPZ:87=4 *el*$Lrjd;ޟKC֤$u!ːc[=1-yI@NnVǚ$ڊ=8 4 |{:~PJv DКn9>!| $h7XtgokmM49rAi1FݹEOE7 fd'8ᩇf@%6gY :팘99hcnyu]{_U兙gRl+%>d`|`RKl9q'!hc熞ycq"f䷞Xy>:AQ7sS78  CjYb ֍#7n TaRɉ>cv҇1o}[x[\)a-Az}CwO(l#=lED*[x(epp`g| ׭=9 =L43YQ}Z 5( B}ȍa1fs5J.*RO~<_G=r6䌹˲ QR/8: qf^W@#!n"LqS|qO] "Ѡv7@t*ۍD7f/\GV vf %ͣE%M3_u<ꣂˠ|_T+ؚԣVjIi׶%m?\mi{:fziX){صsUީDc#OaO L}kO=pYkB<4y6~kjm<ش-g^-bٮɉ]hi-bx8|a ȕ2N~g/4x<Lgցאa~eAVTnab=4?ocX=F6g屃(o?i,2j] 2p0, ћ:eBYإ5cEt;Py@{<a ņ>~!L (g}:@p-%yTCZgzsk(Fj_S}&d;0ک1PBy]}:Ck?aQ@xDrdXi0k| X [)&6%_ $q@ k,zs E2`p8ay.2@, 2ZQ^ SmY] Z208Z#߭>)` jؤ7zFʦK5G :c27P5$|׮W ~ <Ӫь$AVv / KN? E#lMz͘v20dbOa咦̣ἠ-pȷ>3xr^|#|wUmJY@'O~ y p@2m,Ҹ`u!4:l uh`(- m@׬UċaXf k]38lVZv?ݖ -B'W0|L! ^{<5 $S?p);QJFte$h ic*嫉ր]Q~Åe- TcWEdB yh"(ao[GNcT 0Iqճ%fI>[y-DK5_o,`kւshm%U5g[-pH7\7!&]߳{`%e@,P j-Aܬhod"/3H"j~Fg5k0Mqe ^>OQa~+qhU,xsy>[Z?Z -s,eگQfHFG^rQCc?eZo*w-Akƞ\k_u`o~Ww+!jX`d N+^㏎ދtkz5 Z_Le}XhXek«⣹ʺB!^:/54 (3Iİ f]3HJG- q~6Vc endstream endobj 3252 0 obj << /BaseFont /WCVZOS+NotoSans /DescendantFonts [3257 0 R] /Encoding /Identity-H /Name /F2 /Subtype /Type0 /ToUnicode 3258 0 R /Type /Font >> endobj 3253 0 obj << /BaseFont /WCVZOS+NotoSans-Bold /DescendantFonts [3262 0 R] /Encoding /Identity-H /Name /F3 /Subtype /Type0 /ToUnicode 3263 0 R /Type /Font >> endobj 3254 0 obj << /Filter /FlateDecode /Length 344 >> stream x=RKC1W@M8T;0IK8(TχS_QH+0PF?ZHL`uXZR^M5)ޠ* n}6f) q# rCS\$J\ lkыAKԾ̧Aw`}wp!qIqJ\t[K&7P^9`پ,^ת$_x 7qR9U?FR͑U8GQVfBj:ڶgh{њXL8cCGL@j#mJ#?6X׏;Kh~;F endstream endobj 3255 0 obj << /Filter /FlateDecode /Length 511 >> stream x=In%1 Cr]Kxzչ6rd֬/{6׶/ ~p~c8s5J^-R VbT[B-gYgU8~{+ sy XmǑz`Nƚm$uEBڨko%ݫ!*RXfC=kPHsDk .Y˜lw Ls*>6Tx?hw=9I 1ZuA'jmd0vlLŋ24c6HaTctA|jS5a#-\*л˱ZZpҫwRά1dU~fĂ;1uLU"bc+f3Rz%9[Vp[+b˒2ֵL7P7bp.͸PGW!,))k:6PB;o>2;*Ƴq 4wnhM8(/֪^LC}zΨ^??~ endstream endobj 3256 0 obj << /FL 1 /LC 0 /LJ 0 /ML 10 /OP false /SA false /Type /ExtGState >> endobj 3257 0 obj << /BaseFont /WCVZOS+NotoSans /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3259 0 R /Subtype /CIDFontType2 /Type /Font /W [36 [639 650 632 730 556 519 728 741 339] 46 [619 524 907 760 781 605 781 622 549 556 731 600 930 586 566 572] 68 [561 615 480 615 564 344 615 618 258] 77 [258 534 258 935 618 605 615] 84 [615 413 479 361 618 508 786 529 510 470] 527 [376] 2413 [260] 3056 [326 354] 3058 [354] 3061 [250 340 268 429 551] 3066 3074 551 3075 [294] 3085 [411]] >> endobj 3258 0 obj << /Filter /FlateDecode /Length 289 >> stream xUQn0 >ꁐVP@8`H%D U4cęE]f\!pnp~4eޜF0$t^UO 溬8զ!O\VwJ>G]n46?8YA(䵵oZ8:N;\lѵf@ȄT& Q*@o.umRT*BJiIr T=uzڭi8fIA5S/N,sbJJy*h,?TTu8Nx>VRO߈Fg|~$쑩 endstream endobj 3259 0 obj << /Ascent 1068 /AvgWidth 570 /CIDSet 3261 0 R /CapHeight 1068 /Descent -292 /Flags 4 /FontBBox [-602 -394 1321 1048] /FontFile2 3260 0 R /FontName /WCVZOS+NotoSans /FontWeight 400 /ItalicAngle 0 /MaxWidth 1922 /StemV 0 /Type /FontDescriptor >> endobj 3260 0 obj << /Filter /FlateDecode /Length 13971 /Length1 36654 >> stream x}{xTչm&d2If "LCCB0!HR(b-Z) iH)"*=zER ɞ߻ޓ=9${w_{$FDᴂdraO:oo+iWDwwވ" ߽sI~$r7͙U#86(sƏCCTy(u?_gRK ~{+^N>8~-6Z\uU%>?4Ts|,/ljdτISF|:%4dcbfuUIɲhp“?4+`E+71*L`#0U8MrWM㷘g\q6~ 2V.P:qŢ23Թ*7.!eSB榮*uDntn0E]Is H jM8l2lɟܽMLlr`7O6X=&7p=&!ɰud}Wg|Mgr՘d!e;t6"aOcOZHIኪ=Uw_\KU⭼߸ 7V1>%$W VFjct{dٍB>У=hmVmh?+abR򀿮ϝ!a qyfy==o4YĆ*A& "UY)(kX7rrb1ޡCb1Ay|ۯ%ՖK%u_Q^:@MVcHW,H9*ڦ\dʤ8(ϛʌqP&N6t[veL,2-оy4zt>(ŽG/Hug]/R2߇w4%J˶XN{>ъ3<%-X;Lhn&JOrt;`(?Y3gL9}z's|ס]JS9;=lDt'&Ƽ:~ҙ_|V|ʢv>.{Ֆ]I 'Ope(hYrB=1産¢ĤXU"VgC~c'ﰑqqQpa t9~i94}o^`yΒ]U c[%GX_XEgPMC:򴒝Q )a15*+QwNauX"K߫WX%{sGr鬾QHmћXK̫GהƚTlLAH-lQca&m&9dMcK2+t7cP^`Z-΄u yhj}Dm٪~FEL - s.c}-OkjZ8ؐջ,=Vjy{3lSSh:r}(iu})yz5a_cİo>ע=c-ֳ^tUakJ7-e̦rʖ aa{LiB7 TiGY&+keCQ+ZU&3U☾`!;v$&^5*}*ho0bu8Tl2j]~MGtP} yo18KL4Ν} .ߕaMD(_r8Q_JQRUI茂xElg$BzA)to}+ۏbSYk!ұJ(,L&L#6:;*-GhxV[χ4ė E9$M-2EiOhBN3O|tE.#=LXw0;;deXTKUOT-=\.W/+7~r9jX{ bb(+֖0YC깮yMm;N bRNP[nj/LdrCq@C94GbRX\?R\{8d>vw#.yI!'AgLvf_~Ͼw5a gaoil".8h/CQNņxX4q2 r*zżfPonoe+v{^m9O~#0g֭x$d(>^0YD&!"G[b-@!uvru kc5"qV"}LY#s lv%\OpQ.E8V6Sd^!3ҽ:#Ual=Mle0`U?67K_mhX^ޤxSNi:Tq6n$K)ͦË= -z\KpOs'HhMƬ[δƜ?e"[ ?xK>7=xf1 Npdh4d+9TD:%}Rߨ:noRPŗ0=<,oT,HaI}"lMwƙ'"L:E7֜XzrQ^}~n'Əb9:ȿ,Ä{ۊ]?o:$ӶhxG^*䏔lg/AS(\R"t y] &xeI}sղcKCRn{Xn~hfQT5<#0 G`^-tTD:PQ)K^J1_U|}]2'VPGȒ1Y7b"{+R'JAMmFYݴu92*}Y5<c)V9=CjtxjpOq3v5y_7ݬjT5ҳi#y Y2FCFImf[4urZ[[}w.邑_>n{[|wYeVxݹ칍[,Lfa߹i/aEʩ!'_-1\%IM OJ/ٵDvI=舋sZ2ѝ.=_'Lvm()Kj(,G?A3\ߴ8o9TdѾ$U9>A O3Z~%#u<`ޗ_2!Ӌnbq|@ؼ^K[ro2d;\,x_z?S߷OTT<褘t=c]iNt1xJPu~HxnIGHe۞|ņ'q:S'{|]{9?ܻy6E06lc}QmJ!fļxKZaqea7g@cpX#ț/{mC.xuWCQ権}=*BcQ %UX"o?jayfY"GCpF:w+n˻aPR1bQD| םbHd)F}cnWǰ!DŽE 3ujף谡CbC[Ժ.=hp\?I ;68+oUhj b 9GN"=+h*$G[xvGNYOsX2ˆ1EHHH5g&S~s;Ј5F\'.&89"1b?%^^oWsHE1v@:-%ۉ+!d-?yZeY=JmٿZ%S}tNdc֪߆uگ[||0߸0-kگaݭ7cΉurbIWON8cEZ(de 9g,X"P^:'7vgA)Cd,S?_:q'yEY*y%)\KGWhkr4uz^1Ő tFiSbG(ֽ'Z}_|m O?|v@i8L?_gZ{>8kGOu4ٓr{;%.26!Or,d=q2&qdGpL\6>1O~Z# -|(p,+ڱ_Swy!dGrx9=g䈍 hx:% uUf!yo}bNkNeFĶޢwy`-?S%A}q3g3UT`xz`kso~`SO?8\ ?{QͯY9emz;SoSY?q3%n;"o]<'E0/5nuB9MB%NHFQ*8U-^_>'lſ;zhIi L9pR::[رf$%l,J gă7t*swCc[g crXD/31CՑ7՗[% z1g8ܑrl@]q>E%;p~4Mab|9%ka\nЫ%8JXoS~Bf?wSo~tG[nw%) LO0b(09\aV##]q^3jL諎dxL_=j1if?i?Zt~>X+-$(:*v?*9XoG~>!= %Êܟɯ̴c)-cG̼12B/wdȲU8hq;yaRi)*7]6/,dK""0:n5Ky]|]J羷r>7,q e 7˼RS%iֶ'MøXxFgc#.o9|"9p,5: N'yp?lwt;;=-/{J{rR0sY?!%TSV,m$8eUc !'p;DiP)d,Z(IzY~߮9\Xtc{lJ7|gajj7|G~no־wfkgOL(yOn?4n8 UO%y#b$=^͖o7dΞ蓘XeWΫǐXSdCymw<_ޔ?.?T?}M?v?ÖMኇ&y'MOl#~r0X0J MAy;e|pE7n^owcӧٳW`󧍺㹒iZVtswR_rCE~v ֿqR_R;<'e܈e#$ZSx C[2%T}SRYQY%.O>뭬YtV3j齵C#ľ/BO7ঋ]fdNӹqX2b(eTWxoϾ[28=9//'nHiO \wn{O˃l)Ν 'F7i)MEjWIyvWπ~y-ovGE3҆ᬀXM/q3Kۚr}Zc\cp;'nߤ]'cٸ LMU}U[!ordP\@L*\F5ǎ*ʁ\*W+QԈ4j.m5@ 0d fFyXȩ,CiڗH5鈲۸H-X/+X|tĒOGp?2I/S}ZG,$%@Ve5HVj<}mJ[,9jOsJZ Tq}%;mJn,fޮ8ϟ:#ronTFS,ɇLQR΂ >-1J2Pm;3sPxm /hh;NCK5},˴r  {U`\B]=h k*7_+9 Lܸܯ,X; OCz ngFn.@^zWP읯Mmy)gܵ(l@bAAM}]N^6+6F#NV/Ih$h2둯ݓIh3mAOjG,h>A&m_r߸& A@g..|nmR3೑ιs Lha<(<vI_vn?7oMV6T:k(q+h ur ?4㜲M_:}GYO%\F||:OG_}>Uu+qK#r~Ӈ8n3S_8T'1C-B,=M[xv KZϨ;0GHWYq ɊQ7Ru"Vkz;ZڃD<:F*y1䱍2sT4s 7ԯqd#]S37!K +aǠ= LIT-73i!Zf]6WhNKOh43c4iHrlzD\B}aWq_;M6Y7~/8W.hC]CQ;i #߬؈ahÐC>l߬0(ƪc1'jR+ zD9H(I)͆g{ґϢ[Iu!Z+$e'mԗʷ)U<^& }18gBjQHu9Zse$-G]>ߒ?H`P56?E>Z!<衅ZAӾ[Qw]߬k7&fȿWBhz+]>8RΠm.eM4 sis"&{R3j[mS'ARa{q<+SR+X=!T^GX<+Gحu5TcfV65Ғ:3 sbSP-gR/NM?_1%Ou([Ѓn8{,Y^j0&-vs r 8 VS @ ާPy?mV)CCg% 6rHed]?~+C_3Hq5QbĀЏfy,S2 !UZs/~'/ } TSCi"˜ <? Q]~#iA y~pЕkm4[_# Mrޗ`%}|>imIZ? 뉵9cu]<|MݠF?__6ݔa@us}1VEI|N. r''ۀ͔Ifvc8߁wT6gǪ7xqdy^sy'YsJfIZ3?ȍw| i[| A'cYׅ8*'D<\ny^ G"ę,ba b JV~H^ HZ WS&rSq':0v8kpW^'=/YT䚯4EfQl:'xN^:һ ƏF\݋6cHI\b\ǘZ!sI,KFn3Z`t8* ~)}<_q%My|eC;+;m5|+x}NrkxA]z{tC.E*t`Rș ( 2AR1Tʁ (][X!ZY{ #{ȔרyJTjƭUf :io 85{;*k=#\?WywܓN7)?ZpŎw=x24ɸѤB=BZqG-hƪ]4޷SwwܤWS3gikfm&.:>9'S8|CԭW1Ixf 0ծׂYNG=& a9|>,b\( ׻Fy1yis&D76b]%|7@o<F`{(_ Brɱ?XvgyM?Îk0ߦbaUl5bo}-Oa|:>_ܹoge3D7.\o<:ǵgu=,s`3/@ye=x3C\aMW @mXUeta-$*xZ?uwC:\w8yD̬&"w-/ڡNŧC)5֏~@6y9嚟 EVd%U!fI9}λ{J=br#SG9uGJ촺CZ'=58mwhF&y39߮.N`.fLaEwr%F68ָF9-6)L䄀vN75蹏2+33w_SY>:c_Y9 2y-||KD\K+-|Z05Lg߲v%ͬsvwla"4όy%֠F.Ax/wNkuz*ʺ3o/gy3f}@{Z=-!X4k_'yT-IJp?;خА)D@,4Ƞ~ }oH7Z]z~4S/>Uc- g6"* 'uMRĽOqʖ hm[M@m^\5Jq! Q4+y[%'}G?<^5h,I~hcM>a.δTU|<>ŏ6"7p}{}O~馣?I9ab)ė9dgw)`w^]gʍȥЙ-y3]=1]p6WC ˽W]k!H/?qK xIķoef<ƵF]tQmz0K^8 YXa2Nij!5 2@+0f/3=kؾ`ٔ~;1.!TwXLK(D;uMZr-wa 4H&͗t"t^-+cIB/o-2 "&SBc ܖm[Sgbe\(CEڳt }&Ck3%wVg8w|ZiU@XLg2m MGCޥPnJ+o)+U$ %e+ǃRVZ-eX62u7ayrYyrV*'U=8&j[0%IwE YBDž,ɛXiךL77)ιwM[\65YӋӥ_K{{NxX7Rer7,h9O%5Ӈ;=ݓ:k7z 3nt6]&2WiFWFG-Fђ&1d5T9.;v؊xVəZ- @d7ij@{8@So؏֭&W'jp+pa; ffX̅2BbEs^h܋Q4e&YN endstream endobj 3261 0 obj << /Filter /FlateDecode /Length 36 >> stream xk``` #P? n endstream endobj 3262 0 obj << /BaseFont /WCVZOS+NotoSans-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3264 0 R /Subtype /CIDFontType2 /Type /Font /W [36 [690 672 637 740 560 549 724 765 389] 46 [664 565 943 813 796 628 796 660 551 579 756 650 967 667 624 579] 68 [604 633 514 633 591 387 633 657 305] 77 [305 620 305 982 657 619 633] 85 [454 497 434 657 569 856 578 569 488] 2413 [260] 3068 [285 472 551] 3071 3074 551 3076 [551] 3078 [551] 3080 [324] 3090 [411]] >> endobj 3263 0 obj << /Filter /FlateDecode /Length 299 >> stream xUn0 E|£S E*LX E*! ̂oЩKDZ5NX5F+vV'\ugqV!\p5Q;qTSk ]i[VP᧻\V]> endobj 3265 0 obj << /Filter /FlateDecode /Length 13497 /Length1 36099 >> stream x|{xTչZ6$3Ln$3 !0nBg!&(B Q XJT"0PJ#cU"K9Z*r(d[kM9{$k뽯5B,d%IS3?\,YL5KD6,wHKL!B!޿%{L!?qzqh8o~pE / ̢#W~Y-8+"5 , 6ѿ~JD/"Udb$Y#xK b@n$$ilEM9 чMو8],YjѲc\dwUG*!\ ZuGR1\%٦PWu+@lt]XD"W$rߧ4FP+ m{8%F P9@v1[%J1_y" ti5~_UԊ)wUZ2yRE';f;rGdgeޞ1lhꐔA8fMF"K@0gh=8c0qbưBwQ]9y{VY գ.Eφ>=ZOoWOjs!cngDLm |~ op@ђ:H[3f .- u/OBZ8j? 1MΪONLp&"#2P& |HgcwZ62.=]?k/ »bak뺀==H{qX0@:k)i@N'X[f-J] Zs"Ⱥ,jk\9[.,ICO=(@ԔiRlvݑw)c@8,l]?ѕ*Ǯ)>&N2;fB{ZIt{2DkBnd5 LwBgVΆc rW\H3/2.&79 o|^i񛈫" G:S.[xqfw".t꠸\L€]Хe.>Z1!H,MX`c^!GG8~!#"36WHK58} Mݾ~f~PG Hf*}%S%Sj|whpRJaaܾmbbtя648p.CA༕p&PoHsΝcYM([38wi? b&̓(vn&띥?p'շ$pTV$p K] CRQʝ<ZSݔbt=O;#& u#Wv}|[I*VTјd0*9,IId=clw6j061F=6*xhQbY k:o$$.9v3C 2!9hbvHn 3bm+W;=Nsi

"rgxa1ektsIs;}btBj]jG ]M{;RG1 L2uP#\"&daM~Y›R ڈ r=Ny:W}DMߦ iU55=u|H]DtW|H1Q#SHShBEB+T*U U&ţѪlP(T A&?:g0lќCI0C:;//UTu]GQ&REf -pƔUVQQqW3f螾zJ,~:y@N wzS…qQF!0l @VbkcKV(]61qp1XKD_/{?~?;qYbNOgӧk.W/u&! \|$DeE)Fz4i$2F*hF:Hh|(Y yx~BJ#X ۝&aiSΣX9[w vRfR2ʊ_-$hVc'8ͥOMkOqE¹{@B~W!>?+16xna5IHh.p5flz^N ByGvH, KRÆ,}M8UZ#zi4?u~-:HLVO .636GH 25!<u"2Du ]}#ir?S[+78E]Jo.7-8%b,Rl\ b#E¢-a].;ux=R7KRT k!6^u1׹|Nu"o"^QޤDv9H#lh8$166+^6FBnv fPL JhL I]2{x#Ū=ɪY36][N =x~'jL-tQgȲ'hoqd߲T͛<xN-.L $I(h4H(!HbH]F( _-R9p ֿ"1bv= M=Ljw(LkwڍSEsDx!q2OS.IuVuƛ W$lA#&H&dgSh?ZݦAz^EhC!pYBDg6r? f$&3AC r81FKxfc7j- 5; :8k;5B`%V[ FJzޕ7O,DS|5;S'/(y#dzjhi1v =ެDc\ `NaF$jE69y_<޲k=1F5hHΈ9#܃ #vjP̐ņƻ^\Wqk1?J}oɚǟؖY%lRwOyg+(Y\-&o*Q25f-NͤD~bS+]Ez:HM@(qXVp<#caG?0sfݷQoQ킅R+usᖝWow|g/R 2('!Jcbe l#Vw";C'"UQΫt 5q.6LCKiFj{pz`sJͺLx)FqLvk4&Ro3 -_/|n2'>8%x*kۖ{wo(R<I-F6@vfY춆&BM4&j4*:RᏴyCZfAFڥ:A6l <ޣGS76Abn#BǛ L(#CY sXш蚹͜ѥ쬨ᨃ܃79uN:M*?yX'BuyucN!b0c1Q4&EۈJdxo7jjɋE M_h]wwr&TGWQՕi^7x6d}|D\o(˶d06JZ,X`Uj=<(݀Rs4  >u"=jvPV &u蜬js0HbP1dP&Wm}ZDc#o(M8or8L!a@lJD+k{ i]`-laOg$s17hSSY\džc;wԯ~~*nyVyGIt̂=2%\˳{T/({)FKMyEԧ'uǥ#-+<)l_OdH&|_8k3b̷kXg6r84bqϗSRuza׬ZoϖgG=]Ύ#g 9}=}OJ3:gCL+"掃֌֨(]4"s""^kdjɌހ8I e82)+XD:TK>?yv('}+Bªw?#&2kLln$H8~Bשn8#{||KO0+)z:o?4we"! &,or\>71xDe}T뗭|Tg)[%}6J6AQ( _xs cq<}!$!,o;?k|w7+aCCgfxo U?!ID&PSG;U Y? a4$t? u cEF4L{ю$4v=ܓ5*}ކt9̑W:s-MwLx$GM;&d'^:21S"ozW; "eCg dςGV޵o [>xTqg,|l ?I 1 vqC]HMt~c.9^xxhQT(~?:~XièIdtxS;33+/-.>=ϙ|GF'wāɥ3L\#럝VPɓg#C'd%̙rۄbǐ;ܷL 7}xh`s|ph!0>-**m<`zD, C*cXyZe<L똿S:%e3L&dN2Mg!e"c$=I],Me:@=P 관g1B#!4kPՑv9,/vi9PRD3(} i7'*Y#^ iJ˾h8N )v 9 ԃ3-NjۥD2ttLI vl+zڥ+vO|l.#xUjCp8̮OIJFzR=7PxO<"dJw {&5q>xer4b-F2r.%LtGڧ $o#먁rm׃}B1]?AW!=Zů``:~#A5=t#Z.]1֞`nuߗ3[rldt[>F=nBP rzC3v()0;~[倿>:èw>ezsu d}>@st:%R,󛾔1tR瘿u=Td6 <Кx;Gk X mt'9%$b~'?T(Ńo*'7łPV@F=/=IIqWߑ^E!w8@+.% LʘD@N#Xz"5ءeĉf.#w(9H<,V1j\ RS2cvN֨4_yofWf،և2keJ$By'r&U6mm\^C> Y1CR>oNڍp <D}Ȱ$2?V:ا8Q{,0uR=/s46j\#ȫ,,7c\ų{' 諘0׍g=3ػ:54r@ϗS6/S>$br8wxpvi1G/C={S2AqjM^Egl0_`|g"X|PyyA.ـxT", Sd9ywr%c37b o]9<7÷@c'F.q)BrA[?4@Ie ۸:,vb3!C4#f,.%h/30(#Uɤ81v9lχ5o_ G>D- d]-Ip<)iN7L ~xߒWxp+ګAYGhF;*B5*XRjB{HG ^/,'˘r=)ayd/=Aba) ɂ}ѦՊX]#|\kY`u_ĸZaRa ;u5E.2/#&kw:ulJEeX9lѥe}X_W_ ł1Άڐ~Qޱ# @~##Tz}u Sh⋑a~t;#f'/{fiԥS .b}4cڷ,9d1zV:o#`]t?hX) ^d-1;7ت}G;Z8]ڔiWΑI{Z0x/)yzsW7[ܾiɚȵXo[#yll(50;1^{0@>`1_NƼgz0Hud&{e@5tb>Pm*PjL},3k_@ՆA>V6ok7FךK5@}Ӫ[6O8X$#yBLc 4;͠[}m \o9ڜ|tY:۴q::S@+Q_w[O!|:tbdkݣ]gu}_ЦbL%=$0?ӂb,bKGJ__Β^^yf{ 4drdefsྖ^^P|oI(35->pj"jjX ˰E4TsR% gӕT@@,^}^15]gscҀNұW*KDFј6j{9"0Ix"Ɛ)Q A>;Hi!.t, ;ؿJF9A3̇blϒURWH/|7'pH|RA"O!.n`~Od(lɌ~H)cp 'jĽw}:=_Wd}uςy\4 A=ڐW~l2L=_3Qcytiǣ:}i͡so9E܂-Yz9zW=1;;2:i!R+HXLѯ3h;O(OXLڄRR@w+h"|y@Xi,V!Ϝ'n̑&C+1xEt`NǀRu'r8@P_A4c?brKyf{poSZ}Fͳ㾴 9tZ3Cg_o5a {MϏoqvC*D{%oMLg3f^cfR3=N͛?s]Co`Jcs^X!s}:e@a9bv`/!!x|AΘN+\D\x5 ORy a76. yja;(x x2V\CݬHܻk-k~}[x#OnPS:-; Zy4/;tTЦaglP^C@ܕѽFv7c;&v8^%a3 :~ '-) 63N_`U0tݫP{ }f.| { C2s=w}CM< A/6WoczdL5s[QgĞ( hkf 3 uqki-;xU&[hߠ}Ajez4h>r@N 3E xKz8Mi bL<)*|25ho@"و{h_Қ1=? cyv^_ig=os ?scagqA=c+! k^uI~>LvۘbzcaqgFPS""@PvFSz D;Nb{K{7#ԯ(W !=<]@{NB4} ]F3Z]~t䅀vF7M軎r+Z3w.L]]R민2t^z_ʁz?ĭr=|F-Wqyd|{@6W^{~mً8m}OKп܅`(`J"C%?Ü_U@7XߞP6o:}?"t-(OR ;; sAga\*J{sYP@HC c<>!%@,5x9\0C~lo谏nE\?oAPHm^oټL ǿi;*qUAL0b>*v&!@ b9k0.v"2LGv}{$)"(ŧ.L֗oSnSugo ~ J♾*ϤDLn(n9d-y ԥ/MbD顳U΂v:c63!jei6{}CJ Kq6gC@\!L \@5(X 12IL?2|n`t[O 汚L?p eЋ_gkvV:@Ù3}Pg=kF FRwǻ_~tڝcui3װt ewzk.}cB]ַx.^uaL^xާn V0[2BL=/{ȥsGR-PJ6 'B;P%&y,3) 2WM42 w/R }PC8h`XStz>' }PG~ji AYcGvbh'墖X__^o<FԁoGw%-7 3l%#J}ޱ];{HYGD(@p Dgc0VYƋlG?я~G?я~G?я~G?я~G?я~G?я~BF2 lѐՐ4P3$B+H%X@l$_7yx*jp!@ ~kMkkj_y}往OYʫieUUyeJ\I*++߭Rκ%U]^V)ejrIwU+pSZ^R\B%%JĩU+h-R^^|L <*_:3N:iRUGJN֤}I3_=DO^,nq'/jIOMl˅!WekL^$]_+.YEOq a zB Ӛ=.=S4s˃ŵn~PHkwwo"S^n M  lSs93g9;8[5Ó\7)e%UT- }K"]CeN_95=( ʧ蓁wJM@y2@jS6RX>Kqe+qaKC -- ,JoY'}bܷ=&NˢEKQ!]l؀6a endstream endobj 3266 0 obj << /Filter /FlateDecode /Length 33 >> stream xk```F~Bu_5($$ endstream endobj 3267 0 obj << /Differences [32 /space 70 /F 83 /S 97 /a /b /c /d /e 107 /k 110 /n] /Type /Encoding >> endobj 3268 0 obj << /CharProcs 3271 0 R /Encoding 3267 0 R /FirstChar 32 /FontBBox [-503 -307 1240 964] /FontMatrix [0.001 0 0 0.001 0 0] /LastChar 110 /Name /WHQDVP+Calibri1 /Subtype /Type3 /Type /Font /Widths 3272 0 R >> endobj 3269 0 obj << /SA true /Type /ExtGState >> endobj 3270 0 obj << /Filter /FlateDecode /Length 736 >> stream x=A1D#|/5`cګ?s+,JmYc*mBhy YC{GJ?1NkM* 0BGbV/+NvJM0iܣVVJM4m;~9#)8D}FVRJ`kQH> endobj 3272 0 obj [226 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 0 479 525 423 525 498 0 0 0 0 0 455 0 0 525] endobj 3273 0 obj << /Filter /FlateDecode /Length 21 >> stream x322S0C^4^.'t endstream endobj 3274 0 obj << /Filter /FlateDecode /Length 568 >> stream x5TK%1۷w%Orij۱z+fL=j[=ǿj8K7-g[ͰJBQVPcY\n2qr,BGnldXB׶(f#[!BAT/oԎeM\A?UnD'-[פּ8rX] *<}8ע5-Ccߣg}P^Cw B/vOaD62l8bTeGԜ#c4Cud{314p-QZF6 ֺ8#"B1C g&QPx&Ӓ` AM$Dq@ F˛[" S~~uUv-=y mxv(RJ8}XPW(DM(cXuub[}pK6^'&D9ou^n)Ba¡%@FhAKf' Ң>$ˣR`M~Is։92Exye_Joؗz~ endstream endobj 3275 0 obj << /Filter /FlateDecode /Length 493 >> stream x5In#1 Er^@qtzչߧAl{U[e?sieUnZR +?~*I J_eH:x1fGJGW3^W^э\1v&$f|,3tk ewh(;s*O3nio`"|~=֗,{A>Y | R^1ަk\O3jmv`=u~ IԢ4s̔)T#n~O~nOŰj>[iMbl1X +;,]sZ>;t&1ʮ{{Jzq4F9`C{ ama5S~Z1~v\r%M&vb2v]td2-V"_XKna[ endstream endobj 3276 0 obj << /Filter /FlateDecode /Length 438 >> stream xESKrC1g&w}vJ■Kx`@;2Ee\Kj|22Jblq1_ 10#&0%˜-:h2#>!. E E٠COوoP)FHg>"vO0twT6SI# D:LSF- 9йjCjIpS :lrj"+Zb@!:!$(2OA3q=NL"g/sTc eMb(h5縘9U_=& pw vO~A8' ąk(86ʴp5-׫av endstream endobj 3277 0 obj << /Filter /FlateDecode /Length 528 >> stream x=I9 D|^qt6z W$A`V ϵn_ym<lsL,\qa"ڷ>rzu^ '˨*GL#cz^WYkd9mC(>OLJ~\8n̗NGf6aqWiq-4 jρq<~&W: rZ56Ğ@7;Lt6tL'T?Q5=|?q V1 i{K{Zs1J@]'&$&c:g3Z*^MDn9P.ѯ:߄%Dt;Ey!Dz`v;qrF~fLNi)3#l\gWZd,_|^QCQ ~N+4J| |dG-S WfJfGk|Fa-yZ~E%3v?Sg endstream endobj 3278 0 obj << /Filter /FlateDecode /Length 574 >> stream xEK\1D- D%N E}kև=zW~ζLB--gZSdFZXR:I?,ZI0/"%dC8WY'+*\~uO=+>0!zCP+DzHqOQu1J+h-϶8:yG߅/]/ Af uqCnM[_dF6F1A;h$%+ݠK~C>J/7)CWڈ68K$@\.(d!F9O}\f5EȨ<Է,L SG=D5HTMGr]/TNjè;N4Cρ ثѯ,GӋ" yWȾk+S=6z w-\s! H*m}"5Jq ׿ޯ endstream endobj 3279 0 obj << /Filter /FlateDecode /Length 434 >> stream x=Id1D%@JfӥZU?!O2$]beȷʶ=5]#S|!6\%th,K ngDpQY,bVug$L4c*}̘&3b$HHZ!GcE"ƺhsg>et#wkC\ǯ=U}!rH 2^^l*ۢfud{*os6y8y3ThɃ߸ (kvA9캖R{FGB E4Z^Йm`BwCc%n$fzt՚JYus3I%o|na^"Q>3z킿4Jq٨JYL:G}KեrX 4G7(x꧆(9d?O:X endstream endobj 3280 0 obj << /Length 44 >> stream BX q 1 1 1 rg 0 712 612 58 re f Q EX endstream endobj 3281 0 obj << /BaseFont /WCVZOS+NotoSans-Italic /DescendantFonts [3289 0 R] /Encoding /Identity-H /Name /F4 /Subtype /Type0 /ToUnicode 3290 0 R /Type /Font >> endobj 3282 0 obj << /BaseFont /WCVZOS+Lato-Regular /DescendantFonts [3284 0 R] /Encoding /Identity-H /Name /F5 /Subtype /Type0 /ToUnicode 3285 0 R /Type /Font >> endobj 3283 0 obj << /BaseFont /WCVZOS+Lato-Regular /DescendantFonts [3287 0 R] /Encoding /Identity-H /Name /F6 /Subtype /Type0 /ToUnicode 3288 0 R /Type /Font >> endobj 3284 0 obj << /BaseFont /WCVZOS+Lato-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3286 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [256 677] 6 [647 668] 9 [761] 11 [578] 13 [566 731 764] 17 [280] 19 [423 663 514] 24 [929 764] 27 [801] 30 [601] 32 [801 627 543] 36 [591] 38 [736] 40 [677 1036 649 624 602 497] 48 [560 478] 55 [560] 59 [528] 61 [351] 93 [520] 95 [558] 98 [240] 102 [240] 104 [508] 108 [823 558] 111 [567] 114 [561] 116 [560 364 433] 124 [359] 134 [558] 136 [516 786 498 516 452] 208 [808] 311 [227 262 250 236 750 214] 317 [214 366] 319 [366] 326 [452 251] 330 [372] 333 [459 580] 336 337 267 339 [306 301] 341 [301 425] 349 [204 371] 353 [832] 355 [832 751] 362 [580] 370 [580] 399 406 580 435 [580] 439 [580] 442 444 580 491 [663] 1140 [236 580] 1142 [580 802]] >> endobj 3285 0 obj << /Filter /FlateDecode /Length 581 >> stream xUˮ0E|: c;H$ 1CH%D! mnU$"-xNig1ڷ8s?tSS{Fu};'·6Zo|Sytt_j}YX15v;"5רrگشqjKTlYNš{OʹzY`L+kJh s$L Zӏ YyYy#P> endobj 3287 0 obj << /BaseFont /WCVZOS+Lato-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3286 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [677] 6 [646 668] 9 [760] 11 [577] 13 [565] 17 [280] 21 [513] 24 [928] 27 [800] 30 [600] 33 [626 542] 36 [590] 38 [735] 40 [677] 45 [497] 48 [560 477] 55 [560] 59 [528] 61 [350] 64 [665] 67 [572] 76 [887] 89 [589] 91 [684] 93 [520] 95 [558] 98 [240] 102 [240] 104 [508] 108 [822 558] 111 [567] 114 [560] 116 [560 364 433] 124 [358] 127 [687] 130 [683] 134 [557] 136 [516 785 498 515 452] 311 [227 261 250 236] 318 [365] 326 [452] 330 [371] 333 [459] 336 337 267 340 [301] 370 [580] 399 [580] 401 [580] 405 [580] 1140 [236 580] 2462 [616] 2478 [930]] >> endobj 3288 0 obj << /Filter /FlateDecode /Length 533 >> stream xUˮ0I\ڮvB*Ѵ4~[5Uoҋ:i|OG%,cǶFAc&n6k5|n^$CP`9gڏUwbfF_2)/j\/@G$dJH ('䀒G!aRGY(0̣Բ6miF,%|"`bb{ Sfq# X` n얀n@>s8T4qG@^"G %E ȧw-0 Jdtl1chV4 GdCnAEqX:E^:0h u_q,7(*=yrAJ8UlYϓ}sL Ӏ„3q[Kk5pUTs<2u.C mc5C0) endstream endobj 3289 0 obj << /BaseFont /WCVZOS+NotoSans-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3291 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [260] 10 [220 290] 12 [290] 19 28 551 29 [256] 36 [562] 38 [587] 41 [477] 55 [501] 68 [568 579 453 579 499] 74 75 579 76 [258] 79 [258] 81 [579 563 579] 85 [398] 87 [332 579] 91 [483] 2013 [200]] >> endobj 3290 0 obj << /Filter /FlateDecode /Length 313 >> stream xUj0>[zĨ[]+8nCt}3wK_#c?3,Iq~0y8p`@Hlī[ Qu^p<~?漸C:t9SbhH :!}kG2"Hfu:mѵP&ʤ t#ԩ߭_ʐR( gH<2 iA){,#(dgɕ2My%Syb=;|`AQӚM4w8**! xJATBs8m A# ;Ynz_ endstream endobj 3291 0 obj << /Ascent 1068 /AvgWidth 562 /CIDSet 3293 0 R /CapHeight 1068 /Descent -292 /Flags 68 /FontBBox [-493 -293 1240 1069] /FontFile2 3292 0 R /FontName /WCVZOS+NotoSans-Italic /FontWeight 400 /ItalicAngle -12 /MaxWidth 1733 /StemV 0 /Type /FontDescriptor >> endobj 3292 0 obj << /Filter /FlateDecode /Length 10095 /Length1 26578 >> stream x}}\׽‚ E]Pb P5@vQ"R!h$*xSkP4$\k,K,f} 1Z5kzZo>6=gwI@9s^~~fWCd;h-|v%hU\<{\$e 4ןZQZyc)(jтFQ? !ܻp`UͲ[6ho>Up|x([V%~W Bh,[-K9EK C5J=[O1l2a'R"I3HA񕔏HYb ;}ZH ϟ&RfzjL"h}׏Cs\ww~k "VUkl?>7Q 0tS_kD{ڻ M*{5RwM*=-tv=_-کQiopxD+v: {|3|-;+sZcG>ԇSL0>+IƎ{::6&240cT ,1gq,g ^`Ͳ.IgɊLe.sYX\ J5'G4Y,eW<ȂAe.;zViwdf#_jq˴Z9\dZ'zVbskre?[ՔU?4EqK?\Z`ceB0|Y4kA+o#+3:6֙4n+)41K2)-9l1@K{T^_aX0`lԴJfH|k53˕gX'Βe3[-Mc- <-j3.i;bOt6dԔmd75-أ7[-fk%Y790}os+%\Vžl=;?2g%e[ҭG ɻcX HŘhd55gFfeqayʣw=9'aIZܹ&7š7/p5ʪfWXkSp%5)r.W, F C&|⃂-VLɲfy<[ ,xN .{&. <1>#Aq3R]%Pkƀpu!ai.Ds(Wr/[?tKΪbǻd?1Fș'ˌjrTTbʢ+૕GtNc*Q0(G\kbtJ\֐ih40ZO!ENt4 k#2f(Grcx` 6\ E~I xgS-晖u$Y#|r6=-G4qGrZEV9޸xF<஻A‚(7\u}MssIV|\w';v猾M|lkNw/G6Xs6q>  WCsae_m_y`iNhu<-dXM`eIvH,c8g8؁NI2;sǻ"hx+o7~gǍAƄF&#Rd#Qdۄ!A)Ayw fT)SeJ?6azȴK}tkFdJ1[ {R&A{K^cg3Ĭ/ۂŮ-}i\unHcU\d?EQoDϨ9(JOD1YGK,Ѫn8%xYޤ]}gO`#\l}.x鷟ohj#ub_XtkEW;ϲmWY*1Epa7(F#UY$NMNhc!SRTq I%%<Vi&;~gNҩ+;^uNp. CKcxKSsF09*xc@_Ps*8C듥%=Aưpu\ʜKkˮb`WC6 K?(^7\{Ƿ'l^W«=MǦԯ{j{P=B ˝j`HLȓ!C9Ш\%â& d[lȔ+2bgcG==cTOxi&n6K~V1LH#)<8FED\PuN_7Ad@Pp*xR &$+O{Niwz~ kRGNk _oܻ[QiKsDkQ4 ]E"뜑Qq77pIpF0@mے$(/0q βf)n姴K|~\ڎu^~65ү<Ƕ`["^jUrx/]ί_R,-οAr[=$s $K˝J\O m 1Ⱥ ![gr4tۣ(&R=&DM82f/II#hwD>q"Z ?yҔx{2DkpǞo<a,9bzkkT ǿ}pl{fX͟U3ϸ}9빪VXA[_=kՔ[+[v$6z3 E}No,TmXl!/n wm{nMT}ڊ]Ջ_v<=$rxmsVy+۸u%1'eV9;*o@M D`&duBl[Jg|͖2{fiʔgNz8,fE4ˆHV `pbXl!VY\퓣h̳숖sT&^\>56צ_1@dBB5Nοf0Zqg0-ߺ~?ӮU^efCچS?9Ȗ,)W7`Q@շƩ 5NIv̽X+n0S/,Wlt_ 7;yكzl&lƩ^ݓ)BY!VR0Yh=40?0ȏƑ%NBJ>h0a"l)6ٚbU)"knr!/K?})|1{{Yl{j} ~XJPşl$5?*c5BN02(O?r~?x`7*˷oUCZxhq}ٟj[w1~9CR :3 #h@D蕎7pzyŰ[)oU=vE3B{(?}jecK,Zpv`h!USϼ}y͓|=\,qʲ)(JAfLw<V@-"Z^ɨҪ&ZMۭ;4ʊճZ,|E;ڲəgNJ[{lnJ,T/?zۣ#GVK-:?M6a|[v4oy?{wZߓe~C۲ǯiu<><[J&C= ?<̆G̛x(xS6ʍ7[aLη}{}r~es6ic`5}lyukKwW"re}NȰhϡ)5&WӦf''(3XxN1'uDb<lWf3wwH38lbpjzw،G; ˞YjOmiX [Pz翧Qf-*])l8hd%8gpJ Nq2zuT17wmJ_ZCS+Sk{X΋~v7U/|4b 2D  .j,՝ʂ/;V)g@%~d"}S:uJ{о Z:س_>j)Zϣ~~ad(`%]aCK7'yxBqBKPJ3AeI|btِ  {ip3#hclӤ[)!tf乌 ѹ,U=wSX'룛ŸΞ/̙[?01Rf c! @J81IBy(2Jpo4X*v^~%TܻpeF-^;t5%8wb5? /LaJ/#B`VIowjOH0_?z"=`:G?g~pӤÏ޿пO ,`W N{;V5ݽor90HJtސHS*V+PN)_~X%[54YމJU$ @os|NԡQR7e'@GQ| 5_JEFT~ Խo 68m8Q$cNg謒+OIW3t zR+*TtRErYrYƵKfMoP SD]Y'Řd_N&i+~ }\>r~A:FJ*wc:aUJ }䋔ԲCVjzi+Q$695rŬlO!Ȼuhj WTm~}T \$M;9ncB7JSs9ynwfxye뀣Jk=nLCc+Cjl^RY/(C@N5Op y*ou-uo8Bm\\=qN_qྒྷ}@V| aY8> >_^mJ~BŜuß˝اOU\mVoC{%1zb=X?vۿ=ج1Ao#H˪>ᑗ8f뛔ٺf@[:A/8XV|=.+9 Rҧmo܃kڣr^.π. SRnC.XC!ܢk1"Ww6# / /= c#:о$+T/ N D Y#J𕨋nf"GϤPSJ`&-T!XiKcU4UMCJPA縭**5J*T7Q:tz'xr+QdyS?nFg"OmOO @eƄJ= %tBR7]߰(ssɈԥTmN8b\E}bz4 x3 @@t{>n#|6`U j]y39uN}r\`,_lݩwx} MT楾kg*x=멱n*s,O- x݈Ͻ<~$C߸?ȷa&~s)i\f/_;(i36<1{\KF {kc18 =>a.|)e5`6P|:tT3w%|oxc oC(x5sJ|a71W|8G;'#9e ~SzKȽ x^{]xMc3gh9M3G".5VG|WT%jW,m2!ƾwC `~fCoQ" "+O]C꩛}׿G-xp?:vI Lb79m}J QGntכAjAͲN٩TfHE=|*)S>Ouk5m0[xI@_xވcAZ~z> o pLw] ;r} Co+^y}η=`01dx׺n!$|sl} Y~~ci/kl舾hCy"lQ?HzU4@XS;mn){Z5g9]7mѯ#^Q:=gk'bt@ ?j着Mq>?"bRw'jP QP_DKRxm,\r׋*1Y/N|%}if]*m/g0sC>/`m>SBOI!l /O:mCAtԻT~&9no|r:Unb*PZ6͈jV\D( lgm-er:_S^B La`s8qY` ߬.>F`uO=zB%Yd*tNvЖ{8_'kw5@{YenO`7Fb7ݔ?ױQy߽OX=ZuyZSvv(rOu.8tsn<a<>/ 뗀N\#hAO=^+= v7 :oaڧ;pgnYhoC! Qk[5nA ]Vz͋(_\f|O{%otgE2Pn3* Sa8p?ƙ9KĺmtXAz51U&~S<j"C>.Wn"&"aҷ)mzo3׈7C&J|w؝E]ygcjoۑy^y·}![oE%_z:W(IUIխ 2:]P˧ H )2NFݱj8b-SnP?t"^BL+ r:!ry=%{.&]YoGp(WQcv혜#]2<&RvQ?*]cǔl:|N+𬛏cJ 1$>Ƹst]A ΣN9MV:͎"/MT:{OAO^Z!qjˆt I.w"5N+b~|M Eodž]#fUZOaCǠ#?rG76"WFn-b~gC3@ v+7$|g>'9}{o~K{D>Ny{ݓC x_$:i{ '@{ I&vTee9tI6<#ͬY~.A&!>""]Z?\hc͖C'letvt@'ָcb)XMPw_J24 4?wC~ޣ| q=Py_|s:K>p*/&>[S'  !I~ 3=? ]oy?~DEi i 3E>@^yxpV^vPzxM/}Q(?G*i5uanoxM\N]4t& ؀R j iF߅u/˻?={ٻgQ7s~v|SLojK*9o_JMS 7g؇df1JȖ!< MM pR3]ώ 9 jo!9;hɁ{`HReC찃msCZv~SfSCԅy5y Myב^wʨm8;y'i Ј#u(L}\AN3wbԈ7d!TfLwS% ЉZ?S5(c}u*C)xOSl PzB9EsrJ*bo6H-st(3N9?3A.H@+54TpÆ 6x)o5) $poO"sT";+fпR El':ryV,~.ԯ׎r3ުCAӐ=yrs|9qrl-|d8Cj"R(n𿗊ۛ Ք#EPr[VS?YzPSm@rdfA䠝ӸA$_" 33olo_Od H'Y;Oй?"k2h43<[AY Cq>#<{G} ǣ)}7Ɂ߰ wT[iL;?hswޅ 6K2}:AVgգ*ݥ{ލ_T<Ի}Y]] 9"' >{>~Ob>`O7% q/Q%?1^ #yl/]"߱@;!=7& ÃF|<& a c01a c01a c01a c01a cYSUA[\YO%2[d_i hrՓcji^^qazqmXSx[J1s:f¼³Eok,lk,| 52ӿ*L6KYGggI gM/ Nkt&~-/,{It-el4=7pl63\Y_Y^᜼X𼅑eEfA\/XŖ׍2vvٟclY]Wbߞe,4~O~јx/ĿA\om!BW0,Ffr,>lD$5d|̓%cKR)Dϒ.. B-00@)&xJĨUPa-[R™awMLcs]y\EW\>إy}׹2F&uF9s] 0NκҺeS en'ܽݿ]ݲR<4ÖE_2 endstream endobj 3293 0 obj << /Filter /FlateDecode /Length 24 >> stream xڛ`!ˁgXծ endstream endobj 3294 0 obj << /Filter /FlateDecode /Length 20130 /Length1 46917 >> stream xx[Ǚ6:s l @$X`{/"*E$EJ"EJ"ŢFT-Eն^Ƚ7H,9ec'{ۛqE;sD>>r̙3go2PB&"i[o(yp,5X\?{Ag?N)bcmd^S^a8$.Lovopm#{gM7]-U2ah& >>7D􆻛:KuIސ!Lԡ(7qVxXXx2uk?!̄j:Έצ;x,)#}VҍGtTgbrZ^RyR&ljP s0 ~) %55Gh2dA&3fFSZ@gZEFK,vY>a}\XqKǃXgyLo:lf̖LoxC2}L@Ѷ}d}di"R'$.#KSG,u2xmxW˧-fb%e3'c_Y͙jfid}`tʜ{;m/G=ZK|9+''"tϣQƏ_0vIНe}]U0cJeϲg\x||.uE"J#x  UACv{AU:u۞&jٽ}l{ڻN vn;)$15L MvRcrHBmߠ+PoCɠK̡oh𷠽9^T`(V"w)7.Ly%rJgHS΋:,9V'JQٕend=V /k\Z8]*53dl9"d(˴OP^҆ /iguYL2,auY2VeXfujYL2ˬ`uY*VeXgujY2 edfJ\rk9?ƒunodsϱފɪ:sϱyU ^u+ϱxU}2HSMW7ڶtJ&!,,x)$dQH*mD" &@D6ϫ0#RIz}\Rϋ"͡Ru$WJPb*(R*:bҺ֬5 3rqgYc_XVḵqJ]āoJXNOOIq[yF˺ԬKelf{\S$"~KƮ%p%m $ 4)E,ch^ZޜA,ъcHb(͠4_#-c]1q蛄yg'c}Gr?PEףSmT!ATeѠwrAj1(IK[ shp>sU hf Uk)(<ޱ%1ߋ,њ|˝sqf{ܖ\tt/DY<=E.вi UB凈qHe"4ƒ&Ho Dz lhh$m$gô2qS7/Y(JϳX@`|i1"'n?z*~G)<ѧ/ol:ϚeM.#M ޲ n†՝^k6s@u̇|-LI'=Ë" fG#IGeP@KGdd <_)?;{lCzhK=]/_#ߺ[/5gjFG xq$xCE@CeW.}3\Z9RAǏ['Z׎o=KgUOGA$͓(_o(k:5lM'BĭY-hmb1G?X4%]>8$-:{Gǎll]h|dO4.!,4z{ō2Si%|BIc2_# 6 sН2+{^? ,6։=.] W7f kc> Z,܇,nƴuR;-BitĘB7K|YZB 'LdQ]|ֳz>9$ӓ"PDJdi 8Ydjd#'Ȍak>, >5;6k:&69ت[Bq1_Ȝݙ̳ʷG l(quqZI'FAhV'(&tA ]&O  Չ<$DDBԆ$&"~ɕb}Hty8c)Nq@,N\6?;<,MIȭ_`o HO^ذr]4{##}r׮]ږk_%qMܟZb[/7KmM#΀Ax׃zS#4/AIg ^n'qzCH4kLɷƘCDcc!/tۜf4,LAN*Q\^;tfo_(ɲ^]LS!mXmGJXOۂ` kiTO2>kt:bq*Ut1c{lXHu?51&5FKt=Zu:j~їr&Ҭ"Yž񹬡(ˡVmА"PD[ЏߢfC tj܉FQSs&'`D F:?P>\\mw缄yN!f!޷ %.O#e`rV9=hRª-dz1V/?3(ݑpȱcL蓴$ {K1ǰȰ'8 9Yllb;:z2Im9qCYyB\a.X0ɉܙ@5_VQtm[pĚy]OOևW߿q{W\^ J/>7p'mtU}Ჱ3G۫J\>66̣dM%$KyICᴪLVԜ8*`t#!Wt ʭ3&wMcR/*sk}v!<]7V;z=#fwn[Pߐm *4ݸJ-O6Ϳ}럾eևFcNHJ02ޅi&<(?N*!03U !vчSƀXq 1YXZ=X ^jTnO,"E1slU`1N􍯎Yb,ؖ8- 8"O) uc*YJ~^'oOPFǠAO2X :IK\ӥ:,D~`"ݜ)㐯sF?I2YIj*4E9'zN$'W=ŧaVQ2H6FCe>,0Q*gUjzV@pt&$MFW d_{ږkw/ژ|*:o _v+T9X~)%UⰔdA'G`a%p ^&&:Y#4chcU҂\M>ir`da5Xe" S~@%xhJw2YBf-)Er!p4FGTo4Y{.89y'U4.Ibĝʰ0]В+.FXsIZfݳ%Uߓ4Qd 'eq F,N*!( lU3LCZhz@1 ͖%5;9%ZLZ8'o1uaԃA$XHs(6R0[8rOGI%7l+YL/JrH^|炍 JߚX:xx*{by{.+y|tM+g;rMG:kd?5eشiEfSQFi-L,ψɩ5KLk+\ u5T\Un/lZ`-dgmܱ$+.Ɂ#_$>9;SC8k4|7o3* )T[ӱk W<)n_\D0hRwďʕEĶ$'cn f3e|fugƎEF vUdXg7t\UALAZ#KEsE<آPÆ b&o0I`de;ғc7pM͛\7Jr3m |wEg4oɓ^,X}'! ice `care2ur IZ+1es**|gxδlaJu%Q䔙[8xr-8zo޲}AGl&sG־}?瑛]|oew*cN7npTXuc1̜uF'r=U"INyvE3DEG΅vENTݻ.%2p0X,ʞƗO?5vEu%4"7*Ck dTSI-azѭJG( [)ʵ[Փw4*ZD]O;"S F8_8h-9e%)D-:ȵG>#ƕ+3V]ъtZx^U3szX:xGbY )qԊe.ZW_Tk˪HL/NUTmhϩ*Vddc>,nI8q 'R(g31PHWDRbwL0vlbQ0V33n?MdfenlZ|.8&HZ-[6 V&/QBPtAP r?cZNDBت1 Mz zPD5.`ؤfD+ ٫zf?ACZ9n-!%MrSMINyLj:!\/d:O'ۘt@15%sa^RaFiʶnTV|MN=o]佁UE-xtVEҠ8_K@g磖\FuɵE91W,m`KLm :k5l ʔ-B e:2j:,řU=JJs2SbY0+hE s:_\U9Ha}RV]Y;g}k*ɷ=lJ 0a҃ޠߢɠ;Ytl=NSW=9=&KTY&{F45:[*ʰF3a U:'}㩉>WYZs]K3NiFƅŃG WLzYS[GVAfc_|wB0Gt!TĚ6t6\o: si3ܑPSD%@԰(`tda=0!&C& &>[e+|O_0L#cW :9,臲D k՚58Q)\f6M9$u*~ ŲXsT T @T z>`*R:Nş>b Vt#:Aa#6P 폳[5G$l3I$jLLNeXLZE}>oAVf4,N/6Gg{ӳӅK/a,@7#`xV,Y&'`!u-CC!px:տ$Г%IpaEMnӈZ(>+!<:! mƐ[E5U˓O{7H2-N$qe$Z :NŸΥЋxPN:(CM<%`JMb.FO&FM,RiS–h2%f^)oBx/ZLeg;WOA>LhN) [lh%G5Wޜb0OmGJq:{ﺱHkx<$X#i>:vv]ɴv"Yfێ;=>s_~^>CS¢h3 , ?5 Hg+{VOAy 5.[ZES_܏[ ԍ`1,K|=7}KY]nv!E}d  .}۷ΫmcRf ]h,a{_Le2B[T4^-ʡ+[,:Z--]}o75aݚF-s'*'|q]xxXkӺuMa6 o>gmzGS*<ڶmSڦB c*/򅛒%EPu:Iz.D#; OY!r߽w[scTS=& Ӝ"; ed&S 5(㠏Yzbc̱||o Qhþ-a4YC!"I&RYn/QL#M<*'0|Бf Sq;)G2|]S&uFZҊ4 ]EwG74 I븸(6X>0dka`a&PFSQQ6e+2g.1h~~,"% 1Gݏ&']f*0JΦAH̉@Ӡ !G܉r `01o1<vXX<zO wԓƄ˶x[.7N4y'A{LU[$4>ۣ7]* L"(Hcjc+h%N8j;'ꏲӾt;=JuѢY1[s|*X`4Db3TsH‚+J۶Uu;X,_B^Ku U}sw_`w?74ݗ ۷#[g<mmw7hfaQ[[R جۛZv,ϣ{|n%wt{̎mW}=1q4ca{.%w2Ri2?D͘_)NQZ]OFCF0CADơqAҊYnGYbYB|iەP~eIbci L& s*妌=vh{7:^ if&2 ^{$) }ҝ+G;4+$wLwgQ[Qf.q\cݓהfSA!7eaL@N+2ФaЬtN ̪p,N3Ѫn><.>ܨ;tzc.!}Qu/~݄nl6]U[tl"Rf ty b%R/ r^ӭ,p2T-Dّf[i/%ȱ7&=a xUd]N{;r). JaܽDl[MV!@-MOj.Umٛ`YG tQuI Wn.t=YjBZa M+mɽJٰ$oA+\NZє3(5i-X|qcۛO oZlM Ȃ1 dqyM|t]X|y'~,4A,4$S?4hb ٶV $T*-*v$NH2tT(#pHPlrrwK2曜ŌD'M7>>"V=>_׶hXc 4mw9Y8YGZ;k}t%P@bp|o9?9M> _Owlsgonk;Ms;kjvtE?>$5=<5:u[[{ho?qj Ă;}g$F>sw A{f$|?$bK#8p@|՝A-t`Z >rؗfq̙N`4ɡ167&t!N~//hǛquFo+kRWV_|ض*|W.N~(62ϔj?-02ZU2^(iW<\X憕mW]ra2Cӗ?0R) 1)0|47';+9)ʒba{rv,V%p(S]|GomG>6-!!)uڛ67%/zwO+nEQ :$%EVľc奃WCm..kHCkzK*{+UwV]{ C}ɴ _Y!Dc@+7:ໆ};5ҳ\1>Z-Y e?޼7. w[w歿y¡Y~w'kUza{m"X bq|*8yj"$ߓc"\ϥՏ)v9mSqGiaLQb2j\Бn]=#ear\춭u 8⽸ڏZG:IN:kvN[OI#d1 |dkHvm$[G>Irl#U?$ٚ?驚7H6ƻ^u8%G^'mG!7KV2@?C?+~:A_'k6gTl7&kHq̀vjRˠ)JItm\$C7&dɫH2I^!R#}:b8C|X%-2-2(& )$b!!-H=D\B9II$!D:j`q=Cow0GH"5 $dCQh_Ih'h@8+N5mg#Uv`3 ~ o_wO*fbcKj4{|Mдuҝ9,UvHx,sWaY#RqK`DbdEZ1-=߉IN/v{߇^t_c},c^G hH.]$y#*Ú:- ɲ8ҢBWֽ $N<-'*]*yqG&0)x[Awoe _RIw\'>M]O 4v徤!5g޷ >"ABE_~<8R!wO/^CA0oRQd>JV oZ? ydP''=HZ)9EϒY^=kIM>-DUЁ-d9P^~ :F\L.F ߒ!yi@~?KOKti"k5PoH 1tR G8i@.s5  /zQC <=GH>j^CEd犁 j蓓^q@ƴK{ ;{+IDʤ:sT+0n'@#$b`t]&Tk@=PmWSl'}S~^d 'w:?nl!|ob~B4Xny iLlD#I 8H}^MjKԔκ}j]y Sr[dEǰF1g+j>" x#ۄf>&iCcns?g6t 3+Ip/ʗ(RwYH$bMIcY\z ~t v;$G7@כ Cػxxma_;>=ڀ Q*qۤm5 40;&=Kwf뱾U#KÐ]}Е >ha? Q@_lW + vP$lp&/ء="% O KbqH@>B7hVH'1I ŝ~O~@˂c!q>L Ji}KCc=9 fW?Jyvi/)mW.߈])*|Z{6 r>/$/#&m4bwANZnFd;gOKz+$+}شߵx3;ʠ_?Ac1Lz{:PvoP6s[Nga? _a\}}Hy!|}} H+E/8= a-'KT|?U7~ue w (єq{0W9F^_#EA}zq2y[w=Jy-ue_M!:h^g򯅓 yt!9~AyfCZ z9V: )]M֐5͘-~ $Zw,'6}Y :z-6\q4Bnm&1 DcD:T?~9^^D9%;';ui=v/ȥ=X'CVˏK%HGt_>#>N-,=clgsKBrxL&(l\D/9H r,ߑ.@'Y~0NMqS\ܮ/r@7R<#X,T}j G=T&u+9YtKuf>}3f mT>Qr4ٯ>vڳMӞMJ_Ӟc}\2=j98jTfP BV6D30=Lx$DX nѪYv=ҧ*nC~cX5~r2 "\>qRjW9oGx ~d`>mA5Rѯ<.? ;5n# h{ }9mc$_m2~D:+W̬AG%;B y!U ȏ"ݧ 7^yȴ7=w vQ~-JY,&?)P|Cg?o~0tqjU;m eȕ~/ۧUis>UȨ"mme|O6e_2D'=;==H=rg2ҫBN;0;uR_#n{a= )k[ɏ|yclXotf1O!JR 6RNq%!ER۰aBYC"}fV1{`t \cQÞ ?"o >)ID m̞ 4hU'rvX 'o<@Q/O U}GVҶ/TE_ 6v%?7˰?cHW#=(_9@fs~+P s~@Yʇ _2 ꄃ2ydZ^eϽ: 'ޘZGxqPm!m},466nؤX9~)(O*tB!z0zw~3j?ٙ+G ~OtydS}@pH+7/AY?RW?*k׸;ǿJ-?=ȿ+uSBHvڎ4Ski8 fg+3 6~I<IbtSgը9WO?_S!ߊhF~3Td=? ͺ>=#fgM3OC3,Fb.19˕{/IoM| _Oއ?b2·@?wgH<"'@܀c;2)%~e)_]^rlZʴ2h{3˘P·V!Ux2z5[ӢD W"s%Kr~Gy &K'x_?QbebfW/?W8B_'$ 2𼰙Ԋo5g ss>PQ7¿5)ϒR~&ȓ<[S7}c1o3ަcbvZ5m1l,vǯ&EX;=jlɑ@<W1j? , 맅ǝ=D.NCth&a?W$hn1V7 v:Bf QЅi }%F$xt<; ?T*nu`q?Vد㊐NMf`1Fjvo! e<>E.u͒$TͯSq%j=,eK0Y,E%4M/`NPVq'|zX[a^7c!3aSnBT#GL+ ֪x} c3P홰?IYA4 _(H͓FR7Lw*3NHV/4_yLʅNGȠ\ >; -,s .%/]<9!"џ{'"""':.P.pm]]o'⺛; AE9f٘Xo5U?uZ>Ei;e'UZ"GC㳱^E&K|PvB&K;>eTug2lE\ b'{;wf endstream endobj 3295 0 obj << /Filter /FlateDecode /Length 62 >> stream xs՟"8Bz8~0@A0gVd- T C010 q endstream endobj 3296 0 obj << /BaseFont /WCVZOS+Roboto-Regular /DescendantFonts [3297 0 R] /Encoding /Identity-H /Name /F9 /Subtype /Type0 /ToUnicode 3298 0 R /Type /Font >> endobj 3297 0 obj << /BaseFont /WCVZOS+Roboto-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 652 /FontDescriptor 3299 0 R /Subtype /CIDFontType2 /Type /Font /W [4 [248] 17 [276] 19 [412 562] 21 29 562 32 [508] 34 [522] 38 [623 651 656 568 553 681] 45 [272] 48 [538] 50 [713 688 631 688 616 593 597 648] 59 [887 627] 67 [451] 69 [544 561 523 564 530 347 561 551 243] 79 [507 243 876 552 570 561] 86 [338 516 327 551 484 751 496 473]] >> endobj 3298 0 obj << /Filter /FlateDecode /Length 308 >> stream xUn0 h04Qu~qlć W.)3@,j݈: է۲Ԛa>M{ztڌt'4+z|ξu5E:N̈PSzK@G\tԥs=[4dc@RZrd/ u4'H(-492sl_}'PVL]d-3zIn̒9X8ȁ^Y̮aYE}ۃܦyWWatzm7ن577; endstream endobj 3299 0 obj << /Ascent 950 /AvgWidth 565 /CIDSet 3301 0 R /CapHeight 950 /Descent -250 /Flags 4 /FontBBox [-737 -271 1148 1056] /FontFile2 3300 0 R /FontName /WCVZOS+Roboto-Regular /FontWeight 400 /ItalicAngle 0 /MaxWidth 1885 /StemV 0 /Type /FontDescriptor >> endobj 3300 0 obj << /Filter /FlateDecode /Length 9010 /Length1 17733 >> stream x|y\TUs= #l*ZK!iVbT%L|5uv4`sfgF?瞻>o"4'>`aN1BiS;5H=9a3G9{Bb2ǞSF ^q G2cg5|دnкa3&hڊ: YU>4b'q\w.%A6Jx'Žų0R] ,lܹg΀4٬2#5|@g4URp`D4(0A$ J(~f@ i*ѕ9:L\ ~e =z*-Q/։C4UHqLd4U8415U8c'E;͜n1t1v2(x}oIi^s9"==B4OuL0O[*RFEb2CҾCzd쨔JSJ;ӛ*r 猩cVM*Z }Ҕ Gf2?''<9pKxhz%ߋ?o6fلwhI4!^qJM81"mV( ?i%H3!=\1zǸSg0!w:7EKC[nEԦ|&Z|C@kG@9;grx4E/MMPKdG;rTscˑ"|7oa2LM$F6g %JUR*wHazAa" (!B!d*^iKӛ d!wtSEĻҼE$o2̑2wl^Qpxθd\ā+^bãuQ_\Ǎ `d}@bB@`LR9RBRZRkwk?xM,Yj|%0^=Eq$> ϐVy\^qr ,G)qdMGYEћ RrTpBO.yQ#8sPreK:zSn 4%d.`sRĄ BL4y8_7Jx T,%By[MZ9ïQQӑ! //fE|A];eA @&ZP _}B/7qG)0[j]RnZMDNvFR8#&09+1+fX?;}olTm.]Un ,D`~PK\2훜h^0 m _sKq@DG$Xpa ݗrVb f*$ qSccX[&NjUN5b)Q_IW/."F&8室 cwQr 5z~y` BI qќ&ܳ~J{\)ocX=mgvl!\.Nnujɜyʦɍ,#b1."fYDY!9YQ"zĞl4;}V&HsCߌ>sNx3}xcz w3YK$I;zh Qk{Fwsxp8O;ܢq.]6kㆇhز)Y˗_E+ȴćӆ0Χ73O1 y t7U@x{F1xb6aփdNƨqBĶ2eTEa?pYv#{OԻ)uTHoWNm߭Co^6>gnh!.6֖eLoH$hIէ1,%גYGE-UyBd_K83mn}E_K!auZuI)ZMc6ڣ~iD\ <֟ t8(( GV6b5ETD˔i; Mj)[ޒ#7ǚJ.YﯘE4玛1V mtj2^/g pW]! uj6!9)QBppPO?zr s -n-oW]E&/H۪݅U+RًV$}% jV93% E5 399I)"[V={QRvN>zGV [$P`6hiqDQHTf/=A鋵E#wu֦tazlQ4# :x-뤁1A'{cQc/KťTa:-燫B;vOvzK=La^ kQq}WИ" 1IPkfÖ ,_>P,jEy< (MQ ׏۞GƎy$}1t%c^$&76& (&7=/R|!zx)A㿑̑&D2VT,ی#i_/&ZҚUA71bkHeVsY#-R4V!PSTNfI>ps>]Ci@p 5\I-%j:a-~^}о_BElgi}z9{Eꑯ"`?_Ro+8-!(fG011B_ZD7ؑ V>O^a EkKQti zZRAI1'U-/ S/6zU#i'ֈ%KgHK*(үtV{Y_O|7^8j)@h jTrl18qGԦtPI+ g -R`PG"F&b N>@wv5px>j^ -{voE4nͅq>ыE>َ|9BB[bBm%Ofĸm}uz!4T=G}|QZoUQYYUupWj86Cj&hp=8a^tEvZ.!%2ٟE'KId /QXL&on 7%5PhfmfZY? ^mX=p )HB7TV!ޣ=4,U^aMU+2v,aPG fWL1&abbkBB Ac0YH7")/=8شCh=мF}ҏV7lrf P^Oӯډ/H<(F0 +"o s>aM2%훅qG07Gh0!R10[_M*@rn]WK 5sd~BZONRvF=@Շ-5˷\1)6c`V(c3%!9ťЊ#Jv鲎SɆʋ} DE I0%$=(B~{~0RJ9<΢rftfCN`+i.Iag°hC[kwiQb&~w|Z$R֘WՊ-8j漜81[mN/mfKog ߏUY\4o<\7b lTtFMk(WXH0̳X0Y6eN"%_T21-b`qƄQ R#C+ hˬ77IEyD}m:*]q{dX$k<JvDڭD=e|_OU&H[c }j!waߡzM#N4%EQd(y%u 2^rQCVXZ#f! Q+GQ QrqSKÏ΁0K?WWj0dLdcu)/VEfLk9&tPWr识f Mz߯ ~kC]wqZv53\w#׫ ÛBdӟ`: JWa F0JC8 ЃB2D Z|# AHF؈;L+,%И ̈́ Rρ\^`(} ' ˠ!+]7(Ԉɥa. !6" ::`E@c$ Nwړ?`@i",4e B~D'?:z"}#0H\2I_y2.X%Xa!Ä_YBMaxB`t ޟ%P #Qd%,fwҙAx~ +2AK@gk- *m6ɨӞ)4HilwhhMFMiʬvK #Zg5D^^BV*q,,`2>BHla.@,XwZ AJE됖biD GIm!i!}C]Z0.L Ihf^0Fv f 5J@m/'PCELI6h"elhBh:T$o.SH<^TqG {YY9`$x [RHGr,B_f(ބ8L75/A#+o!MQ ( ?!tLyLmwp 1-fhXX@ۮjb=5pR峰N: 4g6K^`+P^BEhhK<09Sig SP&G" GuP>]ѷ= j[|&mpy~,J:x9:HP63F}H?m(ִ 4AǐOѦEЎ~b%e ނ4hR[c~HE{JjG!))ZЮЛj` :S㠼 Vh}!}f6eD#d -O'J0usnO%p$Xma~|М@C= 0ZeX' z2zkvAa$CHƚ1"vGE8r"h$4S(uROmOa6K h+9yV@G_|l ]rxXB+a.{U11U\w/؁x e& m2䈣zQ臐,I#4G ;X}!ZF= L+Fxvz zrP9^E|b(`0\1.W܃c6'y<Q@[*Mh\7C Ġ HSptnqoVo F` o">[o:!^0 +VH-?ŵ";a>΃#}!|=F7&<Ĺür =yq_|7 SzcgC@X+=5s0+lw!;P6؆ƈPVX nLOZ[Zs0_G|_cX0n3(W֚A7\kYqsP ImS!D0a}Am/MV0C h0"lt%+kIX="΄"B;:a}5g9Ϥa@ھg5vYOxBSuw<[.-VS= XqRi;uF>3j+OxmkH1ޔꢎό&ezx"F،Kϡq' ,{qnp:.SH{a! N9>W+08\xbrK{m-V+%؂Mٗ1ǘm0ދ cVk&^wcm{ fw~JlM-5gu }\NO]^=cjjg/1ѯ5fx{@KeOm?߬b4: VMAhw-.Sk:®q oh:|ٯayIl3QdZi=1|u>ᱽXg"^X>5A6F"%b'|d[=^例8#:sKdqi?9vƠ95ngs5=q5Hp)6_hh[Lae ն^Xb(X5q,;z{=ξc5qQ1/|n87o:{PjF=r4Y K] <;MsB0C,l&;q,zuY>?c|^Y^C;S{H{H׍p;QT}Yϧu}|/o+w/,a}Ar(Oh.Do~v* b@ߐ<*\Xlͺ<.+sIQ!&.R"+yN JfKfv;W0v1%5-{Qf{>-9۰+ NfW~mbؕ^ةK(,dPvNad';eS;a';E싩싩싩[v"{C)ΰ5)ވeD endstream endobj 3301 0 obj << /Filter /FlateDecode /Length 21 >> stream x`- endstream endobj 3302 0 obj << /BaseFont /WCVZOS+Lato-Italic /DescendantFonts [3303 0 R] /Encoding /Identity-H /Name /F7 /Subtype /Type0 /ToUnicode 3304 0 R /Type /Font >> endobj 3303 0 obj << /BaseFont /WCVZOS+Lato-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3305 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [234 631] 6 [602 625] 9 [708] 11 [538] 13 [530 681 710] 17 [267] 19 [401 618 476] 24 [863 710] 27 [746] 30 [562] 32 [746 584 508] 36 [546] 38 [685] 40 [630 955 609 582 556 511] 48 [529 455] 55 [529] 59 [479] 61 [321] 93 [484] 95 [530] 98 [230] 102 [229] 104 [474] 108 [787 530] 111 [519] 114 [529] 116 [512 342 400] 124 [355] 134 [530] 136 [479 723 464 480 424] 310 [225] 312 [234] 316 [344 346] 324 [418] 328 [351] 331 [444] 334 [259 258] 338 [284] 397 404 580 433 [580] 1138 [225 534 580] 1579 [249]] >> endobj 3304 0 obj << /Filter /FlateDecode /Length 477 >> stream xUݎ0y _v HQ l\jXpRC.nHA<3>6qXTu>tW2JݲݵUFqūmm~oNǹ݆|F`rMrPl/4yl 5h,9@A( @ph% -k+DLM@4ќ9hih`T7זZ@Gږq Nj9l_&2Rf#it#є+h87 NTBrX,Zr`wM(|6cUUի hy&C.oL@ ]I`A]1;_CUƂj yeFD endstream endobj 3305 0 obj << /Ascent 987 /AvgWidth 522 /CIDSet 3307 0 R /CapHeight 987 /Descent -213 /Flags 68 /FontBBox [-502 -269 1291 1078] /FontFile2 3306 0 R /FontName /WCVZOS+Lato-Italic /FontWeight 400 /ItalicAngle -7 /MaxWidth 1792 /StemV 0 /Type /FontDescriptor >> endobj 3306 0 obj << /Filter /FlateDecode /Length 18384 /Length1 43473 >> stream x`T?zJjժwbQI QQ cl1b Nb{ݸ$qIb;㒘5v\hͽ*'?fs9s,#P:DoW%=gqV#bx`K߳% kmX0_OTջh~WoH|DM E(0ٺexN^toۍxMWշKj ϳk:+^$/WW_xF]x~D.'H^/h-&^eA$Qѧ rB_5bg?w~)~z!ߥC4K!*}S;Gt@uw^%#WY#^2nx{՗Ò8/QG;NgdM+{;8O )]@==8G^պ59>sv. ^6:s:3Orw8}mm.\׈z}( <9}}>|ÉNlrm(qwF+ṒθNcY^GmD=RJ:$׶udwOA>֙㓲藳_q7ZOY3](unwnGr 5-k[ 㓳}ڬ$h]5]>{}g;y'Ck{ky:N!{>jk2]|V Bj1NgvwSǹsơ^wWD)>%+²58˛g B.g m#<)gF5*K@j;;>n/y}s|S8ʭjydv?kw͵>U3gq!?90bJ[G?gF[˛tY0@y#iDQ:rN1DV7U1uOB]B(n[`d,ڬ$ɆYr|~SӨ~Ocefxݯi|v !4}ivJl4t֪Ӂ-7ۗJʃ7:O127Wc?HQNs2ef=3'.v-?6q@5$ufLj0~t`wsr|%?F !y))\g#_`ݍXPPX%mh ZƁ$ڬsNgvU>ڙP'N=;3>!Uph6uR'Cj;{>ڮ8;:.t ݀9t ;I#nMIX O5mj S^yD T},U Q֑묂m=:y_,ץipM$803n.c= NM'718ng.Zs7?ٰ />)͸l_YI+gmG\Xi0-\JX\\,:( @w*7TȰvy}0 0!7bvmqºcZs}EXOQ>:٬b䛲}H9WgLYO-\}N̆G^$dϴfgjI2jdNSN3S9 L4<3ӌCfvN3ggfqixfi@f.yg:9 tqd9 p4<3?W1WB57E<3}ԋJDq>5IfIt+']3H?']8B$!aiK4, Ş-I6'?& VZm@žZ՚<ɐB># u3QZ):clÚO\18\KX~bdKl~mտcQ]ܛRep8[7o|ɕ}{llMx;":玏? >+.}h H{Ϸ׬[P{p>%RosG}Kf;PTfdzSJiA KOO7tU{[A^խ~ˬ\'^=\ZhU<sX_Ξw;]7kή{T;-(؃ t3Wj13vǙ`X7ILT]3}sGnRݢX;4|—kWh-ǹ>)1cc&| &SWyv0ߦfk8] J I޷Es,I$Bd ,eVm%d0o:%]. 6p_v٢W}7Uz 곉~I$I^Tc!Lu50a|;Bޜ/>TǬXZ\Խig?+ OJ sq8}]o {7Mj}T# :EblwȚM W(S95a@q?%&7fLTd~ey:XwStw~芋ěYR+'Oh-9q5h5~Iz'{ݷW.=b23 2 5-8m o)4'{Қ )M}4mq7${'X^ya"թR?ƇwDJұtԱMBvJĀf٬0bXIaOtL޺`\G{qYg^ȒuWU/3S<5_W7"ge|BGۑ$6mvHT?q[<~.1 lJ )\{c913sS"K!B{ܦm:kSY3BfRF+ZUh5' 3J67CzJIϽӫbIW~iHΊIubHdJP)FlG1c1NWU%^}p:+' b?C6lX3]g tzC4jYw:[P[ȇr.[$\٨c}v|hTb! כLDٷf}}R'&>&N`Cd5cd13G[?%X١1*ŹC*/-N-q|ΔV((x ȹbR Ba۱$fXg9&GѾ=&Gt^ _@Y(4Y<ൃB\k/kVwZⴏOvj0P[R_z33F*?Sh6{@*[b,{Պr絣NPV\UdIX^]7%fu-`@'+z|c#Cs.Bz>+A/ДW; ~δze/Lq$kAHrXVXʗo^g .Z7.\:gSӘ"ΚPf]Ǟx)9MjmɷͶŔ7/?BкD:LŘոdխWoYTΟE Luci1XeiCF9.#zy&F.$Wm:V)M[c,B.=A{jdIƼ:M3q KA?G9/ؚD?'%nÿTITVi =B[;v.bkȕzc=]~ݷ^{Es3C,-{Ÿ0vd,4#6i c<&qL'&f 5P8">VPhqՒf~AA]QP._>IR9T`:[dݸdwS{~I |ʘ@?rl7osQ,/#6=f0S۵ X럭IE| _9hMSV+'aVio;o(H  G#͖YY+򘢭a|1DV-<8#~1%#nmf:ge.£IC2#3z7+OHLo`+Ny H?dܭNp:9g9gy['Vm!z23sL1cEi.L~7|Q>ˈ\V\TR<|W@D裸) ,hs6,Y:1MM֨(++9랥/-}ŗׇZs*d/]ҝƞ˛9cfv™sq96{h~Kw$V([VWU96%nt^~M5s<ݍffDɌMHmZ45~@%&~Q|\?,xphIjL}Ւ-Ombh&߿_Y ׯ=ցђ@hx?tVI࠿u#^^-h:"<ҎcTp{ΉvlIQ9Ivc7:6msbcsv;O;Ombwo|wuC&oI ͫJʨEA'!Au:ǽ3QrRl4֧[nb CpCm>yq{ttb4օ^ݝǚ}br, % f'F{rGub$P?pi{'cͬ䌺ѿ!qTDu$aInvտ63Mp<S94*!QCxD ǔt^MXmaA3feC/5AnۚZX`s1w?ɺ}W1|_hM4e5gMok16{[NJV8[.*X&k662e|ga|!F>㩤:1F 9+/IZp>E[`gteb35bYU7[/\:/:HhpaUU[Q8wY`T X-b"}u55Ly~3a勚M]1u{oYێǖ%iy;v^?/vW7ҞMym%,ʂ7x-<.y \wyN$Y>xwy4򸬧)21uqi얪 njIꆀ=uf͗i$DJ Ww㚣zjkqg|iș5poV͊N(MhX!  7Ic޸YX4DAY @tΈ/@I%bC`DD}͘JKz4qX$N q 5F c@,`̑Z5nfOfO(Gιξ?xΦF  0jR ;yC=o% Su*6JԎim:|}ZpIH"A.GjԸkQ{5?lS)lKiժx c7?K4ȗ){\AcsJ&%,ԧHS鰸F@&תvcq*=a?ւI^m?Qrǐw']*ê''pU?=53O|䩮RkI%*Y(0ёױ_d<~D͂sG/7{-agy*w}}pPgY'E*dOrk&i -ou&&~1$omćv{]aU?жR$YI9jw߳_ߢN}2,k.8:sļYPC#'E6l(e0Laӻ # DB}YRw~ƪ^k=-}Mf@F'X*M'8JԴԒn4tO\,B41ږw:?7"nssB3I~&!IIhn&=PQ"6Q5=wbEzHUV0=:1fꕕE5֝(.-vt9avTogl.ܒdĢz%Qf$\ĸEQjGP}fIlEx\ƚMaTͪmPk`isDw-}))-)J-BR7ᔰ&JUR>I:.^*ći< W'1,ň!1mH!c l5rܙ3\[\aUW!UwԻ~iGY ڎTι3w-OL&u\GKk37?]~Mz{^h&?ʛ{s[c=|=tA~"4Kj9s'opEɝRrYՍxebB)!ew޼eL[Fkf+|qHG>AKBm!k|`tg K<6'AZȭ Rرzfeo5*i=ltw9wI-*޿PNT7Ȯܓ{y6.;Fg|?= @S .nXՖ嬚ZXbrJmn]Q8qgoWPZb*HQJfW>6`˜̜Y[.~p[kW7n]_DisSBMI{enU2;$GA_5ς$abEl0?ݻ['QAz'tkCz(s߽Oy^RY =Q#~E= FSq1XVf:G7hEc.׷N69=Tekeϙ]ܺe3/)-R.6%T2oĪڔƗw5 JT.};'W.;w6ճGF#\e حF*cmD=7 `ԂЃƍ7*fOFf8Ȇ݌To ?jZ#մ-m/qVy_[vTZ;[8fʖ 웵kQ Wwxz-_^j-1-MQ3$ެ<)>N6sn.|Ai,VOi5OhdA@>VH/BNrL4WDkؓ4]Fg<=-#<1 4Ix п^ ܅Q;?z8 %([|3PS4AXGįK^Ay9Ihr95)M|?S6H(Dr^Ϥ*j=E1rUk_ql;vRt1u_h}ʍshCA>oׁ]DQO>LvZ[G$KR?*\^ RTBh#>Hb69ʟx{QFFeWX{:ctRO4,~@Ki\P>^CP*+T>TޖX?.w%H4tx9= y4zH]}]|(N i ӣ({DCCBFɏ q>/ 邤)QP(QP":N<tSV!'` `n;tҪ 3h{XDWv!i @V|.: =y'~DfEA]5E+(pFҫT:X'Mx[2QJ`6Ppc_OH?EH $6 +x4C)45@Ȣki>֋6W|%v`n ̖L2gu<6e쀵Δߢ}@>3H!{!i0L?MKauIɘEA$ɹ,nT&%94SFL|ϛ6(DK!R)πaI~C1so^y#b}:-#ߦ$$WRwilpHQEЩk@;K#-{=1uXx/z GBoQ:OCUBu_`BW~<#ZwR"/u–@S\b1bIR~.f+ID}Pjki)U tv% ),R.ʛR\B|e]G,У|.>Czvm (c>VQ?>81CϘ:mBP۹cO9a3hTvroS WUJ*ץpWVwS|!l*~S%xNF U;;T ^G!nj֢)RQ|TyZ\IbwLpSp8j 8cT* 7F0RJS' F> x|L'(ޅ쿠q/xitGD!Р<#)Rj_R78:cų) 8Sj b3*P&Q X&!q?u j!3yw߄>*gC&* Q7I:fϐS1Wx{v+>俀޻Q.NkT |1Jk]_ u9!+.c/M[P} >q6ݹYMclPȡ!PN8ir>ls"QE(O/CnaةY.gOSo*Ŭ60 گlrD}CRQ(x3oh"#ny܎v )yεQ]tBV{\>aa.ZVЧ(Cͣ3,d?]|8 .r<\!8лt)Txe"_ _"b^3ud׍!"Kr(רDXPy<,m!{˿n5A _b'/B7Q@6#漏Ε#Gu2AgJ/F҅P%oW侵3vKȌB yvR| ;QԽAMyԃu*>1EKWH骞H۩\>oVXidSSxJ>Wޓ6A?6@wBWNh{~R@u.uC7>O{m+XR>R^+/_ i ':c I1͒A'}O RXKqRrX3BKtc.bHV^4B6Ő-Ra_E [ LyJޏ e+a#~ ;0bz;o(Z[g&S'`Cy޽B XHBK lG~8Aw? |x@P;(FW@B.Ra.{i'p p3p5xM =i/[;/\ oztVJvGD!Br{W>s?S#̤ŻQ 4]lb/Vÿ(PG@ sc V8ߪ1>N Vb> C;u:)JƘgR0OA,}`5޽|Gj2p6q"S`k}x=\%MԦ[~ 3hp1ˑbk{NJϾ?#%PUw=7ߏ"4HK}#]{nvy &!E95vϚ\$A ] 1O16 %p!S<zx.JK 6ʗ_nu%I:}ˠ~`|)| 0^+P吳qI.#K/|+H ̦|΅.PɎ@T*Q_ae"L 2#7 =70ja`*dH&??F(Mx21r D|&#/wX+jAۍCGQ@ `Ҟ@ >a@o?5.sg-T@?NG+4'B6+Ty OvN4It':e)OJE2eDWBj/|ÞcWw4e~x~xh "h Ԇ2;bK폁b3'?Q)yy`3:xfxjDDOUDȯu@+!pS=U.\<?xBY\xt)w_ag'z>;('/W͕veLAU>'CLf OGxI;DH(gzqg3T ?pn}JjN|_~B㧪gpモ/v3w;X.Wݧz~ǕigAHIʟ( ~KvNy}Jg yjك{y}H٪}Dz;7m?,=ԫo)s RJYDh*; xv?ˀ,?)~|alD8>v\+@wE>}~Wmtш{"tgY+"}wzG_J/!|'Hw_xxoC ^M Ҫw>k1wL&x5/Ǭ /k*Y@`!G/(iժw^8?L{7;7á޿.- iwk=;#*_nwiԻYa,^E.Hvzj + v>v2;,oᏈ܃PﴸiIaoֹGnP*~w8hq{{BOVmgO` y59^)o )]%eix{R^ D}b8C;n]ӫy{;t 44}J^t%pvmUgvxށx(8jn3B& r?oC=|@@`%xyϨD $D|:X 4 Xr̓a`_`l60>v  .@zP-8(|HOV?NE~~@fv^R.WY!{!7"2'/. r y@:^<c_cf>k}tp9p7Re h56HtpI ӁmРw}0CdC@!48!aaLke#?4 a [; !!DA?5ĭАPo-#1.F qiHJLCrgR<'Gj֐۔.P/r?i[q#.=|!N'?0:Pg4 x_OEo1s C)J .)ןiiiii/DH+o?88 N4N4N4N4N4MDiiiiii/=}Lt-H6uW_hB/".gN_씎~.C2Pϊ~ܿlfCILeh0DeCh]W/ꜲZZs(϶)?=l83M-?OX0Vea2B_~rk endstream endobj 3307 0 obj << /Filter /FlateDecode /Length 53 >> stream xs՟"Bz8~0`L= Lv P!  endstream endobj 3308 0 obj << /BaseFont /WCVZOS+CutiveMono-Regular /DescendantFonts [3309 0 R] /Encoding /Identity-H /Name /F10 /Subtype /Type0 /ToUnicode 3310 0 R /Type /Font >> endobj 3309 0 obj << /BaseFont /WCVZOS+CutiveMono-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 605 /FontDescriptor 3311 0 R /Subtype /CIDFontType2 /Type /Font >> endobj 3310 0 obj << /Filter /FlateDecode /Length 588 >> stream xUͮ0y /[u6&R!HYGM_ N. A,qnHAT$2J)R,B@9-rƒ؆:Z TG B%ʡGg6U8- m-O O׶$bKz |q\ ,+ ְQQr]̫%hްK_v^-dFUjpcK"-XVRzR%޾`ۅdcD/A/n%I.̙0>VX?0$1'9GSotF endstream endobj 3311 0 obj << /Ascent 828 /AvgWidth 605 /CIDSet 3313 0 R /CapHeight 828 /Descent -272 /Flags 4 /FontBBox [-459 -272 787 829] /FontFile2 3312 0 R /FontName /WCVZOS+CutiveMono-Regular /FontWeight 400 /ItalicAngle 0 /MaxWidth 1246 /StemV 0 /Type /FontDescriptor >> endobj 3312 0 obj << /Filter /FlateDecode /Length 17212 /Length1 31516 >> stream xڭ}`Ź|{{M:]zoe,[eKeIdȽ#c'8 IIx$F I7,Sk=oWffe=dޢ[|rS];|ӄׯ2qW?BH}ph>/B dq@_?׾~+!qZM[x.އnܱ!dM!١ͫ:Ϗ/}G}GU\;ӷ3c:񝇢&_WՍN R<VjE\WWP*m! MlGzܐO^[0:!4"޽>F/4<8Heȝ`_ԅ"!E`ԊxeA0^E(yBa_j>rѣ-48EeEsP`"IkDFjX\ۘ?p(Im|x/5r>yjH5h :8Uq>Rc&\co07kσ^j&ayDffloNJ T(Y Z)Fa6=_2c}!*tSUDCI4-*ag[h{IQdd ŝEZr>:.bf&kSA*SFҨQV[Rqf9߃Sh3ƽ]?mlҺW ^y6ħűQB. -aF2M'T,,EgRMhwp Q[5QAB)،&_Lʔ1I"݂H$GuR@}u糱9ZXn-WcF֌ĹTT{x< s0`Oc=Y@a" C ) -tiI OZ03j C ظٸhi--c㢥l\ظhi#xj] ,nDgw1ۊӨ M*R dXyZ՝%iRvdR쓊M1K"2)\.)R@;~H*RR_5e"8")jNqV㚁8G gxChUM4gDW7Mq5Bggߞ;BX˞謀X%"ϵ0@DQMT*Svu|;!E*^UUVDA 6_&=H/=)W=׷7lC>T$>NDghO駡Tǀ$tX:.5ҢBnW!7}B26%)}xZiO 8q miM(f8P\8j G:!"ǩ{4VՊ]W"TLR{|^J5ӈ4%k`7eھ5rFۑx~ng xW r:>>zlO.O sKPIc,% j^u(ߦ41+BO60D:A5 2&SNF6ڱ~ ~{?szSx(u[F벨G{ Y_g.' _( 7NfӘay?9261֊ * MV3=)DB` EOvI"_mXq&j<;/ YY6pVK}BLn-6''"9FBuZ K#*V)-Y#5!5U!(-{(X>L4jHN_"ѩJ6ƬPf>2j>58̂>+1Lm1٫m.72 _G+9IֈTx_Tx9Pae2̺W&o|A;_Ԅ4**|ZdSxr>T^MI j38Qrl˴adք[4:DQ> Ix*]OK4VB?e 1/yi.:CH$|ERRsKvmwݝޕg޳ۃ&;y2S`>ynzU;U,feXDũU" ^4,x`,v  HJa?t/,t4K^_M)iOGoͩe~{RxuW[zuARNcZc,ڋ$Eq5:,DT|$9 RQmdh2F ѵ!]puki4ϪXrJX9 Ξjp2n?)O1#w!ON1Af!N:pHr*c TYժJBj+gThQjo>]@$Yy-yW(=ܦZYůt݉wZ(+onnXDi4f>_56rMNК~x,i~ltˀV @ @jے/:EpS@XsʾB;Y]Iq$LM Q"[lu[l^g񝝽_[1{U߽Uew;g;?#7^^{/<ш"hoº۪:v;P?Ŧ44ܼuy`^kc@s){PV>D h/lѨU,~ _ 8b. c5.Dj 7oŨmx,sCKuRӄ*MMW MڕCŭy&VBܓx?tITASwg`$f, R-Hv"9wt*qz16h޲v4mfy"Ξ7Mu;3;3*زTW{˅7TVF ;͜ B;TrqL>sVQx] %p墜슥= XQɔ !Qad%yh(LR"I0D^5(SǟBr=@isy,l#Qf$5,ugS4G v.[6CeO-[qzõxb˄wv4Eʆgކ x$76‘+Xe'Oҭ/ t=u/Xl&~`" %F`$@/ +b )z$ڈݡB2WvPa)7w:7GL媡j7MUݥ*l8>% .Y͂Yo-lQv hYDйsl1'e(O@wF,GƗ"Ӵj(7bkCAN.3xwvܽ͜8Pi,T^kf G̢a,P[F7nvy2=;m&n7TOM *V%J,(L r0C !*5D,mZTɐ=I +VR Go7Y1xa~ü-UUکeŹPHS;CԒ!57UѤ^:PTVMU)Yٗr|ioM95siu'7u[dIfSIqqeÃWm/鮫^2zu'7<4ɱne*亅ǖw-_0.Ɗ/\ysѼR=p6ОHz妖 i2>䑵-N2Y8r GPMLq%a+Xn;<1T.!dhM*IIߚ+ KRVOl35I\K {@$sLg0A5yp Eqďr4Uj𼈂JP)[OS;dO2gW)몟T̍4G0UU.нB$4I~(n5bs/xOLJ%=.ԉ]9 %YQ\(&R`iӁFFQڥlJE0NdU&/Zm/lu' 5}jL+ Aeȷ C&+L[R w6kt0qp $Yqs*fӜ&`E19or2[Њt$"-#x1L+K?~K&xá@DD y٘pHĻ/qoEz &s,[8 _A7ggꑓapУ@j^d1e3"WF&ՠV|֣_u-*NF3$A@Qqٶu u9P(T ҅ʬՔX+s FRI,\O.^_R貎b*.,NN7$Yk\)n?u ̛|ў(SwJt^GE@ʼn} `: 2T{$!;q L|v_]434JbU8Nd,6N Ux"F*S)UI9ET~&e<"oVݓhcSm,V;0pvﶎVݶxmO\/H- KK1S)Z YCft`l#+BUAa=y_t?z8Yo@T^:SqqqmH0Ti1 D5GMU)G uhņsԵtN.;D%ee1fRƁ휗Nn{lUhpqVH#/#_cW6-ͱV\ I0"eg,caEO Z48KBfDt \KR\x{le-%RX=1GYbsiQ1x_S ɫ lJy%qrqLdP!lajny楐zGV hB%d Kf¬c Id~S 'hS62`X4¾'XtWZ1b>Z6ZEuTtB=_GZН yS~qZy!0+pDYG1{j Et0Mc5A% K@T N @w;/Hp5"jyAYЋ+' s5sH"nMUq` [+oYחmy}m0fsY,)G"mF얊5>nΨٺeRaRtnFql G+^B-ٟg츾D(AE^%J{nSZ/!U~0uh"B`h&Y$NV1Z=%rC#6נJn9{C(%٥.B]I7uN8[ۈ޳wA b P Jl.yl3D;>N|1MċWy\pnn^跹ΜW3]WJ6e$,}\'L]1[9~+ gL:EWkZ%ɗk$NVƯ8Mc:+8N8$e'42pv(1 &*G[$y B) #&\-΋ß<ÅRRUqLCmíC+,vf,%yw,Ms-P{ON ]n{?|Ǽ#W﹂zj[G(Dh"]X_FZ ^=rNށf4Q{f"OQ^ zi!9uWs?~i/:ظ/:śi2[tVw.h4fXZ{u:w`kUsvCC55Pn 7[Mf˼|n]I֮3[x&3Bp'ڑ\R+ȥ{aj* &YڤC")læfQS%5LO ^6[̦Mi6>:^M^nsepՐn2}.0Za$^5<7SoL%gbsNTESUH6Q[%dBŬ@hh3_?!*0]R"~)`GB@Y$RnPo(ScbĤ![]ZPbgfZi!9 l)֧}M{^QT OhM*[tiY;ufg4N9{ myOh:q<ÁCy = ;|Pڔw̻3MW$WT陘*sCL);xd"]?>5 Ooyg=/͹sgu?> e̴o%'νS[~/U :.wh11nr3]?ryS&~8#W&Ph2I!FrbR1fji %_SC옫bLE@W#١Wf윬F [~}_,;y3Xy| ^^ljGѹqv܁_/9X;P_`_Geҕm9݃?Yךm\5㥞]TL}9Fel f 73lIIء aVÚbV?sQ'.H|%,#}Ci"&^I|Dl["b7^Ĉ!xC BK(ڑKw n :^Vwq(8s#RRAO@ѣB]/]d)OkQ%TXA;`T{XCb>.%:. }Vg$ϠqlgD˕PRP9D%s^]vR#V*)s_Q+Nfqrrٖ[Un8~kVr?Vpӌby7E)``7dVB-ѦisiaSwGm٣nWԱA;"nw~OdNi 3qzЏt|?t#z  j]A8PVC;hdNW,yscM3֔a\i MaIsmXzZ)XNJZZ)mKEޯs¾3ƌ;j3`{\FU@19w/й̆HZ>(Őb٣DN%ZӱW]ODr!ATjJ/"(/iY8Hu Hݕ[-5ܶpJ GKsɟ\t02G!#"jPD]g kNpByTiMvU^hi/3d9I')楊O' ĈH-tڸOУ㱘=83=?H )OgG-*2HObd#`# {Bat>*tlhYmcP媮JJԿ(2~jGE5W2;N7[2 m47702T7We+cS[Q [V-+oeѮ]9eyZM$Z>+x_xoÛF$~]R1[*#q'$$*H1IZȇyWǻm̜T`o6g+V\!f׬YcQ4cĩ7H¾@ c/"fS,Xgooɝ7ހJ>E-'tD2Oe-p >ƚNꠎRXKt=Ŗ elY U rfwgLjˤ*PnT\=O1H%ФOYa-BQș?V\T[n]DZX\'s}s"#7&} xK8-/r񹨃8 ɎdaZ>qsqs/t.=|WГ[´- 4BsJfELQ- B=(_l8=) EAYnӁV+I{GGGwG9uk ss9A3?$Sߕ8Xϥت&e\>)n;j&8&p叆Mۭ ex5{+֛n5[owzmQ]TD%הvΛRO3.֕L`*~H{o^wrZ|Qe_>](۾zinG_ck^pm;Vy5|*9@nCJbt AT@vs2t00x . 7"1jD-̍(D⁤cspcc,],j {21># Ej×O$|?ҹ}>hI`*bRzӒ'첦*O\ѹ#~!́YmR?ܲ=\' &y \蒎Л@w _J?uQѿ2OމNЏ'$a*HU)t'f݌mP) $#AΔ- 3#W/3pFnqWSnsn{\@lA5ZTUmF Gt|&%DkVsJ)Xlَt_$Vhuj ED5 ÑHE4eGT% 說m%$uzKs(4cʼk*kFMJ֕ykrU% r@]4gOojij쯄 bp/϶MAM6KKymee]W77.>&ZgUϱ-K-k^=sNc0_+HOn=GteIrPԜF둠f0MKˉTU̔[uU\P=U*RUj^WHHMp,HU8G+-%)N>\ӑP>1U|@4GsEOoh_7SLwyL*dL+ʃ;ˉ@O,Xiٺ޽u:=9T E.n:eJ対s׻Mc;i *+^oZ.^{V/0/\!|4"WX2T+._4*[+үBҨ,,VYߟ%Z{v55.] f2>ӓQ 5ͿrOۦ|nkbx7_O8,~ynqZ~IH/"b:t40! k=ǼXʿ(r˩"ɊiEЗL0]Ik|ٓB}O`?IzsBIT)KN~˼Iuhʘr*$L1 bz"yL˹R \&5%su0?4IrL1]t'[)iVarIeF4PpShM[2/hp`2cٿ16&7S@NF|o/9[s*w`Y-%JrBڠ`Y{xh2|}#%-R] 5KmLN-OZ+Lm(DmS`*Ĕ#GÄ䌔\ &*/$Nar-0=-јEJ䄶}Y/vj "bLr>\)|vȾrc畯_x7 'KeeWwT[oR]I#xMI1vuvKoO^MWLzc;veh7~\}h˼^;V]eqd}=^9.g5z:?]qw^zcM^/r[},&ƬXV<[ޖmz#@^ :ٵ,x(ې.Tz$\^+z$ ȊqWVj2A? $;`Ev6l$s`l&sa l%`l v K%d?Rr7Et0\%p90乚,Cpta\N Upp \ p7p n[8'wI_op . ÷d9YAN ɟAxCF]+;q'q9Qx ,|BA d r^s {H1{I=FuG ,yvʄJΧy,mr^)KO˹ZΧy7LYrR~\Ar9KgRW*K],m}ɺNIwwKTڝ[ݽ)rW}3$üT\%*oW0E!$&9/wn^* \P)$*I\.\&eyY~杛]Zfg)r^. r.`J7' ;G n!GKɣ3AMHB%Z-3qLIH,ǎtM#zrBŝ)<֘4\Zޓpp\n#MEJg endstream endobj 3313 0 obj << /Filter /FlateDecode /Length 55 >> stream xڛؤ°[M"41(cv % endstream endobj 3314 0 obj << /BaseFont /WCVZOS+Lato-Italic /DescendantFonts [3315 0 R] /Encoding /Identity-H /Name /F8 /Subtype /Type0 /ToUnicode 3316 0 R /Type /Font >> endobj 3315 0 obj << /BaseFont /WCVZOS+Lato-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3305 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [631] 6 [602 624] 11 [538] 17 [266] 21 [475] 24 [862] 27 [745] 30 [562] 33 [583 508] 45 [511] 48 [528 454] 55 [529] 59 [478] 61 [320] 64 [617] 67 [533] 89 [549] 91 [654] 93 [484] 95 [529] 98 [230] 108 [787 530] 111 [519] 114 [528] 116 [511 342 400] 124 [354] 127 [637] 130 [653] 134 [529] 136 [479 723 464 479 424] 310 [224] 312 [234] 328 [351] 339 [284] 1138 [225] 2236 [573] 2252 [863]] >> endobj 3316 0 obj << /Filter /FlateDecode /Length 445 >> stream xUA0:vlKZI mMod m{bif43>lC?ՍZ+D&E׷s$|K3U⽏l/4:yuvwmoO": ig/vEZU'䥙~6+e},f;{ֺf8[NZ+ayKzڏƭ(2P L(5 %Cmй(yLeoR>/ަ< KԄ=0‹k(5ȇ!0 TY(t-+QLr̦^aK>4cu!1 TJH%2J9ڞgb^m);JU4>F_Mlށ1JFI,"> endobj 3318 0 obj << /BaseFont /WCVZOS+Lato-BoldItalic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 3320 0 R /Subtype /CIDFontType2 /Type /Font /W [25 [718] 36 [557] 59 [487] 111 [524] 118 [406] 124 [366] 456 [1000] 1138 [239] 1361 [115]] >> endobj 3319 0 obj << /Filter /FlateDecode /Length 269 >> stream xUn >,t!pİI\1HP}) |3Wsm7:i .rPzTثm^pM7AYƟ8/n3wעL2o,;ͫojD/YO-Vit%K,M4rٮt\{"/"!H',  ?P^=RB$̕BFo9?0<=},H;=̀ endstream endobj 3320 0 obj << /Ascent 987 /AvgWidth 532 /CIDSet 3322 0 R /CapHeight 987 /Descent -213 /Flags 68 /FontBBox [-521 -283 1293 1098] /FontFile2 3321 0 R /FontName /WCVZOS+Lato-BoldItalic /FontWeight 700 /ItalicAngle -7 /MaxWidth 1813 /StemV 0 /Type /FontDescriptor >> endobj 3321 0 obj << /Filter /FlateDecode /Length 10404 /Length1 30977 >> stream x |TEOս@HȾIgN@ք$K\Qp0./OgnPeQGDQ@Ѡ;;z¦μ}ͩ{:u ĈȇVBJϘ=H.Zl{|"GӚ /6N|ڌuߌ3-6~H0͘xs'_5Dys7ӪDCxeoh;ө$#yAIRd4"m\5 䲇9WK\TgwZR4y1[od%vr6o==N㹭αv/r:h$9=D|']I+Mi+7dF:/Vn>Cr3:oߙBtH'U15%VkQFEIuӬ-cjt_,`SNEmĨ0UgZ7-UkU[ %1jbl1-5V&F/2][kmu)7IHrǬzoy[]cE&ZꭺwuMR uu:tiMQ!FlV.UW6تM-+˟VWҠ+)1H/X[Z<5:~dmW FR,ͩEm]UcBVhC u>u~]KI̤OQZZRWldf”rYޮ0;PYKZl!Y5RWmŮW\v=wQu(6o&&Swwr^7(ZzvlzwXwRxQ%V@ޫY[ꬺ/*-UsTiUkMebDM(WbD VQ4G"=첓v?΂J|uM<+_cmp뺼}_Ԣ$eRl 4`+Q UӠm1JdtVh-})mo:q??FTXRdח#bQM([=Ur2)QR8Z)CaVMpGIGYHGE(Gk&)F`An7 ShF{!xy2y2y҆kk߾c%#/1ef ʏ ?٩z#-$/Uk PBPp5Z&/V^ݟ@?V&*W%fY9/[\U<ZVN5rbx'(ᵅZ`MmT'F72/@NڠG`mhCPrAoک{ J;x,Q vYBu`E[&H-;XyjzIZ~BI}nܔ຅67=12Cޖ'.((- :eBq1&N ł k#U!yؙbMHd̬d)B vet+\ ?ܶ?嚲@{AJ[Xj8+:RmXwy)+9(0,)Ծ32ݕ<2:蜨ʩMS+Ӈ}nys&No*K]*2O,ʝ;yxzO`5jͮt LKW9r֮kGEd/e \ ߧy䤇/FF}) ZR%Yjg1JLzzs&fp5J=sz|'JYK̢ݲ5S$ck К^N@͓/Q&usʈ_TT3JsZCCz5hg] 5Y`ߌA^W5U=3ƖqƑ#=/9&bxgNuQg oU|DRֵ'w)CONϊa w?4:Կwe氌ЁoN+o/Y8~pAqޢ8՞ԃzQ Z;x1 JE8"՛q2i@ʬfL@I0Uxy`ZQ1+f| ·N5\[Z3vtТœ^ Ue B&$&~X˔ ̦,I3>σ:B I>š')geN-NeeEi!"sLM Me+w,iր˯&˚P_<`fuz{fL(NhˋH)㨨N<:j4;U/"054|PVN~Brɐ+X:%tFYJO;64<2aؒaGјéqBX .艱NT޼ $ ֓WӅ'blAw Rr٫ 6w؞iݪ60yluQP\hW-װaR"~mq+\URx{g6a!2BOИa"e>ǘ gyeQjbwt4k,KFԄc{sl)ֲwlI;j.['g]|`?| ;r s1."ɾl_slҸ6Y9~'Яg\sO; M793,'_ Sn X;|Mi{ NȈM S~.{:H|_AOd&U/4N4EV-3Bcj{Iq_(EB-N͸_mF0mIIf{V1bprlS|y VV^2V*fSN}gHsd~LJ|lPtpOO7-3kMܕ{}S#Xh`s;‡'8|,KMUKӓѤodLrں1o^ģ;Va6)@<$).U3g o>;㎂_RF; TUUQ#*+ Pk|0b_ZJDʺs:-Ld񶠨Y]n28׹.vTl!JMMAkإMQkJsٕ>2\JXؔp6{Eʟh4ܬ0J,) cl2\&6)Ҭ13ñb eYQ t򙹹}pWn(yǡ { ״jTj%!`Hfx l_Ba0DMe@JdW?vqmOPg=?( do&Lydn[{vtTVJXxJVTt=\Kfd[lh hF?ˏT#[2"O\nfE|[/Ëqr}m_뼅Z*}n(teMGU!Rv3Y>H$ ^ Hޏx?!4G)MoCG =Q R[54u+fn0fKi6:jV?Oux ۦPvV?%)W]b~,%j%wL~~3PW* QA00ēgijS` [_ߩLLMT|.FI@IIJR*`*QI 'ȧE2{\B5H|e*dϢN ꮐ6PN`FWPY %4@{ p2U|̷sZͿx09@!g8ХRp-Yᐩ4CI3Qfr[N;@>ZRޝ+xGe"܄rWcǏKoGa-z*Wi {H ~`| zXzS}؊J-TMhi V=pe?AMVR: 纣>k?hǷ_Gq?aM\/nvgt5{vTs{Sj@S sN.{Fx-&ko@G6E|5C&NoH_?iiv9OjŘJSJJ:Y@qXM4Pgm8؅ (c%k/oчe_VNQZ*G)ԇV :(@l7 dn3ISMQGP/A@=)_D7){h ;Lx6eP/_=H+ZVūUD%<(ETBNzhv==Murxؼ *C} V&//(tt$G(H{ :v#?{JAL `!5jm%]J.~(_/CZhGxS2|TE?E;|I!VN\WURޢR'A@rfgB=i"~T3ɪFhdzK4Z P MVYQ/8x |~Bz ]a!lD 7 HSHETwWJ _ zVh$m(d0+)^M/eb?tVbs6x#uW架IQFy;|Sny)F&b2mĴTZ h#1>`hɰ-hĻF2ۛ6XA4*!rDe^`l; y Yc1w!nKm-p1t sV-iU7j3| \yH `yi^̖yb,=i'xa?`e'MIyNW0bNaKJ."{i-;Ҧ_$C B>j 4Z=/ѮEݏl5A0EN~iU-nu:M5QϵꥰÂbԟ0&F~FM)V+H<@8asGE;fM'ux[[E~#')P˅͆nR:#xFd {Xk._sLZwݮ}Ej&?qj&OX|}v'ܚFy 3]U}fvs]jA|:p=HOS@g]s jJ0D_٠JҵVC Y|9軷"O>|&"?V*SĻʔ#bxQ9(=Z:B+UY]exFG}TQo/)q"y & clObnk($(چY}rHQ?۴Cs7SgE #OU!{ÈgԠ#[C}̄ϙ~Aۍ2K_@yʕnP6a>kT*YwpkbQ(O VOTOBbӥa= ?= jx95+- B-W/>_5~ޠM IE&+hO\?pMÇh O:K`` tT\G֋U%Ze^W{iOe(C(lR&6D Mx(0(dafJ?gt(r>[(,|.|yEmƼ*Ț8Uv*.;,Ona^30RɿϽX㍹ EyRd ?P1VfOB?{VMq?: QyA'sOPe!eQ:׻XCya$ӊg:My4K6|"ϫT9ߥZxشls{~VD+v[[l ^G`6@ >Mub3G'N/b+~@9 nJ>Z&u;VnN! ct%|g) bV j8< {҆?AQ;Q_r|cC9QhJj"ٟQ)XKnQ}غd9Եw3A[dOFi4CSi o=։%7hw5hwvNz?tT^Y(_h)ԂH\Ĥ>J.KRrwX.Iի(N *R>|@dcP p `1 4?;k4#rM1 3%^B~ ,v pag[n^&`[g `)6 ϸ]o=/0'qMEڛ=!@@~ށ.7ʯwS`9`aR,P1Z\'B;@=N3\yowt'\f6 . < 3睾}kt?xfԷn}ߛs'־c{wݶPʧ (pn#,PR\vbB|kh;g䩍Z mgUo|g _s<5j`NP2bO~˕o`v, fihI ~;~ͩeB%ȵT% j1FFH|`|^IY$ƐPI\ >TߤUйB}!˳1~|K_.ӧTwDozvSbE386 ǹ/07\ާX35S/F\/q-x2{ cA&oWz*| 9pj.|m3l޳tm1vA_2{N `r@~M%_"N#Χy9$N҃{ߧ3Zh1g?,>*1>{Eߢw>_ -2m`W6bWGl6{λ=7؂L[ yts0d[&_8'w˥I\it[{+ձwkr?k_{gpoWW-%1/NtNIJ9r/BG7M{7g?Nއr{_I~0σVvVkC6?UP:qv\hJF8ɽ~ 2}v^{,޷?kO"=i+SXp$}2QQNa 4t^AKqR9?+J5ulrZs.z2vB|/}As J6oR _q!:til/)}s?e_{/aܨlǿTyyU|l ;owTl²(8+b"HگF2]v9;O<3>yVD @9 yFJ _iW:w"Ep …aKKn[Sq0ΘQ!'NyQ4.b:@;hwp8 (8"183>+{9w#ۜq3>y Nl|M8)xo3bghF񜥑ge17va8r7=n+t{ wwJ8ӒNMÑ7;ш3pM8ȳ gZ0ob/#,O_G$ 7$  dw)3 )`ocwM ߸@><3'}نb<' xj 9N+ -CN#oBBϥ@ʕ`g `W,# =Fx4E| |:8'!Jy &K@s^ yH=O<W>.J>.TϿK>g.r9n%6 <|%> stream xk``p``````a`e` 40(s`+~ endstream endobj 3323 0 obj << /Count 336 /Kids [3324 0 R] /Type /Pages >> endobj 3324 0 obj << /Count 336 /Kids [5741 0 R 1 0 R 41 0 R 86 0 R 132 0 R 178 0 R 224 0 R 243 0 R 261 0 R 268 0 R 275 0 R 303 0 R 312 0 R 330 0 R 346 0 R 364 0 R 373 0 R 380 0 R 387 0 R 394 0 R 401 0 R 408 0 R 415 0 R 422 0 R 430 0 R 438 0 R 445 0 R 452 0 R 460 0 R 467 0 R 474 0 R 481 0 R 488 0 R 495 0 R 502 0 R 509 0 R 518 0 R 525 0 R 532 0 R 540 0 R 547 0 R 554 0 R 561 0 R 568 0 R 575 0 R 583 0 R 593 0 R 600 0 R 608 0 R 615 0 R 625 0 R 634 0 R 642 0 R 649 0 R 657 0 R 664 0 R 672 0 R 679 0 R 687 0 R 695 0 R 702 0 R 710 0 R 717 0 R 725 0 R 735 0 R 743 0 R 750 0 R 760 0 R 769 0 R 777 0 R 784 0 R 791 0 R 798 0 R 805 0 R 812 0 R 819 0 R 826 0 R 833 0 R 840 0 R 849 0 R 857 0 R 865 0 R 873 0 R 880 0 R 887 0 R 895 0 R 902 0 R 909 0 R 916 0 R 925 0 R 933 0 R 940 0 R 947 0 R 956 0 R 964 0 R 971 0 R 979 0 R 986 0 R 995 0 R 1002 0 R 1012 0 R 1019 0 R 1030 0 R 1037 0 R 1044 0 R 1051 0 R 1058 0 R 1065 0 R 1072 0 R 1083 0 R 1090 0 R 1097 0 R 1104 0 R 1111 0 R 1118 0 R 1125 0 R 1132 0 R 1143 0 R 1150 0 R 1159 0 R 1166 0 R 1173 0 R 1181 0 R 1188 0 R 1195 0 R 1204 0 R 1213 0 R 1220 0 R 1229 0 R 1236 0 R 1244 0 R 1253 0 R 1260 0 R 1267 0 R 1275 0 R 1285 0 R 1292 0 R 1299 0 R 1306 0 R 1313 0 R 1325 0 R 1334 0 R 1343 0 R 1350 0 R 1363 0 R 1372 0 R 1384 0 R 1392 0 R 1399 0 R 1406 0 R 1415 0 R 1422 0 R 1433 0 R 1440 0 R 1451 0 R 1459 0 R 1472 0 R 1483 0 R 1490 0 R 1497 0 R 1508 0 R 1519 0 R 1526 0 R 1537 0 R 1544 0 R 1553 0 R 1567 0 R 1574 0 R 1589 0 R 1600 0 R 1613 0 R 1622 0 R 1631 0 R 1642 0 R 1652 0 R 1661 0 R 1672 0 R 1681 0 R 1688 0 R 1695 0 R 1706 0 R 1717 0 R 1727 0 R 1737 0 R 1747 0 R 1756 0 R 1763 0 R 1770 0 R 1781 0 R 1792 0 R 1801 0 R 1808 0 R 1817 0 R 1826 0 R 1833 0 R 1845 0 R 1854 0 R 1864 0 R 1873 0 R 1882 0 R 1891 0 R 1902 0 R 1911 0 R 1922 0 R 1934 0 R 1944 0 R 1953 0 R 1960 0 R 1975 0 R 1982 0 R 1989 0 R 2002 0 R 2009 0 R 2016 0 R 2025 0 R 2035 0 R 2045 0 R 2058 0 R 2065 0 R 2076 0 R 2085 0 R 2094 0 R 2101 0 R 2109 0 R 2118 0 R 2125 0 R 2132 0 R 2139 0 R 2150 0 R 2159 0 R 2172 0 R 2184 0 R 2194 0 R 2201 0 R 2208 0 R 2215 0 R 2226 0 R 2233 0 R 2240 0 R 2251 0 R 2260 0 R 2273 0 R 2280 0 R 2292 0 R 2300 0 R 2307 0 R 2319 0 R 2328 0 R 2335 0 R 2342 0 R 2353 0 R 2364 0 R 2373 0 R 2386 0 R 2393 0 R 2405 0 R 2412 0 R 2423 0 R 2430 0 R 2437 0 R 2444 0 R 2452 0 R 2464 0 R 2471 0 R 2481 0 R 2490 0 R 2500 0 R 2507 0 R 2516 0 R 2523 0 R 2531 0 R 2539 0 R 2551 0 R 2560 0 R 2571 0 R 2585 0 R 2594 0 R 2609 0 R 2624 0 R 2637 0 R 2647 0 R 2660 0 R 2672 0 R 2679 0 R 2688 0 R 2697 0 R 2710 0 R 2719 0 R 2733 0 R 2740 0 R 2751 0 R 2760 0 R 2771 0 R 2778 0 R 2787 0 R 2795 0 R 2802 0 R 2810 0 R 2825 0 R 2839 0 R 2847 0 R 2854 0 R 2868 0 R 2876 0 R 2891 0 R 2898 0 R 2918 0 R 2927 0 R 2934 0 R 2943 0 R 2952 0 R 2963 0 R 2977 0 R 2987 0 R 2997 0 R 3006 0 R 3013 0 R 3022 0 R 3029 0 R 3036 0 R 3043 0 R 3052 0 R 3062 0 R 3077 0 R 3087 0 R 3094 0 R 3108 0 R 3117 0 R 3133 0 R 3143 0 R 3150 0 R 3157 0 R 3164 0 R 3177 0 R 3215 0 R 3242 0 R] /Parent 3323 0 R /Type /Pages >> endobj 3325 0 obj << /D [5741 0 R /XYZ null 711 null] >> endobj 3326 0 obj << /D [1 0 R /XYZ null 648 null] >> endobj 3327 0 obj << /D [243 0 R /XYZ null 711 null] >> endobj 3328 0 obj << /D [243 0 R /XYZ null 711 null] >> endobj 3329 0 obj << /D [243 0 R /XYZ null 539 null] >> endobj 3330 0 obj << /D [243 0 R /XYZ null 539 null] >> endobj 3331 0 obj << /D [243 0 R /XYZ null 343.8 null] >> endobj 3332 0 obj << /D [243 0 R /XYZ null 343.8 null] >> endobj 3333 0 obj << /D [243 0 R /XYZ null 280 null] >> endobj 3334 0 obj << /D [261 0 R /XYZ null 697 null] >> endobj 3335 0 obj << /D [261 0 R /XYZ null 697 null] >> endobj 3336 0 obj << /D [261 0 R /XYZ null 659.3 null] >> endobj 3337 0 obj << /D [261 0 R /XYZ null 569.9 null] >> endobj 3338 0 obj << /D [261 0 R /XYZ null 370.6 null] >> endobj 3339 0 obj << /D [261 0 R /XYZ null 370.6 null] >> endobj 3340 0 obj << /D [268 0 R /XYZ null 711 null] >> endobj 3341 0 obj << /D [268 0 R /XYZ null 686.7 null] >> endobj 3342 0 obj << /D [275 0 R /XYZ null 686.7 null] >> endobj 3343 0 obj << /D [275 0 R /XYZ null 534.0192 null] >> endobj 3344 0 obj << /D [275 0 R /XYZ null 495.8192 null] >> endobj 3345 0 obj << /D [275 0 R /XYZ null 381.6191 null] >> endobj 3346 0 obj << /D [275 0 R /XYZ null 343.4191 null] >> endobj 3347 0 obj << /D [303 0 R /XYZ null 657.8 null] >> endobj 3348 0 obj << /D [303 0 R /XYZ null 657.8 null] >> endobj 3349 0 obj << /D [303 0 R /XYZ null 498.2001 null] >> endobj 3350 0 obj << /D [303 0 R /XYZ null 461.9001 null] >> endobj 3351 0 obj << /D [312 0 R /XYZ null 686.7 null] >> endobj 3352 0 obj << /D [312 0 R /XYZ null 451.7 null] >> endobj 3353 0 obj << /D [312 0 R /XYZ null 451.7 null] >> endobj 3354 0 obj << /D [330 0 R /XYZ null 711 null] >> endobj 3355 0 obj << /D [330 0 R /XYZ null 686.7 null] >> endobj 3356 0 obj << /D [330 0 R /XYZ null 223.8121 null] >> endobj 3357 0 obj << /D [364 0 R /XYZ null 711 null] >> endobj 3358 0 obj << /D [364 0 R /XYZ null 711 null] >> endobj 3359 0 obj << /D [364 0 R /XYZ null 539 null] >> endobj 3360 0 obj << /D [364 0 R /XYZ null 539 null] >> endobj 3361 0 obj << /D [364 0 R /XYZ null 513.8 null] >> endobj 3362 0 obj << /D [373 0 R /XYZ null 571.7076 null] >> endobj 3363 0 obj << /D [373 0 R /XYZ null 543.5077 null] >> endobj 3364 0 obj << /D [373 0 R /XYZ null 507.2077 null] >> endobj 3365 0 obj << /D [380 0 R /XYZ null 669.4 null] >> endobj 3366 0 obj << /D [380 0 R /XYZ null 426.4 null] >> endobj 3367 0 obj << /D [380 0 R /XYZ null 346.6 null] >> endobj 3368 0 obj << /D [380 0 R /XYZ null 182 null] >> endobj 3369 0 obj << /D [387 0 R /XYZ null 697 null] >> endobj 3370 0 obj << /D [387 0 R /XYZ null 697 null] >> endobj 3371 0 obj << /D [387 0 R /XYZ null 671.8 null] >> endobj 3372 0 obj << /D [394 0 R /XYZ null 711 null] >> endobj 3373 0 obj << /D [394 0 R /XYZ null 697.8 null] >> endobj 3374 0 obj << /D [394 0 R /XYZ null 661.5 null] >> endobj 3375 0 obj << /D [401 0 R /XYZ null 711 null] >> endobj 3376 0 obj << /D [401 0 R /XYZ null 646.2 null] >> endobj 3377 0 obj << /D [401 0 R /XYZ null 511.6 null] >> endobj 3378 0 obj << /D [401 0 R /XYZ null 458.6 null] >> endobj 3379 0 obj << /D [401 0 R /XYZ null 458.6 null] >> endobj 3380 0 obj << /D [401 0 R /XYZ null 433.4 null] >> endobj 3381 0 obj << /D [408 0 R /XYZ null 711 null] >> endobj 3382 0 obj << /D [408 0 R /XYZ null 697.8 null] >> endobj 3383 0 obj << /D [408 0 R /XYZ null 661.5 null] >> endobj 3384 0 obj << /D [408 0 R /XYZ null 208.3878 null] >> endobj 3385 0 obj << /D [408 0 R /XYZ null 158.0878 null] >> endobj 3386 0 obj << /D [408 0 R /XYZ null 93.4877 null] >> endobj 3387 0 obj << /D [415 0 R /XYZ null 711 null] >> endobj 3388 0 obj << /D [415 0 R /XYZ null 631.9 null] >> endobj 3389 0 obj << /D [415 0 R /XYZ null 277.3 null] >> endobj 3390 0 obj << /D [415 0 R /XYZ null 224.3 null] >> endobj 3391 0 obj << /D [415 0 R /XYZ null 224.3 null] >> endobj 3392 0 obj << /D [415 0 R /XYZ null 199.1 null] >> endobj 3393 0 obj << /D [422 0 R /XYZ null 572.3 null] >> endobj 3394 0 obj << /D [422 0 R /XYZ null 544.1 null] >> endobj 3395 0 obj << /D [422 0 R /XYZ null 507.8 null] >> endobj 3396 0 obj << /D [422 0 R /XYZ null 85.0862 null] >> endobj 3397 0 obj << /D [430 0 R /XYZ null 669.4 null] >> endobj 3398 0 obj << /D [430 0 R /XYZ null 656.4 null] >> endobj 3399 0 obj << /D [430 0 R /XYZ null 590.9 null] >> endobj 3400 0 obj << /D [430 0 R /XYZ null 486.3 null] >> endobj 3401 0 obj << /D [430 0 R /XYZ null 433.3 null] >> endobj 3402 0 obj << /D [430 0 R /XYZ null 433.3 null] >> endobj 3403 0 obj << /D [430 0 R /XYZ null 408.1 null] >> endobj 3404 0 obj << /D [438 0 R /XYZ null 711 null] >> endobj 3405 0 obj << /D [438 0 R /XYZ null 697.8 null] >> endobj 3406 0 obj << /D [438 0 R /XYZ null 661.5 null] >> endobj 3407 0 obj << /D [438 0 R /XYZ null 219.964 null] >> endobj 3408 0 obj << /D [438 0 R /XYZ null 155.3639 null] >> endobj 3409 0 obj << /D [445 0 R /XYZ null 711 null] >> endobj 3410 0 obj << /D [445 0 R /XYZ null 631.9 null] >> endobj 3411 0 obj << /D [445 0 R /XYZ null 187.3 null] >> endobj 3412 0 obj << /D [452 0 R /XYZ null 669.4 null] >> endobj 3413 0 obj << /D [452 0 R /XYZ null 576.4 null] >> endobj 3414 0 obj << /D [452 0 R /XYZ null 576.4 null] >> endobj 3415 0 obj << /D [452 0 R /XYZ null 551.1999 null] >> endobj 3416 0 obj << /D [460 0 R /XYZ null 711 null] >> endobj 3417 0 obj << /D [460 0 R /XYZ null 697.8 null] >> endobj 3418 0 obj << /D [460 0 R /XYZ null 661.5 null] >> endobj 3419 0 obj << /D [467 0 R /XYZ null 711 null] >> endobj 3420 0 obj << /D [467 0 R /XYZ null 631.9 null] >> endobj 3421 0 obj << /D [467 0 R /XYZ null 507.3 null] >> endobj 3422 0 obj << /D [467 0 R /XYZ null 454.3 null] >> endobj 3423 0 obj << /D [467 0 R /XYZ null 454.3 null] >> endobj 3424 0 obj << /D [467 0 R /XYZ null 429.1 null] >> endobj 3425 0 obj << /D [474 0 R /XYZ null 711 null] >> endobj 3426 0 obj << /D [474 0 R /XYZ null 697.8 null] >> endobj 3427 0 obj << /D [474 0 R /XYZ null 661.5 null] >> endobj 3428 0 obj << /D [481 0 R /XYZ null 711 null] >> endobj 3429 0 obj << /D [481 0 R /XYZ null 660.5 null] >> endobj 3430 0 obj << /D [481 0 R /XYZ null 512.9 null] >> endobj 3431 0 obj << /D [481 0 R /XYZ null 512.9 null] >> endobj 3432 0 obj << /D [481 0 R /XYZ null 487.7 null] >> endobj 3433 0 obj << /D [488 0 R /XYZ null 711 null] >> endobj 3434 0 obj << /D [488 0 R /XYZ null 697.8 null] >> endobj 3435 0 obj << /D [488 0 R /XYZ null 661.5 null] >> endobj 3436 0 obj << /D [495 0 R /XYZ null 711 null] >> endobj 3437 0 obj << /D [495 0 R /XYZ null 631.9 null] >> endobj 3438 0 obj << /D [495 0 R /XYZ null 414.3 null] >> endobj 3439 0 obj << /D [495 0 R /XYZ null 414.3 null] >> endobj 3440 0 obj << /D [495 0 R /XYZ null 389.1 null] >> endobj 3441 0 obj << /D [502 0 R /XYZ null 711 null] >> endobj 3442 0 obj << /D [502 0 R /XYZ null 697.8 null] >> endobj 3443 0 obj << /D [502 0 R /XYZ null 661.5 null] >> endobj 3444 0 obj << /D [502 0 R /XYZ null 259.5654 null] >> endobj 3445 0 obj << /D [502 0 R /XYZ null 209.2654 null] >> endobj 3446 0 obj << /D [509 0 R /XYZ null 711 null] >> endobj 3447 0 obj << /D [509 0 R /XYZ null 631.9 null] >> endobj 3448 0 obj << /D [509 0 R /XYZ null 467.3 null] >> endobj 3449 0 obj << /D [509 0 R /XYZ null 414.3 null] >> endobj 3450 0 obj << /D [509 0 R /XYZ null 414.3 null] >> endobj 3451 0 obj << /D [509 0 R /XYZ null 389.1 null] >> endobj 3452 0 obj << /D [509 0 R /XYZ null 189.2001 null] >> endobj 3453 0 obj << /D [525 0 R /XYZ null 658 null] >> endobj 3454 0 obj << /D [525 0 R /XYZ null 629.8 null] >> endobj 3455 0 obj << /D [525 0 R /XYZ null 593.5 null] >> endobj 3456 0 obj << /D [532 0 R /XYZ null 683.7 null] >> endobj 3457 0 obj << /D [532 0 R /XYZ null 633.4 null] >> endobj 3458 0 obj << /D [532 0 R /XYZ null 620.4 null] >> endobj 3459 0 obj << /D [532 0 R /XYZ null 540.6 null] >> endobj 3460 0 obj << /D [532 0 R /XYZ null 345.7039 null] >> endobj 3461 0 obj << /D [532 0 R /XYZ null 295.4039 null] >> endobj 3462 0 obj << /D [532 0 R /XYZ null 239.9039 null] >> endobj 3463 0 obj << /D [532 0 R /XYZ null 161.1346 null] >> endobj 3464 0 obj << /D [532 0 R /XYZ null 161.1346 null] >> endobj 3465 0 obj << /D [532 0 R /XYZ null 135.9347 null] >> endobj 3466 0 obj << /D [540 0 R /XYZ null 682.4 null] >> endobj 3467 0 obj << /D [540 0 R /XYZ null 654.2 null] >> endobj 3468 0 obj << /D [540 0 R /XYZ null 617.9 null] >> endobj 3469 0 obj << /D [540 0 R /XYZ null 138.2491 null] >> endobj 3470 0 obj << /D [547 0 R /XYZ null 669.4 null] >> endobj 3471 0 obj << /D [547 0 R /XYZ null 656.4 null] >> endobj 3472 0 obj << /D [547 0 R /XYZ null 590.9 null] >> endobj 3473 0 obj << /D [547 0 R /XYZ null 296.3 null] >> endobj 3474 0 obj << /D [554 0 R /XYZ null 697 null] >> endobj 3475 0 obj << /D [554 0 R /XYZ null 697 null] >> endobj 3476 0 obj << /D [554 0 R /XYZ null 671.8 null] >> endobj 3477 0 obj << /D [554 0 R /XYZ null 576.4 null] >> endobj 3478 0 obj << /D [554 0 R /XYZ null 548.1999 null] >> endobj 3479 0 obj << /D [554 0 R /XYZ null 511.9 null] >> endobj 3480 0 obj << /D [561 0 R /XYZ null 496.4 null] >> endobj 3481 0 obj << /D [561 0 R /XYZ null 483.4 null] >> endobj 3482 0 obj << /D [561 0 R /XYZ null 404.6 null] >> endobj 3483 0 obj << /D [568 0 R /XYZ null 100.6785 null] >> endobj 3484 0 obj << /D [575 0 R /XYZ null 655.1 null] >> endobj 3485 0 obj << /D [575 0 R /XYZ null 570.5 null] >> endobj 3486 0 obj << /D [575 0 R /XYZ null 495.9 null] >> endobj 3487 0 obj << /D [575 0 R /XYZ null 460.4 null] >> endobj 3488 0 obj << /D [575 0 R /XYZ null 384.3308 null] >> endobj 3489 0 obj << /D [575 0 R /XYZ null 334.0308 null] >> endobj 3490 0 obj << /D [575 0 R /XYZ null 281.0308 null] >> endobj 3491 0 obj << /D [575 0 R /XYZ null 281.0308 null] >> endobj 3492 0 obj << /D [575 0 R /XYZ null 255.8308 null] >> endobj 3493 0 obj << /D [583 0 R /XYZ null 519.4 null] >> endobj 3494 0 obj << /D [593 0 R /XYZ null 711 null] >> endobj 3495 0 obj << /D [593 0 R /XYZ null 697.8 null] >> endobj 3496 0 obj << /D [593 0 R /XYZ null 661.5 null] >> endobj 3497 0 obj << /D [593 0 R /XYZ null 185.5165 null] >> endobj 3498 0 obj << /D [593 0 R /XYZ null 135.2165 null] >> endobj 3499 0 obj << /D [600 0 R /XYZ null 711 null] >> endobj 3500 0 obj << /D [600 0 R /XYZ null 660.5 null] >> endobj 3501 0 obj << /D [600 0 R /XYZ null 347.0039 null] >> endobj 3502 0 obj << /D [608 0 R /XYZ null 697 null] >> endobj 3503 0 obj << /D [608 0 R /XYZ null 697 null] >> endobj 3504 0 obj << /D [608 0 R /XYZ null 671.8 null] >> endobj 3505 0 obj << /D [608 0 R /XYZ null 643.6 null] >> endobj 3506 0 obj << /D [608 0 R /XYZ null 607.3 null] >> endobj 3507 0 obj << /D [615 0 R /XYZ null 485.1 null] >> endobj 3508 0 obj << /D [615 0 R /XYZ null 338.1001 null] >> endobj 3509 0 obj << /D [615 0 R /XYZ null 259.2001 null] >> endobj 3510 0 obj << /D [615 0 R /XYZ null 236.2001 null] >> endobj 3511 0 obj << /D [625 0 R /XYZ null 683.7 null] >> endobj 3512 0 obj << /D [625 0 R /XYZ null 539.1 null] >> endobj 3513 0 obj << /D [625 0 R /XYZ null 461.5039 null] >> endobj 3514 0 obj << /D [625 0 R /XYZ null 461.5039 null] >> endobj 3515 0 obj << /D [625 0 R /XYZ null 436.3039 null] >> endobj 3516 0 obj << /D [625 0 R /XYZ null 259.404 null] >> endobj 3517 0 obj << /D [634 0 R /XYZ null 711 null] >> endobj 3518 0 obj << /D [634 0 R /XYZ null 646.2 null] >> endobj 3519 0 obj << /D [634 0 R /XYZ null 545.9 null] >> endobj 3520 0 obj << /D [634 0 R /XYZ null 492.9 null] >> endobj 3521 0 obj << /D [634 0 R /XYZ null 492.9 null] >> endobj 3522 0 obj << /D [634 0 R /XYZ null 467.7 null] >> endobj 3523 0 obj << /D [642 0 R /XYZ null 711 null] >> endobj 3524 0 obj << /D [642 0 R /XYZ null 697.8 null] >> endobj 3525 0 obj << /D [642 0 R /XYZ null 661.5 null] >> endobj 3526 0 obj << /D [642 0 R /XYZ null 194.7737 null] >> endobj 3527 0 obj << /D [642 0 R /XYZ null 130.1736 null] >> endobj 3528 0 obj << /D [649 0 R /XYZ null 711 null] >> endobj 3529 0 obj << /D [649 0 R /XYZ null 631.6038 null] >> endobj 3530 0 obj << /D [649 0 R /XYZ null 467.0038 null] >> endobj 3531 0 obj << /D [649 0 R /XYZ null 414.0038 null] >> endobj 3532 0 obj << /D [649 0 R /XYZ null 414.0038 null] >> endobj 3533 0 obj << /D [649 0 R /XYZ null 388.8038 null] >> endobj 3534 0 obj << /D [657 0 R /XYZ null 711 null] >> endobj 3535 0 obj << /D [657 0 R /XYZ null 697.8 null] >> endobj 3536 0 obj << /D [657 0 R /XYZ null 661.5 null] >> endobj 3537 0 obj << /D [657 0 R /XYZ null 239.7457 null] >> endobj 3538 0 obj << /D [664 0 R /XYZ null 711 null] >> endobj 3539 0 obj << /D [664 0 R /XYZ null 617.3038 null] >> endobj 3540 0 obj << /D [664 0 R /XYZ null 443.8077 null] >> endobj 3541 0 obj << /D [664 0 R /XYZ null 390.8077 null] >> endobj 3542 0 obj << /D [664 0 R /XYZ null 390.8077 null] >> endobj 3543 0 obj << /D [664 0 R /XYZ null 365.6077 null] >> endobj 3544 0 obj << /D [672 0 R /XYZ null 711 null] >> endobj 3545 0 obj << /D [672 0 R /XYZ null 697.8 null] >> endobj 3546 0 obj << /D [672 0 R /XYZ null 661.5 null] >> endobj 3547 0 obj << /D [672 0 R /XYZ null 231.1137 null] >> endobj 3548 0 obj << /D [679 0 R /XYZ null 711 null] >> endobj 3549 0 obj << /D [679 0 R /XYZ null 617.3038 null] >> endobj 3550 0 obj << /D [679 0 R /XYZ null 368.4039 null] >> endobj 3551 0 obj << /D [679 0 R /XYZ null 315.4039 null] >> endobj 3552 0 obj << /D [679 0 R /XYZ null 315.4039 null] >> endobj 3553 0 obj << /D [679 0 R /XYZ null 290.2039 null] >> endobj 3554 0 obj << /D [687 0 R /XYZ null 711 null] >> endobj 3555 0 obj << /D [687 0 R /XYZ null 697.8 null] >> endobj 3556 0 obj << /D [687 0 R /XYZ null 661.5 null] >> endobj 3557 0 obj << /D [687 0 R /XYZ null 259.6627 null] >> endobj 3558 0 obj << /D [687 0 R /XYZ null 209.3627 null] >> endobj 3559 0 obj << /D [687 0 R /XYZ null 196.3627 null] >> endobj 3560 0 obj << /D [695 0 R /XYZ null 683.7 null] >> endobj 3561 0 obj << /D [702 0 R /XYZ null 546.4 null] >> endobj 3562 0 obj << /D [702 0 R /XYZ null 493.4 null] >> endobj 3563 0 obj << /D [702 0 R /XYZ null 493.4 null] >> endobj 3564 0 obj << /D [702 0 R /XYZ null 468.2 null] >> endobj 3565 0 obj << /D [710 0 R /XYZ null 711 null] >> endobj 3566 0 obj << /D [710 0 R /XYZ null 697.8 null] >> endobj 3567 0 obj << /D [710 0 R /XYZ null 661.5 null] >> endobj 3568 0 obj << /D [710 0 R /XYZ null 165.6961 null] >> endobj 3569 0 obj << /D [710 0 R /XYZ null 86.796 null] >> endobj 3570 0 obj << /D [717 0 R /XYZ null 711 null] >> endobj 3571 0 obj << /D [717 0 R /XYZ null 607.3038 null] >> endobj 3572 0 obj << /D [717 0 R /XYZ null 152.7037 null] >> endobj 3573 0 obj << /D [725 0 R /XYZ null 697 null] >> endobj 3574 0 obj << /D [725 0 R /XYZ null 697 null] >> endobj 3575 0 obj << /D [725 0 R /XYZ null 671.8 null] >> endobj 3576 0 obj << /D [725 0 R /XYZ null 484.0116 null] >> endobj 3577 0 obj << /D [725 0 R /XYZ null 376.9116 null] >> endobj 3578 0 obj << /D [725 0 R /XYZ null 282.5155 null] >> endobj 3579 0 obj << /D [725 0 R /XYZ null 177.9155 null] >> endobj 3580 0 obj << /D [735 0 R /XYZ null 697 null] >> endobj 3581 0 obj << /D [735 0 R /XYZ null 697 null] >> endobj 3582 0 obj << /D [735 0 R /XYZ null 671.8 null] >> endobj 3583 0 obj << /D [743 0 R /XYZ null 711 null] >> endobj 3584 0 obj << /D [743 0 R /XYZ null 697.8 null] >> endobj 3585 0 obj << /D [743 0 R /XYZ null 661.5 null] >> endobj 3586 0 obj << /D [743 0 R /XYZ null 250.1608 null] >> endobj 3587 0 obj << /D [743 0 R /XYZ null 227.1608 null] >> endobj 3588 0 obj << /D [750 0 R /XYZ null 669.4 null] >> endobj 3589 0 obj << /D [750 0 R /XYZ null 464.8 null] >> endobj 3590 0 obj << /D [750 0 R /XYZ null 391.8 null] >> endobj 3591 0 obj << /D [750 0 R /XYZ null 391.8 null] >> endobj 3592 0 obj << /D [750 0 R /XYZ null 366.6 null] >> endobj 3593 0 obj << /D [750 0 R /XYZ null 232.0077 null] >> endobj 3594 0 obj << /D [750 0 R /XYZ null 137.9076 null] >> endobj 3595 0 obj << /D [760 0 R /XYZ null 711 null] >> endobj 3596 0 obj << /D [760 0 R /XYZ null 617.3038 null] >> endobj 3597 0 obj << /D [760 0 R /XYZ null 482.7039 null] >> endobj 3598 0 obj << /D [760 0 R /XYZ null 429.7039 null] >> endobj 3599 0 obj << /D [760 0 R /XYZ null 429.7039 null] >> endobj 3600 0 obj << /D [760 0 R /XYZ null 404.5038 null] >> endobj 3601 0 obj << /D [769 0 R /XYZ null 711 null] >> endobj 3602 0 obj << /D [769 0 R /XYZ null 697.8 null] >> endobj 3603 0 obj << /D [769 0 R /XYZ null 661.5 null] >> endobj 3604 0 obj << /D [769 0 R /XYZ null 251.5418 null] >> endobj 3605 0 obj << /D [769 0 R /XYZ null 191.2418 null] >> endobj 3606 0 obj << /D [769 0 R /XYZ null 178.2418 null] >> endobj 3607 0 obj << /D [777 0 R /XYZ null 683.7 null] >> endobj 3608 0 obj << /D [833 0 R /XYZ null 156.3999 null] >> endobj 3609 0 obj << /D [840 0 R /XYZ null 697 null] >> endobj 3610 0 obj << /D [840 0 R /XYZ null 697 null] >> endobj 3611 0 obj << /D [840 0 R /XYZ null 671.8 null] >> endobj 3612 0 obj << /D [840 0 R /XYZ null 519.2001 null] >> endobj 3613 0 obj << /D [840 0 R /XYZ null 415.1001 null] >> endobj 3614 0 obj << /D [840 0 R /XYZ null 402.1001 null] >> endobj 3615 0 obj << /D [840 0 R /XYZ null 293.4039 null] >> endobj 3616 0 obj << /D [840 0 R /XYZ null 168.8038 null] >> endobj 3617 0 obj << /D [849 0 R /XYZ null 697 null] >> endobj 3618 0 obj << /D [849 0 R /XYZ null 697 null] >> endobj 3619 0 obj << /D [849 0 R /XYZ null 671.8 null] >> endobj 3620 0 obj << /D [849 0 R /XYZ null 513.5 null] >> endobj 3621 0 obj << /D [849 0 R /XYZ null 366.6 null] >> endobj 3622 0 obj << /D [849 0 R /XYZ null 248.2 null] >> endobj 3623 0 obj << /D [849 0 R /XYZ null 197.9 null] >> endobj 3624 0 obj << /D [857 0 R /XYZ null 711 null] >> endobj 3625 0 obj << /D [857 0 R /XYZ null 686.7 null] >> endobj 3626 0 obj << /D [857 0 R /XYZ null 336.4627 null] >> endobj 3627 0 obj << /D [857 0 R /XYZ null 218.0627 null] >> endobj 3628 0 obj << /D [857 0 R /XYZ null 93.4626 null] >> endobj 3629 0 obj << /D [865 0 R /XYZ null 697 null] >> endobj 3630 0 obj << /D [865 0 R /XYZ null 697 null] >> endobj 3631 0 obj << /D [865 0 R /XYZ null 671.8 null] >> endobj 3632 0 obj << /D [865 0 R /XYZ null 576.4 null] >> endobj 3633 0 obj << /D [865 0 R /XYZ null 472.3 null] >> endobj 3634 0 obj << /D [865 0 R /XYZ null 422 null] >> endobj 3635 0 obj << /D [865 0 R /XYZ null 409 null] >> endobj 3636 0 obj << /D [865 0 R /XYZ null 300.3039 null] >> endobj 3637 0 obj << /D [873 0 R /XYZ null 476.4 null] >> endobj 3638 0 obj << /D [873 0 R /XYZ null 423.4 null] >> endobj 3639 0 obj << /D [873 0 R /XYZ null 423.4 null] >> endobj 3640 0 obj << /D [873 0 R /XYZ null 398.2 null] >> endobj 3641 0 obj << /D [880 0 R /XYZ null 711 null] >> endobj 3642 0 obj << /D [880 0 R /XYZ null 697.8 null] >> endobj 3643 0 obj << /D [880 0 R /XYZ null 661.5 null] >> endobj 3644 0 obj << /D [880 0 R /XYZ null 243.2331 null] >> endobj 3645 0 obj << /D [880 0 R /XYZ null 192.9331 null] >> endobj 3646 0 obj << /D [887 0 R /XYZ null 711 null] >> endobj 3647 0 obj << /D [887 0 R /XYZ null 617.3038 null] >> endobj 3648 0 obj << /D [916 0 R /XYZ null 426.4 null] >> endobj 3649 0 obj << /D [916 0 R /XYZ null 373.4 null] >> endobj 3650 0 obj << /D [916 0 R /XYZ null 373.4 null] >> endobj 3651 0 obj << /D [916 0 R /XYZ null 348.2 null] >> endobj 3652 0 obj << /D [916 0 R /XYZ null 267.1 null] >> endobj 3653 0 obj << /D [925 0 R /XYZ null 711 null] >> endobj 3654 0 obj << /D [925 0 R /XYZ null 617.3038 null] >> endobj 3655 0 obj << /D [940 0 R /XYZ null 446.4 null] >> endobj 3656 0 obj << /D [940 0 R /XYZ null 393.4 null] >> endobj 3657 0 obj << /D [940 0 R /XYZ null 393.4 null] >> endobj 3658 0 obj << /D [940 0 R /XYZ null 368.2 null] >> endobj 3659 0 obj << /D [947 0 R /XYZ null 711 null] >> endobj 3660 0 obj << /D [947 0 R /XYZ null 686.7 null] >> endobj 3661 0 obj << /D [956 0 R /XYZ null 711 null] >> endobj 3662 0 obj << /D [956 0 R /XYZ null 631.9 null] >> endobj 3663 0 obj << /D [956 0 R /XYZ null 618.9 null] >> endobj 3664 0 obj << /D [956 0 R /XYZ null 485.9039 null] >> endobj 3665 0 obj << /D [956 0 R /XYZ null 435.6039 null] >> endobj 3666 0 obj << /D [971 0 R /XYZ null 618 null] >> endobj 3667 0 obj << /D [971 0 R /XYZ null 618 null] >> endobj 3668 0 obj << /D [971 0 R /XYZ null 592.8 null] >> endobj 3669 0 obj << /D [979 0 R /XYZ null 711 null] >> endobj 3670 0 obj << /D [979 0 R /XYZ null 697.8 null] >> endobj 3671 0 obj << /D [979 0 R /XYZ null 661.5 null] >> endobj 3672 0 obj << /D [979 0 R /XYZ null 264.1015 null] >> endobj 3673 0 obj << /D [979 0 R /XYZ null 213.8015 null] >> endobj 3674 0 obj << /D [979 0 R /XYZ null 149.2014 null] >> endobj 3675 0 obj << /D [986 0 R /XYZ null 711 null] >> endobj 3676 0 obj << /D [986 0 R /XYZ null 617.3038 null] >> endobj 3677 0 obj << /D [986 0 R /XYZ null 442.7039 null] >> endobj 3678 0 obj << /D [986 0 R /XYZ null 389.7039 null] >> endobj 3679 0 obj << /D [986 0 R /XYZ null 389.7039 null] >> endobj 3680 0 obj << /D [986 0 R /XYZ null 364.5038 null] >> endobj 3681 0 obj << /D [995 0 R /XYZ null 711 null] >> endobj 3682 0 obj << /D [995 0 R /XYZ null 697.8 null] >> endobj 3683 0 obj << /D [995 0 R /XYZ null 661.5 null] >> endobj 3684 0 obj << /D [995 0 R /XYZ null 197.7833 null] >> endobj 3685 0 obj << /D [995 0 R /XYZ null 147.4833 null] >> endobj 3686 0 obj << /D [1002 0 R /XYZ null 711 null] >> endobj 3687 0 obj << /D [1002 0 R /XYZ null 631.6038 null] >> endobj 3688 0 obj << /D [1002 0 R /XYZ null 507.0038 null] >> endobj 3689 0 obj << /D [1002 0 R /XYZ null 454.0038 null] >> endobj 3690 0 obj << /D [1002 0 R /XYZ null 454.0038 null] >> endobj 3691 0 obj << /D [1002 0 R /XYZ null 428.8038 null] >> endobj 3692 0 obj << /D [1012 0 R /XYZ null 711 null] >> endobj 3693 0 obj << /D [1012 0 R /XYZ null 697.8 null] >> endobj 3694 0 obj << /D [1012 0 R /XYZ null 661.5 null] >> endobj 3695 0 obj << /D [1019 0 R /XYZ null 711 null] >> endobj 3696 0 obj << /D [1019 0 R /XYZ null 660.5 null] >> endobj 3697 0 obj << /D [1019 0 R /XYZ null 510.2 null] >> endobj 3698 0 obj << /D [1019 0 R /XYZ null 457.2 null] >> endobj 3699 0 obj << /D [1019 0 R /XYZ null 457.2 null] >> endobj 3700 0 obj << /D [1019 0 R /XYZ null 432 null] >> endobj 3701 0 obj << /D [1030 0 R /XYZ null 711 null] >> endobj 3702 0 obj << /D [1030 0 R /XYZ null 697.8 null] >> endobj 3703 0 obj << /D [1030 0 R /XYZ null 661.5 null] >> endobj 3704 0 obj << /D [1030 0 R /XYZ null 185.6128 null] >> endobj 3705 0 obj << /D [1030 0 R /XYZ null 135.3128 null] >> endobj 3706 0 obj << /D [1030 0 R /XYZ null 109.8128 null] >> endobj 3707 0 obj << /D [1037 0 R /XYZ null 711 null] >> endobj 3708 0 obj << /D [1037 0 R /XYZ null 660.5 null] >> endobj 3709 0 obj << /D [1037 0 R /XYZ null 450.2 null] >> endobj 3710 0 obj << /D [1037 0 R /XYZ null 397.2 null] >> endobj 3711 0 obj << /D [1037 0 R /XYZ null 397.2 null] >> endobj 3712 0 obj << /D [1037 0 R /XYZ null 372 null] >> endobj 3713 0 obj << /D [1037 0 R /XYZ null 160.8 null] >> endobj 3714 0 obj << /D [1044 0 R /XYZ null 711 null] >> endobj 3715 0 obj << /D [1044 0 R /XYZ null 697.8 null] >> endobj 3716 0 obj << /D [1044 0 R /XYZ null 661.5 null] >> endobj 3717 0 obj << /D [1051 0 R /XYZ null 640.8 null] >> endobj 3718 0 obj << /D [1051 0 R /XYZ null 627.8 null] >> endobj 3719 0 obj << /D [1051 0 R /XYZ null 533.7 null] >> endobj 3720 0 obj << /D [1058 0 R /XYZ null 186.4 null] >> endobj 3721 0 obj << /D [1065 0 R /XYZ null 697 null] >> endobj 3722 0 obj << /D [1065 0 R /XYZ null 697 null] >> endobj 3723 0 obj << /D [1065 0 R /XYZ null 671.8 null] >> endobj 3724 0 obj << /D [1065 0 R /XYZ null 562.1 null] >> endobj 3725 0 obj << /D [1065 0 R /XYZ null 533.9 null] >> endobj 3726 0 obj << /D [1065 0 R /XYZ null 497.6 null] >> endobj 3727 0 obj << /D [1072 0 R /XYZ null 655.1 null] >> endobj 3728 0 obj << /D [1072 0 R /XYZ null 590.5 null] >> endobj 3729 0 obj << /D [1072 0 R /XYZ null 577.5 null] >> endobj 3730 0 obj << /D [1072 0 R /XYZ null 512 null] >> endobj 3731 0 obj << /D [1072 0 R /XYZ null 486.5 null] >> endobj 3732 0 obj << /D [1072 0 R /XYZ null 422.1615 null] >> endobj 3733 0 obj << /D [1072 0 R /XYZ null 219.1615 null] >> endobj 3734 0 obj << /D [1072 0 R /XYZ null 219.1615 null] >> endobj 3735 0 obj << /D [1072 0 R /XYZ null 193.9616 null] >> endobj 3736 0 obj << /D [1083 0 R /XYZ null 711 null] >> endobj 3737 0 obj << /D [1083 0 R /XYZ null 697.8 null] >> endobj 3738 0 obj << /D [1083 0 R /XYZ null 661.5 null] >> endobj 3739 0 obj << /D [1083 0 R /XYZ null 166.7601 null] >> endobj 3740 0 obj << /D [1083 0 R /XYZ null 116.4601 null] >> endobj 3741 0 obj << /D [1090 0 R /XYZ null 669.4 null] >> endobj 3742 0 obj << /D [1090 0 R /XYZ null 643.9 null] >> endobj 3743 0 obj << /D [1090 0 R /XYZ null 616.8615 null] >> endobj 3744 0 obj << /D [1090 0 R /XYZ null 551.3616 null] >> endobj 3745 0 obj << /D [1090 0 R /XYZ null 321.0616 null] >> endobj 3746 0 obj << /D [1090 0 R /XYZ null 268.0616 null] >> endobj 3747 0 obj << /D [1090 0 R /XYZ null 268.0616 null] >> endobj 3748 0 obj << /D [1090 0 R /XYZ null 242.8615 null] >> endobj 3749 0 obj << /D [1097 0 R /XYZ null 711 null] >> endobj 3750 0 obj << /D [1097 0 R /XYZ null 697.8 null] >> endobj 3751 0 obj << /D [1097 0 R /XYZ null 661.5 null] >> endobj 3752 0 obj << /D [1104 0 R /XYZ null 711 null] >> endobj 3753 0 obj << /D [1104 0 R /XYZ null 632.9 null] >> endobj 3754 0 obj << /D [1104 0 R /XYZ null 318.35 null] >> endobj 3755 0 obj << /D [1111 0 R /XYZ null 410.7 null] >> endobj 3756 0 obj << /D [1111 0 R /XYZ null 357.7 null] >> endobj 3757 0 obj << /D [1111 0 R /XYZ null 357.7 null] >> endobj 3758 0 obj << /D [1111 0 R /XYZ null 332.5 null] >> endobj 3759 0 obj << /D [1118 0 R /XYZ null 711 null] >> endobj 3760 0 obj << /D [1118 0 R /XYZ null 697.8 null] >> endobj 3761 0 obj << /D [1118 0 R /XYZ null 661.5 null] >> endobj 3762 0 obj << /D [1125 0 R /XYZ null 711 null] >> endobj 3763 0 obj << /D [1125 0 R /XYZ null 637.8 null] >> endobj 3764 0 obj << /D [1125 0 R /XYZ null 573.4616 null] >> endobj 3765 0 obj << /D [1125 0 R /XYZ null 410.4615 null] >> endobj 3766 0 obj << /D [1125 0 R /XYZ null 344.9615 null] >> endobj 3767 0 obj << /D [1125 0 R /XYZ null 294.6615 null] >> endobj 3768 0 obj << /D [1132 0 R /XYZ null 697 null] >> endobj 3769 0 obj << /D [1132 0 R /XYZ null 697 null] >> endobj 3770 0 obj << /D [1132 0 R /XYZ null 671.8 null] >> endobj 3771 0 obj << /D [1132 0 R /XYZ null 566.4 null] >> endobj 3772 0 obj << /D [1143 0 R /XYZ null 711 null] >> endobj 3773 0 obj << /D [1143 0 R /XYZ null 686.7 null] >> endobj 3774 0 obj << /D [1143 0 R /XYZ null 278.2284 null] >> endobj 3775 0 obj << /D [1143 0 R /XYZ null 169.8283 null] >> endobj 3776 0 obj << /D [1143 0 R /XYZ null 119.5283 null] >> endobj 3777 0 obj << /D [1150 0 R /XYZ null 711 null] >> endobj 3778 0 obj << /D [1150 0 R /XYZ null 660.5 null] >> endobj 3779 0 obj << /D [1150 0 R /XYZ null 570.2 null] >> endobj 3780 0 obj << /D [1150 0 R /XYZ null 517.2 null] >> endobj 3781 0 obj << /D [1150 0 R /XYZ null 517.2 null] >> endobj 3782 0 obj << /D [1150 0 R /XYZ null 492 null] >> endobj 3783 0 obj << /D [1159 0 R /XYZ null 711 null] >> endobj 3784 0 obj << /D [1159 0 R /XYZ null 697.8 null] >> endobj 3785 0 obj << /D [1159 0 R /XYZ null 661.5 null] >> endobj 3786 0 obj << /D [1159 0 R /XYZ null 174.9689 null] >> endobj 3787 0 obj << /D [1159 0 R /XYZ null 124.6689 null] >> endobj 3788 0 obj << /D [1166 0 R /XYZ null 711 null] >> endobj 3789 0 obj << /D [1166 0 R /XYZ null 660.5 null] >> endobj 3790 0 obj << /D [1166 0 R /XYZ null 560.2 null] >> endobj 3791 0 obj << /D [1166 0 R /XYZ null 507.2 null] >> endobj 3792 0 obj << /D [1166 0 R /XYZ null 507.2 null] >> endobj 3793 0 obj << /D [1166 0 R /XYZ null 482 null] >> endobj 3794 0 obj << /D [1173 0 R /XYZ null 711 null] >> endobj 3795 0 obj << /D [1173 0 R /XYZ null 686.7 null] >> endobj 3796 0 obj << /D [1173 0 R /XYZ null 322.0087 null] >> endobj 3797 0 obj << /D [1173 0 R /XYZ null 135.8163 null] >> endobj 3798 0 obj << /D [1181 0 R /XYZ null 655.1 null] >> endobj 3799 0 obj << /D [1181 0 R /XYZ null 642.1 null] >> endobj 3800 0 obj << /D [1181 0 R /XYZ null 576.6 null] >> endobj 3801 0 obj << /D [1188 0 R /XYZ null 510.7 null] >> endobj 3802 0 obj << /D [1188 0 R /XYZ null 457.7 null] >> endobj 3803 0 obj << /D [1188 0 R /XYZ null 457.7 null] >> endobj 3804 0 obj << /D [1188 0 R /XYZ null 432.5 null] >> endobj 3805 0 obj << /D [1195 0 R /XYZ null 711 null] >> endobj 3806 0 obj << /D [1195 0 R /XYZ null 686.7 null] >> endobj 3807 0 obj << /D [1195 0 R /XYZ null 289.6102 null] >> endobj 3808 0 obj << /D [1204 0 R /XYZ null 602.2 null] >> endobj 3809 0 obj << /D [1213 0 R /XYZ null 711 null] >> endobj 3810 0 obj << /D [1213 0 R /XYZ null 574.6999 null] >> endobj 3811 0 obj << /D [1213 0 R /XYZ null 495.8 null] >> endobj 3812 0 obj << /D [1213 0 R /XYZ null 482.8 null] >> endobj 3813 0 obj << /D [1213 0 R /XYZ null 403 null] >> endobj 3814 0 obj << /D [1220 0 R /XYZ null 669.1038 null] >> endobj 3815 0 obj << /D [1220 0 R /XYZ null 608.8038 null] >> endobj 3816 0 obj << /D [1220 0 R /XYZ null 525.8038 null] >> endobj 3817 0 obj << /D [1220 0 R /XYZ null 525.8038 null] >> endobj 3818 0 obj << /D [1220 0 R /XYZ null 500.6038 null] >> endobj 3819 0 obj << /D [1229 0 R /XYZ null 711 null] >> endobj 3820 0 obj << /D [1229 0 R /XYZ null 686.7 null] >> endobj 3821 0 obj << /D [1229 0 R /XYZ null 269.9844 null] >> endobj 3822 0 obj << /D [1229 0 R /XYZ null 147.2843 null] >> endobj 3823 0 obj << /D [1236 0 R /XYZ null 669.4 null] >> endobj 3824 0 obj << /D [1236 0 R /XYZ null 656.4 null] >> endobj 3825 0 obj << /D [1236 0 R /XYZ null 562.0039 null] >> endobj 3826 0 obj << /D [1236 0 R /XYZ null 257.4039 null] >> endobj 3827 0 obj << /D [1244 0 R /XYZ null 697 null] >> endobj 3828 0 obj << /D [1244 0 R /XYZ null 697 null] >> endobj 3829 0 obj << /D [1244 0 R /XYZ null 671.8 null] >> endobj 3830 0 obj << /D [1244 0 R /XYZ null 524.8001 null] >> endobj 3831 0 obj << /D [1260 0 R /XYZ null 711 null] >> endobj 3832 0 obj << /D [1260 0 R /XYZ null 686.7 null] >> endobj 3833 0 obj << /D [1260 0 R /XYZ null 257.4953 null] >> endobj 3834 0 obj << /D [1260 0 R /XYZ null 139.0952 null] >> endobj 3835 0 obj << /D [1267 0 R /XYZ null 669.4 null] >> endobj 3836 0 obj << /D [1267 0 R /XYZ null 656.4 null] >> endobj 3837 0 obj << /D [1267 0 R /XYZ null 513.4039 null] >> endobj 3838 0 obj << /D [1267 0 R /XYZ null 208.5077 null] >> endobj 3839 0 obj << /D [1267 0 R /XYZ null 148.2076 null] >> endobj 3840 0 obj << /D [1275 0 R /XYZ null 697 null] >> endobj 3841 0 obj << /D [1275 0 R /XYZ null 697 null] >> endobj 3842 0 obj << /D [1275 0 R /XYZ null 671.8 null] >> endobj 3843 0 obj << /D [1275 0 R /XYZ null 503.5001 null] >> endobj 3844 0 obj << /D [1275 0 R /XYZ null 261.0001 null] >> endobj 3845 0 obj << /D [1285 0 R /XYZ null 711 null] >> endobj 3846 0 obj << /D [1285 0 R /XYZ null 697.8 null] >> endobj 3847 0 obj << /D [1285 0 R /XYZ null 661.5 null] >> endobj 3848 0 obj << /D [1292 0 R /XYZ null 711 null] >> endobj 3849 0 obj << /D [1292 0 R /XYZ null 660.5 null] >> endobj 3850 0 obj << /D [1292 0 R /XYZ null 340.2 null] >> endobj 3851 0 obj << /D [1292 0 R /XYZ null 287.2 null] >> endobj 3852 0 obj << /D [1292 0 R /XYZ null 287.2 null] >> endobj 3853 0 obj << /D [1292 0 R /XYZ null 262 null] >> endobj 3854 0 obj << /D [1292 0 R /XYZ null 168.3999 null] >> endobj 3855 0 obj << /D [1299 0 R /XYZ null 711 null] >> endobj 3856 0 obj << /D [1299 0 R /XYZ null 686.7 null] >> endobj 3857 0 obj << /D [1299 0 R /XYZ null 319.7508 null] >> endobj 3858 0 obj << /D [1299 0 R /XYZ null 239.9508 null] >> endobj 3859 0 obj << /D [1299 0 R /XYZ null 175.3508 null] >> endobj 3860 0 obj << /D [1299 0 R /XYZ null 162.3508 null] >> endobj 3861 0 obj << /D [1299 0 R /XYZ null 96.8508 null] >> endobj 3862 0 obj << /D [1306 0 R /XYZ null 708.5 null] >> endobj 3863 0 obj << /D [1306 0 R /XYZ null 644.1615 null] >> endobj 3864 0 obj << /D [1313 0 R /XYZ null 711 null] >> endobj 3865 0 obj << /D [1313 0 R /XYZ null 711 null] >> endobj 3866 0 obj << /D [1313 0 R /XYZ null 572.6 null] >> endobj 3867 0 obj << /D [1313 0 R /XYZ null 572.6 null] >> endobj 3868 0 obj << /D [1313 0 R /XYZ null 498.8 null] >> endobj 3869 0 obj << /D [1313 0 R /XYZ null 341.1999 null] >> endobj 3870 0 obj << /D [1313 0 R /XYZ null 238.6 null] >> endobj 3871 0 obj << /D [1313 0 R /XYZ null 238.6 null] >> endobj 3872 0 obj << /D [1313 0 R /XYZ null 213.3999 null] >> endobj 3873 0 obj << /D [1313 0 R /XYZ null 200.8999 null] >> endobj 3874 0 obj << /D [1313 0 R /XYZ null 125.7998 null] >> endobj 3875 0 obj << /D [1325 0 R /XYZ null 711 null] >> endobj 3876 0 obj << /D [1325 0 R /XYZ null 686.7 null] >> endobj 3877 0 obj << /D [1325 0 R /XYZ null 245.8024 null] >> endobj 3878 0 obj << /D [1325 0 R /XYZ null 155.6331 null] >> endobj 3879 0 obj << /D [1334 0 R /XYZ null 708.5 null] >> endobj 3880 0 obj << /D [1334 0 R /XYZ null 630.5923 null] >> endobj 3881 0 obj << /D [1334 0 R /XYZ null 594.2923 null] >> endobj 3882 0 obj << /D [1334 0 R /XYZ null 291.4068 null] >> endobj 3883 0 obj << /D [1334 0 R /XYZ null 238.9068 null] >> endobj 3884 0 obj << /D [1334 0 R /XYZ null 200.7068 null] >> endobj 3885 0 obj << /D [1334 0 R /XYZ null 167.3069 null] >> endobj 3886 0 obj << /D [1334 0 R /XYZ null 144.9719 null] >> endobj 3887 0 obj << /D [1334 0 R /XYZ null 116.772 null] >> endobj 3888 0 obj << /D [1343 0 R /XYZ null 684.6 null] >> endobj 3889 0 obj << /D [1343 0 R /XYZ null 656.4 null] >> endobj 3890 0 obj << /D [1343 0 R /XYZ null 447.8 null] >> endobj 3891 0 obj << /D [1343 0 R /XYZ null 301.1039 null] >> endobj 3892 0 obj << /D [1343 0 R /XYZ null 221.9039 null] >> endobj 3893 0 obj << /D [1343 0 R /XYZ null 147.0076 null] >> endobj 3894 0 obj << /D [1350 0 R /XYZ null 711 null] >> endobj 3895 0 obj << /D [1350 0 R /XYZ null 687.8 null] >> endobj 3896 0 obj << /D [1350 0 R /XYZ null 421.6 null] >> endobj 3897 0 obj << /D [1350 0 R /XYZ null 421.6 null] >> endobj 3898 0 obj << /D [1350 0 R /XYZ null 396.3999 null] >> endobj 3899 0 obj << /D [1350 0 R /XYZ null 288.1 null] >> endobj 3900 0 obj << /D [1350 0 R /XYZ null 214.7615 null] >> endobj 3901 0 obj << /D [1363 0 R /XYZ null 711 null] >> endobj 3902 0 obj << /D [1363 0 R /XYZ null 673.5 null] >> endobj 3903 0 obj << /D [1363 0 R /XYZ null 621 null] >> endobj 3904 0 obj << /D [1363 0 R /XYZ null 592.8 null] >> endobj 3905 0 obj << /D [1363 0 R /XYZ null 519.9999 null] >> endobj 3906 0 obj << /D [1363 0 R /XYZ null 491.7999 null] >> endobj 3907 0 obj << /D [1363 0 R /XYZ null 408.1 null] >> endobj 3908 0 obj << /D [1363 0 R /XYZ null 348.0038 null] >> endobj 3909 0 obj << /D [1363 0 R /XYZ null 346.1346 null] >> endobj 3910 0 obj << /D [1363 0 R /XYZ null 346.1346 null] >> endobj 3911 0 obj << /D [1363 0 R /XYZ null 281.5346 null] >> endobj 3912 0 obj << /D [1363 0 R /XYZ null 258.5346 null] >> endobj 3913 0 obj << /D [1363 0 R /XYZ null 220.3345 null] >> endobj 3914 0 obj << /D [1372 0 R /XYZ null 697 null] >> endobj 3915 0 obj << /D [1372 0 R /XYZ null 697 null] >> endobj 3916 0 obj << /D [1372 0 R /XYZ null 671.8 null] >> endobj 3917 0 obj << /D [1372 0 R /XYZ null 590.3 null] >> endobj 3918 0 obj << /D [1372 0 R /XYZ null 537.8 null] >> endobj 3919 0 obj << /D [1372 0 R /XYZ null 499.6 null] >> endobj 3920 0 obj << /D [1372 0 R /XYZ null 441.9 null] >> endobj 3921 0 obj << /D [1372 0 R /XYZ null 346.5 null] >> endobj 3922 0 obj << /D [1372 0 R /XYZ null 282.1 null] >> endobj 3923 0 obj << /D [1372 0 R /XYZ null 212.9 null] >> endobj 3924 0 obj << /D [1372 0 R /XYZ null 163.7 null] >> endobj 3925 0 obj << /D [1372 0 R /XYZ null 150.7 null] >> endobj 3926 0 obj << /D [1372 0 R /XYZ null 112.5001 null] >> endobj 3927 0 obj << /D [1384 0 R /XYZ null 697 null] >> endobj 3928 0 obj << /D [1384 0 R /XYZ null 697 null] >> endobj 3929 0 obj << /D [1384 0 R /XYZ null 671.8 null] >> endobj 3930 0 obj << /D [1384 0 R /XYZ null 590.3 null] >> endobj 3931 0 obj << /D [1384 0 R /XYZ null 537.8 null] >> endobj 3932 0 obj << /D [1384 0 R /XYZ null 485.3 null] >> endobj 3933 0 obj << /D [1384 0 R /XYZ null 457.1 null] >> endobj 3934 0 obj << /D [1384 0 R /XYZ null 395.85 null] >> endobj 3935 0 obj << /D [1384 0 R /XYZ null 331.45 null] >> endobj 3936 0 obj << /D [1384 0 R /XYZ null 272.2499 null] >> endobj 3937 0 obj << /D [1384 0 R /XYZ null 223.0499 null] >> endobj 3938 0 obj << /D [1384 0 R /XYZ null 210.0499 null] >> endobj 3939 0 obj << /D [1384 0 R /XYZ null 171.85 null] >> endobj 3940 0 obj << /D [1392 0 R /XYZ null 697 null] >> endobj 3941 0 obj << /D [1392 0 R /XYZ null 697 null] >> endobj 3942 0 obj << /D [1392 0 R /XYZ null 671.8 null] >> endobj 3943 0 obj << /D [1392 0 R /XYZ null 576 null] >> endobj 3944 0 obj << /D [1392 0 R /XYZ null 523.5 null] >> endobj 3945 0 obj << /D [1392 0 R /XYZ null 485.3 null] >> endobj 3946 0 obj << /D [1392 0 R /XYZ null 427.6 null] >> endobj 3947 0 obj << /D [1392 0 R /XYZ null 346.5 null] >> endobj 3948 0 obj << /D [1392 0 R /XYZ null 282.1 null] >> endobj 3949 0 obj << /D [1392 0 R /XYZ null 152.9 null] >> endobj 3950 0 obj << /D [1399 0 R /XYZ null 684.8 null] >> endobj 3951 0 obj << /D [1399 0 R /XYZ null 637.5 null] >> endobj 3952 0 obj << /D [1399 0 R /XYZ null 599.3 null] >> endobj 3953 0 obj << /D [1399 0 R /XYZ null 525.9 null] >> endobj 3954 0 obj << /D [1399 0 R /XYZ null 525.9 null] >> endobj 3955 0 obj << /D [1399 0 R /XYZ null 490.7 null] >> endobj 3956 0 obj << /D [1399 0 R /XYZ null 464.3 null] >> endobj 3957 0 obj << /D [1399 0 R /XYZ null 411.8 null] >> endobj 3958 0 obj << /D [1399 0 R /XYZ null 373.6 null] >> endobj 3959 0 obj << /D [1399 0 R /XYZ null 315.8999 null] >> endobj 3960 0 obj << /D [1399 0 R /XYZ null 263.3999 null] >> endobj 3961 0 obj << /D [1399 0 R /XYZ null 198.9999 null] >> endobj 3962 0 obj << /D [1399 0 R /XYZ null 129.7999 null] >> endobj 3963 0 obj << /D [1406 0 R /XYZ null 684.8 null] >> endobj 3964 0 obj << /D [1406 0 R /XYZ null 671.8 null] >> endobj 3965 0 obj << /D [1406 0 R /XYZ null 633.6 null] >> endobj 3966 0 obj << /D [1406 0 R /XYZ null 560.2 null] >> endobj 3967 0 obj << /D [1406 0 R /XYZ null 560.2 null] >> endobj 3968 0 obj << /D [1406 0 R /XYZ null 535 null] >> endobj 3969 0 obj << /D [1406 0 R /XYZ null 469.6 null] >> endobj 3970 0 obj << /D [1406 0 R /XYZ null 443.1 null] >> endobj 3971 0 obj << /D [1406 0 R /XYZ null 390.6 null] >> endobj 3972 0 obj << /D [1406 0 R /XYZ null 352.4 null] >> endobj 3973 0 obj << /D [1406 0 R /XYZ null 338.9038 null] >> endobj 3974 0 obj << /D [1406 0 R /XYZ null 227.2287 null] >> endobj 3975 0 obj << /D [1415 0 R /XYZ null 711 null] >> endobj 3976 0 obj << /D [1415 0 R /XYZ null 601.3 null] >> endobj 3977 0 obj << /D [1415 0 R /XYZ null 526.4039 null] >> endobj 3978 0 obj << /D [1415 0 R /XYZ null 378.3039 null] >> endobj 3979 0 obj << /D [1415 0 R /XYZ null 318.0039 null] >> endobj 3980 0 obj << /D [1415 0 R /XYZ null 267.7039 null] >> endobj 3981 0 obj << /D [1415 0 R /XYZ null 104.7116 null] >> endobj 3982 0 obj << /D [1422 0 R /XYZ null 711 null] >> endobj 3983 0 obj << /D [1422 0 R /XYZ null 687.8 null] >> endobj 3984 0 obj << /D [1422 0 R /XYZ null 563 null] >> endobj 3985 0 obj << /D [1422 0 R /XYZ null 563 null] >> endobj 3986 0 obj << /D [1422 0 R /XYZ null 537.8 null] >> endobj 3987 0 obj << /D [1422 0 R /XYZ null 458.1 null] >> endobj 3988 0 obj << /D [1422 0 R /XYZ null 397.081 null] >> endobj 3989 0 obj << /D [1422 0 R /XYZ null 344.581 null] >> endobj 3990 0 obj << /D [1422 0 R /XYZ null 292.081 null] >> endobj 3991 0 obj << /D [1422 0 R /XYZ null 212.4849 null] >> endobj 3992 0 obj << /D [1422 0 R /XYZ null 185.9849 null] >> endobj 3993 0 obj << /D [1433 0 R /XYZ null 608.9 null] >> endobj 3994 0 obj << /D [1433 0 R /XYZ null 570.7 null] >> endobj 3995 0 obj << /D [1433 0 R /XYZ null 517.5 null] >> endobj 3996 0 obj << /D [1433 0 R /XYZ null 517.5 null] >> endobj 3997 0 obj << /D [1433 0 R /XYZ null 492.3 null] >> endobj 3998 0 obj << /D [1433 0 R /XYZ null 410.8 null] >> endobj 3999 0 obj << /D [1433 0 R /XYZ null 358.3 null] >> endobj 4000 0 obj << /D [1433 0 R /XYZ null 305.5038 null] >> endobj 4001 0 obj << /D [1433 0 R /XYZ null 277.3038 null] >> endobj 4002 0 obj << /D [1433 0 R /XYZ null 191.4576 null] >> endobj 4003 0 obj << /D [1440 0 R /XYZ null 610.2 null] >> endobj 4004 0 obj << /D [1440 0 R /XYZ null 587.2 null] >> endobj 4005 0 obj << /D [1440 0 R /XYZ null 549 null] >> endobj 4006 0 obj << /D [1440 0 R /XYZ null 535.8 null] >> endobj 4007 0 obj << /D [1440 0 R /XYZ null 497.6 null] >> endobj 4008 0 obj << /D [1440 0 R /XYZ null 424.2 null] >> endobj 4009 0 obj << /D [1440 0 R /XYZ null 424.2 null] >> endobj 4010 0 obj << /D [1440 0 R /XYZ null 398.9999 null] >> endobj 4011 0 obj << /D [1440 0 R /XYZ null 199.2001 null] >> endobj 4012 0 obj << /D [1451 0 R /XYZ null 711 null] >> endobj 4013 0 obj << /D [1451 0 R /XYZ null 673.5 null] >> endobj 4014 0 obj << /D [1451 0 R /XYZ null 611 null] >> endobj 4015 0 obj << /D [1451 0 R /XYZ null 599 null] >> endobj 4016 0 obj << /D [1451 0 R /XYZ null 517.6039 null] >> endobj 4017 0 obj << /D [1451 0 R /XYZ null 286.6038 null] >> endobj 4018 0 obj << /D [1451 0 R /XYZ null 181.6152 null] >> endobj 4019 0 obj << /D [1451 0 R /XYZ null 138.6152 null] >> endobj 4020 0 obj << /D [1451 0 R /XYZ null 100.4153 null] >> endobj 4021 0 obj << /D [1459 0 R /XYZ null 697 null] >> endobj 4022 0 obj << /D [1459 0 R /XYZ null 697 null] >> endobj 4023 0 obj << /D [1459 0 R /XYZ null 671.8 null] >> endobj 4024 0 obj << /D [1459 0 R /XYZ null 593.2 null] >> endobj 4025 0 obj << /D [1459 0 R /XYZ null 593.2 null] >> endobj 4026 0 obj << /D [1459 0 R /XYZ null 568 null] >> endobj 4027 0 obj << /D [1459 0 R /XYZ null 406.2078 null] >> endobj 4028 0 obj << /D [1459 0 R /XYZ null 329.1078 null] >> endobj 4029 0 obj << /D [1459 0 R /XYZ null 276.6078 null] >> endobj 4030 0 obj << /D [1459 0 R /XYZ null 223.8116 null] >> endobj 4031 0 obj << /D [1459 0 R /XYZ null 146.7154 null] >> endobj 4032 0 obj << /D [1472 0 R /XYZ null 711 null] >> endobj 4033 0 obj << /D [1472 0 R /XYZ null 479 null] >> endobj 4034 0 obj << /D [1472 0 R /XYZ null 440.8 null] >> endobj 4035 0 obj << /D [1472 0 R /XYZ null 305.8 null] >> endobj 4036 0 obj << /D [1472 0 R /XYZ null 305.8 null] >> endobj 4037 0 obj << /D [1472 0 R /XYZ null 280.6 null] >> endobj 4038 0 obj << /D [1472 0 R /XYZ null 136.1998 null] >> endobj 4039 0 obj << /D [1483 0 R /XYZ null 711 null] >> endobj 4040 0 obj << /D [1483 0 R /XYZ null 673.2039 null] >> endobj 4041 0 obj << /D [1483 0 R /XYZ null 620.4076 null] >> endobj 4042 0 obj << /D [1483 0 R /XYZ null 434.6076 null] >> endobj 4043 0 obj << /D [1483 0 R /XYZ null 396.4076 null] >> endobj 4044 0 obj << /D [1483 0 R /XYZ null 343.2076 null] >> endobj 4045 0 obj << /D [1483 0 R /XYZ null 343.2076 null] >> endobj 4046 0 obj << /D [1483 0 R /XYZ null 318.0076 null] >> endobj 4047 0 obj << /D [1483 0 R /XYZ null 171.1076 null] >> endobj 4048 0 obj << /D [1490 0 R /XYZ null 655.6 null] >> endobj 4049 0 obj << /D [1490 0 R /XYZ null 633.181 null] >> endobj 4050 0 obj << /D [1490 0 R /XYZ null 580.681 null] >> endobj 4051 0 obj << /D [1490 0 R /XYZ null 527.8848 null] >> endobj 4052 0 obj << /D [1490 0 R /XYZ null 448.2887 null] >> endobj 4053 0 obj << /D [1490 0 R /XYZ null 421.7887 null] >> endobj 4054 0 obj << /D [1490 0 R /XYZ null 174.7887 null] >> endobj 4055 0 obj << /D [1490 0 R /XYZ null 136.5888 null] >> endobj 4056 0 obj << /D [1497 0 R /XYZ null 697 null] >> endobj 4057 0 obj << /D [1497 0 R /XYZ null 697 null] >> endobj 4058 0 obj << /D [1497 0 R /XYZ null 671.8 null] >> endobj 4059 0 obj << /D [1497 0 R /XYZ null 429.1001 null] >> endobj 4060 0 obj << /D [1497 0 R /XYZ null 325.4002 null] >> endobj 4061 0 obj << /D [1497 0 R /XYZ null 272.9002 null] >> endobj 4062 0 obj << /D [1497 0 R /XYZ null 220.104 null] >> endobj 4063 0 obj << /D [1497 0 R /XYZ null 191.904 null] >> endobj 4064 0 obj << /D [1497 0 R /XYZ null 145.5041 null] >> endobj 4065 0 obj << /D [1508 0 R /XYZ null 539.7 null] >> endobj 4066 0 obj << /D [1508 0 R /XYZ null 501.5 null] >> endobj 4067 0 obj << /D [1508 0 R /XYZ null 341.2999 null] >> endobj 4068 0 obj << /D [1508 0 R /XYZ null 341.2999 null] >> endobj 4069 0 obj << /D [1508 0 R /XYZ null 316.0999 null] >> endobj 4070 0 obj << /D [1519 0 R /XYZ null 708.5 null] >> endobj 4071 0 obj << /D [1519 0 R /XYZ null 619.1 null] >> endobj 4072 0 obj << /D [1519 0 R /XYZ null 607.1 null] >> endobj 4073 0 obj << /D [1519 0 R /XYZ null 578.9 null] >> endobj 4074 0 obj << /D [1519 0 R /XYZ null 439.2114 null] >> endobj 4075 0 obj << /D [1519 0 R /XYZ null 401.0114 null] >> endobj 4076 0 obj << /D [1519 0 R /XYZ null 346.819 null] >> endobj 4077 0 obj << /D [1519 0 R /XYZ null 333.619 null] >> endobj 4078 0 obj << /D [1519 0 R /XYZ null 269.219 null] >> endobj 4079 0 obj << /D [1526 0 R /XYZ null 711 null] >> endobj 4080 0 obj << /D [1526 0 R /XYZ null 687.8 null] >> endobj 4081 0 obj << /D [1526 0 R /XYZ null 563 null] >> endobj 4082 0 obj << /D [1526 0 R /XYZ null 563 null] >> endobj 4083 0 obj << /D [1526 0 R /XYZ null 537.8 null] >> endobj 4084 0 obj << /D [1526 0 R /XYZ null 443.8 null] >> endobj 4085 0 obj << /D [1526 0 R /XYZ null 240.0002 null] >> endobj 4086 0 obj << /D [1526 0 R /XYZ null 187.5001 null] >> endobj 4087 0 obj << /D [1526 0 R /XYZ null 107.9039 null] >> endobj 4088 0 obj << /D [1537 0 R /XYZ null 711 null] >> endobj 4089 0 obj << /D [1537 0 R /XYZ null 697.8 null] >> endobj 4090 0 obj << /D [1537 0 R /XYZ null 674.6 null] >> endobj 4091 0 obj << /D [1537 0 R /XYZ null 542.1999 null] >> endobj 4092 0 obj << /D [1537 0 R /XYZ null 422.9999 null] >> endobj 4093 0 obj << /D [1537 0 R /XYZ null 360.1999 null] >> endobj 4094 0 obj << /D [1537 0 R /XYZ null 115.9036 null] >> endobj 4095 0 obj << /D [1544 0 R /XYZ null 711 null] >> endobj 4096 0 obj << /D [1544 0 R /XYZ null 687.8 null] >> endobj 4097 0 obj << /D [1544 0 R /XYZ null 563 null] >> endobj 4098 0 obj << /D [1544 0 R /XYZ null 563 null] >> endobj 4099 0 obj << /D [1544 0 R /XYZ null 537.8 null] >> endobj 4100 0 obj << /D [1544 0 R /XYZ null 472.4 null] >> endobj 4101 0 obj << /D [1544 0 R /XYZ null 445.9 null] >> endobj 4102 0 obj << /D [1544 0 R /XYZ null 445.9 null] >> endobj 4103 0 obj << /D [1544 0 R /XYZ null 422.7 null] >> endobj 4104 0 obj << /D [1544 0 R /XYZ null 399.5 null] >> endobj 4105 0 obj << /D [1544 0 R /XYZ null 362.8038 null] >> endobj 4106 0 obj << /D [1553 0 R /XYZ null 683.7 null] >> endobj 4107 0 obj << /D [1553 0 R /XYZ null 660.7 null] >> endobj 4108 0 obj << /D [1553 0 R /XYZ null 622.5 null] >> endobj 4109 0 obj << /D [1553 0 R /XYZ null 497.7 null] >> endobj 4110 0 obj << /D [1553 0 R /XYZ null 497.7 null] >> endobj 4111 0 obj << /D [1553 0 R /XYZ null 472.5 null] >> endobj 4112 0 obj << /D [1553 0 R /XYZ null 407.1 null] >> endobj 4113 0 obj << /D [1553 0 R /XYZ null 125.2718 null] >> endobj 4114 0 obj << /D [1553 0 R /XYZ null 125.2718 null] >> endobj 4115 0 obj << /D [1553 0 R /XYZ null 102.0719 null] >> endobj 4116 0 obj << /D [1567 0 R /XYZ null 711 null] >> endobj 4117 0 obj << /D [1567 0 R /XYZ null 624.2077 null] >> endobj 4118 0 obj << /D [1567 0 R /XYZ null 591.0077 null] >> endobj 4119 0 obj << /D [1567 0 R /XYZ null 543.8153 null] >> endobj 4120 0 obj << /D [1567 0 R /XYZ null 327.1153 null] >> endobj 4121 0 obj << /D [1567 0 R /XYZ null 229.4229 null] >> endobj 4122 0 obj << /D [1567 0 R /XYZ null 156.423 null] >> endobj 4123 0 obj << /D [1567 0 R /XYZ null 118.223 null] >> endobj 4124 0 obj << /D [1574 0 R /XYZ null 545.6 null] >> endobj 4125 0 obj << /D [1574 0 R /XYZ null 545.6 null] >> endobj 4126 0 obj << /D [1574 0 R /XYZ null 520.4 null] >> endobj 4127 0 obj << /D [1574 0 R /XYZ null 455 null] >> endobj 4128 0 obj << /D [1574 0 R /XYZ null 404.5 null] >> endobj 4129 0 obj << /D [1574 0 R /XYZ null 404.5 null] >> endobj 4130 0 obj << /D [1574 0 R /XYZ null 357 null] >> endobj 4131 0 obj << /D [1574 0 R /XYZ null 323.8 null] >> endobj 4132 0 obj << /D [1574 0 R /XYZ null 310.3038 null] >> endobj 4133 0 obj << /D [1574 0 R /XYZ null 227.7764 null] >> endobj 4134 0 obj << /D [1574 0 R /XYZ null 201.1072 null] >> endobj 4135 0 obj << /D [1589 0 R /XYZ null 585.6038 null] >> endobj 4136 0 obj << /D [1589 0 R /XYZ null 572.6038 null] >> endobj 4137 0 obj << /D [1589 0 R /XYZ null 534.4038 null] >> endobj 4138 0 obj << /D [1589 0 R /XYZ null 394.4038 null] >> endobj 4139 0 obj << /D [1589 0 R /XYZ null 394.4038 null] >> endobj 4140 0 obj << /D [1589 0 R /XYZ null 369.2038 null] >> endobj 4141 0 obj << /D [1589 0 R /XYZ null 356.7038 null] >> endobj 4142 0 obj << /D [1589 0 R /XYZ null 199.9038 null] >> endobj 4143 0 obj << /D [1589 0 R /XYZ null 173.4038 null] >> endobj 4144 0 obj << /D [1589 0 R /XYZ null 150.2039 null] >> endobj 4145 0 obj << /D [1589 0 R /XYZ null 113.5078 null] >> endobj 4146 0 obj << /D [1600 0 R /XYZ null 711 null] >> endobj 4147 0 obj << /D [1600 0 R /XYZ null 661.4 null] >> endobj 4148 0 obj << /D [1600 0 R /XYZ null 608.9 null] >> endobj 4149 0 obj << /D [1600 0 R /XYZ null 570.7 null] >> endobj 4150 0 obj << /D [1600 0 R /XYZ null 415.4999 null] >> endobj 4151 0 obj << /D [1600 0 R /XYZ null 415.4999 null] >> endobj 4152 0 obj << /D [1600 0 R /XYZ null 390.2999 null] >> endobj 4153 0 obj << /D [1600 0 R /XYZ null 339.2 null] >> endobj 4154 0 obj << /D [1600 0 R /XYZ null 192.6 null] >> endobj 4155 0 obj << /D [1600 0 R /XYZ null 169.4 null] >> endobj 4156 0 obj << /D [1613 0 R /XYZ null 711 null] >> endobj 4157 0 obj << /D [1613 0 R /XYZ null 685.3 null] >> endobj 4158 0 obj << /D [1613 0 R /XYZ null 636.8 null] >> endobj 4159 0 obj << /D [1613 0 R /XYZ null 280.1998 null] >> endobj 4160 0 obj << /D [1622 0 R /XYZ null 683.7 null] >> endobj 4161 0 obj << /D [1622 0 R /XYZ null 650.7 null] >> endobj 4162 0 obj << /D [1622 0 R /XYZ null 612.5 null] >> endobj 4163 0 obj << /D [1622 0 R /XYZ null 498.7 null] >> endobj 4164 0 obj << /D [1622 0 R /XYZ null 498.7 null] >> endobj 4165 0 obj << /D [1622 0 R /XYZ null 473.5 null] >> endobj 4166 0 obj << /D [1622 0 R /XYZ null 190.4002 null] >> endobj 4167 0 obj << /D [1622 0 R /XYZ null 108.0042 null] >> endobj 4168 0 obj << /D [1631 0 R /XYZ null 711 null] >> endobj 4169 0 obj << /D [1631 0 R /XYZ null 516.3 null] >> endobj 4170 0 obj << /D [1631 0 R /XYZ null 493.3 null] >> endobj 4171 0 obj << /D [1631 0 R /XYZ null 455.1 null] >> endobj 4172 0 obj << /D [1631 0 R /XYZ null 294.8999 null] >> endobj 4173 0 obj << /D [1631 0 R /XYZ null 294.8999 null] >> endobj 4174 0 obj << /D [1631 0 R /XYZ null 269.6999 null] >> endobj 4175 0 obj << /D [1631 0 R /XYZ null 136.8036 null] >> endobj 4176 0 obj << /D [1642 0 R /XYZ null 668.1 null] >> endobj 4177 0 obj << /D [1642 0 R /XYZ null 644.9 null] >> endobj 4178 0 obj << /D [1642 0 R /XYZ null 621.4038 null] >> endobj 4179 0 obj << /D [1642 0 R /XYZ null 593.2039 null] >> endobj 4180 0 obj << /D [1642 0 R /XYZ null 546.8038 null] >> endobj 4181 0 obj << /D [1642 0 R /XYZ null 312.5076 null] >> endobj 4182 0 obj << /D [1642 0 R /XYZ null 289.5076 null] >> endobj 4183 0 obj << /D [1642 0 R /XYZ null 251.3076 null] >> endobj 4184 0 obj << /D [1652 0 R /XYZ null 697 null] >> endobj 4185 0 obj << /D [1652 0 R /XYZ null 697 null] >> endobj 4186 0 obj << /D [1652 0 R /XYZ null 671.8 null] >> endobj 4187 0 obj << /D [1652 0 R /XYZ null 496.0039 null] >> endobj 4188 0 obj << /D [1652 0 R /XYZ null 430.9039 null] >> endobj 4189 0 obj << /D [1652 0 R /XYZ null 384.2077 null] >> endobj 4190 0 obj << /D [1652 0 R /XYZ null 361.0077 null] >> endobj 4191 0 obj << /D [1652 0 R /XYZ null 255.0076 null] >> endobj 4192 0 obj << /D [1661 0 R /XYZ null 683.7 null] >> endobj 4193 0 obj << /D [1661 0 R /XYZ null 660.7 null] >> endobj 4194 0 obj << /D [1661 0 R /XYZ null 622.5 null] >> endobj 4195 0 obj << /D [1661 0 R /XYZ null 517.9 null] >> endobj 4196 0 obj << /D [1661 0 R /XYZ null 517.9 null] >> endobj 4197 0 obj << /D [1661 0 R /XYZ null 492.7 null] >> endobj 4198 0 obj << /D [1661 0 R /XYZ null 315.4001 null] >> endobj 4199 0 obj << /D [1661 0 R /XYZ null 282.2001 null] >> endobj 4200 0 obj << /D [1661 0 R /XYZ null 188.2001 null] >> endobj 4201 0 obj << /D [1661 0 R /XYZ null 155.0001 null] >> endobj 4202 0 obj << /D [1661 0 R /XYZ null 141.504 null] >> endobj 4203 0 obj << /D [1672 0 R /XYZ null 711 null] >> endobj 4204 0 obj << /D [1672 0 R /XYZ null 671.4 null] >> endobj 4205 0 obj << /D [1672 0 R /XYZ null 464.7 null] >> endobj 4206 0 obj << /D [1672 0 R /XYZ null 393.4076 null] >> endobj 4207 0 obj << /D [1672 0 R /XYZ null 360.4076 null] >> endobj 4208 0 obj << /D [1672 0 R /XYZ null 322.2076 null] >> endobj 4209 0 obj << /D [1672 0 R /XYZ null 188.2076 null] >> endobj 4210 0 obj << /D [1672 0 R /XYZ null 188.2076 null] >> endobj 4211 0 obj << /D [1672 0 R /XYZ null 163.0076 null] >> endobj 4212 0 obj << /D [1672 0 R /XYZ null 100.1075 null] >> endobj 4213 0 obj << /D [1681 0 R /XYZ null 674.3038 null] >> endobj 4214 0 obj << /D [1681 0 R /XYZ null 627.9039 null] >> endobj 4215 0 obj << /D [1681 0 R /XYZ null 380.6077 null] >> endobj 4216 0 obj << /D [1681 0 R /XYZ null 342.4077 null] >> endobj 4217 0 obj << /D [1681 0 R /XYZ null 289.2077 null] >> endobj 4218 0 obj << /D [1681 0 R /XYZ null 289.2077 null] >> endobj 4219 0 obj << /D [1681 0 R /XYZ null 264.0077 null] >> endobj 4220 0 obj << /D [1681 0 R /XYZ null 124.419 null] >> endobj 4221 0 obj << /D [1688 0 R /XYZ null 640.0039 null] >> endobj 4222 0 obj << /D [1688 0 R /XYZ null 586.4039 null] >> endobj 4223 0 obj << /D [1688 0 R /XYZ null 352.1077 null] >> endobj 4224 0 obj << /D [1688 0 R /XYZ null 249.1077 null] >> endobj 4225 0 obj << /D [1688 0 R /XYZ null 210.9076 null] >> endobj 4226 0 obj << /D [1695 0 R /XYZ null 697 null] >> endobj 4227 0 obj << /D [1695 0 R /XYZ null 697 null] >> endobj 4228 0 obj << /D [1695 0 R /XYZ null 671.8 null] >> endobj 4229 0 obj << /D [1695 0 R /XYZ null 566 null] >> endobj 4230 0 obj << /D [1695 0 R /XYZ null 530.3 null] >> endobj 4231 0 obj << /D [1695 0 R /XYZ null 501.4 null] >> endobj 4232 0 obj << /D [1695 0 R /XYZ null 468.2 null] >> endobj 4233 0 obj << /D [1695 0 R /XYZ null 454.7038 null] >> endobj 4234 0 obj << /D [1695 0 R /XYZ null 412.1688 null] >> endobj 4235 0 obj << /D [1695 0 R /XYZ null 345.1637 null] >> endobj 4236 0 obj << /D [1695 0 R /XYZ null 321.9637 null] >> endobj 4237 0 obj << /D [1695 0 R /XYZ null 275.5637 null] >> endobj 4238 0 obj << /D [1695 0 R /XYZ null 237.3636 null] >> endobj 4239 0 obj << /D [1695 0 R /XYZ null 102.5636 null] >> endobj 4240 0 obj << /D [1706 0 R /XYZ null 660.5 null] >> endobj 4241 0 obj << /D [1706 0 R /XYZ null 600.2 null] >> endobj 4242 0 obj << /D [1706 0 R /XYZ null 541 null] >> endobj 4243 0 obj << /D [1706 0 R /XYZ null 493.7 null] >> endobj 4244 0 obj << /D [1706 0 R /XYZ null 422.4076 null] >> endobj 4245 0 obj << /D [1706 0 R /XYZ null 399.4076 null] >> endobj 4246 0 obj << /D [1706 0 R /XYZ null 361.2076 null] >> endobj 4247 0 obj << /D [1706 0 R /XYZ null 185.8076 null] >> endobj 4248 0 obj << /D [1706 0 R /XYZ null 185.8076 null] >> endobj 4249 0 obj << /D [1706 0 R /XYZ null 160.6076 null] >> endobj 4250 0 obj << /D [1717 0 R /XYZ null 641.3 null] >> endobj 4251 0 obj << /D [1717 0 R /XYZ null 602.8 null] >> endobj 4252 0 obj << /D [1717 0 R /XYZ null 546.4 null] >> endobj 4253 0 obj << /D [1717 0 R /XYZ null 533.1999 null] >> endobj 4254 0 obj << /D [1717 0 R /XYZ null 490.665 null] >> endobj 4255 0 obj << /D [1717 0 R /XYZ null 378.1249 null] >> endobj 4256 0 obj << /D [1717 0 R /XYZ null 321.7248 null] >> endobj 4257 0 obj << /D [1717 0 R /XYZ null 255.9248 null] >> endobj 4258 0 obj << /D [1717 0 R /XYZ null 222.9248 null] >> endobj 4259 0 obj << /D [1727 0 R /XYZ null 684.8 null] >> endobj 4260 0 obj << /D [1727 0 R /XYZ null 637.5 null] >> endobj 4261 0 obj << /D [1727 0 R /XYZ null 566.2076 null] >> endobj 4262 0 obj << /D [1727 0 R /XYZ null 543.2076 null] >> endobj 4263 0 obj << /D [1727 0 R /XYZ null 505.0077 null] >> endobj 4264 0 obj << /D [1727 0 R /XYZ null 324.6076 null] >> endobj 4265 0 obj << /D [1727 0 R /XYZ null 324.6076 null] >> endobj 4266 0 obj << /D [1727 0 R /XYZ null 299.4076 null] >> endobj 4267 0 obj << /D [1727 0 R /XYZ null 246.5076 null] >> endobj 4268 0 obj << /D [1727 0 R /XYZ null 223.3076 null] >> endobj 4269 0 obj << /D [1727 0 R /XYZ null 200.1076 null] >> endobj 4270 0 obj << /D [1727 0 R /XYZ null 176.9076 null] >> endobj 4271 0 obj << /D [1737 0 R /XYZ null 711 null] >> endobj 4272 0 obj << /D [1737 0 R /XYZ null 661.6 null] >> endobj 4273 0 obj << /D [1737 0 R /XYZ null 612.4 null] >> endobj 4274 0 obj << /D [1737 0 R /XYZ null 503.2 null] >> endobj 4275 0 obj << /D [1737 0 R /XYZ null 480.2 null] >> endobj 4276 0 obj << /D [1737 0 R /XYZ null 455.9307 null] >> endobj 4277 0 obj << /D [1737 0 R /XYZ null 417.7307 null] >> endobj 4278 0 obj << /D [1737 0 R /XYZ null 297.9307 null] >> endobj 4279 0 obj << /D [1737 0 R /XYZ null 297.9307 null] >> endobj 4280 0 obj << /D [1737 0 R /XYZ null 272.7307 null] >> endobj 4281 0 obj << /D [1737 0 R /XYZ null 219.8307 null] >> endobj 4282 0 obj << /D [1737 0 R /XYZ null 196.6307 null] >> endobj 4283 0 obj << /D [1737 0 R /XYZ null 150.2308 null] >> endobj 4284 0 obj << /D [1747 0 R /XYZ null 711 null] >> endobj 4285 0 obj << /D [1747 0 R /XYZ null 661.6 null] >> endobj 4286 0 obj << /D [1747 0 R /XYZ null 612.4 null] >> endobj 4287 0 obj << /D [1747 0 R /XYZ null 503.2 null] >> endobj 4288 0 obj << /D [1747 0 R /XYZ null 480.2 null] >> endobj 4289 0 obj << /D [1747 0 R /XYZ null 455.9307 null] >> endobj 4290 0 obj << /D [1747 0 R /XYZ null 417.7307 null] >> endobj 4291 0 obj << /D [1747 0 R /XYZ null 313.1307 null] >> endobj 4292 0 obj << /D [1747 0 R /XYZ null 313.1307 null] >> endobj 4293 0 obj << /D [1747 0 R /XYZ null 287.9307 null] >> endobj 4294 0 obj << /D [1747 0 R /XYZ null 208.2307 null] >> endobj 4295 0 obj << /D [1756 0 R /XYZ null 711 null] >> endobj 4296 0 obj << /D [1756 0 R /XYZ null 609.3 null] >> endobj 4297 0 obj << /D [1756 0 R /XYZ null 549.372 null] >> endobj 4298 0 obj << /D [1756 0 R /XYZ null 402.9721 null] >> endobj 4299 0 obj << /D [1756 0 R /XYZ null 379.772 null] >> endobj 4300 0 obj << /D [1756 0 R /XYZ null 333.372 null] >> endobj 4301 0 obj << /D [1756 0 R /XYZ null 299.3796 null] >> endobj 4302 0 obj << /D [1756 0 R /XYZ null 276.1796 null] >> endobj 4303 0 obj << /D [1756 0 R /XYZ null 203.3796 null] >> endobj 4304 0 obj << /D [1756 0 R /XYZ null 114.6796 null] >> endobj 4305 0 obj << /D [1763 0 R /XYZ null 683.7 null] >> endobj 4306 0 obj << /D [1763 0 R /XYZ null 563.4 null] >> endobj 4307 0 obj << /D [1763 0 R /XYZ null 493.1 null] >> endobj 4308 0 obj << /D [1763 0 R /XYZ null 422.8 null] >> endobj 4309 0 obj << /D [1763 0 R /XYZ null 184.1039 null] >> endobj 4310 0 obj << /D [1770 0 R /XYZ null 684.8 null] >> endobj 4311 0 obj << /D [1770 0 R /XYZ null 627.5 null] >> endobj 4312 0 obj << /D [1770 0 R /XYZ null 556.2077 null] >> endobj 4313 0 obj << /D [1770 0 R /XYZ null 493.2077 null] >> endobj 4314 0 obj << /D [1770 0 R /XYZ null 455.0077 null] >> endobj 4315 0 obj << /D [1770 0 R /XYZ null 259.4076 null] >> endobj 4316 0 obj << /D [1770 0 R /XYZ null 259.4076 null] >> endobj 4317 0 obj << /D [1770 0 R /XYZ null 234.2076 null] >> endobj 4318 0 obj << /D [1770 0 R /XYZ null 125.9074 null] >> endobj 4319 0 obj << /D [1781 0 R /XYZ null 643.8 null] >> endobj 4320 0 obj << /D [1781 0 R /XYZ null 631.8 null] >> endobj 4321 0 obj << /D [1781 0 R /XYZ null 575.4 null] >> endobj 4322 0 obj << /D [1781 0 R /XYZ null 561.9038 null] >> endobj 4323 0 obj << /D [1781 0 R /XYZ null 471.9038 null] >> endobj 4324 0 obj << /D [1781 0 R /XYZ null 402.3037 null] >> endobj 4325 0 obj << /D [1781 0 R /XYZ null 362.7037 null] >> endobj 4326 0 obj << /D [1781 0 R /XYZ null 170.5075 null] >> endobj 4327 0 obj << /D [1792 0 R /XYZ null 683.7 null] >> endobj 4328 0 obj << /D [1792 0 R /XYZ null 584.5039 null] >> endobj 4329 0 obj << /D [1792 0 R /XYZ null 426.1077 null] >> endobj 4330 0 obj << /D [1792 0 R /XYZ null 403.1077 null] >> endobj 4331 0 obj << /D [1792 0 R /XYZ null 364.9077 null] >> endobj 4332 0 obj << /D [1792 0 R /XYZ null 240.1076 null] >> endobj 4333 0 obj << /D [1792 0 R /XYZ null 240.1076 null] >> endobj 4334 0 obj << /D [1792 0 R /XYZ null 214.9076 null] >> endobj 4335 0 obj << /D [1792 0 R /XYZ null 176.3076 null] >> endobj 4336 0 obj << /D [1792 0 R /XYZ null 95.6076 null] >> endobj 4337 0 obj << /D [1801 0 R /XYZ null 711 null] >> endobj 4338 0 obj << /D [1801 0 R /XYZ null 638.165 null] >> endobj 4339 0 obj << /D [1801 0 R /XYZ null 503.765 null] >> endobj 4340 0 obj << /D [1801 0 R /XYZ null 400.765 null] >> endobj 4341 0 obj << /D [1801 0 R /XYZ null 350.465 null] >> endobj 4342 0 obj << /D [1801 0 R /XYZ null 228.365 null] >> endobj 4343 0 obj << /D [1801 0 R /XYZ null 143.4688 null] >> endobj 4344 0 obj << /D [1808 0 R /XYZ null 684.8 null] >> endobj 4345 0 obj << /D [1808 0 R /XYZ null 634.5 null] >> endobj 4346 0 obj << /D [1808 0 R /XYZ null 611.5 null] >> endobj 4347 0 obj << /D [1808 0 R /XYZ null 573.3 null] >> endobj 4348 0 obj << /D [1808 0 R /XYZ null 519.6999 null] >> endobj 4349 0 obj << /D [1808 0 R /XYZ null 442.9 null] >> endobj 4350 0 obj << /D [1808 0 R /XYZ null 349.3 null] >> endobj 4351 0 obj << /D [1808 0 R /XYZ null 349.3 null] >> endobj 4352 0 obj << /D [1808 0 R /XYZ null 324.1 null] >> endobj 4353 0 obj << /D [1808 0 R /XYZ null 273 null] >> endobj 4354 0 obj << /D [1808 0 R /XYZ null 198.5 null] >> endobj 4355 0 obj << /D [1817 0 R /XYZ null 711 null] >> endobj 4356 0 obj << /D [1817 0 R /XYZ null 566.6 null] >> endobj 4357 0 obj << /D [1817 0 R /XYZ null 516.3 null] >> endobj 4358 0 obj << /D [1817 0 R /XYZ null 483.3 null] >> endobj 4359 0 obj << /D [1817 0 R /XYZ null 445.1 null] >> endobj 4360 0 obj << /D [1817 0 R /XYZ null 371.7 null] >> endobj 4361 0 obj << /D [1817 0 R /XYZ null 371.7 null] >> endobj 4362 0 obj << /D [1817 0 R /XYZ null 346.4999 null] >> endobj 4363 0 obj << /D [1817 0 R /XYZ null 256.8 null] >> endobj 4364 0 obj << /D [1817 0 R /XYZ null 230.3 null] >> endobj 4365 0 obj << /D [1817 0 R /XYZ null 147.9039 null] >> endobj 4366 0 obj << /D [1826 0 R /XYZ null 686.7 null] >> endobj 4367 0 obj << /D [1826 0 R /XYZ null 673.5 null] >> endobj 4368 0 obj << /D [1826 0 R /XYZ null 519.29 null] >> endobj 4369 0 obj << /D [1826 0 R /XYZ null 385.2799 null] >> endobj 4370 0 obj << /D [1826 0 R /XYZ null 201.5799 null] >> endobj 4371 0 obj << /D [1826 0 R /XYZ null 154.2798 null] >> endobj 4372 0 obj << /D [1833 0 R /XYZ null 619.4 null] >> endobj 4373 0 obj << /D [1833 0 R /XYZ null 619.4 null] >> endobj 4374 0 obj << /D [1833 0 R /XYZ null 594.2 null] >> endobj 4375 0 obj << /D [1833 0 R /XYZ null 477.8077 null] >> endobj 4376 0 obj << /D [1833 0 R /XYZ null 378.1115 null] >> endobj 4377 0 obj << /D [1833 0 R /XYZ null 298.2153 null] >> endobj 4378 0 obj << /D [1833 0 R /XYZ null 251.0229 null] >> endobj 4379 0 obj << /D [1833 0 R /XYZ null 91.6229 null] >> endobj 4380 0 obj << /D [1845 0 R /XYZ null 683.7 null] >> endobj 4381 0 obj << /D [1845 0 R /XYZ null 650.7 null] >> endobj 4382 0 obj << /D [1845 0 R /XYZ null 612.5 null] >> endobj 4383 0 obj << /D [1845 0 R /XYZ null 507.9 null] >> endobj 4384 0 obj << /D [1845 0 R /XYZ null 507.9 null] >> endobj 4385 0 obj << /D [1845 0 R /XYZ null 482.7 null] >> endobj 4386 0 obj << /D [1845 0 R /XYZ null 381.2 null] >> endobj 4387 0 obj << /D [1845 0 R /XYZ null 357.2 null] >> endobj 4388 0 obj << /D [1845 0 R /XYZ null 277.3038 null] >> endobj 4389 0 obj << /D [1845 0 R /XYZ null 230.1114 null] >> endobj 4390 0 obj << /D [1854 0 R /XYZ null 684.8 null] >> endobj 4391 0 obj << /D [1854 0 R /XYZ null 671.8 null] >> endobj 4392 0 obj << /D [1854 0 R /XYZ null 633.6 null] >> endobj 4393 0 obj << /D [1854 0 R /XYZ null 493.6 null] >> endobj 4394 0 obj << /D [1854 0 R /XYZ null 493.6 null] >> endobj 4395 0 obj << /D [1854 0 R /XYZ null 468.4 null] >> endobj 4396 0 obj << /D [1854 0 R /XYZ null 334.0001 null] >> endobj 4397 0 obj << /D [1854 0 R /XYZ null 228 null] >> endobj 4398 0 obj << /D [1854 0 R /XYZ null 163.6 null] >> endobj 4399 0 obj << /D [1854 0 R /XYZ null 114.4001 null] >> endobj 4400 0 obj << /D [1864 0 R /XYZ null 684.8 null] >> endobj 4401 0 obj << /D [1864 0 R /XYZ null 671.8 null] >> endobj 4402 0 obj << /D [1864 0 R /XYZ null 633.6 null] >> endobj 4403 0 obj << /D [1864 0 R /XYZ null 529 null] >> endobj 4404 0 obj << /D [1864 0 R /XYZ null 529 null] >> endobj 4405 0 obj << /D [1864 0 R /XYZ null 503.8 null] >> endobj 4406 0 obj << /D [1864 0 R /XYZ null 340.8001 null] >> endobj 4407 0 obj << /D [1864 0 R /XYZ null 261.2001 null] >> endobj 4408 0 obj << /D [1864 0 R /XYZ null 227.2077 null] >> endobj 4409 0 obj << /D [1864 0 R /XYZ null 162.8077 null] >> endobj 4410 0 obj << /D [1864 0 R /XYZ null 113.6078 null] >> endobj 4411 0 obj << /D [1873 0 R /XYZ null 684.8 null] >> endobj 4412 0 obj << /D [1873 0 R /XYZ null 671.8 null] >> endobj 4413 0 obj << /D [1873 0 R /XYZ null 633.6 null] >> endobj 4414 0 obj << /D [1873 0 R /XYZ null 529 null] >> endobj 4415 0 obj << /D [1873 0 R /XYZ null 529 null] >> endobj 4416 0 obj << /D [1873 0 R /XYZ null 503.8 null] >> endobj 4417 0 obj << /D [1873 0 R /XYZ null 393.7001 null] >> endobj 4418 0 obj << /D [1873 0 R /XYZ null 297.1077 null] >> endobj 4419 0 obj << /D [1873 0 R /XYZ null 137.7076 null] >> endobj 4420 0 obj << /D [1873 0 R /XYZ null 124.7076 null] >> endobj 4421 0 obj << /D [1873 0 R /XYZ null 86.5077 null] >> endobj 4422 0 obj << /D [1882 0 R /XYZ null 634.6 null] >> endobj 4423 0 obj << /D [1882 0 R /XYZ null 634.6 null] >> endobj 4424 0 obj << /D [1882 0 R /XYZ null 609.4 null] >> endobj 4425 0 obj << /D [1882 0 R /XYZ null 532.2001 null] >> endobj 4426 0 obj << /D [1882 0 R /XYZ null 452.6 null] >> endobj 4427 0 obj << /D [1882 0 R /XYZ null 283.8228 null] >> endobj 4428 0 obj << /D [1882 0 R /XYZ null 219.4228 null] >> endobj 4429 0 obj << /D [1882 0 R /XYZ null 170.2229 null] >> endobj 4430 0 obj << /D [1891 0 R /XYZ null 711 null] >> endobj 4431 0 obj << /D [1891 0 R /XYZ null 687.8 null] >> endobj 4432 0 obj << /D [1891 0 R /XYZ null 583.2 null] >> endobj 4433 0 obj << /D [1891 0 R /XYZ null 583.2 null] >> endobj 4434 0 obj << /D [1891 0 R /XYZ null 558 null] >> endobj 4435 0 obj << /D [1891 0 R /XYZ null 372.204 null] >> endobj 4436 0 obj << /D [1891 0 R /XYZ null 345.704 null] >> endobj 4437 0 obj << /D [1891 0 R /XYZ null 266.1039 null] >> endobj 4438 0 obj << /D [1902 0 R /XYZ null 711 null] >> endobj 4439 0 obj << /D [1902 0 R /XYZ null 661.6 null] >> endobj 4440 0 obj << /D [1902 0 R /XYZ null 602.4 null] >> endobj 4441 0 obj << /D [1902 0 R /XYZ null 523.1999 null] >> endobj 4442 0 obj << /D [1902 0 R /XYZ null 510.2 null] >> endobj 4443 0 obj << /D [1902 0 R /XYZ null 472 null] >> endobj 4444 0 obj << /D [1902 0 R /XYZ null 367.3999 null] >> endobj 4445 0 obj << /D [1902 0 R /XYZ null 367.3999 null] >> endobj 4446 0 obj << /D [1902 0 R /XYZ null 342.1999 null] >> endobj 4447 0 obj << /D [1902 0 R /XYZ null 216.4 null] >> endobj 4448 0 obj << /D [1902 0 R /XYZ null 179.4 null] >> endobj 4449 0 obj << /D [1902 0 R /XYZ null 166.4 null] >> endobj 4450 0 obj << /D [1902 0 R /XYZ null 110.0001 null] >> endobj 4451 0 obj << /D [1911 0 R /XYZ null 687.8 null] >> endobj 4452 0 obj << /D [1911 0 R /XYZ null 620.1115 null] >> endobj 4453 0 obj << /D [1911 0 R /XYZ null 564.3765 null] >> endobj 4454 0 obj << /D [1911 0 R /XYZ null 499.9765 null] >> endobj 4455 0 obj << /D [1911 0 R /XYZ null 450.7765 null] >> endobj 4456 0 obj << /D [1911 0 R /XYZ null 344.5764 null] >> endobj 4457 0 obj << /D [1911 0 R /XYZ null 306.3764 null] >> endobj 4458 0 obj << /D [1911 0 R /XYZ null 253.1764 null] >> endobj 4459 0 obj << /D [1911 0 R /XYZ null 253.1764 null] >> endobj 4460 0 obj << /D [1911 0 R /XYZ null 227.9764 null] >> endobj 4461 0 obj << /D [1922 0 R /XYZ null 641.3 null] >> endobj 4462 0 obj << /D [1922 0 R /XYZ null 360.004 null] >> endobj 4463 0 obj << /D [1922 0 R /XYZ null 303.6039 null] >> endobj 4464 0 obj << /D [1922 0 R /XYZ null 236.7077 null] >> endobj 4465 0 obj << /D [1922 0 R /XYZ null 189.5154 null] >> endobj 4466 0 obj << /D [1934 0 R /XYZ null 660.5 null] >> endobj 4467 0 obj << /D [1934 0 R /XYZ null 575.6038 null] >> endobj 4468 0 obj << /D [1934 0 R /XYZ null 550.1038 null] >> endobj 4469 0 obj << /D [1934 0 R /XYZ null 521.2038 null] >> endobj 4470 0 obj << /D [1934 0 R /XYZ null 483.0038 null] >> endobj 4471 0 obj << /D [1934 0 R /XYZ null 358.2038 null] >> endobj 4472 0 obj << /D [1934 0 R /XYZ null 358.2038 null] >> endobj 4473 0 obj << /D [1934 0 R /XYZ null 333.0038 null] >> endobj 4474 0 obj << /D [1934 0 R /XYZ null 121.4036 null] >> endobj 4475 0 obj << /D [1944 0 R /XYZ null 617 null] >> endobj 4476 0 obj << /D [1944 0 R /XYZ null 523.3001 null] >> endobj 4477 0 obj << /D [1944 0 R /XYZ null 427.3 null] >> endobj 4478 0 obj << /D [1944 0 R /XYZ null 249.2037 null] >> endobj 4479 0 obj << /D [1953 0 R /XYZ null 669.4 null] >> endobj 4480 0 obj << /D [1953 0 R /XYZ null 220.5 null] >> endobj 4481 0 obj << /D [1960 0 R /XYZ null 660.5 null] >> endobj 4482 0 obj << /D [1960 0 R /XYZ null 610.2 null] >> endobj 4483 0 obj << /D [1960 0 R /XYZ null 552.9 null] >> endobj 4484 0 obj << /D [1960 0 R /XYZ null 409.8115 null] >> endobj 4485 0 obj << /D [1960 0 R /XYZ null 367.4423 null] >> endobj 4486 0 obj << /D [1960 0 R /XYZ null 329.2423 null] >> endobj 4487 0 obj << /D [1975 0 R /XYZ null 697 null] >> endobj 4488 0 obj << /D [1975 0 R /XYZ null 697 null] >> endobj 4489 0 obj << /D [1975 0 R /XYZ null 671.8 null] >> endobj 4490 0 obj << /D [1975 0 R /XYZ null 582.1 null] >> endobj 4491 0 obj << /D [1975 0 R /XYZ null 503.7039 null] >> endobj 4492 0 obj << /D [1975 0 R /XYZ null 470.7039 null] >> endobj 4493 0 obj << /D [1975 0 R /XYZ null 393.9039 null] >> endobj 4494 0 obj << /D [1975 0 R /XYZ null 347.3038 null] >> endobj 4495 0 obj << /D [1975 0 R /XYZ null 290.9038 null] >> endobj 4496 0 obj << /D [1975 0 R /XYZ null 277.4076 null] >> endobj 4497 0 obj << /D [1975 0 R /XYZ null 231.8726 null] >> endobj 4498 0 obj << /D [1982 0 R /XYZ null 683.7 null] >> endobj 4499 0 obj << /D [1982 0 R /XYZ null 360.7039 null] >> endobj 4500 0 obj << /D [1982 0 R /XYZ null 96.6077 null] >> endobj 4501 0 obj << /D [1989 0 R /XYZ null 711 null] >> endobj 4502 0 obj << /D [1989 0 R /XYZ null 687.8 null] >> endobj 4503 0 obj << /D [1989 0 R /XYZ null 674.6 null] >> endobj 4504 0 obj << /D [1989 0 R /XYZ null 597.8 null] >> endobj 4505 0 obj << /D [1989 0 R /XYZ null 452.8 null] >> endobj 4506 0 obj << /D [1989 0 R /XYZ null 452.8 null] >> endobj 4507 0 obj << /D [1989 0 R /XYZ null 427.6 null] >> endobj 4508 0 obj << /D [1989 0 R /XYZ null 278.9 null] >> endobj 4509 0 obj << /D [1989 0 R /XYZ null 238.2 null] >> endobj 4510 0 obj << /D [1989 0 R /XYZ null 189.7001 null] >> endobj 4511 0 obj << /D [1989 0 R /XYZ null 129.9003 null] >> endobj 4512 0 obj << /D [1989 0 R /XYZ null 107.5653 null] >> endobj 4513 0 obj << /D [2002 0 R /XYZ null 640.0039 null] >> endobj 4514 0 obj << /D [2002 0 R /XYZ null 592.8115 null] >> endobj 4515 0 obj << /D [2002 0 R /XYZ null 489.8115 null] >> endobj 4516 0 obj << /D [2002 0 R /XYZ null 439.5115 null] >> endobj 4517 0 obj << /D [2009 0 R /XYZ null 636.4 null] >> endobj 4518 0 obj << /D [2009 0 R /XYZ null 540.8077 null] >> endobj 4519 0 obj << /D [2009 0 R /XYZ null 194.3077 null] >> endobj 4520 0 obj << /D [2009 0 R /XYZ null 123.0154 null] >> endobj 4521 0 obj << /D [2016 0 R /XYZ null 711 null] >> endobj 4522 0 obj << /D [2016 0 R /XYZ null 687.8 null] >> endobj 4523 0 obj << /D [2016 0 R /XYZ null 563 null] >> endobj 4524 0 obj << /D [2016 0 R /XYZ null 563 null] >> endobj 4525 0 obj << /D [2016 0 R /XYZ null 537.8 null] >> endobj 4526 0 obj << /D [2016 0 R /XYZ null 421.7039 null] >> endobj 4527 0 obj << /D [2016 0 R /XYZ null 328.6077 null] >> endobj 4528 0 obj << /D [2016 0 R /XYZ null 281.4153 null] >> endobj 4529 0 obj << /D [2025 0 R /XYZ null 560.2039 null] >> endobj 4530 0 obj << /D [2025 0 R /XYZ null 395.1038 null] >> endobj 4531 0 obj << /D [2025 0 R /XYZ null 361.1115 null] >> endobj 4532 0 obj << /D [2025 0 R /XYZ null 322.9115 null] >> endobj 4533 0 obj << /D [2025 0 R /XYZ null 198.1114 null] >> endobj 4534 0 obj << /D [2025 0 R /XYZ null 198.1114 null] >> endobj 4535 0 obj << /D [2025 0 R /XYZ null 172.9115 null] >> endobj 4536 0 obj << /D [2025 0 R /XYZ null 121.8114 null] >> endobj 4537 0 obj << /D [2035 0 R /XYZ null 600.9 null] >> endobj 4538 0 obj << /D [2035 0 R /XYZ null 521.0038 null] >> endobj 4539 0 obj << /D [2035 0 R /XYZ null 447.2038 null] >> endobj 4540 0 obj << /D [2035 0 R /XYZ null 283.9038 null] >> endobj 4541 0 obj << /D [2045 0 R /XYZ null 683.7 null] >> endobj 4542 0 obj << /D [2045 0 R /XYZ null 582.9038 null] >> endobj 4543 0 obj << /D [2045 0 R /XYZ null 511.6115 null] >> endobj 4544 0 obj << /D [2045 0 R /XYZ null 488.6115 null] >> endobj 4545 0 obj << /D [2045 0 R /XYZ null 450.4115 null] >> endobj 4546 0 obj << /D [2045 0 R /XYZ null 290.2114 null] >> endobj 4547 0 obj << /D [2045 0 R /XYZ null 290.2114 null] >> endobj 4548 0 obj << /D [2045 0 R /XYZ null 265.0114 null] >> endobj 4549 0 obj << /D [2045 0 R /XYZ null 185.3115 null] >> endobj 4550 0 obj << /D [2045 0 R /XYZ null 111.973 null] >> endobj 4551 0 obj << /D [2058 0 R /XYZ null 559.4 null] >> endobj 4552 0 obj << /D [2058 0 R /XYZ null 532.9 null] >> endobj 4553 0 obj << /D [2058 0 R /XYZ null 449.5077 null] >> endobj 4554 0 obj << /D [2058 0 R /XYZ null 215.7115 null] >> endobj 4555 0 obj << /D [2058 0 R /XYZ null 149.2422 null] >> endobj 4556 0 obj << /D [2058 0 R /XYZ null 126.2422 null] >> endobj 4557 0 obj << /D [2058 0 R /XYZ null 88.0422 null] >> endobj 4558 0 obj << /D [2065 0 R /XYZ null 634.6 null] >> endobj 4559 0 obj << /D [2065 0 R /XYZ null 634.6 null] >> endobj 4560 0 obj << /D [2065 0 R /XYZ null 609.4 null] >> endobj 4561 0 obj << /D [2065 0 R /XYZ null 433.9001 null] >> endobj 4562 0 obj << /D [2065 0 R /XYZ null 368.8001 null] >> endobj 4563 0 obj << /D [2065 0 R /XYZ null 288.9039 null] >> endobj 4564 0 obj << /D [2065 0 R /XYZ null 164.704 null] >> endobj 4565 0 obj << /D [2065 0 R /XYZ null 151.504 null] >> endobj 4566 0 obj << /D [2076 0 R /XYZ null 562.4038 null] >> endobj 4567 0 obj << /D [2076 0 R /XYZ null 539.4038 null] >> endobj 4568 0 obj << /D [2076 0 R /XYZ null 501.2038 null] >> endobj 4569 0 obj << /D [2076 0 R /XYZ null 387.4038 null] >> endobj 4570 0 obj << /D [2076 0 R /XYZ null 387.4038 null] >> endobj 4571 0 obj << /D [2076 0 R /XYZ null 362.2038 null] >> endobj 4572 0 obj << /D [2076 0 R /XYZ null 260.7038 null] >> endobj 4573 0 obj << /D [2076 0 R /XYZ null 198.1038 null] >> endobj 4574 0 obj << /D [2076 0 R /XYZ null 141.7039 null] >> endobj 4575 0 obj << /D [2085 0 R /XYZ null 711 null] >> endobj 4576 0 obj << /D [2085 0 R /XYZ null 661.1038 null] >> endobj 4577 0 obj << /D [2085 0 R /XYZ null 596.7039 null] >> endobj 4578 0 obj << /D [2085 0 R /XYZ null 547.5039 null] >> endobj 4579 0 obj << /D [2085 0 R /XYZ null 427.0038 null] >> endobj 4580 0 obj << /D [2085 0 R /XYZ null 388.8038 null] >> endobj 4581 0 obj << /D [2085 0 R /XYZ null 284.2038 null] >> endobj 4582 0 obj << /D [2085 0 R /XYZ null 284.2038 null] >> endobj 4583 0 obj << /D [2085 0 R /XYZ null 259.0038 null] >> endobj 4584 0 obj << /D [2085 0 R /XYZ null 193.6038 null] >> endobj 4585 0 obj << /D [2085 0 R /XYZ null 167.1038 null] >> endobj 4586 0 obj << /D [2085 0 R /XYZ null 110.7039 null] >> endobj 4587 0 obj << /D [2085 0 R /XYZ null 97.2078 null] >> endobj 4588 0 obj << /D [2094 0 R /XYZ null 664.3038 null] >> endobj 4589 0 obj << /D [2094 0 R /XYZ null 630.3115 null] >> endobj 4590 0 obj << /D [2094 0 R /XYZ null 477.0115 null] >> endobj 4591 0 obj << /D [2094 0 R /XYZ null 308.6154 null] >> endobj 4592 0 obj << /D [2094 0 R /XYZ null 131.5192 null] >> endobj 4593 0 obj << /D [2094 0 R /XYZ null 93.3192 null] >> endobj 4594 0 obj << /D [2101 0 R /XYZ null 657.8 null] >> endobj 4595 0 obj << /D [2101 0 R /XYZ null 657.8 null] >> endobj 4596 0 obj << /D [2101 0 R /XYZ null 632.6 null] >> endobj 4597 0 obj << /D [2101 0 R /XYZ null 552.9 null] >> endobj 4598 0 obj << /D [2101 0 R /XYZ null 526.4 null] >> endobj 4599 0 obj << /D [2101 0 R /XYZ null 446.5038 null] >> endobj 4600 0 obj << /D [2101 0 R /XYZ null 412.5114 null] >> endobj 4601 0 obj << /D [2101 0 R /XYZ null 253.1114 null] >> endobj 4602 0 obj << /D [2101 0 R /XYZ null 240.1114 null] >> endobj 4603 0 obj << /D [2101 0 R /XYZ null 201.9114 null] >> endobj 4604 0 obj << /D [2109 0 R /XYZ null 697 null] >> endobj 4605 0 obj << /D [2109 0 R /XYZ null 697 null] >> endobj 4606 0 obj << /D [2109 0 R /XYZ null 671.8 null] >> endobj 4607 0 obj << /D [2109 0 R /XYZ null 484.5001 null] >> endobj 4608 0 obj << /D [2109 0 R /XYZ null 426.4501 null] >> endobj 4609 0 obj << /D [2109 0 R /XYZ null 338.8038 null] >> endobj 4610 0 obj << /D [2109 0 R /XYZ null 304.8115 null] >> endobj 4611 0 obj << /D [2109 0 R /XYZ null 239.6452 null] >> endobj 4612 0 obj << /D [2109 0 R /XYZ null 174.4791 null] >> endobj 4613 0 obj << /D [2118 0 R /XYZ null 398.5038 null] >> endobj 4614 0 obj << /D [2118 0 R /XYZ null 360.3038 null] >> endobj 4615 0 obj << /D [2118 0 R /XYZ null 266.7038 null] >> endobj 4616 0 obj << /D [2118 0 R /XYZ null 266.7038 null] >> endobj 4617 0 obj << /D [2118 0 R /XYZ null 241.5038 null] >> endobj 4618 0 obj << /D [2118 0 R /XYZ null 151.8037 null] >> endobj 4619 0 obj << /D [2125 0 R /XYZ null 669.1038 null] >> endobj 4620 0 obj << /D [2125 0 R /XYZ null 636.1038 null] >> endobj 4621 0 obj << /D [2125 0 R /XYZ null 530.7039 null] >> endobj 4622 0 obj << /D [2125 0 R /XYZ null 478.2039 null] >> endobj 4623 0 obj << /D [2125 0 R /XYZ null 440.0039 null] >> endobj 4624 0 obj << /D [2125 0 R /XYZ null 426.5077 null] >> endobj 4625 0 obj << /D [2125 0 R /XYZ null 404.1727 null] >> endobj 4626 0 obj << /D [2125 0 R /XYZ null 351.6727 null] >> endobj 4627 0 obj << /D [2125 0 R /XYZ null 198.3727 null] >> endobj 4628 0 obj << /D [2132 0 R /XYZ null 521.0039 null] >> endobj 4629 0 obj << /D [2132 0 R /XYZ null 274.5038 null] >> endobj 4630 0 obj << /D [2132 0 R /XYZ null 203.2115 null] >> endobj 4631 0 obj << /D [2132 0 R /XYZ null 170.2115 null] >> endobj 4632 0 obj << /D [2132 0 R /XYZ null 132.0115 null] >> endobj 4633 0 obj << /D [2139 0 R /XYZ null 711 null] >> endobj 4634 0 obj << /D [2139 0 R /XYZ null 649.2 null] >> endobj 4635 0 obj << /D [2139 0 R /XYZ null 504.2 null] >> endobj 4636 0 obj << /D [2139 0 R /XYZ null 504.2 null] >> endobj 4637 0 obj << /D [2139 0 R /XYZ null 479 null] >> endobj 4638 0 obj << /D [2139 0 R /XYZ null 427.9 null] >> endobj 4639 0 obj << /D [2139 0 R /XYZ null 326.5 null] >> endobj 4640 0 obj << /D [2139 0 R /XYZ null 199.8999 null] >> endobj 4641 0 obj << /D [2139 0 R /XYZ null 176.7 null] >> endobj 4642 0 obj << /D [2139 0 R /XYZ null 143.5 null] >> endobj 4643 0 obj << /D [2139 0 R /XYZ null 130.0039 null] >> endobj 4644 0 obj << /D [2150 0 R /XYZ null 711 null] >> endobj 4645 0 obj << /D [2150 0 R /XYZ null 671.4 null] >> endobj 4646 0 obj << /D [2150 0 R /XYZ null 582.7 null] >> endobj 4647 0 obj << /D [2150 0 R /XYZ null 532.4 null] >> endobj 4648 0 obj << /D [2150 0 R /XYZ null 434.6 null] >> endobj 4649 0 obj << /D [2150 0 R /XYZ null 349.7039 null] >> endobj 4650 0 obj << /D [2150 0 R /XYZ null 171.3077 null] >> endobj 4651 0 obj << /D [2150 0 R /XYZ null 148.3077 null] >> endobj 4652 0 obj << /D [2150 0 R /XYZ null 110.1077 null] >> endobj 4653 0 obj << /D [2159 0 R /XYZ null 657.4 null] >> endobj 4654 0 obj << /D [2159 0 R /XYZ null 580.6 null] >> endobj 4655 0 obj << /D [2159 0 R /XYZ null 487 null] >> endobj 4656 0 obj << /D [2159 0 R /XYZ null 487 null] >> endobj 4657 0 obj << /D [2159 0 R /XYZ null 461.8 null] >> endobj 4658 0 obj << /D [2159 0 R /XYZ null 357.8 null] >> endobj 4659 0 obj << /D [2159 0 R /XYZ null 212.7346 null] >> endobj 4660 0 obj << /D [2159 0 R /XYZ null 104.4652 null] >> endobj 4661 0 obj << /D [2172 0 R /XYZ null 711 null] >> endobj 4662 0 obj << /D [2172 0 R /XYZ null 697.8 null] >> endobj 4663 0 obj << /D [2172 0 R /XYZ null 647.9038 null] >> endobj 4664 0 obj << /D [2172 0 R /XYZ null 399.3077 null] >> endobj 4665 0 obj << /D [2172 0 R /XYZ null 376.3077 null] >> endobj 4666 0 obj << /D [2172 0 R /XYZ null 338.1077 null] >> endobj 4667 0 obj << /D [2172 0 R /XYZ null 284.9077 null] >> endobj 4668 0 obj << /D [2172 0 R /XYZ null 284.9077 null] >> endobj 4669 0 obj << /D [2172 0 R /XYZ null 259.7077 null] >> endobj 4670 0 obj << /D [2172 0 R /XYZ null 155.7076 null] >> endobj 4671 0 obj << /D [2184 0 R /XYZ null 711 null] >> endobj 4672 0 obj << /D [2184 0 R /XYZ null 697.8 null] >> endobj 4673 0 obj << /D [2184 0 R /XYZ null 674.3038 null] >> endobj 4674 0 obj << /D [2184 0 R /XYZ null 641.1038 null] >> endobj 4675 0 obj << /D [2184 0 R /XYZ null 607.1115 null] >> endobj 4676 0 obj << /D [2184 0 R /XYZ null 372.8153 null] >> endobj 4677 0 obj << /D [2184 0 R /XYZ null 359.8153 null] >> endobj 4678 0 obj << /D [2184 0 R /XYZ null 321.6153 null] >> endobj 4679 0 obj << /D [2184 0 R /XYZ null 196.8153 null] >> endobj 4680 0 obj << /D [2184 0 R /XYZ null 196.8153 null] >> endobj 4681 0 obj << /D [2184 0 R /XYZ null 171.6154 null] >> endobj 4682 0 obj << /D [2194 0 R /XYZ null 600.9 null] >> endobj 4683 0 obj << /D [2194 0 R /XYZ null 554.5 null] >> endobj 4684 0 obj << /D [2194 0 R /XYZ null 521.2999 null] >> endobj 4685 0 obj << /D [2194 0 R /XYZ null 474.1076 null] >> endobj 4686 0 obj << /D [2194 0 R /XYZ null 239.8114 null] >> endobj 4687 0 obj << /D [2194 0 R /XYZ null 226.8114 null] >> endobj 4688 0 obj << /D [2194 0 R /XYZ null 188.6114 null] >> endobj 4689 0 obj << /D [2201 0 R /XYZ null 697 null] >> endobj 4690 0 obj << /D [2201 0 R /XYZ null 697 null] >> endobj 4691 0 obj << /D [2201 0 R /XYZ null 671.8 null] >> endobj 4692 0 obj << /D [2201 0 R /XYZ null 464.5001 null] >> endobj 4693 0 obj << /D [2201 0 R /XYZ null 415.7308 null] >> endobj 4694 0 obj << /D [2201 0 R /XYZ null 354.9308 null] >> endobj 4695 0 obj << /D [2201 0 R /XYZ null 260.3346 null] >> endobj 4696 0 obj << /D [2201 0 R /XYZ null 237.1346 null] >> endobj 4697 0 obj << /D [2201 0 R /XYZ null 179.6346 null] >> endobj 4698 0 obj << /D [2201 0 R /XYZ null 146.2347 null] >> endobj 4699 0 obj << /D [2201 0 R /XYZ null 113.0347 null] >> endobj 4700 0 obj << /D [2208 0 R /XYZ null 671.8038 null] >> endobj 4701 0 obj << /D [2208 0 R /XYZ null 642.9038 null] >> endobj 4702 0 obj << /D [2208 0 R /XYZ null 451.0038 null] >> endobj 4703 0 obj << /D [2208 0 R /XYZ null 256.9039 null] >> endobj 4704 0 obj << /D [2208 0 R /XYZ null 136.4039 null] >> endobj 4705 0 obj << /D [2208 0 R /XYZ null 98.2039 null] >> endobj 4706 0 obj << /D [2215 0 R /XYZ null 604.2 null] >> endobj 4707 0 obj << /D [2215 0 R /XYZ null 604.2 null] >> endobj 4708 0 obj << /D [2215 0 R /XYZ null 579 null] >> endobj 4709 0 obj << /D [2215 0 R /XYZ null 416.0001 null] >> endobj 4710 0 obj << /D [2215 0 R /XYZ null 294.8001 null] >> endobj 4711 0 obj << /D [2215 0 R /XYZ null 210.2001 null] >> endobj 4712 0 obj << /D [2226 0 R /XYZ null 598 null] >> endobj 4713 0 obj << /D [2226 0 R /XYZ null 309.1038 null] >> endobj 4714 0 obj << /D [2226 0 R /XYZ null 286.1038 null] >> endobj 4715 0 obj << /D [2226 0 R /XYZ null 247.9038 null] >> endobj 4716 0 obj << /D [2226 0 R /XYZ null 174.5038 null] >> endobj 4717 0 obj << /D [2226 0 R /XYZ null 174.5038 null] >> endobj 4718 0 obj << /D [2226 0 R /XYZ null 149.3038 null] >> endobj 4719 0 obj << /D [2233 0 R /XYZ null 708.5 null] >> endobj 4720 0 obj << /D [2233 0 R /XYZ null 561.9 null] >> endobj 4721 0 obj << /D [2233 0 R /XYZ null 482.0038 null] >> endobj 4722 0 obj << /D [2233 0 R /XYZ null 448.0114 null] >> endobj 4723 0 obj << /D [2233 0 R /XYZ null 309.0114 null] >> endobj 4724 0 obj << /D [2240 0 R /XYZ null 558.5039 null] >> endobj 4725 0 obj << /D [2240 0 R /XYZ null 243.8077 null] >> endobj 4726 0 obj << /D [2240 0 R /XYZ null 210.8077 null] >> endobj 4727 0 obj << /D [2240 0 R /XYZ null 172.6078 null] >> endobj 4728 0 obj << /D [2251 0 R /XYZ null 697 null] >> endobj 4729 0 obj << /D [2251 0 R /XYZ null 697 null] >> endobj 4730 0 obj << /D [2251 0 R /XYZ null 671.8 null] >> endobj 4731 0 obj << /D [2251 0 R /XYZ null 659.3 null] >> endobj 4732 0 obj << /D [2251 0 R /XYZ null 453.8811 null] >> endobj 4733 0 obj << /D [2251 0 R /XYZ null 390.281 null] >> endobj 4734 0 obj << /D [2251 0 R /XYZ null 330.281 null] >> endobj 4735 0 obj << /D [2251 0 R /XYZ null 250.3848 null] >> endobj 4736 0 obj << /D [2251 0 R /XYZ null 203.1924 null] >> endobj 4737 0 obj << /D [2260 0 R /XYZ null 526.4039 null] >> endobj 4738 0 obj << /D [2260 0 R /XYZ null 503.4039 null] >> endobj 4739 0 obj << /D [2260 0 R /XYZ null 465.2039 null] >> endobj 4740 0 obj << /D [2260 0 R /XYZ null 330.2038 null] >> endobj 4741 0 obj << /D [2260 0 R /XYZ null 330.2038 null] >> endobj 4742 0 obj << /D [2260 0 R /XYZ null 305.0038 null] >> endobj 4743 0 obj << /D [2260 0 R /XYZ null 201.0038 null] >> endobj 4744 0 obj << /D [2260 0 R /XYZ null 174.5038 null] >> endobj 4745 0 obj << /D [2273 0 R /XYZ null 711 null] >> endobj 4746 0 obj << /D [2273 0 R /XYZ null 637.8 null] >> endobj 4747 0 obj << /D [2273 0 R /XYZ null 598.4 null] >> endobj 4748 0 obj << /D [2273 0 R /XYZ null 377.2039 null] >> endobj 4749 0 obj << /D [2273 0 R /XYZ null 217.7077 null] >> endobj 4750 0 obj << /D [2280 0 R /XYZ null 683.7 null] >> endobj 4751 0 obj << /D [2280 0 R /XYZ null 660.7 null] >> endobj 4752 0 obj << /D [2280 0 R /XYZ null 622.5 null] >> endobj 4753 0 obj << /D [2280 0 R /XYZ null 467.3 null] >> endobj 4754 0 obj << /D [2280 0 R /XYZ null 467.3 null] >> endobj 4755 0 obj << /D [2280 0 R /XYZ null 442.1 null] >> endobj 4756 0 obj << /D [2280 0 R /XYZ null 340.6 null] >> endobj 4757 0 obj << /D [2280 0 R /XYZ null 317.4 null] >> endobj 4758 0 obj << /D [2280 0 R /XYZ null 274.2 null] >> endobj 4759 0 obj << /D [2280 0 R /XYZ null 112.1997 null] >> endobj 4760 0 obj << /D [2292 0 R /XYZ null 614.6077 null] >> endobj 4761 0 obj << /D [2292 0 R /XYZ null 534.7115 null] >> endobj 4762 0 obj << /D [2292 0 R /XYZ null 508.0153 null] >> endobj 4763 0 obj << /D [2292 0 R /XYZ null 418.0153 null] >> endobj 4764 0 obj << /D [2292 0 R /XYZ null 378.4152 null] >> endobj 4765 0 obj << /D [2292 0 R /XYZ null 186.5153 null] >> endobj 4766 0 obj << /D [2300 0 R /XYZ null 434.3 null] >> endobj 4767 0 obj << /D [2300 0 R /XYZ null 176.1077 null] >> endobj 4768 0 obj << /D [2307 0 R /XYZ null 683.7 null] >> endobj 4769 0 obj << /D [2307 0 R /XYZ null 620.7 null] >> endobj 4770 0 obj << /D [2307 0 R /XYZ null 582.5 null] >> endobj 4771 0 obj << /D [2307 0 R /XYZ null 427.2999 null] >> endobj 4772 0 obj << /D [2307 0 R /XYZ null 427.2999 null] >> endobj 4773 0 obj << /D [2307 0 R /XYZ null 402.0999 null] >> endobj 4774 0 obj << /D [2307 0 R /XYZ null 161.8999 null] >> endobj 4775 0 obj << /D [2319 0 R /XYZ null 711 null] >> endobj 4776 0 obj << /D [2319 0 R /XYZ null 663.8077 null] >> endobj 4777 0 obj << /D [2319 0 R /XYZ null 441.1077 null] >> endobj 4778 0 obj << /D [2319 0 R /XYZ null 402.9076 null] >> endobj 4779 0 obj << /D [2319 0 R /XYZ null 349.7076 null] >> endobj 4780 0 obj << /D [2319 0 R /XYZ null 349.7076 null] >> endobj 4781 0 obj << /D [2319 0 R /XYZ null 324.5076 null] >> endobj 4782 0 obj << /D [2319 0 R /XYZ null 190.1077 null] >> endobj 4783 0 obj << /D [2319 0 R /XYZ null 149.4077 null] >> endobj 4784 0 obj << /D [2319 0 R /XYZ null 100.9077 null] >> endobj 4785 0 obj << /D [2328 0 R /XYZ null 684.6 null] >> endobj 4786 0 obj << /D [2328 0 R /XYZ null 662.265 null] >> endobj 4787 0 obj << /D [2328 0 R /XYZ null 639.065 null] >> endobj 4788 0 obj << /D [2328 0 R /XYZ null 582.3688 null] >> endobj 4789 0 obj << /D [2328 0 R /XYZ null 535.1765 null] >> endobj 4790 0 obj << /D [2328 0 R /XYZ null 381.8765 null] >> endobj 4791 0 obj << /D [2335 0 R /XYZ null 586.1 null] >> endobj 4792 0 obj << /D [2335 0 R /XYZ null 490.5077 null] >> endobj 4793 0 obj << /D [2335 0 R /XYZ null 164.0076 null] >> endobj 4794 0 obj << /D [2342 0 R /XYZ null 683.7 null] >> endobj 4795 0 obj << /D [2342 0 R /XYZ null 613.4 null] >> endobj 4796 0 obj << /D [2342 0 R /XYZ null 590.4 null] >> endobj 4797 0 obj << /D [2342 0 R /XYZ null 552.1999 null] >> endobj 4798 0 obj << /D [2342 0 R /XYZ null 427.3999 null] >> endobj 4799 0 obj << /D [2342 0 R /XYZ null 427.3999 null] >> endobj 4800 0 obj << /D [2342 0 R /XYZ null 402.1999 null] >> endobj 4801 0 obj << /D [2342 0 R /XYZ null 249.0077 null] >> endobj 4802 0 obj << /D [2342 0 R /XYZ null 171.6383 null] >> endobj 4803 0 obj << /D [2342 0 R /XYZ null 148.4384 null] >> endobj 4804 0 obj << /D [2342 0 R /XYZ null 124.9423 null] >> endobj 4805 0 obj << /D [2342 0 R /XYZ null 91.7423 null] >> endobj 4806 0 obj << /D [2353 0 R /XYZ null 711 null] >> endobj 4807 0 obj << /D [2353 0 R /XYZ null 616.6154 null] >> endobj 4808 0 obj << /D [2353 0 R /XYZ null 382.6154 null] >> endobj 4809 0 obj << /D [2353 0 R /XYZ null 359.6154 null] >> endobj 4810 0 obj << /D [2353 0 R /XYZ null 321.4153 null] >> endobj 4811 0 obj << /D [2353 0 R /XYZ null 186.4153 null] >> endobj 4812 0 obj << /D [2353 0 R /XYZ null 186.4153 null] >> endobj 4813 0 obj << /D [2353 0 R /XYZ null 161.2153 null] >> endobj 4814 0 obj << /D [2364 0 R /XYZ null 641.3 null] >> endobj 4815 0 obj << /D [2364 0 R /XYZ null 419.5078 null] >> endobj 4816 0 obj << /D [2364 0 R /XYZ null 396.3077 null] >> endobj 4817 0 obj << /D [2364 0 R /XYZ null 372.8116 null] >> endobj 4818 0 obj << /D [2364 0 R /XYZ null 339.6115 null] >> endobj 4819 0 obj << /D [2364 0 R /XYZ null 279.2192 null] >> endobj 4820 0 obj << /D [2373 0 R /XYZ null 686.7 null] >> endobj 4821 0 obj << /D [2373 0 R /XYZ null 546.1077 null] >> endobj 4822 0 obj << /D [2373 0 R /XYZ null 546.1077 null] >> endobj 4823 0 obj << /D [2373 0 R /XYZ null 520.9076 null] >> endobj 4824 0 obj << /D [2373 0 R /XYZ null 331.1078 null] >> endobj 4825 0 obj << /D [2373 0 R /XYZ null 164.8115 null] >> endobj 4826 0 obj << /D [2373 0 R /XYZ null 164.8115 null] >> endobj 4827 0 obj << /D [2373 0 R /XYZ null 141.6116 null] >> endobj 4828 0 obj << /D [2373 0 R /XYZ null 108.1155 null] >> endobj 4829 0 obj << /D [2386 0 R /XYZ null 711 null] >> endobj 4830 0 obj << /D [2386 0 R /XYZ null 687.8 null] >> endobj 4831 0 obj << /D [2386 0 R /XYZ null 653.8077 null] >> endobj 4832 0 obj << /D [2386 0 R /XYZ null 550.8077 null] >> endobj 4833 0 obj << /D [2386 0 R /XYZ null 500.5077 null] >> endobj 4834 0 obj << /D [2386 0 R /XYZ null 291.8116 null] >> endobj 4835 0 obj << /D [2386 0 R /XYZ null 137.7153 null] >> endobj 4836 0 obj << /D [2393 0 R /XYZ null 711 null] >> endobj 4837 0 obj << /D [2393 0 R /XYZ null 687.8 null] >> endobj 4838 0 obj << /D [2393 0 R /XYZ null 492.2 null] >> endobj 4839 0 obj << /D [2393 0 R /XYZ null 492.2 null] >> endobj 4840 0 obj << /D [2393 0 R /XYZ null 467 null] >> endobj 4841 0 obj << /D [2393 0 R /XYZ null 362.4077 null] >> endobj 4842 0 obj << /D [2393 0 R /XYZ null 111.5305 null] >> endobj 4843 0 obj << /D [2393 0 R /XYZ null 111.5305 null] >> endobj 4844 0 obj << /D [2405 0 R /XYZ null 711 null] >> endobj 4845 0 obj << /D [2405 0 R /XYZ null 684.6 null] >> endobj 4846 0 obj << /D [2405 0 R /XYZ null 661.1038 null] >> endobj 4847 0 obj << /D [2405 0 R /XYZ null 523.2039 null] >> endobj 4848 0 obj << /D [2405 0 R /XYZ null 454.0038 null] >> endobj 4849 0 obj << /D [2405 0 R /XYZ null 441.0038 null] >> endobj 4850 0 obj << /D [2405 0 R /XYZ null 402.8038 null] >> endobj 4851 0 obj << /D [2405 0 R /XYZ null 329.4038 null] >> endobj 4852 0 obj << /D [2405 0 R /XYZ null 329.4038 null] >> endobj 4853 0 obj << /D [2405 0 R /XYZ null 304.2038 null] >> endobj 4854 0 obj << /D [2405 0 R /XYZ null 179.8038 null] >> endobj 4855 0 obj << /D [2405 0 R /XYZ null 156.6038 null] >> endobj 4856 0 obj << /D [2405 0 R /XYZ null 119.9078 null] >> endobj 4857 0 obj << /D [2412 0 R /XYZ null 711 null] >> endobj 4858 0 obj << /D [2412 0 R /XYZ null 566.6 null] >> endobj 4859 0 obj << /D [2412 0 R /XYZ null 553.6 null] >> endobj 4860 0 obj << /D [2412 0 R /XYZ null 515.4 null] >> endobj 4861 0 obj << /D [2412 0 R /XYZ null 410.8 null] >> endobj 4862 0 obj << /D [2412 0 R /XYZ null 410.8 null] >> endobj 4863 0 obj << /D [2412 0 R /XYZ null 385.6 null] >> endobj 4864 0 obj << /D [2412 0 R /XYZ null 320.2 null] >> endobj 4865 0 obj << /D [2412 0 R /XYZ null 293.7 null] >> endobj 4866 0 obj << /D [2412 0 R /XYZ null 210.6038 null] >> endobj 4867 0 obj << /D [2423 0 R /XYZ null 637.5 null] >> endobj 4868 0 obj << /D [2423 0 R /XYZ null 566.2076 null] >> endobj 4869 0 obj << /D [2423 0 R /XYZ null 543.2076 null] >> endobj 4870 0 obj << /D [2423 0 R /XYZ null 505.0077 null] >> endobj 4871 0 obj << /D [2423 0 R /XYZ null 451.8076 null] >> endobj 4872 0 obj << /D [2423 0 R /XYZ null 451.8076 null] >> endobj 4873 0 obj << /D [2423 0 R /XYZ null 426.6076 null] >> endobj 4874 0 obj << /D [2423 0 R /XYZ null 302.2077 null] >> endobj 4875 0 obj << /D [2423 0 R /XYZ null 279.0077 null] >> endobj 4876 0 obj << /D [2423 0 R /XYZ null 229.1115 null] >> endobj 4877 0 obj << /D [2423 0 R /XYZ null 192.4153 null] >> endobj 4878 0 obj << /D [2430 0 R /XYZ null 660.5 null] >> endobj 4879 0 obj << /D [2430 0 R /XYZ null 593.2 null] >> endobj 4880 0 obj << /D [2430 0 R /XYZ null 508.7076 null] >> endobj 4881 0 obj << /D [2430 0 R /XYZ null 485.7076 null] >> endobj 4882 0 obj << /D [2430 0 R /XYZ null 447.5076 null] >> endobj 4883 0 obj << /D [2430 0 R /XYZ null 394.3076 null] >> endobj 4884 0 obj << /D [2430 0 R /XYZ null 394.3076 null] >> endobj 4885 0 obj << /D [2430 0 R /XYZ null 369.1076 null] >> endobj 4886 0 obj << /D [2430 0 R /XYZ null 138.9076 null] >> endobj 4887 0 obj << /D [2430 0 R /XYZ null 115.7076 null] >> endobj 4888 0 obj << /D [2437 0 R /XYZ null 672.1038 null] >> endobj 4889 0 obj << /D [2437 0 R /XYZ null 638.9038 null] >> endobj 4890 0 obj << /D [2437 0 R /XYZ null 563.9192 null] >> endobj 4891 0 obj << /D [2437 0 R /XYZ null 344.2192 null] >> endobj 4892 0 obj << /D [2437 0 R /XYZ null 231.2192 null] >> endobj 4893 0 obj << /D [2437 0 R /XYZ null 193.0192 null] >> endobj 4894 0 obj << /D [2437 0 R /XYZ null 139.8192 null] >> endobj 4895 0 obj << /D [2437 0 R /XYZ null 139.8192 null] >> endobj 4896 0 obj << /D [2437 0 R /XYZ null 114.6193 null] >> endobj 4897 0 obj << /D [2444 0 R /XYZ null 561.4115 null] >> endobj 4898 0 obj << /D [2444 0 R /XYZ null 514.7153 null] >> endobj 4899 0 obj << /D [2444 0 R /XYZ null 481.5153 null] >> endobj 4900 0 obj << /D [2444 0 R /XYZ null 468.0192 null] >> endobj 4901 0 obj << /D [2444 0 R /XYZ null 366.6344 null] >> endobj 4902 0 obj << /D [2444 0 R /XYZ null 299.242 null] >> endobj 4903 0 obj << /D [2452 0 R /XYZ null 654.8038 null] >> endobj 4904 0 obj << /D [2452 0 R /XYZ null 631.8038 null] >> endobj 4905 0 obj << /D [2452 0 R /XYZ null 593.6038 null] >> endobj 4906 0 obj << /D [2452 0 R /XYZ null 473.8038 null] >> endobj 4907 0 obj << /D [2452 0 R /XYZ null 473.8038 null] >> endobj 4908 0 obj << /D [2452 0 R /XYZ null 448.6038 null] >> endobj 4909 0 obj << /D [2452 0 R /XYZ null 368.9038 null] >> endobj 4910 0 obj << /D [2452 0 R /XYZ null 187.7849 null] >> endobj 4911 0 obj << /D [2464 0 R /XYZ null 529.4 null] >> endobj 4912 0 obj << /D [2464 0 R /XYZ null 449.5038 null] >> endobj 4913 0 obj << /D [2464 0 R /XYZ null 328.3038 null] >> endobj 4914 0 obj << /D [2464 0 R /XYZ null 315.1038 null] >> endobj 4915 0 obj << /D [2471 0 R /XYZ null 711 null] >> endobj 4916 0 obj << /D [2471 0 R /XYZ null 549.9115 null] >> endobj 4917 0 obj << /D [2471 0 R /XYZ null 549.9115 null] >> endobj 4918 0 obj << /D [2471 0 R /XYZ null 514.7116 null] >> endobj 4919 0 obj << /D [2471 0 R /XYZ null 461.9115 null] >> endobj 4920 0 obj << /D [2471 0 R /XYZ null 415.5114 null] >> endobj 4921 0 obj << /D [2471 0 R /XYZ null 336.4153 null] >> endobj 4922 0 obj << /D [2481 0 R /XYZ null 711 null] >> endobj 4923 0 obj << /D [2481 0 R /XYZ null 699 null] >> endobj 4924 0 obj << /D [2481 0 R /XYZ null 620.5 null] >> endobj 4925 0 obj << /D [2481 0 R /XYZ null 582.3 null] >> endobj 4926 0 obj << /D [2481 0 R /XYZ null 477.7 null] >> endobj 4927 0 obj << /D [2481 0 R /XYZ null 477.7 null] >> endobj 4928 0 obj << /D [2481 0 R /XYZ null 442.5 null] >> endobj 4929 0 obj << /D [2481 0 R /XYZ null 402.8999 null] >> endobj 4930 0 obj << /D [2481 0 R /XYZ null 356.4999 null] >> endobj 4931 0 obj << /D [2481 0 R /XYZ null 291.9999 null] >> endobj 4932 0 obj << /D [2490 0 R /XYZ null 622.775 null] >> endobj 4933 0 obj << /D [2490 0 R /XYZ null 544.275 null] >> endobj 4934 0 obj << /D [2490 0 R /XYZ null 506.075 null] >> endobj 4935 0 obj << /D [2490 0 R /XYZ null 401.475 null] >> endobj 4936 0 obj << /D [2490 0 R /XYZ null 401.475 null] >> endobj 4937 0 obj << /D [2490 0 R /XYZ null 376.275 null] >> endobj 4938 0 obj << /D [2490 0 R /XYZ null 207.5751 null] >> endobj 4939 0 obj << /D [2490 0 R /XYZ null 103.379 null] >> endobj 4940 0 obj << /D [2500 0 R /XYZ null 685.0039 null] >> endobj 4941 0 obj << /D [2500 0 R /XYZ null 661.3848 null] >> endobj 4942 0 obj << /D [2500 0 R /XYZ null 430.3849 null] >> endobj 4943 0 obj << /D [2500 0 R /XYZ null 375.8963 null] >> endobj 4944 0 obj << /D [2500 0 R /XYZ null 337.6963 null] >> endobj 4945 0 obj << /D [2500 0 R /XYZ null 264.2963 null] >> endobj 4946 0 obj << /D [2500 0 R /XYZ null 264.2963 null] >> endobj 4947 0 obj << /D [2500 0 R /XYZ null 239.0962 null] >> endobj 4948 0 obj << /D [2500 0 R /XYZ null 187.9963 null] >> endobj 4949 0 obj << /D [2500 0 R /XYZ null 149.4963 null] >> endobj 4950 0 obj << /D [2507 0 R /XYZ null 711 null] >> endobj 4951 0 obj << /D [2507 0 R /XYZ null 503.5 null] >> endobj 4952 0 obj << /D [2507 0 R /XYZ null 402.9 null] >> endobj 4953 0 obj << /D [2507 0 R /XYZ null 270.4 null] >> endobj 4954 0 obj << /D [2507 0 R /XYZ null 232.2 null] >> endobj 4955 0 obj << /D [2516 0 R /XYZ null 697 null] >> endobj 4956 0 obj << /D [2516 0 R /XYZ null 697 null] >> endobj 4957 0 obj << /D [2516 0 R /XYZ null 671.8 null] >> endobj 4958 0 obj << /D [2516 0 R /XYZ null 524.9 null] >> endobj 4959 0 obj << /D [2516 0 R /XYZ null 459.8 null] >> endobj 4960 0 obj << /D [2516 0 R /XYZ null 379.9038 null] >> endobj 4961 0 obj << /D [2516 0 R /XYZ null 186.119 null] >> endobj 4962 0 obj << /D [2523 0 R /XYZ null 660.5 null] >> endobj 4963 0 obj << /D [2523 0 R /XYZ null 647.5 null] >> endobj 4964 0 obj << /D [2523 0 R /XYZ null 609.3 null] >> endobj 4965 0 obj << /D [2523 0 R /XYZ null 556.1 null] >> endobj 4966 0 obj << /D [2523 0 R /XYZ null 556.1 null] >> endobj 4967 0 obj << /D [2523 0 R /XYZ null 530.9 null] >> endobj 4968 0 obj << /D [2523 0 R /XYZ null 358.6078 null] >> endobj 4969 0 obj << /D [2531 0 R /XYZ null 682.4 null] >> endobj 4970 0 obj << /D [2531 0 R /XYZ null 598.7116 null] >> endobj 4971 0 obj << /D [2531 0 R /XYZ null 340.4115 null] >> endobj 4972 0 obj << /D [2531 0 R /XYZ null 227.4115 null] >> endobj 4973 0 obj << /D [2531 0 R /XYZ null 189.2116 null] >> endobj 4974 0 obj << /D [2539 0 R /XYZ null 589 null] >> endobj 4975 0 obj << /D [2539 0 R /XYZ null 589 null] >> endobj 4976 0 obj << /D [2539 0 R /XYZ null 553.8 null] >> endobj 4977 0 obj << /D [2539 0 R /XYZ null 500.9999 null] >> endobj 4978 0 obj << /D [2539 0 R /XYZ null 454.6 null] >> endobj 4979 0 obj << /D [2539 0 R /XYZ null 375.5038 null] >> endobj 4980 0 obj << /D [2551 0 R /XYZ null 711 null] >> endobj 4981 0 obj << /D [2551 0 R /XYZ null 647.5 null] >> endobj 4982 0 obj << /D [2551 0 R /XYZ null 609.3 null] >> endobj 4983 0 obj << /D [2551 0 R /XYZ null 504.7 null] >> endobj 4984 0 obj << /D [2551 0 R /XYZ null 504.7 null] >> endobj 4985 0 obj << /D [2551 0 R /XYZ null 479.5 null] >> endobj 4986 0 obj << /D [2551 0 R /XYZ null 426.6 null] >> endobj 4987 0 obj << /D [2551 0 R /XYZ null 380.2 null] >> endobj 4988 0 obj << /D [2551 0 R /XYZ null 315.4038 null] >> endobj 4989 0 obj << /D [2560 0 R /XYZ null 711 null] >> endobj 4990 0 obj << /D [2560 0 R /XYZ null 647.5 null] >> endobj 4991 0 obj << /D [2560 0 R /XYZ null 609.3 null] >> endobj 4992 0 obj << /D [2560 0 R /XYZ null 504.7 null] >> endobj 4993 0 obj << /D [2560 0 R /XYZ null 504.7 null] >> endobj 4994 0 obj << /D [2560 0 R /XYZ null 479.5 null] >> endobj 4995 0 obj << /D [2560 0 R /XYZ null 402.3 null] >> endobj 4996 0 obj << /D [2560 0 R /XYZ null 377.8 null] >> endobj 4997 0 obj << /D [2560 0 R /XYZ null 190.6001 null] >> endobj 4998 0 obj << /D [2560 0 R /XYZ null 110.7041 null] >> endobj 4999 0 obj << /D [2571 0 R /XYZ null 711 null] >> endobj 5000 0 obj << /D [2571 0 R /XYZ null 503.3 null] >> endobj 5001 0 obj << /D [2571 0 R /XYZ null 465.1 null] >> endobj 5002 0 obj << /D [2571 0 R /XYZ null 294.6999 null] >> endobj 5003 0 obj << /D [2571 0 R /XYZ null 294.6999 null] >> endobj 5004 0 obj << /D [2571 0 R /XYZ null 269.4999 null] >> endobj 5005 0 obj << /D [2571 0 R /XYZ null 175.4999 null] >> endobj 5006 0 obj << /D [2585 0 R /XYZ null 586.6 null] >> endobj 5007 0 obj << /D [2585 0 R /XYZ null 506.7038 null] >> endobj 5008 0 obj << /D [2585 0 R /XYZ null 339.319 null] >> endobj 5009 0 obj << /D [2594 0 R /XYZ null 711 null] >> endobj 5010 0 obj << /D [2594 0 R /XYZ null 687.8 null] >> endobj 5011 0 obj << /D [2594 0 R /XYZ null 517.4 null] >> endobj 5012 0 obj << /D [2594 0 R /XYZ null 517.4 null] >> endobj 5013 0 obj << /D [2594 0 R /XYZ null 492.2 null] >> endobj 5014 0 obj << /D [2594 0 R /XYZ null 412.5 null] >> endobj 5015 0 obj << /D [2594 0 R /XYZ null 282.5001 null] >> endobj 5016 0 obj << /D [2594 0 R /XYZ null 202.6039 null] >> endobj 5017 0 obj << /D [2594 0 R /XYZ null 169.204 null] >> endobj 5018 0 obj << /D [2609 0 R /XYZ null 549.4038 null] >> endobj 5019 0 obj << /D [2609 0 R /XYZ null 511.2038 null] >> endobj 5020 0 obj << /D [2609 0 R /XYZ null 340.8037 null] >> endobj 5021 0 obj << /D [2609 0 R /XYZ null 340.8037 null] >> endobj 5022 0 obj << /D [2609 0 R /XYZ null 315.6037 null] >> endobj 5023 0 obj << /D [2609 0 R /XYZ null 235.9038 null] >> endobj 5024 0 obj << /D [2609 0 R /XYZ null 120.2036 null] >> endobj 5025 0 obj << /D [2624 0 R /XYZ null 687.8 null] >> endobj 5026 0 obj << /D [2624 0 R /XYZ null 640.6077 null] >> endobj 5027 0 obj << /D [2624 0 R /XYZ null 417.9077 null] >> endobj 5028 0 obj << /D [2624 0 R /XYZ null 379.7077 null] >> endobj 5029 0 obj << /D [2624 0 R /XYZ null 209.3076 null] >> endobj 5030 0 obj << /D [2624 0 R /XYZ null 209.3076 null] >> endobj 5031 0 obj << /D [2624 0 R /XYZ null 184.1076 null] >> endobj 5032 0 obj << /D [2637 0 R /XYZ null 708.5 null] >> endobj 5033 0 obj << /D [2637 0 R /XYZ null 564.2 null] >> endobj 5034 0 obj << /D [2637 0 R /XYZ null 484.3038 null] >> endobj 5035 0 obj << /D [2637 0 R /XYZ null 437.1114 null] >> endobj 5036 0 obj << /D [2637 0 R /XYZ null 384.6114 null] >> endobj 5037 0 obj << /D [2637 0 R /XYZ null 151.9114 null] >> endobj 5038 0 obj << /D [2637 0 R /XYZ null 113.7114 null] >> endobj 5039 0 obj << /D [2647 0 R /XYZ null 589 null] >> endobj 5040 0 obj << /D [2647 0 R /XYZ null 589 null] >> endobj 5041 0 obj << /D [2647 0 R /XYZ null 563.8 null] >> endobj 5042 0 obj << /D [2647 0 R /XYZ null 498.4 null] >> endobj 5043 0 obj << /D [2647 0 R /XYZ null 313.2002 null] >> endobj 5044 0 obj << /D [2647 0 R /XYZ null 233.304 null] >> endobj 5045 0 obj << /D [2647 0 R /XYZ null 186.1116 null] >> endobj 5046 0 obj << /D [2660 0 R /XYZ null 588.9 null] >> endobj 5047 0 obj << /D [2660 0 R /XYZ null 448.3076 null] >> endobj 5048 0 obj << /D [2660 0 R /XYZ null 448.3076 null] >> endobj 5049 0 obj << /D [2660 0 R /XYZ null 423.1076 null] >> endobj 5050 0 obj << /D [2660 0 R /XYZ null 254.4077 null] >> endobj 5051 0 obj << /D [2672 0 R /XYZ null 711 null] >> endobj 5052 0 obj << /D [2672 0 R /XYZ null 651.1038 null] >> endobj 5053 0 obj << /D [2672 0 R /XYZ null 581.5039 null] >> endobj 5054 0 obj << /D [2672 0 R /XYZ null 324.2077 null] >> endobj 5055 0 obj << /D [2672 0 R /XYZ null 286.0077 null] >> endobj 5056 0 obj << /D [2672 0 R /XYZ null 212.6076 null] >> endobj 5057 0 obj << /D [2672 0 R /XYZ null 212.6076 null] >> endobj 5058 0 obj << /D [2672 0 R /XYZ null 187.4076 null] >> endobj 5059 0 obj << /D [2672 0 R /XYZ null 150.6076 null] >> endobj 5060 0 obj << /D [2672 0 R /XYZ null 124.1076 null] >> endobj 5061 0 obj << /D [2679 0 R /XYZ null 711 null] >> endobj 5062 0 obj << /D [2679 0 R /XYZ null 653.5115 null] >> endobj 5063 0 obj << /D [2679 0 R /XYZ null 420.8115 null] >> endobj 5064 0 obj << /D [2679 0 R /XYZ null 382.6115 null] >> endobj 5065 0 obj << /D [2679 0 R /XYZ null 309.2115 null] >> endobj 5066 0 obj << /D [2679 0 R /XYZ null 309.2115 null] >> endobj 5067 0 obj << /D [2679 0 R /XYZ null 284.0115 null] >> endobj 5068 0 obj << /D [2679 0 R /XYZ null 271.5115 null] >> endobj 5069 0 obj << /D [2679 0 R /XYZ null 118.9923 null] >> endobj 5070 0 obj << /D [2688 0 R /XYZ null 687.8 null] >> endobj 5071 0 obj << /D [2688 0 R /XYZ null 589.2 null] >> endobj 5072 0 obj << /D [2688 0 R /XYZ null 509.3038 null] >> endobj 5073 0 obj << /D [2688 0 R /XYZ null 475.3115 null] >> endobj 5074 0 obj << /D [2697 0 R /XYZ null 711 null] >> endobj 5075 0 obj << /D [2697 0 R /XYZ null 687.8 null] >> endobj 5076 0 obj << /D [2697 0 R /XYZ null 568 null] >> endobj 5077 0 obj << /D [2697 0 R /XYZ null 568 null] >> endobj 5078 0 obj << /D [2697 0 R /XYZ null 542.8 null] >> endobj 5079 0 obj << /D [2697 0 R /XYZ null 530.3 null] >> endobj 5080 0 obj << /D [2697 0 R /XYZ null 426.6 null] >> endobj 5081 0 obj << /D [2697 0 R /XYZ null 363 null] >> endobj 5082 0 obj << /D [2697 0 R /XYZ null 204.1 null] >> endobj 5083 0 obj << /D [2697 0 R /XYZ null 190.9 null] >> endobj 5084 0 obj << /D [2710 0 R /XYZ null 682.4 null] >> endobj 5085 0 obj << /D [2710 0 R /XYZ null 602.5039 null] >> endobj 5086 0 obj << /D [2710 0 R /XYZ null 514.3192 null] >> endobj 5087 0 obj << /D [2719 0 R /XYZ null 711 null] >> endobj 5088 0 obj << /D [2719 0 R /XYZ null 687.8 null] >> endobj 5089 0 obj << /D [2719 0 R /XYZ null 552.8001 null] >> endobj 5090 0 obj << /D [2719 0 R /XYZ null 552.8001 null] >> endobj 5091 0 obj << /D [2719 0 R /XYZ null 527.6 null] >> endobj 5092 0 obj << /D [2719 0 R /XYZ null 515.1 null] >> endobj 5093 0 obj << /D [2719 0 R /XYZ null 358.5001 null] >> endobj 5094 0 obj << /D [2719 0 R /XYZ null 294.9 null] >> endobj 5095 0 obj << /D [2719 0 R /XYZ null 196.3 null] >> endobj 5096 0 obj << /D [2719 0 R /XYZ null 116.404 null] >> endobj 5097 0 obj << /D [2733 0 R /XYZ null 711 null] >> endobj 5098 0 obj << /D [2733 0 R /XYZ null 376.4038 null] >> endobj 5099 0 obj << /D [2733 0 R /XYZ null 338.2038 null] >> endobj 5100 0 obj << /D [2733 0 R /XYZ null 285.0038 null] >> endobj 5101 0 obj << /D [2733 0 R /XYZ null 285.0038 null] >> endobj 5102 0 obj << /D [2733 0 R /XYZ null 259.8038 null] >> endobj 5103 0 obj << /D [2733 0 R /XYZ null 194.4038 null] >> endobj 5104 0 obj << /D [2740 0 R /XYZ null 711 null] >> endobj 5105 0 obj << /D [2740 0 R /XYZ null 638.3077 null] >> endobj 5106 0 obj << /D [2740 0 R /XYZ null 609.4076 null] >> endobj 5107 0 obj << /D [2740 0 R /XYZ null 545.0077 null] >> endobj 5108 0 obj << /D [2740 0 R /XYZ null 412.3077 null] >> endobj 5109 0 obj << /D [2740 0 R /XYZ null 399.3077 null] >> endobj 5110 0 obj << /D [2740 0 R /XYZ null 361.1076 null] >> endobj 5111 0 obj << /D [2740 0 R /XYZ null 256.5076 null] >> endobj 5112 0 obj << /D [2740 0 R /XYZ null 256.5076 null] >> endobj 5113 0 obj << /D [2740 0 R /XYZ null 231.3076 null] >> endobj 5114 0 obj << /D [2751 0 R /XYZ null 711 null] >> endobj 5115 0 obj << /D [2751 0 R /XYZ null 641.1038 null] >> endobj 5116 0 obj << /D [2751 0 R /XYZ null 566.1192 null] >> endobj 5117 0 obj << /D [2751 0 R /XYZ null 501.7192 null] >> endobj 5118 0 obj << /D [2751 0 R /XYZ null 432.5191 null] >> endobj 5119 0 obj << /D [2751 0 R /XYZ null 373.3191 null] >> endobj 5120 0 obj << /D [2751 0 R /XYZ null 360.3191 null] >> endobj 5121 0 obj << /D [2751 0 R /XYZ null 322.1191 null] >> endobj 5122 0 obj << /D [2751 0 R /XYZ null 217.5191 null] >> endobj 5123 0 obj << /D [2751 0 R /XYZ null 217.5191 null] >> endobj 5124 0 obj << /D [2751 0 R /XYZ null 192.3191 null] >> endobj 5125 0 obj << /D [2760 0 R /XYZ null 668.1 null] >> endobj 5126 0 obj << /D [2760 0 R /XYZ null 573.6077 null] >> endobj 5127 0 obj << /D [2760 0 R /XYZ null 521.1077 null] >> endobj 5128 0 obj << /D [2760 0 R /XYZ null 482.9076 null] >> endobj 5129 0 obj << /D [2760 0 R /XYZ null 449.5076 null] >> endobj 5130 0 obj << /D [2760 0 R /XYZ null 411.3076 null] >> endobj 5131 0 obj << /D [2760 0 R /XYZ null 286.5076 null] >> endobj 5132 0 obj << /D [2760 0 R /XYZ null 286.5076 null] >> endobj 5133 0 obj << /D [2760 0 R /XYZ null 261.3076 null] >> endobj 5134 0 obj << /D [2771 0 R /XYZ null 708.5 null] >> endobj 5135 0 obj << /D [2771 0 R /XYZ null 622 null] >> endobj 5136 0 obj << /D [2771 0 R /XYZ null 552.1038 null] >> endobj 5137 0 obj << /D [2771 0 R /XYZ null 459.6038 null] >> endobj 5138 0 obj << /D [2771 0 R /XYZ null 459.6038 null] >> endobj 5139 0 obj << /D [2771 0 R /XYZ null 434.4038 null] >> endobj 5140 0 obj << /D [2771 0 R /XYZ null 318.6039 null] >> endobj 5141 0 obj << /D [2771 0 R /XYZ null 248.7077 null] >> endobj 5142 0 obj << /D [2778 0 R /XYZ null 697 null] >> endobj 5143 0 obj << /D [2778 0 R /XYZ null 697 null] >> endobj 5144 0 obj << /D [2778 0 R /XYZ null 671.8 null] >> endobj 5145 0 obj << /D [2778 0 R /XYZ null 604.6 null] >> endobj 5146 0 obj << /D [2778 0 R /XYZ null 524.7038 null] >> endobj 5147 0 obj << /D [2778 0 R /XYZ null 464.3115 null] >> endobj 5148 0 obj << /D [2778 0 R /XYZ null 304.9114 null] >> endobj 5149 0 obj << /D [2778 0 R /XYZ null 216.0115 null] >> endobj 5150 0 obj << /D [2778 0 R /XYZ null 203.0115 null] >> endobj 5151 0 obj << /D [2778 0 R /XYZ null 164.8115 null] >> endobj 5152 0 obj << /D [2787 0 R /XYZ null 697 null] >> endobj 5153 0 obj << /D [2787 0 R /XYZ null 697 null] >> endobj 5154 0 obj << /D [2787 0 R /XYZ null 671.8 null] >> endobj 5155 0 obj << /D [2787 0 R /XYZ null 539.2 null] >> endobj 5156 0 obj << /D [2787 0 R /XYZ null 416.6308 null] >> endobj 5157 0 obj << /D [2787 0 R /XYZ null 320.8308 null] >> endobj 5158 0 obj << /D [2787 0 R /XYZ null 273.5308 null] >> endobj 5159 0 obj << /D [2787 0 R /XYZ null 227.0384 null] >> endobj 5160 0 obj << /D [2787 0 R /XYZ null 184.9384 null] >> endobj 5161 0 obj << /D [2795 0 R /XYZ null 566.2 null] >> endobj 5162 0 obj << /D [2795 0 R /XYZ null 220.7 null] >> endobj 5163 0 obj << /D [2795 0 R /XYZ null 125.8998 null] >> endobj 5164 0 obj << /D [2802 0 R /XYZ null 479.9 null] >> endobj 5165 0 obj << /D [2802 0 R /XYZ null 323.6115 null] >> endobj 5166 0 obj << /D [2802 0 R /XYZ null 281.2422 null] >> endobj 5167 0 obj << /D [2802 0 R /XYZ null 243.0422 null] >> endobj 5168 0 obj << /D [2810 0 R /XYZ null 558.6 null] >> endobj 5169 0 obj << /D [2810 0 R /XYZ null 558.6 null] >> endobj 5170 0 obj << /D [2810 0 R /XYZ null 533.4 null] >> endobj 5171 0 obj << /D [2810 0 R /XYZ null 417.0078 null] >> endobj 5172 0 obj << /D [2810 0 R /XYZ null 139.9152 null] >> endobj 5173 0 obj << /D [2825 0 R /XYZ null 684.3038 null] >> endobj 5174 0 obj << /D [2825 0 R /XYZ null 462.0039 null] >> endobj 5175 0 obj << /D [2825 0 R /XYZ null 379.0039 null] >> endobj 5176 0 obj << /D [2825 0 R /XYZ null 340.8039 null] >> endobj 5177 0 obj << /D [2839 0 R /XYZ null 697 null] >> endobj 5178 0 obj << /D [2839 0 R /XYZ null 697 null] >> endobj 5179 0 obj << /D [2839 0 R /XYZ null 671.8 null] >> endobj 5180 0 obj << /D [2839 0 R /XYZ null 417.3001 null] >> endobj 5181 0 obj << /D [2839 0 R /XYZ null 364.8001 null] >> endobj 5182 0 obj << /D [2839 0 R /XYZ null 312.3001 null] >> endobj 5183 0 obj << /D [2839 0 R /XYZ null 199.5001 null] >> endobj 5184 0 obj << /D [2839 0 R /XYZ null 139.7003 null] >> endobj 5185 0 obj << /D [2847 0 R /XYZ null 556.2 null] >> endobj 5186 0 obj << /D [2847 0 R /XYZ null 275.1 null] >> endobj 5187 0 obj << /D [2847 0 R /XYZ null 144.6117 null] >> endobj 5188 0 obj << /D [2847 0 R /XYZ null 106.4117 null] >> endobj 5189 0 obj << /D [2854 0 R /XYZ null 530.4 null] >> endobj 5190 0 obj << /D [2854 0 R /XYZ null 530.4 null] >> endobj 5191 0 obj << /D [2854 0 R /XYZ null 505.2 null] >> endobj 5192 0 obj << /D [2854 0 R /XYZ null 413.1077 null] >> endobj 5193 0 obj << /D [2854 0 R /XYZ null 333.2115 null] >> endobj 5194 0 obj << /D [2868 0 R /XYZ null 637.4076 null] >> endobj 5195 0 obj << /D [2868 0 R /XYZ null 395.1267 null] >> endobj 5196 0 obj << /D [2868 0 R /XYZ null 328.3268 null] >> endobj 5197 0 obj << /D [2868 0 R /XYZ null 290.1267 null] >> endobj 5198 0 obj << /D [2868 0 R /XYZ null 236.9267 null] >> endobj 5199 0 obj << /D [2868 0 R /XYZ null 236.9267 null] >> endobj 5200 0 obj << /D [2868 0 R /XYZ null 211.7267 null] >> endobj 5201 0 obj << /D [2876 0 R /XYZ null 711 null] >> endobj 5202 0 obj << /D [2876 0 R /XYZ null 650.8077 null] >> endobj 5203 0 obj << /D [2876 0 R /XYZ null 402.3076 null] >> endobj 5204 0 obj << /D [2876 0 R /XYZ null 402.3076 null] >> endobj 5205 0 obj << /D [2876 0 R /XYZ null 377.1076 null] >> endobj 5206 0 obj << /D [2876 0 R /XYZ null 214.1077 null] >> endobj 5207 0 obj << /D [2891 0 R /XYZ null 711 null] >> endobj 5208 0 obj << /D [2891 0 R /XYZ null 587 null] >> endobj 5209 0 obj << /D [2891 0 R /XYZ null 188.9077 null] >> endobj 5210 0 obj << /D [2891 0 R /XYZ null 150.7078 null] >> endobj 5211 0 obj << /D [2898 0 R /XYZ null 558.6 null] >> endobj 5212 0 obj << /D [2898 0 R /XYZ null 558.6 null] >> endobj 5213 0 obj << /D [2898 0 R /XYZ null 533.4 null] >> endobj 5214 0 obj << /D [2898 0 R /XYZ null 379.1001 null] >> endobj 5215 0 obj << /D [2898 0 R /XYZ null 272.8078 null] >> endobj 5216 0 obj << /D [2898 0 R /XYZ null 192.9116 null] >> endobj 5217 0 obj << /D [2918 0 R /XYZ null 711 null] >> endobj 5218 0 obj << /D [2918 0 R /XYZ null 519.3 null] >> endobj 5219 0 obj << /D [2918 0 R /XYZ null 421.8076 null] >> endobj 5220 0 obj << /D [2918 0 R /XYZ null 383.6076 null] >> endobj 5221 0 obj << /D [2918 0 R /XYZ null 258.8076 null] >> endobj 5222 0 obj << /D [2918 0 R /XYZ null 258.8076 null] >> endobj 5223 0 obj << /D [2918 0 R /XYZ null 223.6076 null] >> endobj 5224 0 obj << /D [2918 0 R /XYZ null 197.2076 null] >> endobj 5225 0 obj << /D [2918 0 R /XYZ null 140.8077 null] >> endobj 5226 0 obj << /D [2918 0 R /XYZ null 127.3116 null] >> endobj 5227 0 obj << /D [2927 0 R /XYZ null 711 null] >> endobj 5228 0 obj << /D [2927 0 R /XYZ null 662.465 null] >> endobj 5229 0 obj << /D [2927 0 R /XYZ null 607.9765 null] >> endobj 5230 0 obj << /D [2927 0 R /XYZ null 207.3804 null] >> endobj 5231 0 obj << /D [2934 0 R /XYZ null 660.7 null] >> endobj 5232 0 obj << /D [2934 0 R /XYZ null 622.5 null] >> endobj 5233 0 obj << /D [2934 0 R /XYZ null 568.9 null] >> endobj 5234 0 obj << /D [2934 0 R /XYZ null 492.1 null] >> endobj 5235 0 obj << /D [2934 0 R /XYZ null 358.1 null] >> endobj 5236 0 obj << /D [2934 0 R /XYZ null 358.1 null] >> endobj 5237 0 obj << /D [2934 0 R /XYZ null 332.8999 null] >> endobj 5238 0 obj << /D [2934 0 R /XYZ null 265.7 null] >> endobj 5239 0 obj << /D [2934 0 R /XYZ null 185.8038 null] >> endobj 5240 0 obj << /D [2934 0 R /XYZ null 159.4039 null] >> endobj 5241 0 obj << /D [2943 0 R /XYZ null 535.4 null] >> endobj 5242 0 obj << /D [2943 0 R /XYZ null 497.2 null] >> endobj 5243 0 obj << /D [2943 0 R /XYZ null 392.6 null] >> endobj 5244 0 obj << /D [2943 0 R /XYZ null 392.6 null] >> endobj 5245 0 obj << /D [2943 0 R /XYZ null 367.4 null] >> endobj 5246 0 obj << /D [2943 0 R /XYZ null 290.2 null] >> endobj 5247 0 obj << /D [2943 0 R /XYZ null 210.3038 null] >> endobj 5248 0 obj << /D [2943 0 R /XYZ null 163.7039 null] >> endobj 5249 0 obj << /D [2952 0 R /XYZ null 535.4 null] >> endobj 5250 0 obj << /D [2952 0 R /XYZ null 497.2 null] >> endobj 5251 0 obj << /D [2952 0 R /XYZ null 362.2 null] >> endobj 5252 0 obj << /D [2952 0 R /XYZ null 362.2 null] >> endobj 5253 0 obj << /D [2952 0 R /XYZ null 336.9999 null] >> endobj 5254 0 obj << /D [2952 0 R /XYZ null 259.8 null] >> endobj 5255 0 obj << /D [2952 0 R /XYZ null 179.9038 null] >> endobj 5256 0 obj << /D [2963 0 R /XYZ null 711 null] >> endobj 5257 0 obj << /D [2963 0 R /XYZ null 464.7 null] >> endobj 5258 0 obj << /D [2963 0 R /XYZ null 426.5 null] >> endobj 5259 0 obj << /D [2963 0 R /XYZ null 291.4999 null] >> endobj 5260 0 obj << /D [2963 0 R /XYZ null 291.4999 null] >> endobj 5261 0 obj << /D [2963 0 R /XYZ null 266.2999 null] >> endobj 5262 0 obj << /D [2963 0 R /XYZ null 143.6998 null] >> endobj 5263 0 obj << /D [2977 0 R /XYZ null 658.1 null] >> endobj 5264 0 obj << /D [2977 0 R /XYZ null 503.3039 null] >> endobj 5265 0 obj << /D [2977 0 R /XYZ null 446.9039 null] >> endobj 5266 0 obj << /D [2977 0 R /XYZ null 380.0077 null] >> endobj 5267 0 obj << /D [2977 0 R /XYZ null 272.7191 null] >> endobj 5268 0 obj << /D [2987 0 R /XYZ null 455.2039 null] >> endobj 5269 0 obj << /D [2987 0 R /XYZ null 417.0038 null] >> endobj 5270 0 obj << /D [2987 0 R /XYZ null 292.2038 null] >> endobj 5271 0 obj << /D [2987 0 R /XYZ null 292.2038 null] >> endobj 5272 0 obj << /D [2987 0 R /XYZ null 267.0038 null] >> endobj 5273 0 obj << /D [2997 0 R /XYZ null 641.3 null] >> endobj 5274 0 obj << /D [2997 0 R /XYZ null 614.8 null] >> endobj 5275 0 obj << /D [2997 0 R /XYZ null 491.2 null] >> endobj 5276 0 obj << /D [2997 0 R /XYZ null 353.5038 null] >> endobj 5277 0 obj << /D [2997 0 R /XYZ null 147.3037 null] >> endobj 5278 0 obj << /D [3006 0 R /XYZ null 377.8 null] >> endobj 5279 0 obj << /D [3006 0 R /XYZ null 146.7 null] >> endobj 5280 0 obj << /D [3013 0 R /XYZ null 632.4 null] >> endobj 5281 0 obj << /D [3013 0 R /XYZ null 590.0308 null] >> endobj 5282 0 obj << /D [3013 0 R /XYZ null 551.8308 null] >> endobj 5283 0 obj << /D [3013 0 R /XYZ null 458.2307 null] >> endobj 5284 0 obj << /D [3013 0 R /XYZ null 458.2307 null] >> endobj 5285 0 obj << /D [3013 0 R /XYZ null 433.0307 null] >> endobj 5286 0 obj << /D [3013 0 R /XYZ null 204.6309 null] >> endobj 5287 0 obj << /D [3013 0 R /XYZ null 181.6028 null] >> endobj 5288 0 obj << /D [3013 0 R /XYZ null 134.9068 null] >> endobj 5289 0 obj << /D [3013 0 R /XYZ null 106.7068 null] >> endobj 5290 0 obj << /D [3022 0 R /XYZ null 697.8 null] >> endobj 5291 0 obj << /D [3022 0 R /XYZ null 538.4 null] >> endobj 5292 0 obj << /D [3022 0 R /XYZ null 525.4 null] >> endobj 5293 0 obj << /D [3022 0 R /XYZ null 487.2 null] >> endobj 5294 0 obj << /D [3022 0 R /XYZ null 434 null] >> endobj 5295 0 obj << /D [3022 0 R /XYZ null 434 null] >> endobj 5296 0 obj << /D [3022 0 R /XYZ null 408.8 null] >> endobj 5297 0 obj << /D [3022 0 R /XYZ null 357.7 null] >> endobj 5298 0 obj << /D [3022 0 R /XYZ null 125.672 null] >> endobj 5299 0 obj << /D [3029 0 R /XYZ null 674.6 null] >> endobj 5300 0 obj << /D [3029 0 R /XYZ null 587.8 null] >> endobj 5301 0 obj << /D [3029 0 R /XYZ null 520.1115 null] >> endobj 5302 0 obj << /D [3029 0 R /XYZ null 455.7115 null] >> endobj 5303 0 obj << /D [3029 0 R /XYZ null 406.5115 null] >> endobj 5304 0 obj << /D [3029 0 R /XYZ null 347.3115 null] >> endobj 5305 0 obj << /D [3029 0 R /XYZ null 334.3115 null] >> endobj 5306 0 obj << /D [3029 0 R /XYZ null 296.1114 null] >> endobj 5307 0 obj << /D [3029 0 R /XYZ null 242.9114 null] >> endobj 5308 0 obj << /D [3029 0 R /XYZ null 242.9114 null] >> endobj 5309 0 obj << /D [3029 0 R /XYZ null 217.7114 null] >> endobj 5310 0 obj << /D [3029 0 R /XYZ null 113.7112 null] >> endobj 5311 0 obj << /D [3036 0 R /XYZ null 682.1038 null] >> endobj 5312 0 obj << /D [3036 0 R /XYZ null 602.5039 null] >> endobj 5313 0 obj << /D [3036 0 R /XYZ null 165.1038 null] >> endobj 5314 0 obj << /D [3043 0 R /XYZ null 590 null] >> endobj 5315 0 obj << /D [3043 0 R /XYZ null 495.7077 null] >> endobj 5316 0 obj << /D [3043 0 R /XYZ null 457.5077 null] >> endobj 5317 0 obj << /D [3043 0 R /XYZ null 343.7076 null] >> endobj 5318 0 obj << /D [3043 0 R /XYZ null 343.7076 null] >> endobj 5319 0 obj << /D [3043 0 R /XYZ null 318.5076 null] >> endobj 5320 0 obj << /D [3043 0 R /XYZ null 200.2077 null] >> endobj 5321 0 obj << /D [3052 0 R /XYZ null 572.0038 null] >> endobj 5322 0 obj << /D [3052 0 R /XYZ null 478.9076 null] >> endobj 5323 0 obj << /D [3052 0 R /XYZ null 404.7229 null] >> endobj 5324 0 obj << /D [3052 0 R /XYZ null 149.5228 null] >> endobj 5325 0 obj << /D [3052 0 R /XYZ null 110.1228 null] >> endobj 5326 0 obj << /D [3062 0 R /XYZ null 711 null] >> endobj 5327 0 obj << /D [3062 0 R /XYZ null 687.8 null] >> endobj 5328 0 obj << /D [3062 0 R /XYZ null 532.6 null] >> endobj 5329 0 obj << /D [3062 0 R /XYZ null 532.6 null] >> endobj 5330 0 obj << /D [3062 0 R /XYZ null 507.4 null] >> endobj 5331 0 obj << /D [3062 0 R /XYZ null 331.9001 null] >> endobj 5332 0 obj << /D [3062 0 R /XYZ null 132.4 null] >> endobj 5333 0 obj << /D [3077 0 R /XYZ null 711 null] >> endobj 5334 0 obj << /D [3077 0 R /XYZ null 643.8077 null] >> endobj 5335 0 obj << /D [3077 0 R /XYZ null 562.9192 null] >> endobj 5336 0 obj << /D [3077 0 R /XYZ null 356.2192 null] >> endobj 5337 0 obj << /D [3077 0 R /XYZ null 271.9268 null] >> endobj 5338 0 obj << /D [3077 0 R /XYZ null 233.7268 null] >> endobj 5339 0 obj << /D [3087 0 R /XYZ null 697 null] >> endobj 5340 0 obj << /D [3087 0 R /XYZ null 697 null] >> endobj 5341 0 obj << /D [3087 0 R /XYZ null 671.8 null] >> endobj 5342 0 obj << /D [3087 0 R /XYZ null 470.2001 null] >> endobj 5343 0 obj << /D [3087 0 R /XYZ null 375.7077 null] >> endobj 5344 0 obj << /D [3087 0 R /XYZ null 166.0076 null] >> endobj 5345 0 obj << /D [3094 0 R /XYZ null 658 null] >> endobj 5346 0 obj << /D [3094 0 R /XYZ null 619.8 null] >> endobj 5347 0 obj << /D [3094 0 R /XYZ null 586.4 null] >> endobj 5348 0 obj << /D [3094 0 R /XYZ null 548.2 null] >> endobj 5349 0 obj << /D [3094 0 R /XYZ null 423.4 null] >> endobj 5350 0 obj << /D [3094 0 R /XYZ null 423.4 null] >> endobj 5351 0 obj << /D [3094 0 R /XYZ null 398.2 null] >> endobj 5352 0 obj << /D [3094 0 R /XYZ null 222.7001 null] >> endobj 5353 0 obj << /D [3094 0 R /XYZ null 133.2999 null] >> endobj 5354 0 obj << /D [3108 0 R /XYZ null 617 null] >> endobj 5355 0 obj << /D [3108 0 R /XYZ null 590.5 null] >> endobj 5356 0 obj << /D [3108 0 R /XYZ null 510.3076 null] >> endobj 5357 0 obj << /D [3108 0 R /XYZ null 463.1153 null] >> endobj 5358 0 obj << /D [3108 0 R /XYZ null 216.1153 null] >> endobj 5359 0 obj << /D [3108 0 R /XYZ null 177.9153 null] >> endobj 5360 0 obj << /D [3117 0 R /XYZ null 589 null] >> endobj 5361 0 obj << /D [3117 0 R /XYZ null 589 null] >> endobj 5362 0 obj << /D [3117 0 R /XYZ null 563.8 null] >> endobj 5363 0 obj << /D [3117 0 R /XYZ null 374.0002 null] >> endobj 5364 0 obj << /D [3117 0 R /XYZ null 266.0002 null] >> endobj 5365 0 obj << /D [3117 0 R /XYZ null 186.104 null] >> endobj 5366 0 obj << /D [3133 0 R /XYZ null 711 null] >> endobj 5367 0 obj << /D [3133 0 R /XYZ null 390.1 null] >> endobj 5368 0 obj << /D [3133 0 R /XYZ null 351.9 null] >> endobj 5369 0 obj << /D [3133 0 R /XYZ null 232.1 null] >> endobj 5370 0 obj << /D [3133 0 R /XYZ null 232.1 null] >> endobj 5371 0 obj << /D [3133 0 R /XYZ null 206.9 null] >> endobj 5372 0 obj << /D [3133 0 R /XYZ null 158.2999 null] >> endobj 5373 0 obj << /D [3143 0 R /XYZ null 684.6 null] >> endobj 5374 0 obj << /D [3143 0 R /XYZ null 591.5039 null] >> endobj 5375 0 obj << /D [3143 0 R /XYZ null 537.9038 null] >> endobj 5376 0 obj << /D [3143 0 R /XYZ null 384.6038 null] >> endobj 5377 0 obj << /D [3143 0 R /XYZ null 127.3076 null] >> endobj 5378 0 obj << /D [3150 0 R /XYZ null 609.9038 null] >> endobj 5379 0 obj << /D [3150 0 R /XYZ null 586.9038 null] >> endobj 5380 0 obj << /D [3150 0 R /XYZ null 548.7039 null] >> endobj 5381 0 obj << /D [3150 0 R /XYZ null 455.1038 null] >> endobj 5382 0 obj << /D [3150 0 R /XYZ null 455.1038 null] >> endobj 5383 0 obj << /D [3150 0 R /XYZ null 244.4039 null] >> endobj 5384 0 obj << /D [3150 0 R /XYZ null 179.3038 null] >> endobj 5385 0 obj << /D [3157 0 R /XYZ null 711 null] >> endobj 5386 0 obj << /D [3157 0 R /XYZ null 697.8 null] >> endobj 5387 0 obj << /D [3157 0 R /XYZ null 638.4 null] >> endobj 5388 0 obj << /D [3157 0 R /XYZ null 589.2 null] >> endobj 5389 0 obj << /D [3157 0 R /XYZ null 537.6 null] >> endobj 5390 0 obj << /D [3157 0 R /XYZ null 499.4 null] >> endobj 5391 0 obj << /D [3164 0 R /XYZ null 711 null] >> endobj 5392 0 obj << /D [3164 0 R /XYZ null 711 null] >> endobj 5393 0 obj << /D [3164 0 R /XYZ null 523 null] >> endobj 5394 0 obj << /D [3164 0 R /XYZ null 523 null] >> endobj 5395 0 obj << /D [3164 0 R /XYZ null 497.8 null] >> endobj 5396 0 obj << /D [3164 0 R /XYZ null 419.2 null] >> endobj 5397 0 obj << /D [3164 0 R /XYZ null 419.2 null] >> endobj 5398 0 obj << /D [3164 0 R /XYZ null 394 null] >> endobj 5399 0 obj << /D [3164 0 R /XYZ null 345.4 null] >> endobj 5400 0 obj << /D [3164 0 R /XYZ null 228.6038 null] >> endobj 5401 0 obj << /D [3164 0 R /XYZ null 185.2039 null] >> endobj 5402 0 obj << /D [3164 0 R /XYZ null 133.2039 null] >> endobj 5403 0 obj << /D [3164 0 R /XYZ null 133.2039 null] >> endobj 5404 0 obj << /D [3164 0 R /XYZ null 108.0039 null] >> endobj 5405 0 obj << /D [3177 0 R /XYZ null 711 null] >> endobj 5406 0 obj << /D [3215 0 R /XYZ null 577 null] >> endobj 5407 0 obj << /D [3215 0 R /XYZ null 577 null] >> endobj 5408 0 obj << /D [3215 0 R /XYZ null 551.8 null] >> endobj 5409 0 obj << /D [3215 0 R /XYZ null 503.2 null] >> endobj 5410 0 obj << /D [3215 0 R /XYZ null 389.4 null] >> endobj 5411 0 obj << /D [3215 0 R /XYZ null 389.4 null] >> endobj 5412 0 obj << /D [3215 0 R /XYZ null 364.2 null] >> endobj 5413 0 obj << /D [3215 0 R /XYZ null 352.2 null] >> endobj 5414 0 obj << /D [3215 0 R /XYZ null 241.075 null] >> endobj 5415 0 obj << /D [3242 0 R /XYZ null 697 null] >> endobj 5416 0 obj << /D [3242 0 R /XYZ null 697 null] >> endobj 5417 0 obj << /D [3242 0 R /XYZ null 671.8 null] >> endobj 5418 0 obj << /D [3242 0 R /XYZ null 361.5002 null] >> endobj 5419 0 obj << /D [3242 0 R /XYZ null 180.3002 null] >> endobj 5420 0 obj << /Count 6 /First 5757 0 R /Last 5757 0 R /Type /Outlines >> endobj 5421 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8197) /S /GoTo >> /C [0 0 0] /Next 5422 0 R /Parent 5766 0 R /Prev 5787 0 R /Title (HW_PROBE) >> endobj 5422 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8308) /S /GoTo >> /C [0 0 0] /Next 5423 0 R /Parent 5766 0 R /Prev 5421 0 R /Title (HW_SERVER) >> endobj 5423 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8396) /S /GoTo >> /C [0 0 0] /Next 5424 0 R /Parent 5766 0 R /Prev 5422 0 R /Title (HW_SIO_GT) >> endobj 5424 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8506) /S /GoTo >> /C [0 0 0] /Next 5425 0 R /Parent 5766 0 R /Prev 5423 0 R /Title (HW_SIO_GTGROUP) >> endobj 5425 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8596) /S /GoTo >> /C [0 0 0] /Next 5788 0 R /Parent 5766 0 R /Prev 5424 0 R /Title (HW_SIO_IBERT) >> endobj 5426 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14633) /S /GoTo >> /C [0 0 0] /Next 5427 0 R /Parent 5809 0 R /Prev 5829 0 R /Title (CLOCK_REGION) >> endobj 5427 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14841) /S /GoTo >> /C [0 0 0] /Next 5428 0 R /Parent 5809 0 R /Prev 5426 0 R /Title (CLOCK_ROOT) >> endobj 5428 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14991) /S /GoTo >> /C [0 0 0] /Next 5429 0 R /Parent 5809 0 R /Prev 5427 0 R /Title (CONFIG_MODE) >> endobj 5429 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15237) /S /GoTo >> /C [0 0 0] /Next 5430 0 R /Parent 5809 0 R /Prev 5428 0 R /Title (CONFIG_VOLTAGE) >> endobj 5430 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15424) /S /GoTo >> /C [0 0 0] /Next 5431 0 R /Parent 5809 0 R /Prev 5429 0 R /Title (CONTAIN_ROUTING) >> endobj 5431 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15605) /S /GoTo >> /C [0 0 0] /Next 5432 0 R /Parent 5809 0 R /Prev 5430 0 R /Title (CONTROL_SET_REMAP) >> endobj 5432 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15803) /S /GoTo >> /C [0 0 0] /Next 5433 0 R /Parent 5809 0 R /Prev 5431 0 R /Title (DCI_CASCADE) >> endobj 5433 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16043) /S /GoTo >> /C [0 0 0] /Next 5434 0 R /Parent 5809 0 R /Prev 5432 0 R /Title (DELAY_BYPASS) >> endobj 5434 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16205) /S /GoTo >> /C [0 0 0] /Next 5435 0 R /Parent 5809 0 R /Prev 5433 0 R /Title (DELAY_VALUE_XPHY) >> endobj 5435 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16366) /S /GoTo >> /C [0 0 0] /Next 5436 0 R /Parent 5809 0 R /Prev 5434 0 R /Title (DIFF_TERM) >> endobj 5436 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16633) /S /GoTo >> /C [0 0 0] /Next 5437 0 R /Parent 5809 0 R /Prev 5435 0 R /Title (DIFF_TERM_ADV) >> endobj 5437 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16876) /S /GoTo >> /C [0 0 0] /Next 5438 0 R /Parent 5809 0 R /Prev 5436 0 R /Title (DIRECT_ENABLE) >> endobj 5438 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17032) /S /GoTo >> /C [0 0 0] /Next 5439 0 R /Parent 5809 0 R /Prev 5437 0 R /Title (DIRECT_RESET) >> endobj 5439 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17180) /S /GoTo >> /C [0 0 0] /Next 5440 0 R /Parent 5809 0 R /Prev 5438 0 R /Title (DONT_TOUCH) >> endobj 5440 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17485) /S /GoTo >> /C [0 0 0] /Next 5441 0 R /Parent 5809 0 R /Prev 5439 0 R /Title (DQS_BIAS) >> endobj 5441 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17722) /S /GoTo >> /C [0 0 0] /Next 5442 0 R /Parent 5809 0 R /Prev 5440 0 R /Title (DRIVE) >> endobj 5442 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17962) /S /GoTo >> /C [0 0 0] /Next 5443 0 R /Parent 5809 0 R /Prev 5441 0 R /Title (EDIF_EXTRA_SEARCH_PATHS) >> endobj 5443 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18101) /S /GoTo >> /C [0 0 0] /Next 5444 0 R /Parent 5809 0 R /Prev 5442 0 R /Title (EQUALIZATION) >> endobj 5444 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18308) /S /GoTo >> /C [0 0 0] /Next 5445 0 R /Parent 5809 0 R /Prev 5443 0 R /Title (EQUIVALENT_DRIVER_OPT) >> endobj 5445 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18489) /S /GoTo >> /C [0 0 0] /Next 5446 0 R /Parent 5809 0 R /Prev 5444 0 R /Title (EXCLUDE_PLACEMENT) >> endobj 5446 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18640) /S /GoTo >> /C [0 0 0] /Next 5447 0 R /Parent 5809 0 R /Prev 5445 0 R /Title (EXTRACT_ENABLE) >> endobj 5447 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18776) /S /GoTo >> /C [0 0 0] /Next 5448 0 R /Parent 5809 0 R /Prev 5446 0 R /Title (EXTRACT_RESET) >> endobj 5448 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18922) /S /GoTo >> /C [0 0 0] /Next 5449 0 R /Parent 5809 0 R /Prev 5447 0 R /Title (FORCE_MAX_FANOUT) >> endobj 5449 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19045) /S /GoTo >> /C [0 0 0] /Next 5450 0 R /Parent 5809 0 R /Prev 5448 0 R /Title (FSM_ENCODING) >> endobj 5450 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19202) /S /GoTo >> /C [0 0 0] /Next 5451 0 R /Parent 5809 0 R /Prev 5449 0 R /Title (FSM_SAFE_STATE) >> endobj 5451 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19383) /S /GoTo >> /C [0 0 0] /Next 5452 0 R /Parent 5809 0 R /Prev 5450 0 R /Title (GATED_CLOCK) >> endobj 5452 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19546) /S /GoTo >> /C [0 0 0] /Next 5453 0 R /Parent 5809 0 R /Prev 5451 0 R /Title (GENERATE_SYNTH_CHECKPOINT) >> endobj 5453 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19805) /S /GoTo >> /C [0 0 0] /Next 5454 0 R /Parent 5809 0 R /Prev 5452 0 R /Title (H_SET and HU_SET) >> endobj 5454 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20109) /S /GoTo >> /C [0 0 0] /Next 5455 0 R /Parent 5809 0 R /Prev 5453 0 R /Title (HIODELAY_GROUP) >> endobj 5455 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20356) /S /GoTo >> /C [0 0 0] /Next 5456 0 R /Parent 5809 0 R /Prev 5454 0 R /Title (HLUTNM) >> endobj 5456 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20611) /S /GoTo >> /C [0 0 0] /Next 5457 0 R /Parent 5809 0 R /Prev 5455 0 R /Title (IBUF_LOW_PWR) >> endobj 5457 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20810) /S /GoTo >> /C [0 0 0] /Next 5458 0 R /Parent 5809 0 R /Prev 5456 0 R /Title (IN_TERM) >> endobj 5458 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21035) /S /GoTo >> /C [0 0 0] /Next 5459 0 R /Parent 5809 0 R /Prev 5457 0 R /Title (INCREMENTAL_CHECKPOINT) >> endobj 5459 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21229) /S /GoTo >> /C [0 0 0] /Next 5460 0 R /Parent 5809 0 R /Prev 5458 0 R /Title (INTERNAL_VREF) >> endobj 5460 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21428) /S /GoTo >> /C [0 0 0] /Next 5461 0 R /Parent 5809 0 R /Prev 5459 0 R /Title (IO_BUFFER_TYPE) >> endobj 5461 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21575) /S /GoTo >> /C [0 0 0] /Next 5462 0 R /Parent 5809 0 R /Prev 5460 0 R /Title (IOB) >> endobj 5462 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21767) /S /GoTo >> /C [0 0 0] /Next 5463 0 R /Parent 5809 0 R /Prev 5461 0 R /Title (IOB_TRI_REG) >> endobj 5463 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21911) /S /GoTo >> /C [0 0 0] /Next 5464 0 R /Parent 5809 0 R /Prev 5462 0 R /Title (IOBDELAY) >> endobj 5464 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22130) /S /GoTo >> /C [0 0 0] /Next 5465 0 R /Parent 5809 0 R /Prev 5463 0 R /Title (IODELAY_GROUP) >> endobj 5465 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22376) /S /GoTo >> /C [0 0 0] /Next 5466 0 R /Parent 5809 0 R /Prev 5464 0 R /Title (IOSTANDARD) >> endobj 5466 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22643) /S /GoTo >> /C [0 0 0] /Next 5467 0 R /Parent 5809 0 R /Prev 5465 0 R /Title (IP_REPO_PATHS) >> endobj 5467 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22831) /S /GoTo >> /C [0 0 0] /Next 5468 0 R /Parent 5809 0 R /Prev 5466 0 R /Title (IS_ENABLED) >> endobj 5468 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23044) /S /GoTo >> /C [0 0 0] /Next 5469 0 R /Parent 5809 0 R /Prev 5467 0 R /Title (IS_SOFT) >> endobj 5469 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23192) /S /GoTo >> /C [0 0 0] /Next 5470 0 R /Parent 5809 0 R /Prev 5468 0 R /Title (KEEP) >> endobj 5470 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23421) /S /GoTo >> /C [0 0 0] /Next 5471 0 R /Parent 5809 0 R /Prev 5469 0 R /Title (KEEP_COMPATIBLE) >> endobj 5471 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23571) /S /GoTo >> /C [0 0 0] /Next 5472 0 R /Parent 5809 0 R /Prev 5470 0 R /Title (KEEP_HIERARCHY) >> endobj 5472 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23795) /S /GoTo >> /C [0 0 0] /Next 5473 0 R /Parent 5809 0 R /Prev 5471 0 R /Title (KEEPER) >> endobj 5473 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23992) /S /GoTo >> /C [0 0 0] /Next 5474 0 R /Parent 5809 0 R /Prev 5472 0 R /Title (LOC) >> endobj 5474 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24201) /S /GoTo >> /C [0 0 0] /Next 5475 0 R /Parent 5809 0 R /Prev 5473 0 R /Title (LOCK_PINS) >> endobj 5475 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24470) /S /GoTo >> /C [0 0 0] /Next 5476 0 R /Parent 5809 0 R /Prev 5474 0 R /Title (LOCK_UPGRADE) >> endobj 5476 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24621) /S /GoTo >> /C [0 0 0] /Next 5477 0 R /Parent 5809 0 R /Prev 5475 0 R /Title (LUTNM) >> endobj 5477 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24882) /S /GoTo >> /C [0 0 0] /Next 5478 0 R /Parent 5809 0 R /Prev 5476 0 R /Title (LUT_REMAP) >> endobj 5478 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25099) /S /GoTo >> /C [0 0 0] /Next 5479 0 R /Parent 5809 0 R /Prev 5477 0 R /Title (LVDS_PRE_EMPHASIS) >> endobj 5479 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25288) /S /GoTo >> /C [0 0 0] /Next 5480 0 R /Parent 5809 0 R /Prev 5478 0 R /Title (MARK_DEBUG) >> endobj 5480 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25534) /S /GoTo >> /C [0 0 0] /Next 5481 0 R /Parent 5809 0 R /Prev 5479 0 R /Title (MAX_FANOUT) >> endobj 5481 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25716) /S /GoTo >> /C [0 0 0] /Next 5482 0 R /Parent 5809 0 R /Prev 5480 0 R /Title (MAX_FANOUT_MODE) >> endobj 5482 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25851) /S /GoTo >> /C [0 0 0] /Next 5483 0 R /Parent 5809 0 R /Prev 5481 0 R /Title (MAX_NAMES) >> endobj 5483 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26003) /S /GoTo >> /C [0 0 0] /Next 5484 0 R /Parent 5809 0 R /Prev 5482 0 R /Title (MBUFG_GROUP) >> endobj 5484 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26155) /S /GoTo >> /C [0 0 0] /Next 5485 0 R /Parent 5809 0 R /Prev 5483 0 R /Title (MIG_FLOORPLAN_MODE) >> endobj 5485 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26327) /S /GoTo >> /C [0 0 0] /Next 5486 0 R /Parent 5809 0 R /Prev 5484 0 R /Title (MUXF_REMAP) >> endobj 5486 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26543) /S /GoTo >> /C [0 0 0] /Next 5487 0 R /Parent 5809 0 R /Prev 5485 0 R /Title (ODT) >> endobj 5487 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26742) /S /GoTo >> /C [0 0 0] /Next 5488 0 R /Parent 5809 0 R /Prev 5486 0 R /Title (OPT_MODIFIED) >> endobj 5488 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26985) /S /GoTo >> /C [0 0 0] /Next 5489 0 R /Parent 5809 0 R /Prev 5487 0 R /Title (OPT_SKIPPED) >> endobj 5489 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27223) /S /GoTo >> /C [0 0 0] /Next 5490 0 R /Parent 5809 0 R /Prev 5488 0 R /Title (OFFSET_CNTRL) >> endobj 5490 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27406) /S /GoTo >> /C [0 0 0] /Next 5491 0 R /Parent 5809 0 R /Prev 5489 0 R /Title (PACKAGE_PIN) >> endobj 5491 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27567) /S /GoTo >> /C [0 0 0] /Next 5492 0 R /Parent 5809 0 R /Prev 5490 0 R /Title (PATH_MODE) >> endobj 5492 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27731) /S /GoTo >> /C [0 0 0] /Next 5493 0 R /Parent 5809 0 R /Prev 5491 0 R /Title (PBLOCK) >> endobj 5493 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27936) /S /GoTo >> /C [0 0 0] /Next 5494 0 R /Parent 5809 0 R /Prev 5492 0 R /Title (PHYS_OPT_MODIFIED) >> endobj 5494 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28158) /S /GoTo >> /C [0 0 0] /Next 5495 0 R /Parent 5809 0 R /Prev 5493 0 R /Title (PHYS_OPT_SKIPPED) >> endobj 5495 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28384) /S /GoTo >> /C [0 0 0] /Next 5496 0 R /Parent 5809 0 R /Prev 5494 0 R /Title (POST_CRC) >> endobj 5496 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28579) /S /GoTo >> /C [0 0 0] /Next 5497 0 R /Parent 5809 0 R /Prev 5495 0 R /Title (POST_CRC_ACTION) >> endobj 5497 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28794) /S /GoTo >> /C [0 0 0] /Next 5498 0 R /Parent 5809 0 R /Prev 5496 0 R /Title (POST_CRC_FREQ) >> endobj 5498 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28994) /S /GoTo >> /C [0 0 0] /Next 5499 0 R /Parent 5809 0 R /Prev 5497 0 R /Title (POST_CRC_INIT_FLAG) >> endobj 5499 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29194) /S /GoTo >> /C [0 0 0] /Next 5500 0 R /Parent 5809 0 R /Prev 5498 0 R /Title (POST_CRC_SOURCE) >> endobj 5500 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29406) /S /GoTo >> /C [0 0 0] /Next 5501 0 R /Parent 5809 0 R /Prev 5499 0 R /Title (PRE_EMPHASIS) >> endobj 5501 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29570) /S /GoTo >> /C [0 0 0] /Next 5502 0 R /Parent 5809 0 R /Prev 5500 0 R /Title (PROCESSING_ORDER) >> endobj 5502 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29785) /S /GoTo >> /C [0 0 0] /Next 5503 0 R /Parent 5809 0 R /Prev 5501 0 R /Title (PROHIBIT) >> endobj 5503 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29925) /S /GoTo >> /C [0 0 0] /Next 5504 0 R /Parent 5809 0 R /Prev 5502 0 R /Title (PULLDOWN) >> endobj 5504 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30141) /S /GoTo >> /C [0 0 0] /Next 5505 0 R /Parent 5809 0 R /Prev 5503 0 R /Title (PULLTYPE) >> endobj 5505 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30416) /S /GoTo >> /C [0 0 0] /Next 5506 0 R /Parent 5809 0 R /Prev 5504 0 R /Title (PULLUP) >> endobj 5506 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30620) /S /GoTo >> /C [0 0 0] /Next 5507 0 R /Parent 5809 0 R /Prev 5505 0 R /Title (RAM_DECOMP) >> endobj 5507 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30757) /S /GoTo >> /C [0 0 0] /Next 5508 0 R /Parent 5809 0 R /Prev 5506 0 R /Title (RAM_STYLE) >> endobj 5508 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30926) /S /GoTo >> /C [0 0 0] /Next 5509 0 R /Parent 5809 0 R /Prev 5507 0 R /Title (RAM_AVERAGE_ACTIVITY) >> endobj 5509 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31059) /S /GoTo >> /C [0 0 0] /Next 5510 0 R /Parent 5809 0 R /Prev 5508 0 R /Title (REF_NAME) >> endobj 5510 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31150) /S /GoTo >> /C [0 0 0] /Next 5511 0 R /Parent 5809 0 R /Prev 5509 0 R /Title (REF_PIN_NAME) >> endobj 5511 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31226) /S /GoTo >> /C [0 0 0] /Next 5512 0 R /Parent 5809 0 R /Prev 5510 0 R /Title (REG_TO_SRL) >> endobj 5512 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31374) /S /GoTo >> /C [0 0 0] /Next 5513 0 R /Parent 5809 0 R /Prev 5511 0 R /Title (RLOC) >> endobj 5513 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31636) /S /GoTo >> /C [0 0 0] /Next 5514 0 R /Parent 5809 0 R /Prev 5512 0 R /Title (RLOCS) >> endobj 5514 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31854) /S /GoTo >> /C [0 0 0] /Next 5830 0 R /Parent 5809 0 R /Prev 5513 0 R /Title (RLOC_ORIGIN) >> endobj 5515 0 obj << /Dests 5516 0 R >> endobj 5516 0 obj << /Kids [5517 0 R 5518 0 R 5519 0 R 5520 0 R 5521 0 R 5522 0 R 5523 0 R 5524 0 R 5525 0 R 5526 0 R 5527 0 R 5528 0 R 5529 0 R 5530 0 R 5531 0 R 5532 0 R 5533 0 R 5534 0 R 5535 0 R 5536 0 R 5537 0 R 5538 0 R 5539 0 R 5540 0 R 5541 0 R 5542 0 R 5543 0 R 5544 0 R 5545 0 R 5546 0 R 5547 0 R 5548 0 R 5549 0 R] >> endobj 5517 0 obj << /Limits [(ID_TOC_00-0F-EA-40-0D-4D) (_OPENTOPIC_TOC_PROCESSING_d114e21035)] /Names [(ID_TOC_00-0F-EA-40-0D-4D) 3326 0 R (IPRepoPaths) 5558 0 R (PathMode) 5562 0 R (UsedIn) 5564 0 R (_OPENTOPIC_TOC_PROCESSING_d114e10125) 3757 0 R (_OPENTOPIC_TOC_PROCESSING_d114e10233) 3769 0 R (_OPENTOPIC_TOC_PROCESSING_d114e10363) 3781 0 R (_OPENTOPIC_TOC_PROCESSING_d114e10442) 3792 0 R (_OPENTOPIC_TOC_PROCESSING_d114e10535) 3803 0 R (_OPENTOPIC_TOC_PROCESSING_d114e10704) 3817 0 R (_OPENTOPIC_TOC_PROCESSING_d114e10816) 3828 0 R (_OPENTOPIC_TOC_PROCESSING_d114e10932) 3841 0 R (_OPENTOPIC_TOC_PROCESSING_d114e11079) 3852 0 R (_OPENTOPIC_TOC_PROCESSING_d114e11169) 3865 0 R (_OPENTOPIC_TOC_PROCESSING_d114e11184) 3867 0 R (_OPENTOPIC_TOC_PROCESSING_d114e11264) 3871 0 R (_OPENTOPIC_TOC_PROCESSING_d114e11547) 3897 0 R (_OPENTOPIC_TOC_PROCESSING_d114e11742) 3915 0 R (_OPENTOPIC_TOC_PROCESSING_d114e11895) 3928 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12045) 3941 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12178) 3954 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12304) 3967 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12512) 3985 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12651) 3996 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12845) 4009 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13024) 4022 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13056) 4025 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13240) 4036 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13368) 4045 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13519) 4057 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13728) 4068 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13958) 4082 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14221) 4098 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14390) 4110 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14633) 4125 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14841) 4139 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14991) 4151 0 R (_OPENTOPIC_TOC_PROCESSING_d114e15237) 4164 0 R (_OPENTOPIC_TOC_PROCESSING_d114e15424) 4173 0 R (_OPENTOPIC_TOC_PROCESSING_d114e15605) 4185 0 R (_OPENTOPIC_TOC_PROCESSING_d114e15803) 4196 0 R (_OPENTOPIC_TOC_PROCESSING_d114e16043) 4210 0 R (_OPENTOPIC_TOC_PROCESSING_d114e16205) 4218 0 R (_OPENTOPIC_TOC_PROCESSING_d114e16366) 4227 0 R (_OPENTOPIC_TOC_PROCESSING_d114e16633) 4248 0 R (_OPENTOPIC_TOC_PROCESSING_d114e16876) 4265 0 R (_OPENTOPIC_TOC_PROCESSING_d114e17032) 4279 0 R (_OPENTOPIC_TOC_PROCESSING_d114e17180) 4292 0 R (_OPENTOPIC_TOC_PROCESSING_d114e17485) 4316 0 R (_OPENTOPIC_TOC_PROCESSING_d114e17722) 4333 0 R (_OPENTOPIC_TOC_PROCESSING_d114e17962) 4351 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18101) 4361 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18308) 4373 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18489) 4384 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18640) 4394 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18776) 4404 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18922) 4415 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19045) 4423 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19202) 4433 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19383) 4445 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19546) 4459 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19805) 4472 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20109) 4488 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20356) 4506 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20611) 4524 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20810) 4534 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21035) 4547 0 R] >> endobj 5518 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e21229) (_OPENTOPIC_TOC_PROCESSING_d114e33371)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e21229) 4559 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21428) 4570 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21575) 4582 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21767) 4595 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21911) 4605 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22130) 4616 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22376) 4636 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22643) 4656 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22831) 4668 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23044) 4680 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23192) 4690 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23421) 4707 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23571) 4717 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23795) 4729 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23992) 4741 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24201) 4754 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24470) 4772 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24621) 4780 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24882) 4799 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25099) 4812 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25288) 4822 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25534) 4839 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25716) 4852 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25851) 4862 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26003) 4872 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26155) 4884 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26327) 4895 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26543) 4907 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26742) 4917 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26985) 4927 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27223) 4936 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27406) 4946 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27567) 4956 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27731) 4966 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27936) 4975 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28158) 4984 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28384) 4993 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28579) 5003 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28794) 5012 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28994) 5021 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29194) 5030 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29406) 5040 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29570) 5048 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29785) 5057 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29925) 5066 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30141) 5077 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30416) 5090 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30620) 5101 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30757) 5112 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30926) 5123 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31059) 5132 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31150) 5138 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31226) 5143 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31374) 5153 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31636) 5169 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31854) 5178 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32077) 5190 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32270) 5199 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32385) 5204 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32588) 5212 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32824) 5222 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33073) 5236 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33212) 5244 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33371) 5252 0 R] >> endobj 5519 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e33529) (_OPENTOPIC_TOC_PROCESSING_d114e9789)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e33529) 5260 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33796) 5271 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34054) 5284 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34212) 5295 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34380) 5308 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34615) 5318 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34828) 5329 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35046) 5340 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35227) 5350 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35446) 5361 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35641) 5370 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35835) 5382 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35967) 5392 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35984) 5394 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36011) 5397 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36122) 5403 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36507) 5407 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36556) 5411 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36720) 5416 0 R (_OPENTOPIC_TOC_PROCESSING_d114e4817) 3328 0 R (_OPENTOPIC_TOC_PROCESSING_d114e4832) 3330 0 R (_OPENTOPIC_TOC_PROCESSING_d114e4890) 3332 0 R (_OPENTOPIC_TOC_PROCESSING_d114e4985) 3335 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5030) 3339 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5239) 3348 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5334) 3353 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5480) 3358 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5495) 3360 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5615) 3370 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5705) 3379 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5804) 3391 0 R (_OPENTOPIC_TOC_PROCESSING_d114e5912) 3402 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6024) 3414 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6127) 3423 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6202) 3431 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6283) 3439 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6361) 3450 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6488) 3464 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6573) 3475 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7098) 3491 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7298) 3503 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7444) 3514 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7529) 3521 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7662) 3532 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7773) 3542 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7873) 3552 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7973) 3563 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8103) 3574 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8197) 3581 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8308) 3591 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8396) 3599 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8506) 3610 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8596) 3618 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8726) 3630 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8820) 3639 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8911) 3650 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8993) 3657 0 R (_OPENTOPIC_TOC_PROCESSING_d114e9123) 3667 0 R (_OPENTOPIC_TOC_PROCESSING_d114e9255) 3679 0 R (_OPENTOPIC_TOC_PROCESSING_d114e9381) 3690 0 R (_OPENTOPIC_TOC_PROCESSING_d114e9499) 3699 0 R (_OPENTOPIC_TOC_PROCESSING_d114e9602) 3711 0 R (_OPENTOPIC_TOC_PROCESSING_d114e9701) 3722 0 R (_OPENTOPIC_TOC_PROCESSING_d114e9789) 3734 0 R] >> endobj 5520 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e9896) (unique_104_Connect_42_codeblock_xpy_2tz_c5b)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e9896) 3747 0 R (_OPENTOPIC_TOC_PROCESSING_titlepg01) 3325 0 R (unique_1) 3327 0 R (unique_10) 3490 0 R (unique_100) 4226 0 R (unique_100_Connect_42_codeblock_fd5_nqz_c5b) 4241 0 R (unique_100_Connect_42_codeblock_hmd_kqz_c5b) 4239 0 R (unique_100_Connect_42_codeblock_i2v_4qz_c5b) 4242 0 R (unique_100_Connect_42_codeblock_s41_mqz_c5b) 4240 0 R (unique_100_Connect_42_codeblock_zys_sqz_c5b) 4244 0 R (unique_100_Connect_42_dl_ctk_wss_jvb) 4235 0 R (unique_100_Connect_42_dl_jks_tss_jvb) 4229 0 R (unique_100_Connect_42_dl_otv_5ss_jvb) 4231 0 R (unique_100_Connect_42_dl_p42_mjm_m5b) 4236 0 R (unique_100_Connect_42_note_N10040_N10030_N10018_N10001) 4230 0 R (unique_100_Connect_42_note_x2j_fqz_c5b) 4238 0 R (unique_100_Connect_42_section_bzy_yg4_s1b) 4228 0 R (unique_100_Connect_42_section_onv_wmz_c5b) 4245 0 R (unique_100_Connect_42_section_y23_vmz_c5b) 4237 0 R (unique_100_Connect_42_ul_bwf_qqz_c5b) 4243 0 R (unique_100_Connect_42_ul_hkg_jnz_c5b) 4232 0 R (unique_100_Connect_42_ul_if3_lnz_c5b) 4233 0 R (unique_100_Connect_42_ul_tbm_mnz_c5b) 4234 0 R (unique_100_Connect_42_ul_uqj_1nz_c5b) 4246 0 R (unique_101) 4247 0 R (unique_101_Connect_42_codeblock_a2x_srz_c5b) 4261 0 R (unique_101_Connect_42_codeblock_pg1_mrz_c5b) 4257 0 R (unique_101_Connect_42_codeblock_xvw_4rz_c5b) 4259 0 R (unique_101_Connect_42_dl_gsj_3jm_m5b) 4255 0 R (unique_101_Connect_42_dl_ulk_zrs_jvb) 4251 0 R (unique_101_Connect_42_note_N10033_N1001B_N10018_N10001) 4250 0 R (unique_101_Connect_42_note_ffm_lrz_c5b) 4256 0 R (unique_101_Connect_42_section_bzy_yg4_s1b) 4249 0 R (unique_101_Connect_42_section_onv_wmz_c5b) 4262 0 R (unique_101_Connect_42_section_y23_vmz_c5b) 4258 0 R (unique_101_Connect_42_ul_arz_2rz_c5b) 4252 0 R (unique_101_Connect_42_ul_hrc_hrz_c5b) 4254 0 R (unique_101_Connect_42_ul_k3c_qrz_c5b) 4260 0 R (unique_101_Connect_42_ul_uqj_1nz_c5b) 4263 0 R (unique_101_Connect_42_ul_xcq_frz_c5b) 4253 0 R (unique_102) 4523 0 R (unique_102_Connect_42_codeblock_pbv_tfw_d5b) 4529 0 R (unique_102_Connect_42_dl_uv4_43t_jvb) 4526 0 R (unique_102_Connect_42_section_bzy_yg4_s1b) 4525 0 R (unique_102_Connect_42_section_onv_wmz_c5b) 4531 0 R (unique_102_Connect_42_section_y23_vmz_c5b) 4528 0 R (unique_102_Connect_42_ul_inm_vfw_d5b) 4530 0 R (unique_102_Connect_42_ul_lzt_r3t_jvb) 4527 0 R (unique_102_Connect_42_ul_uqj_1nz_c5b) 4532 0 R (unique_103) 4264 0 R (unique_103_Connect_42_codeblock_k5s_4sz_c5b) 4273 0 R (unique_103_Connect_42_codeblock_mvw_nsz_c5b) 4272 0 R (unique_103_Connect_42_codeblock_wsg_qsz_c5b) 4274 0 R (unique_103_Connect_42_dl_o53_p4s_jvb) 4270 0 R (unique_103_Connect_42_dl_odp_m4s_jvb) 4269 0 R (unique_103_Connect_42_dl_u3t_k4s_jvb) 4267 0 R (unique_103_Connect_42_dl_wq4_l4s_jvb) 4268 0 R (unique_103_Connect_42_note_wj4_rsz_c5b) 4275 0 R (unique_103_Connect_42_section_bzy_yg4_s1b) 4266 0 R (unique_103_Connect_42_section_onv_wmz_c5b) 4276 0 R (unique_103_Connect_42_section_y23_vmz_c5b) 4271 0 R (unique_103_Connect_42_ul_uqj_1nz_c5b) 4277 0 R (unique_104) 4278 0 R (unique_104_Connect_42_codeblock_xpy_2tz_c5b) 4287 0 R] >> endobj 5521 0 obj << /Limits [(unique_104_Connect_42_codeblock_ypt_ctz_c5b) (unique_108_Connect_42_section_y23_vmz_c5b)] /Names [(unique_104_Connect_42_codeblock_ypt_ctz_c5b) 4285 0 R (unique_104_Connect_42_codeblock_znn_dtz_c5b) 4286 0 R (unique_104_Connect_42_dl_bkq_f4s_jvb) 4281 0 R (unique_104_Connect_42_dl_inl_g4s_jvb) 4282 0 R (unique_104_Connect_42_dl_z3j_34s_jvb) 4283 0 R (unique_104_Connect_42_note_ytw_ftz_c5b) 4288 0 R (unique_104_Connect_42_section_bzy_yg4_s1b) 4280 0 R (unique_104_Connect_42_section_onv_wmz_c5b) 4289 0 R (unique_104_Connect_42_section_y23_vmz_c5b) 4284 0 R (unique_104_Connect_42_ul_uqj_1nz_c5b) 4290 0 R (unique_105) 4444 0 R (unique_105_Connect_42_codeblock_wbp_flv_d5b) 4448 0 R (unique_105_Connect_42_codeblock_xjs_4lv_d5b) 4455 0 R (unique_105_Connect_42_codeblock_zpv_nlv_d5b) 4454 0 R (unique_105_Connect_42_dl_hvn_wrt_jvb) 4449 0 R (unique_105_Connect_42_note_jsf_2lv_d5b) 4447 0 R (unique_105_Connect_42_section_bzy_yg4_s1b) 4446 0 R (unique_105_Connect_42_section_onv_wmz_c5b) 4456 0 R (unique_105_Connect_42_section_y23_vmz_c5b) 4453 0 R (unique_105_Connect_42_ul_e2r_1st_jvb) 4450 0 R (unique_105_Connect_42_ul_fgq_bst_jvb) 4451 0 R (unique_105_Connect_42_ul_ggq_bst_jvb) 4452 0 R (unique_105_Connect_42_ul_uqj_1nz_c5b) 4457 0 R (unique_106) 4689 0 R (unique_106_Connect_42_codeblock_bnx_4bl_25b) 4703 0 R (unique_106_Connect_42_codeblock_pnw_lbl_25b) 4702 0 R (unique_106_Connect_42_dl_apz_dzs_jvb) 4698 0 R (unique_106_Connect_42_dl_axb_dzs_jvb) 4696 0 R (unique_106_Connect_42_dl_zpd_czs_jvb) 4695 0 R (unique_106_Connect_42_note_N1002F_N1001B_N10018_N10001) 4692 0 R (unique_106_Connect_42_note_N10038_N1001B_N10018_N10001) 4693 0 R (unique_106_Connect_42_note_kcs_2zs_jvb) 4700 0 R (unique_106_Connect_42_section_bzy_yg4_s1b) 4691 0 R (unique_106_Connect_42_section_onv_wmz_c5b) 4704 0 R (unique_106_Connect_42_section_y23_vmz_c5b) 4701 0 R (unique_106_Connect_42_ul_cy3_cbl_25b) 4694 0 R (unique_106_Connect_42_ul_irs_dzs_jvb) 4697 0 R (unique_106_Connect_42_ul_jcs_2zs_jvb) 4699 0 R (unique_106_Connect_42_ul_uqj_1nz_c5b) 4705 0 R (unique_107) 4716 0 R (unique_107_Connect_42_codeblock_d35_hdl_25b) 4725 0 R (unique_107_Connect_42_codeblock_mtb_rcl_25b) 4723 0 R (unique_107_Connect_42_codeblock_qkg_ddl_25b) 4724 0 R (unique_107_Connect_42_dl_r45_pys_jvb) 4720 0 R (unique_107_Connect_42_note_N10023_N1001B_N10018_N10001) 4719 0 R (unique_107_Connect_42_section_bzy_yg4_s1b) 4718 0 R (unique_107_Connect_42_section_onv_wmz_c5b) 4726 0 R (unique_107_Connect_42_section_y23_vmz_c5b) 4722 0 R (unique_107_Connect_42_ul_nyb_sys_jvb) 4721 0 R (unique_107_Connect_42_ul_uqj_1nz_c5b) 4727 0 R (unique_108) 4821 0 R (unique_108_Connect_42_codeblock_asd_mks_25b) 4832 0 R (unique_108_Connect_42_codeblock_bsd_mks_25b) 4833 0 R (unique_108_Connect_42_codeblock_m2x_sks_25b) 4835 0 R (unique_108_Connect_42_codeblock_y5f_pks_25b) 4834 0 R (unique_108_Connect_42_dl_llf_cxs_jvb) 4827 0 R (unique_108_Connect_42_dl_m55_cxs_jvb) 4829 0 R (unique_108_Connect_42_dl_ov2_zws_jvb) 4826 0 R (unique_108_Connect_42_note_N1002B_N1001B_N10018_N10001) 4824 0 R (unique_108_Connect_42_note_N10064_N10054_N10018_N10001) 4828 0 R (unique_108_Connect_42_section_N10061_N10019_N10001) 4825 0 R (unique_108_Connect_42_section_bzy_yg4_s1b) 4823 0 R (unique_108_Connect_42_section_onv_wmz_c5b) 4836 0 R (unique_108_Connect_42_section_y23_vmz_c5b) 4831 0 R] >> endobj 5522 0 obj << /Limits [(unique_108_Connect_42_ul_uqj_1nz_c5b) (unique_112_Connect_42_section_onv_wmz_c5b)] /Names [(unique_108_Connect_42_ul_uqj_1nz_c5b) 4837 0 R (unique_108_Connect_42_ul_yq1_3ks_25b) 4830 0 R (unique_109) 4315 0 R (unique_109_Connect_42_codeblock_m13_wd1_d5b) 4329 0 R (unique_109_Connect_42_codeblock_mpl_fd1_d5b) 4327 0 R (unique_109_Connect_42_codeblock_yvd_kd1_d5b) 4328 0 R (unique_109_Connect_42_codeblock_z52_pd1_d5b) 4326 0 R (unique_109_Connect_42_dl_cbx_qhm_m5b) 4323 0 R (unique_109_Connect_42_dl_dnm_25s_jvb) 4320 0 R (unique_109_Connect_42_note_N10023_N1001B_N10018_N10001) 4318 0 R (unique_109_Connect_42_note_klm_mc1_d5b) 4319 0 R (unique_109_Connect_42_note_ob5_zc1_d5b) 4324 0 R (unique_109_Connect_42_section_bzy_yg4_s1b) 4317 0 R (unique_109_Connect_42_section_onv_wmz_c5b) 4330 0 R (unique_109_Connect_42_section_y23_vmz_c5b) 4325 0 R (unique_109_Connect_42_ul_fck_g5s_jvb) 4321 0 R (unique_109_Connect_42_ul_gck_g5s_jvb) 4322 0 R (unique_109_Connect_42_ul_uqj_1nz_c5b) 4331 0 R (unique_10_Connect_42_codeblock_epp_czz_xtb) 3498 0 R (unique_10_Connect_42_codeblock_ps4_fzz_xtb) 3500 0 R (unique_10_Connect_42_codeblock_wvg_1zz_xtb) 3497 0 R (unique_10_Connect_42_codeblock_yvn_pzz_xtb) 3501 0 R (unique_10_Connect_42_dl_pgz_3yz_xtb) 3493 0 R (unique_10_Connect_42_fig_htj_wyz_xtb) 3495 0 R (unique_10_Connect_42_image_rx1_mv5_k5b) 3496 0 R (unique_10_Connect_42_section_bzy_yg4_s1b) 3492 0 R (unique_10_Connect_42_section_gxv_ch4_s1b) 3494 0 R (unique_10_Connect_42_section_y2b_2zz_xtb) 3499 0 R (unique_11) 3710 0 R (unique_110) 4360 0 R (unique_110_Connect_42_codeblock_plb_22v_d5b) 4370 0 R (unique_110_Connect_42_dl_uql_dst_jvb) 4364 0 R (unique_110_Connect_42_note_N10027_N1001B_N10018_N10001) 4363 0 R (unique_110_Connect_42_note_wqs_r5t_jvb) 4365 0 R (unique_110_Connect_42_section_bzy_yg4_s1b) 4362 0 R (unique_110_Connect_42_section_y23_vmz_c5b) 4369 0 R (unique_110_Connect_42_ul_hy5_f2v_d5b) 4371 0 R (unique_110_Connect_42_ul_xqs_r5t_jvb) 4366 0 R (unique_110_Connect_42_ul_yqs_r5t_jvb) 4367 0 R (unique_110_Connect_42_ul_zqs_r5t_jvb) 4368 0 R (unique_111) 4332 0 R (unique_111_Connect_42_codeblock_i4v_lf1_d5b) 4340 0 R (unique_111_Connect_42_codeblock_kyh_tf1_d5b) 4343 0 R (unique_111_Connect_42_codeblock_ryz_nf1_d5b) 4341 0 R (unique_111_Connect_42_codeblock_un3_qf1_d5b) 4342 0 R (unique_111_Connect_42_codeblock_x3m_xf1_d5b) 4345 0 R (unique_111_Connect_42_codeblock_z1x_vf1_d5b) 4344 0 R (unique_111_Connect_42_dl_agk_q5s_jvb) 4335 0 R (unique_111_Connect_42_section_bzy_yg4_s1b) 4334 0 R (unique_111_Connect_42_section_kxf_zf1_d5b) 4348 0 R (unique_111_Connect_42_section_onv_wmz_c5b) 4346 0 R (unique_111_Connect_42_section_y23_vmz_c5b) 4339 0 R (unique_111_Connect_42_ul_hs5_rvt_jvb) 4338 0 R (unique_111_Connect_42_ul_jnp_qvt_jvb) 4336 0 R (unique_111_Connect_42_ul_knp_qvt_jvb) 4337 0 R (unique_111_Connect_42_ul_uqj_1nz_c5b) 4347 0 R (unique_111_Connect_42_ul_yqh_fg1_d5b) 4349 0 R (unique_112) 4350 0 R (unique_112_Connect_42_codeblock_afc_kdv_d5b) 4357 0 R (unique_112_Connect_42_codeblock_i13_3dv_d5b) 4356 0 R (unique_112_Connect_42_dl_uql_dst_jvb) 4354 0 R (unique_112_Connect_42_note_zpp_1dv_d5b) 4353 0 R (unique_112_Connect_42_section_bzy_yg4_s1b) 4352 0 R (unique_112_Connect_42_section_onv_wmz_c5b) 4358 0 R] >> endobj 5523 0 obj << /Limits [(unique_112_Connect_42_section_y23_vmz_c5b) (unique_11_Connect_42_codeblock_ry5_yqf_15b)] /Names [(unique_112_Connect_42_section_y23_vmz_c5b) 4355 0 R (unique_112_Connect_42_ul_uqj_1nz_c5b) 4359 0 R (unique_113) 5039 0 R (unique_113_Connect_42_dl_pbp_235_jvb) 5043 0 R (unique_113_Connect_42_note_N10023_N1001B_N10018_N10001) 5042 0 R (unique_113_Connect_42_section_bzy_yg4_s1b) 5041 0 R (unique_113_Connect_42_section_y23_vmz_c5b) 5045 0 R (unique_113_Connect_42_ul_i2k_gl5_jvb) 5044 0 R (unique_113_Connect_42_ul_qbc_235_f5b) 5046 0 R (unique_114) 4811 0 R (unique_114_Connect_42_dl_akr_3xs_jvb) 4816 0 R (unique_114_Connect_42_dl_cx1_kxs_jvb) 4817 0 R (unique_114_Connect_42_dl_htp_hxs_jvb) 4815 0 R (unique_114_Connect_42_note_ovs_q5q_25b) 4814 0 R (unique_114_Connect_42_section_bzy_yg4_s1b) 4813 0 R (unique_114_Connect_42_section_y23_vmz_c5b) 4819 0 R (unique_114_Connect_42_ul_jfv_kxs_jvb) 4818 0 R (unique_114_Connect_42_ul_pzj_1vq_25b) 4820 0 R (unique_115) 4393 0 R (unique_115_Connect_42_codeblock_fnz_f3v_d5b) 4400 0 R (unique_115_Connect_42_codeblock_t2s_yhv_d5b) 4398 0 R (unique_115_Connect_42_codeblock_v2n_23v_d5b) 4399 0 R (unique_115_Connect_42_dl_uql_dst_jvb) 4396 0 R (unique_115_Connect_42_section_bzy_yg4_s1b) 4395 0 R (unique_115_Connect_42_section_onv_wmz_c5b) 4401 0 R (unique_115_Connect_42_section_y23_vmz_c5b) 4397 0 R (unique_115_Connect_42_ul_uqj_1nz_c5b) 4402 0 R (unique_116) 4403 0 R (unique_116_Connect_42_codeblock_k2c_w3v_d5b) 4410 0 R (unique_116_Connect_42_codeblock_wdf_v3v_d5b) 4409 0 R (unique_116_Connect_42_codeblock_xsg_x3v_d5b) 4411 0 R (unique_116_Connect_42_dl_uql_dst_jvb) 4406 0 R (unique_116_Connect_42_section_bzy_yg4_s1b) 4405 0 R (unique_116_Connect_42_section_onv_wmz_c5b) 4412 0 R (unique_116_Connect_42_section_y23_vmz_c5b) 4408 0 R (unique_116_Connect_42_ul_n4j_2tt_jvb) 4407 0 R (unique_116_Connect_42_ul_uqj_1nz_c5b) 4413 0 R (unique_117) 4414 0 R (unique_117_Connect_42_codeblock_wnh_ljv_d5b) 4419 0 R (unique_117_Connect_42_dl_uql_dst_jvb) 4417 0 R (unique_117_Connect_42_section_bzy_yg4_s1b) 4416 0 R (unique_117_Connect_42_section_onv_wmz_c5b) 4420 0 R (unique_117_Connect_42_section_y23_vmz_c5b) 4418 0 R (unique_117_Connect_42_ul_uqj_1nz_c5b) 4421 0 R (unique_118) 4851 0 R (unique_118_Connect_42_codeblock_vb1_hms_25b) 4858 0 R (unique_118_Connect_42_dl_jj1_pws_jvb) 4855 0 R (unique_118_Connect_42_dl_ngg_4ws_jvb) 4854 0 R (unique_118_Connect_42_dl_xhc_qws_jvb) 4856 0 R (unique_118_Connect_42_section_bzy_yg4_s1b) 4853 0 R (unique_118_Connect_42_section_onv_wmz_c5b) 4859 0 R (unique_118_Connect_42_section_y23_vmz_c5b) 4857 0 R (unique_118_Connect_42_ul_uqj_1nz_c5b) 4860 0 R (unique_119) 4422 0 R (unique_119_Connect_42_codeblock_aky_bkv_d5b) 4429 0 R (unique_119_Connect_42_codeblock_syw_1kv_d5b) 4428 0 R (unique_119_Connect_42_dl_uql_dst_jvb) 4425 0 R (unique_119_Connect_42_section_bzy_yg4_s1b) 4424 0 R (unique_119_Connect_42_section_onv_wmz_c5b) 4430 0 R (unique_119_Connect_42_section_y23_vmz_c5b) 4427 0 R (unique_119_Connect_42_ul_mmc_vst_jvb) 4426 0 R (unique_119_Connect_42_ul_uqj_1nz_c5b) 4431 0 R (unique_11_Connect_42_codeblock_aw5_vqf_15b) 3719 0 R (unique_11_Connect_42_codeblock_ry5_yqf_15b) 3720 0 R] >> endobj 5524 0 obj << /Limits [(unique_11_Connect_42_codeblock_wtn_sqf_15b) (unique_124_Connect_42_note_l1h_sgv_f5b)] /Names [(unique_11_Connect_42_codeblock_wtn_sqf_15b) 3717 0 R (unique_11_Connect_42_fig_afy_mqf_15b) 3715 0 R (unique_11_Connect_42_image_psb_kcv_k5b) 3716 0 R (unique_11_Connect_42_section_bzy_yg4_s1b) 3712 0 R (unique_11_Connect_42_section_gxv_ch4_s1b) 3714 0 R (unique_11_Connect_42_section_mnq_tqf_15b) 3718 0 R (unique_11_Connect_42_ul_nrh_4qf_15b) 3713 0 R (unique_12) 3746 0 R (unique_120) 4432 0 R (unique_120_Connect_42_codeblock_evy_5kv_d5b) 4439 0 R (unique_120_Connect_42_codeblock_ocg_wkv_d5b) 4440 0 R (unique_120_Connect_42_codeblock_t1s_xkv_d5b) 4441 0 R (unique_120_Connect_42_dl_uql_dst_jvb) 4436 0 R (unique_120_Connect_42_note_opk_mkv_d5b) 4435 0 R (unique_120_Connect_42_section_bzy_yg4_s1b) 4434 0 R (unique_120_Connect_42_section_onv_wmz_c5b) 4442 0 R (unique_120_Connect_42_section_y23_vmz_c5b) 4438 0 R (unique_120_Connect_42_ul_uqj_1nz_c5b) 4443 0 R (unique_120_Connect_42_ul_vyl_gst_jvb) 4437 0 R (unique_121) 4458 0 R (unique_121_Connect_42_codeblock_itn_cnv_d5b) 4467 0 R (unique_121_Connect_42_codeblock_zxb_zmv_d5b) 4466 0 R (unique_121_Connect_42_dl_s2g_vjt_jvb) 4462 0 R (unique_121_Connect_42_note_N1004D_N1001B_N10018_N10001) 4461 0 R (unique_121_Connect_42_note_tzl_dnv_d5b) 4468 0 R (unique_121_Connect_42_section_bzy_yg4_s1b) 4460 0 R (unique_121_Connect_42_section_onv_wmz_c5b) 4469 0 R (unique_121_Connect_42_section_y23_vmz_c5b) 4465 0 R (unique_121_Connect_42_ul_o22_5rt_jvb) 4464 0 R (unique_121_Connect_42_ul_onw_srt_jvb) 4463 0 R (unique_121_Connect_42_ul_uqj_1nz_c5b) 4470 0 R (unique_122) 5259 0 R (unique_122_Connect_42_dl_gzv_rb5_jvb) 5264 0 R (unique_122_Connect_42_note_N1002B_N1001B_N10018_N10001) 5262 0 R (unique_122_Connect_42_section_bzy_yg4_s1b) 5261 0 R (unique_122_Connect_42_section_onv_wmz_c5b) 5268 0 R (unique_122_Connect_42_section_y23_vmz_c5b) 5267 0 R (unique_122_Connect_42_ul_djj_5c5_jvb) 5265 0 R (unique_122_Connect_42_ul_ngn_vc5_jvb) 5266 0 R (unique_122_Connect_42_ul_ogb_zdb_g5b) 5263 0 R (unique_122_Connect_42_ul_uqj_1nz_c5b) 5269 0 R (unique_123) 4471 0 R (unique_123_Connect_42_codeblock_j2n_44v_d5b) 4481 0 R (unique_123_Connect_42_codeblock_jlb_d4v_d5b) 4479 0 R (unique_123_Connect_42_codeblock_pqk_l4v_d5b) 4480 0 R (unique_123_Connect_42_codeblock_ydj_q4v_d5b) 4482 0 R (unique_123_Connect_42_dl_otf_cjt_jvb) 4476 0 R (unique_123_Connect_42_note_N1002F_N1001B_N10018_N10001) 4474 0 R (unique_123_Connect_42_note_N1003C_N1001B_N10018_N10001) 4475 0 R (unique_123_Connect_42_note_u3b_x4v_d5b) 4484 0 R (unique_123_Connect_42_section_bzy_yg4_s1b) 4473 0 R (unique_123_Connect_42_section_onv_wmz_c5b) 4485 0 R (unique_123_Connect_42_section_y23_vmz_c5b) 4478 0 R (unique_123_Connect_42_ul_gcy_r4v_d5b) 4483 0 R (unique_123_Connect_42_ul_uqj_1nz_c5b) 4486 0 R (unique_123_Connect_42_ul_wk1_sjt_jvb) 4477 0 R (unique_124) 5152 0 R (unique_124_Connect_42_codeblock_dh1_5gv_f5b) 5163 0 R (unique_124_Connect_42_codeblock_r2k_rgv_f5b) 5161 0 R (unique_124_Connect_42_codeblock_udc_vg5_jvb) 5157 0 R (unique_124_Connect_42_dl_o5x_rg5_jvb) 5156 0 R (unique_124_Connect_42_note_N1002B_N1001B_N10018_N10001) 5155 0 R (unique_124_Connect_42_note_N1010B_N10104_N100FD_N1008B_N10083_N10018_N10001) 5165 0 R (unique_124_Connect_42_note_l1h_sgv_f5b) 5162 0 R] >> endobj 5525 0 obj << /Limits [(unique_124_Connect_42_note_wdc_vg5_jvb) (unique_12_Connect_42_section_bzy_yg4_s1b)] /Names [(unique_124_Connect_42_note_wdc_vg5_jvb) 5159 0 R (unique_124_Connect_42_section_bzy_yg4_s1b) 5154 0 R (unique_124_Connect_42_section_onv_wmz_c5b) 5166 0 R (unique_124_Connect_42_section_y23_vmz_c5b) 5160 0 R (unique_124_Connect_42_ul_uqj_1nz_c5b) 5167 0 R (unique_124_Connect_42_ul_vdc_vg5_jvb) 5158 0 R (unique_124_Connect_42_ul_wmz_vgv_f5b) 5164 0 R (unique_125) 5168 0 R (unique_125_Connect_42_codeblock_zcc_vhv_f5b) 5174 0 R (unique_125_Connect_42_dl_snd_mg5_jvb) 5172 0 R (unique_125_Connect_42_section_bzy_yg4_s1b) 5170 0 R (unique_125_Connect_42_section_onv_wmz_c5b) 5175 0 R (unique_125_Connect_42_section_y23_vmz_c5b) 5173 0 R (unique_125_Connect_42_ul_uqj_1nz_c5b) 5176 0 R (unique_125_Connect_42_ul_vkw_jhv_f5b) 5171 0 R (unique_126) 5177 0 R (unique_126_Connect_42_codeblock_gxp_t3v_f5b) 5185 0 R (unique_126_Connect_42_section_bzy_yg4_s1b) 5179 0 R (unique_126_Connect_42_section_ntx_5mz_c5b) 5181 0 R (unique_126_Connect_42_section_onv_wmz_c5b) 5187 0 R (unique_126_Connect_42_section_p5m_5mz_c5b) 5180 0 R (unique_126_Connect_42_section_tx1_vmz_c5b) 5182 0 R (unique_126_Connect_42_section_y23_vmz_c5b) 5184 0 R (unique_126_Connect_42_ul_c5p_r3v_f5b) 5183 0 R (unique_126_Connect_42_ul_s5l_y3v_f5b) 5186 0 R (unique_126_Connect_42_ul_uqj_1nz_c5b) 5188 0 R (unique_127) 5198 0 R (unique_127_Connect_42_dl_ekx_tf5_jvb) 5201 0 R (unique_127_Connect_42_section_bzy_yg4_s1b) 5200 0 R (unique_127_Connect_42_section_y23_vmz_c5b) 5202 0 R (unique_128) 5270 0 R (unique_128_Connect_42_codeblock_hng_1hb_g5b) 5278 0 R (unique_128_Connect_42_codeblock_xwh_ngb_g5b) 5277 0 R (unique_128_Connect_42_dl_gzv_rb5_jvb) 5274 0 R (unique_128_Connect_42_note_N10037_N1001B_N10018_N10001) 5273 0 R (unique_128_Connect_42_note_N1010F_N10108_N10101_N10095_N1008D_N10018_N10001) 5280 0 R (unique_128_Connect_42_section_bzy_yg4_s1b) 5272 0 R (unique_128_Connect_42_section_onv_wmz_c5b) 5281 0 R (unique_128_Connect_42_section_y23_vmz_c5b) 5276 0 R (unique_128_Connect_42_ul_bdx_kc5_jvb) 5275 0 R (unique_128_Connect_42_ul_jyn_chb_g5b) 5279 0 R (unique_128_Connect_42_ul_uqj_1nz_c5b) 5282 0 R (unique_129) 4487 0 R (unique_129_Connect_42_codeblock_bwd_ypv_d5b) 4498 0 R (unique_129_Connect_42_codeblock_dwm_npv_d5b) 4491 0 R (unique_129_Connect_42_codeblock_ggk_cqv_d5b) 4499 0 R (unique_129_Connect_42_codeblock_q5j_hqv_d5b) 4500 0 R (unique_129_Connect_42_dl_otf_cjt_jvb) 4494 0 R (unique_129_Connect_42_note_N10027_N1001B_N10018_N10001) 4490 0 R (unique_129_Connect_42_section_awk_ppv_d5b) 4492 0 R (unique_129_Connect_42_section_bzy_yg4_s1b) 4489 0 R (unique_129_Connect_42_section_onv_wmz_c5b) 4501 0 R (unique_129_Connect_42_section_w2s_3qv_d5b) 4503 0 R (unique_129_Connect_42_section_y23_vmz_c5b) 4497 0 R (unique_129_Connect_42_ul_k2d_2jt_jvb) 4495 0 R (unique_129_Connect_42_ul_l2d_2jt_jvb) 4496 0 R (unique_129_Connect_42_ul_uqj_1nz_c5b) 4502 0 R (unique_129_Connect_42_ul_x44_nqv_d5b) 4504 0 R (unique_129_Connect_42_ul_ycr_qpv_d5b) 4493 0 R (unique_12_Connect_42_codeblock_fgy_f5f_15b) 3754 0 R (unique_12_Connect_42_codeblock_vtr_l5f_15b) 3755 0 R (unique_12_Connect_42_fig_ezw_qtf_15b) 3750 0 R (unique_12_Connect_42_image_pgl_tcv_k5b) 3751 0 R (unique_12_Connect_42_section_bzy_yg4_s1b) 3748 0 R] >> endobj 5526 0 obj << /Limits [(unique_12_Connect_42_section_gxv_ch4_s1b) (unique_133_Connect_42_note_N10023_N1001B_N10018_N10001)] /Names [(unique_12_Connect_42_section_gxv_ch4_s1b) 3749 0 R (unique_12_Connect_42_section_x1v_ttf_15b) 3752 0 R (unique_12_Connect_42_table_t1w_wtf_15b) 3753 0 R (unique_13) 3791 0 R (unique_130) 4615 0 R (unique_130_Connect_42_codeblock_enz_15c_25b) 4630 0 R (unique_130_Connect_42_codeblock_esr_5tc_25b) 4628 0 R (unique_130_Connect_42_codeblock_prw_ptc_25b) 4627 0 R (unique_130_Connect_42_codeblock_ugp_qpc_25b) 4619 0 R (unique_130_Connect_42_note_scj_npc_25b) 4618 0 R (unique_130_Connect_42_section_bzy_yg4_s1b) 4617 0 R (unique_130_Connect_42_section_kqq_spc_25b) 4620 0 R (unique_130_Connect_42_section_ntx_5mz_c5b) 4622 0 R (unique_130_Connect_42_section_onv_wmz_c5b) 4631 0 R (unique_130_Connect_42_section_oxz_c5c_25b) 4633 0 R (unique_130_Connect_42_section_p5m_5mz_c5b) 4621 0 R (unique_130_Connect_42_section_tx1_vmz_c5b) 4625 0 R (unique_130_Connect_42_section_y23_vmz_c5b) 4626 0 R (unique_130_Connect_42_ul_iyz_wpc_25b) 4624 0 R (unique_130_Connect_42_ul_otr_wtc_25b) 4629 0 R (unique_130_Connect_42_ul_pd1_vpc_25b) 4623 0 R (unique_130_Connect_42_ul_uqj_1nz_c5b) 4632 0 R (unique_130_Connect_42_ul_zfc_h5c_25b) 4634 0 R (unique_131) 4505 0 R (unique_131_Connect_42_codeblock_dcm_c2w_d5b) 4515 0 R (unique_131_Connect_42_codeblock_dkn_z2w_d5b) 4520 0 R (unique_131_Connect_42_codeblock_hrb_p2w_d5b) 4518 0 R (unique_131_Connect_42_codeblock_qnq_32w_d5b) 4516 0 R (unique_131_Connect_42_dl_my2_x3t_jvb) 4512 0 R (unique_131_Connect_42_note_nhp_qdw_d5b) 4509 0 R (unique_131_Connect_42_section_bzy_yg4_s1b) 4507 0 R (unique_131_Connect_42_section_onv_wmz_c5b) 4521 0 R (unique_131_Connect_42_section_wc5_3dw_d5b) 4508 0 R (unique_131_Connect_42_section_y23_vmz_c5b) 4514 0 R (unique_131_Connect_42_ul_m2m_sdw_d5b) 4510 0 R (unique_131_Connect_42_ul_pkw_k2w_d5b) 4517 0 R (unique_131_Connect_42_ul_sdk_52w_d5b) 4519 0 R (unique_131_Connect_42_ul_sf2_1jt_jvb) 4513 0 R (unique_131_Connect_42_ul_uqj_1nz_c5b) 4522 0 R (unique_131_Connect_42_ul_wgm_vdw_d5b) 4511 0 R (unique_132) 4779 0 R (unique_132_Connect_42_codeblock_cly_phl_25b) 4795 0 R (unique_132_Connect_42_codeblock_fx1_4hl_25b) 4794 0 R (unique_132_Connect_42_codeblock_qr3_fhl_25b) 4790 0 R (unique_132_Connect_42_codeblock_v4f_jhl_25b) 4792 0 R (unique_132_Connect_42_dl_ix4_sxs_jvb) 4787 0 R (unique_132_Connect_42_dl_odr_rxs_jvb) 4786 0 R (unique_132_Connect_42_note_rvf_xgl_25b) 4783 0 R (unique_132_Connect_42_section_bzy_yg4_s1b) 4781 0 R (unique_132_Connect_42_section_onv_wmz_c5b) 4796 0 R (unique_132_Connect_42_section_qrl_wgl_25b) 4782 0 R (unique_132_Connect_42_section_y23_vmz_c5b) 4789 0 R (unique_132_Connect_42_ul_adk_zgl_25b) 4785 0 R (unique_132_Connect_42_ul_ifk_ygl_25b) 4784 0 R (unique_132_Connect_42_ul_svp_khl_25b) 4793 0 R (unique_132_Connect_42_ul_uqj_1nz_c5b) 4797 0 R (unique_132_Connect_42_ul_vc1_hhl_25b) 4791 0 R (unique_132_Connect_42_ul_zmq_5xs_jvb) 4788 0 R (unique_133) 4533 0 R (unique_133_Connect_42_codeblock_ijg_ghc_25b) 4541 0 R (unique_133_Connect_42_codeblock_l3p_nhc_25b) 4543 0 R (unique_133_Connect_42_codeblock_lmh_chc_25b) 4540 0 R (unique_133_Connect_42_dl_yjx_bht_jvb) 4537 0 R (unique_133_Connect_42_note_N10023_N1001B_N10018_N10001) 4536 0 R] >> endobj 5527 0 obj << /Limits [(unique_133_Connect_42_section_bzy_yg4_s1b) (unique_139_Connect_42_section_y23_vmz_c5b)] /Names [(unique_133_Connect_42_section_bzy_yg4_s1b) 4535 0 R (unique_133_Connect_42_section_onv_wmz_c5b) 4544 0 R (unique_133_Connect_42_section_y23_vmz_c5b) 4539 0 R (unique_133_Connect_42_ul_ap2_2ht_jvb) 4538 0 R (unique_133_Connect_42_ul_jw5_jhc_25b) 4542 0 R (unique_133_Connect_42_ul_uqj_1nz_c5b) 4545 0 R (unique_134) 4906 0 R (unique_134_Connect_42_dl_ptg_qvs_jvb) 4911 0 R (unique_134_Connect_42_note_N10023_N1001B_N10018_N10001) 4909 0 R (unique_134_Connect_42_note_N1003A_N1001B_N10018_N10001) 4910 0 R (unique_134_Connect_42_note_x4x_rps_25b) 4913 0 R (unique_134_Connect_42_section_bzy_yg4_s1b) 4908 0 R (unique_134_Connect_42_section_y23_vmz_c5b) 4914 0 R (unique_134_Connect_42_ul_bpy_cqs_25b) 4915 0 R (unique_134_Connect_42_ul_mzq_pps_25b) 4912 0 R (unique_135) 4558 0 R (unique_135_Connect_42_codeblock_e5j_5jc_25b) 4566 0 R (unique_135_Connect_42_dl_m3q_kgt_jvb) 4562 0 R (unique_135_Connect_42_note_ekp_4gt_jvb) 4564 0 R (unique_135_Connect_42_note_ow3_djc_25b) 4561 0 R (unique_135_Connect_42_section_bzy_yg4_s1b) 4560 0 R (unique_135_Connect_42_section_onv_wmz_c5b) 4567 0 R (unique_135_Connect_42_section_y23_vmz_c5b) 4565 0 R (unique_135_Connect_42_ul_uqj_1nz_c5b) 4568 0 R (unique_135_Connect_42_ul_yx2_4gt_jvb) 4563 0 R (unique_136) 4594 0 R (unique_136_Connect_42_codeblock_ldt_34c_25b) 4601 0 R (unique_136_Connect_42_dl_zxd_5dt_jvb) 4598 0 R (unique_136_Connect_42_note_N1002C_N1001B_N10018_N10001) 4597 0 R (unique_136_Connect_42_section_bzy_yg4_s1b) 4596 0 R (unique_136_Connect_42_section_onv_wmz_c5b) 4602 0 R (unique_136_Connect_42_section_y23_vmz_c5b) 4600 0 R (unique_136_Connect_42_ul_up5_vft_jvb) 4599 0 R (unique_136_Connect_42_ul_uqj_1nz_c5b) 4603 0 R (unique_137) 4604 0 R (unique_137_Connect_42_dl_zxd_5dt_jvb) 4607 0 R (unique_137_Connect_42_section_bzy_yg4_s1b) 4606 0 R (unique_137_Connect_42_section_onv_wmz_c5b) 4613 0 R (unique_137_Connect_42_section_y23_vmz_c5b) 4612 0 R (unique_137_Connect_42_ul_jcg_xdt_jvb) 4609 0 R (unique_137_Connect_42_ul_kcg_xdt_jvb) 4610 0 R (unique_137_Connect_42_ul_lcg_xdt_jvb) 4611 0 R (unique_137_Connect_42_ul_opn_wdt_jvb) 4608 0 R (unique_137_Connect_42_ul_uqj_1nz_c5b) 4614 0 R (unique_138) 4655 0 R (unique_138_Connect_42_codeblock_uzn_zsd_25b) 4664 0 R (unique_138_Connect_42_dl_mvc_21t_jvb) 4661 0 R (unique_138_Connect_42_dl_v11_f1t_jvb) 4662 0 R (unique_138_Connect_42_dl_ycq_d1t_jvb) 4660 0 R (unique_138_Connect_42_note_N1002F_N1001B_N10018_N10001) 4658 0 R (unique_138_Connect_42_note_N10055_N1001B_N10018_N10001) 4659 0 R (unique_138_Connect_42_section_bzy_yg4_s1b) 4657 0 R (unique_138_Connect_42_section_onv_wmz_c5b) 4665 0 R (unique_138_Connect_42_section_y23_vmz_c5b) 4663 0 R (unique_138_Connect_42_ul_uqj_1nz_c5b) 4666 0 R (unique_139) 4667 0 R (unique_139_Connect_42_codeblock_ajb_c5d_25b) 4676 0 R (unique_139_Connect_42_dl_mvc_21t_jvb) 4672 0 R (unique_139_Connect_42_dl_v11_f1t_jvb) 4673 0 R (unique_139_Connect_42_dl_ycq_d1t_jvb) 4671 0 R (unique_139_Connect_42_note_N10033_N1001B_N10018_N10001) 4670 0 R (unique_139_Connect_42_section_bzy_yg4_s1b) 4669 0 R (unique_139_Connect_42_section_onv_wmz_c5b) 4677 0 R (unique_139_Connect_42_section_y23_vmz_c5b) 4675 0 R] >> endobj 5528 0 obj << /Limits [(unique_139_Connect_42_ul_krg_g1t_jvb) (unique_145_Connect_42_dl_pbp_235_jvb)] /Names [(unique_139_Connect_42_ul_krg_g1t_jvb) 4674 0 R (unique_139_Connect_42_ul_uqj_1nz_c5b) 4678 0 R (unique_13_Connect_42_codeblock_d3w_hxf_15b) 3800 0 R (unique_13_Connect_42_codeblock_fqm_nxf_15b) 3801 0 R (unique_13_Connect_42_codeblock_kz4_2xf_15b) 3797 0 R (unique_13_Connect_42_codeblock_ljc_gxf_15b) 3798 0 R (unique_13_Connect_42_fig_wp2_1xf_15b) 3794 0 R (unique_13_Connect_42_image_qkd_cdv_k5b) 3795 0 R (unique_13_Connect_42_section_bzy_yg4_s1b) 3793 0 R (unique_13_Connect_42_section_gxv_ch4_s1b) 3796 0 R (unique_13_Connect_42_section_mxm_gxf_15b) 3799 0 R (unique_14) 3840 0 R (unique_140) 5211 0 R (unique_140_Connect_42_dl_ekx_tf5_jvb) 5215 0 R (unique_140_Connect_42_note_N1003C_N1001B_N10018_N10001) 5214 0 R (unique_140_Connect_42_section_bzy_yg4_s1b) 5213 0 R (unique_140_Connect_42_section_onv_wmz_c5b) 5219 0 R (unique_140_Connect_42_section_y23_vmz_c5b) 5217 0 R (unique_140_Connect_42_ul_s4p_wf5_jvb) 5216 0 R (unique_140_Connect_42_ul_uqj_1nz_c5b) 5220 0 R (unique_140_Connect_42_ul_zt2_w1b_g5b) 5218 0 R (unique_141) 4679 0 R (unique_141_Connect_42_codeblock_rxd_51l_25b) 4686 0 R (unique_141_Connect_42_dl_ig4_3zs_jvb) 4683 0 R (unique_141_Connect_42_dl_tvl_hzs_jvb) 4682 0 R (unique_141_Connect_42_section_bzy_yg4_s1b) 4681 0 R (unique_141_Connect_42_section_onv_wmz_c5b) 4687 0 R (unique_141_Connect_42_section_y23_vmz_c5b) 4685 0 R (unique_141_Connect_42_ul_uqj_1nz_c5b) 4688 0 R (unique_141_Connect_42_ul_z12_jzs_jvb) 4684 0 R (unique_142) 4706 0 R (unique_142_Connect_42_codeblock_egr_xys_jvb) 4710 0 R (unique_142_Connect_42_codeblock_fgr_xys_jvb) 4711 0 R (unique_142_Connect_42_codeblock_zf5_gcl_25b) 4713 0 R (unique_142_Connect_42_dl_r45_pys_jvb) 4709 0 R (unique_142_Connect_42_section_bzy_yg4_s1b) 4708 0 R (unique_142_Connect_42_section_onv_wmz_c5b) 4714 0 R (unique_142_Connect_42_section_y23_vmz_c5b) 4712 0 R (unique_142_Connect_42_ul_uqj_1nz_c5b) 4715 0 R (unique_143) 4728 0 R (unique_143_Connect_42_codeblock_c1s_22l_25b) 4737 0 R (unique_143_Connect_42_dl_ljy_wxs_jvb) 4734 0 R (unique_143_Connect_42_note_N1001F_N1001B_N10018_N10001) 4731 0 R (unique_143_Connect_42_note_alr_wdl_25b) 4733 0 R (unique_143_Connect_42_section_bzy_yg4_s1b) 4730 0 R (unique_143_Connect_42_section_onv_wmz_c5b) 4738 0 R (unique_143_Connect_42_section_y23_vmz_c5b) 4736 0 R (unique_143_Connect_42_ul_fby_nys_jvb) 4735 0 R (unique_143_Connect_42_ul_uqj_1nz_c5b) 4739 0 R (unique_143_Connect_42_ul_yxh_vdl_25b) 4732 0 R (unique_144) 5076 0 R (unique_144_Connect_42_dl_pbp_235_jvb) 5084 0 R (unique_144_Connect_42_note_N10021_N1001C_N10019_N10001) 5079 0 R (unique_144_Connect_42_note_N10038_N1001B_N10018_N10001) 5081 0 R (unique_144_Connect_42_section_bzy_yg4_s1b) 5078 0 R (unique_144_Connect_42_section_onv_wmz_c5b) 5087 0 R (unique_144_Connect_42_section_y23_vmz_c5b) 5086 0 R (unique_144_Connect_42_ul_fdy_t35_jvb) 5085 0 R (unique_144_Connect_42_ul_fsj_pk5_f5b) 5083 0 R (unique_144_Connect_42_ul_g2r_4k5_f5b) 5082 0 R (unique_144_Connect_42_ul_oc2_mk5_f5b) 5080 0 R (unique_144_Connect_42_ul_uqj_1nz_c5b) 5088 0 R (unique_145) 5065 0 R (unique_145_Connect_42_dl_pbp_235_jvb) 5071 0 R] >> endobj 5529 0 obj << /Limits [(unique_145_Connect_42_note_N10021_N1001C_N10019_N10001) (unique_15)] /Names [(unique_145_Connect_42_note_N10021_N1001C_N10019_N10001) 5068 0 R (unique_145_Connect_42_note_N1003C_N1001B_N10018_N10001) 5070 0 R (unique_145_Connect_42_section_bzy_yg4_s1b) 5067 0 R (unique_145_Connect_42_section_onv_wmz_c5b) 5074 0 R (unique_145_Connect_42_section_y23_vmz_c5b) 5073 0 R (unique_145_Connect_42_ul_lzs_4j5_f5b) 5069 0 R (unique_145_Connect_42_ul_syg_xj5_jvb) 5072 0 R (unique_145_Connect_42_ul_uqj_1nz_c5b) 5075 0 R (unique_146) 5089 0 R (unique_146_Connect_42_dl_pbp_235_jvb) 5095 0 R (unique_146_Connect_42_note_N10021_N1001C_N10019_N10001) 5092 0 R (unique_146_Connect_42_note_N1003C_N1001B_N10018_N10001) 5094 0 R (unique_146_Connect_42_section_bzy_yg4_s1b) 5091 0 R (unique_146_Connect_42_section_onv_wmz_c5b) 5098 0 R (unique_146_Connect_42_section_y23_vmz_c5b) 5097 0 R (unique_146_Connect_42_ul_gnb_5m5_f5b) 5093 0 R (unique_146_Connect_42_ul_k5f_h35_jvb) 5096 0 R (unique_146_Connect_42_ul_uqj_1nz_c5b) 5099 0 R (unique_147) 4753 0 R (unique_147_Connect_42_codeblock_gd4_rfl_25b) 4765 0 R (unique_147_Connect_42_codeblock_iwq_2fl_25b) 4758 0 R (unique_147_Connect_42_codeblock_lw2_2fl_25b) 4757 0 R (unique_147_Connect_42_codeblock_qgt_yfl_25b) 4768 0 R (unique_147_Connect_42_codeblock_zlz_5fl_25b) 4766 0 R (unique_147_Connect_42_dl_ljy_wxs_jvb) 4760 0 R (unique_147_Connect_42_note_N10056_N1001B_N10018_N10001) 4759 0 R (unique_147_Connect_42_note_N1012D_N10111_N1010A_N100C1_N100B9_N10018_N10001) 4767 0 R (unique_147_Connect_42_note_is4_2ys_jvb) 4763 0 R (unique_147_Connect_42_section_bzy_yg4_s1b) 4755 0 R (unique_147_Connect_42_section_onv_wmz_c5b) 4769 0 R (unique_147_Connect_42_section_y23_vmz_c5b) 4764 0 R (unique_147_Connect_42_ul_agq_dfl_25b) 4756 0 R (unique_147_Connect_42_ul_dgw_dys_jvb) 4761 0 R (unique_147_Connect_42_ul_egw_dys_jvb) 4762 0 R (unique_147_Connect_42_ul_uqj_1nz_c5b) 4770 0 R (unique_148) 4771 0 R (unique_148_Connect_42_dl_ljy_wxs_jvb) 4774 0 R (unique_148_Connect_42_section_bzy_yg4_s1b) 4773 0 R (unique_148_Connect_42_section_onv_wmz_c5b) 4777 0 R (unique_148_Connect_42_section_y23_vmz_c5b) 4776 0 R (unique_148_Connect_42_ul_uqj_1nz_c5b) 4778 0 R (unique_148_Connect_42_ul_yqn_1ys_jvb) 4775 0 R (unique_149) 4838 0 R (unique_149_Connect_42_codeblock_pbg_mls_25b) 4848 0 R (unique_149_Connect_42_codeblock_tsl_lls_25b) 4847 0 R (unique_149_Connect_42_dl_lr3_tws_jvb) 4843 0 R (unique_149_Connect_42_dl_vdl_5ws_jvb) 4844 0 R (unique_149_Connect_42_dl_vl1_xws_jvb) 4845 0 R (unique_149_Connect_42_note_N10043_N1001B_N10018_N10001) 4841 0 R (unique_149_Connect_42_section_N10084_N10019_N10001) 4842 0 R (unique_149_Connect_42_section_bzy_yg4_s1b) 4840 0 R (unique_149_Connect_42_section_onv_wmz_c5b) 4849 0 R (unique_149_Connect_42_section_y23_vmz_c5b) 4846 0 R (unique_149_Connect_42_ul_uqj_1nz_c5b) 4850 0 R (unique_14_Connect_42_codeblock_hsq_tcx_b5b) 3850 0 R (unique_14_Connect_42_codeblock_smk_ncx_b5b) 3849 0 R (unique_14_Connect_42_fig_igy_gcx_b5b) 3846 0 R (unique_14_Connect_42_image_w5z_mdv_k5b) 3847 0 R (unique_14_Connect_42_ol_lps_jww_b5b) 3844 0 R (unique_14_Connect_42_section_bzy_yg4_s1b) 3842 0 R (unique_14_Connect_42_section_gxv_ch4_s1b) 3845 0 R (unique_14_Connect_42_section_zxk_lcx_b5b) 3848 0 R (unique_14_Connect_42_ul_xsg_gww_b5b) 3843 0 R (unique_15) 3449 0 R] >> endobj 5530 0 obj << /Limits [(unique_150) (unique_157)] /Names [(unique_150) 4861 0 R (unique_150_Connect_42_codeblock_wyb_1ns_25b) 4868 0 R (unique_150_Connect_42_dl_pb3_jws_jvb) 4865 0 R (unique_150_Connect_42_note_N10027_N1001B_N10018_N10001) 4864 0 R (unique_150_Connect_42_section_bzy_yg4_s1b) 4863 0 R (unique_150_Connect_42_section_onv_wmz_c5b) 4869 0 R (unique_150_Connect_42_section_y23_vmz_c5b) 4866 0 R (unique_150_Connect_42_ul_sj2_xms_25b) 4867 0 R (unique_150_Connect_42_ul_uqj_1nz_c5b) 4870 0 R (unique_151) 4871 0 R (unique_151_Connect_42_codeblock_qcd_tns_25b) 4880 0 R (unique_151_Connect_42_codeblock_snd_pns_25b) 4878 0 R (unique_151_Connect_42_dl_abj_2ws_jvb) 4874 0 R (unique_151_Connect_42_dl_lxf_gws_jvb) 4876 0 R (unique_151_Connect_42_dl_nsj_fws_jvb) 4875 0 R (unique_151_Connect_42_section_bzy_yg4_s1b) 4873 0 R (unique_151_Connect_42_section_onv_wmz_c5b) 4881 0 R (unique_151_Connect_42_section_y23_vmz_c5b) 4877 0 R (unique_151_Connect_42_ul_dcq_qns_25b) 4879 0 R (unique_151_Connect_42_ul_uqj_1nz_c5b) 4882 0 R (unique_152) 4883 0 R (unique_152_Connect_42_codeblock_wxz_j4s_25b) 4891 0 R (unique_152_Connect_42_dl_csz_bws_jvb) 4888 0 R (unique_152_Connect_42_dl_fmq_1ws_jvb) 4887 0 R (unique_152_Connect_42_dl_gl4_zvs_jvb) 4886 0 R (unique_152_Connect_42_section_bzy_yg4_s1b) 4885 0 R (unique_152_Connect_42_section_onv_wmz_c5b) 4892 0 R (unique_152_Connect_42_section_y23_vmz_c5b) 4890 0 R (unique_152_Connect_42_ul_is2_d4s_25b) 4889 0 R (unique_152_Connect_42_ul_uqj_1nz_c5b) 4893 0 R (unique_153) 4916 0 R (unique_153_Connect_42_dl_rw2_nvs_jvb) 4919 0 R (unique_153_Connect_42_note_jg2_tqs_25b) 4922 0 R (unique_153_Connect_42_section_bzy_yg4_s1b) 4918 0 R (unique_153_Connect_42_section_onv_wmz_c5b) 4924 0 R (unique_153_Connect_42_section_tx1_vmz_c5b) 4920 0 R (unique_153_Connect_42_section_y23_vmz_c5b) 4923 0 R (unique_153_Connect_42_table_yc5_4qs_25b) 4921 0 R (unique_153_Connect_42_ul_uqj_1nz_c5b) 4925 0 R (unique_154) 4974 0 R (unique_154_Connect_42_dl_pv5_wm5_jvb) 4977 0 R (unique_154_Connect_42_section_bzy_yg4_s1b) 4976 0 R (unique_154_Connect_42_section_onv_wmz_c5b) 4981 0 R (unique_154_Connect_42_section_tx1_vmz_c5b) 4978 0 R (unique_154_Connect_42_section_y23_vmz_c5b) 4980 0 R (unique_154_Connect_42_table_owm_lty_25b) 4979 0 R (unique_154_Connect_42_ul_uqj_1nz_c5b) 4982 0 R (unique_155) 4926 0 R (unique_155_Connect_42_dl_iw5_b45_jvb) 4929 0 R (unique_155_Connect_42_section_bzy_yg4_s1b) 4928 0 R (unique_155_Connect_42_section_onv_wmz_c5b) 4933 0 R (unique_155_Connect_42_section_tx1_vmz_c5b) 4930 0 R (unique_155_Connect_42_section_y23_vmz_c5b) 4932 0 R (unique_155_Connect_42_table_kwj_1rs_25b) 4931 0 R (unique_155_Connect_42_ul_uqj_1nz_c5b) 4934 0 R (unique_156) 4983 0 R (unique_156_Connect_42_dl_pv5_wm5_jvb) 4986 0 R (unique_156_Connect_42_section_bzy_yg4_s1b) 4985 0 R (unique_156_Connect_42_section_onv_wmz_c5b) 4990 0 R (unique_156_Connect_42_section_tx1_vmz_c5b) 4987 0 R (unique_156_Connect_42_section_y23_vmz_c5b) 4989 0 R (unique_156_Connect_42_table_zby_wty_25b) 4988 0 R (unique_156_Connect_42_ul_uqj_1nz_c5b) 4991 0 R (unique_157) 4935 0 R] >> endobj 5531 0 obj << /Limits [(unique_157_Connect_42_dl_iw5_b45_jvb) (unique_163)] /Names [(unique_157_Connect_42_dl_iw5_b45_jvb) 4938 0 R (unique_157_Connect_42_note_wf3_3p5_jvb) 4940 0 R (unique_157_Connect_42_section_bzy_yg4_s1b) 4937 0 R (unique_157_Connect_42_section_onv_wmz_c5b) 4943 0 R (unique_157_Connect_42_section_y23_vmz_c5b) 4941 0 R (unique_157_Connect_42_ul_a3p_5rs_25b) 4942 0 R (unique_157_Connect_42_ul_uqj_1nz_c5b) 4944 0 R (unique_157_Connect_42_ul_vtq_hp5_jvb) 4939 0 R (unique_158) 4955 0 R (unique_158_Connect_42_codeblock_qmh_4sy_25b) 4962 0 R (unique_158_Connect_42_dl_iw5_b45_jvb) 4959 0 R (unique_158_Connect_42_note_kzj_xry_25b) 4958 0 R (unique_158_Connect_42_section_bzy_yg4_s1b) 4957 0 R (unique_158_Connect_42_section_onv_wmz_c5b) 4963 0 R (unique_158_Connect_42_section_y23_vmz_c5b) 4961 0 R (unique_158_Connect_42_ul_uqj_1nz_c5b) 4964 0 R (unique_158_Connect_42_ul_zkv_245_jvb) 4960 0 R (unique_159) 4992 0 R (unique_159_Connect_42_dl_pv5_wm5_jvb) 4997 0 R (unique_159_Connect_42_note_N1002C_N1001B_N10018_N10001) 4996 0 R (unique_159_Connect_42_note_mt3_t5y_25b) 4995 0 R (unique_159_Connect_42_section_bzy_yg4_s1b) 4994 0 R (unique_159_Connect_42_section_onv_wmz_c5b) 5000 0 R (unique_159_Connect_42_section_y23_vmz_c5b) 4999 0 R (unique_159_Connect_42_ul_ppz_gn5_jvb) 4998 0 R (unique_159_Connect_42_ul_uqj_1nz_c5b) 5001 0 R (unique_15_Connect_42_codeblock_ew1_5pz_xtb) 3457 0 R (unique_15_Connect_42_codeblock_ksx_1qz_xtb) 3460 0 R (unique_15_Connect_42_codeblock_kz4_cqz_xtb) 3461 0 R (unique_15_Connect_42_codeblock_tb4_v4z_xtb) 3452 0 R (unique_15_Connect_42_codeblock_y2p_wpz_xtb) 3459 0 R (unique_15_Connect_42_codeblock_zf4_spz_xtb) 3456 0 R (unique_15_Connect_42_fig_fs3_qpz_xtb) 3454 0 R (unique_15_Connect_42_image_hdm_fv5_k5b) 3455 0 R (unique_15_Connect_42_note_tdl_dqz_xtb) 3462 0 R (unique_15_Connect_42_section_bzy_yg4_s1b) 3451 0 R (unique_15_Connect_42_section_gxv_ch4_s1b) 3453 0 R (unique_15_Connect_42_section_km5_5pz_xtb) 3458 0 R (unique_16) 3463 0 R (unique_160) 5002 0 R (unique_160_Connect_42_dl_pv5_wm5_jvb) 5006 0 R (unique_160_Connect_42_note_N1002C_N1001B_N10018_N10001) 5005 0 R (unique_160_Connect_42_section_bzy_yg4_s1b) 5004 0 R (unique_160_Connect_42_section_onv_wmz_c5b) 5009 0 R (unique_160_Connect_42_section_y23_vmz_c5b) 5008 0 R (unique_160_Connect_42_ul_ljm_bn5_jvb) 5007 0 R (unique_160_Connect_42_ul_uqj_1nz_c5b) 5010 0 R (unique_161) 5011 0 R (unique_161_Connect_42_dl_s1l_zl5_jvb) 5015 0 R (unique_161_Connect_42_note_N1002C_N1001B_N10018_N10001) 5014 0 R (unique_161_Connect_42_section_bzy_yg4_s1b) 5013 0 R (unique_161_Connect_42_section_onv_wmz_c5b) 5018 0 R (unique_161_Connect_42_section_y23_vmz_c5b) 5017 0 R (unique_161_Connect_42_ul_tc1_dm5_jvb) 5016 0 R (unique_161_Connect_42_ul_uqj_1nz_c5b) 5019 0 R (unique_162) 5020 0 R (unique_162_Connect_42_dl_pbp_235_jvb) 5024 0 R (unique_162_Connect_42_note_N1002C_N1001B_N10018_N10001) 5023 0 R (unique_162_Connect_42_section_bzy_yg4_s1b) 5022 0 R (unique_162_Connect_42_section_onv_wmz_c5b) 5027 0 R (unique_162_Connect_42_section_y23_vmz_c5b) 5026 0 R (unique_162_Connect_42_ul_bvq_tl5_jvb) 5025 0 R (unique_162_Connect_42_ul_uqj_1nz_c5b) 5028 0 R (unique_163) 5029 0 R] >> endobj 5532 0 obj << /Limits [(unique_163_Connect_42_dl_pbp_235_jvb) (unique_16_Connect_42_codeblock_uqx_rqz_xtb)] /Names [(unique_163_Connect_42_dl_pbp_235_jvb) 5033 0 R (unique_163_Connect_42_note_N1002C_N1001B_N10018_N10001) 5032 0 R (unique_163_Connect_42_section_bzy_yg4_s1b) 5031 0 R (unique_163_Connect_42_section_onv_wmz_c5b) 5037 0 R (unique_163_Connect_42_section_p5m_5mz_c5b) 5035 0 R (unique_163_Connect_42_section_y23_vmz_c5b) 5036 0 R (unique_163_Connect_42_ul_gn5_ml5_jvb) 5034 0 R (unique_163_Connect_42_ul_uqj_1nz_c5b) 5038 0 R (unique_164) 5047 0 R (unique_164_Connect_42_dl_pbp_235_jvb) 5051 0 R (unique_164_Connect_42_dl_ynm_jk5_jvb) 5052 0 R (unique_164_Connect_42_section_bzy_yg4_s1b) 5049 0 R (unique_164_Connect_42_section_onv_wmz_c5b) 5054 0 R (unique_164_Connect_42_section_y23_vmz_c5b) 5053 0 R (unique_164_Connect_42_ul_skk_n35_f5b) 5050 0 R (unique_164_Connect_42_ul_uqj_1nz_c5b) 5055 0 R (unique_165) 5056 0 R (unique_165_Connect_42_dl_pbp_235_jvb) 5060 0 R (unique_165_Connect_42_note_N10023_N1001B_N10018_N10001) 5059 0 R (unique_165_Connect_42_section_bzy_yg4_s1b) 5058 0 R (unique_165_Connect_42_section_onv_wmz_c5b) 5063 0 R (unique_165_Connect_42_section_y23_vmz_c5b) 5062 0 R (unique_165_Connect_42_ul_tnd_2k5_jvb) 5061 0 R (unique_165_Connect_42_ul_uqj_1nz_c5b) 5064 0 R (unique_166) 5100 0 R (unique_166_Connect_42_codeblock_f4d_g45_f5b) 5108 0 R (unique_166_Connect_42_codeblock_z5x_d45_f5b) 5107 0 R (unique_166_Connect_42_dl_n1g_4h5_jvb) 5104 0 R (unique_166_Connect_42_note_N10023_N1001B_N10018_N10001) 5103 0 R (unique_166_Connect_42_note_awv_b35_jvb) 5105 0 R (unique_166_Connect_42_section_bzy_yg4_s1b) 5102 0 R (unique_166_Connect_42_section_onv_wmz_c5b) 5109 0 R (unique_166_Connect_42_section_y23_vmz_c5b) 5106 0 R (unique_166_Connect_42_ul_uqj_1nz_c5b) 5110 0 R (unique_167) 5111 0 R (unique_167_Connect_42_codeblock_nty_y45_f5b) 5118 0 R (unique_167_Connect_42_codeblock_ts3_cp5_f5b) 5119 0 R (unique_167_Connect_42_codeblock_udy_x45_f5b) 5117 0 R (unique_167_Connect_42_dl_n1g_4h5_jvb) 5114 0 R (unique_167_Connect_42_section_bzy_yg4_s1b) 5113 0 R (unique_167_Connect_42_section_onv_wmz_c5b) 5120 0 R (unique_167_Connect_42_section_y23_vmz_c5b) 5116 0 R (unique_167_Connect_42_ul_rpn_wh5_jvb) 5115 0 R (unique_167_Connect_42_ul_uqj_1nz_c5b) 5121 0 R (unique_168) 5122 0 R (unique_168_Connect_42_dl_n1g_4h5_jvb) 5125 0 R (unique_168_Connect_42_section_bzy_yg4_s1b) 5124 0 R (unique_168_Connect_42_section_onv_wmz_c5b) 5127 0 R (unique_168_Connect_42_section_q4b_tp5_f5b) 5129 0 R (unique_168_Connect_42_section_y23_vmz_c5b) 5126 0 R (unique_168_Connect_42_ul_ek4_tp5_f5b) 5130 0 R (unique_168_Connect_42_ul_uqj_1nz_c5b) 5128 0 R (unique_169) 5339 0 R (unique_169_Connect_42_codeblock_ltq_csc_g5b) 5344 0 R (unique_169_Connect_42_dl_ckd_wwt_jvb) 5342 0 R (unique_169_Connect_42_section_bzy_yg4_s1b) 5341 0 R (unique_169_Connect_42_section_onv_wmz_c5b) 5345 0 R (unique_169_Connect_42_section_v35_fsc_g5b) 5347 0 R (unique_169_Connect_42_section_y23_vmz_c5b) 5343 0 R (unique_169_Connect_42_ul_h5y_gsc_g5b) 5348 0 R (unique_169_Connect_42_ul_uqj_1nz_c5b) 5346 0 R (unique_16_Connect_42_codeblock_j3d_pqz_xtb) 3470 0 R (unique_16_Connect_42_codeblock_lps_nqz_xtb) 3469 0 R (unique_16_Connect_42_codeblock_uqx_rqz_xtb) 3472 0 R] >> endobj 5533 0 obj << /Limits [(unique_16_Connect_42_codeblock_vlx_2rz_xtb) (unique_177_Connect_42_section_onv_wmz_c5b)] /Names [(unique_16_Connect_42_codeblock_vlx_2rz_xtb) 3473 0 R (unique_16_Connect_42_fig_lpc_lqz_xtb) 3467 0 R (unique_16_Connect_42_image_vll_hv5_k5b) 3468 0 R (unique_16_Connect_42_section_bzy_yg4_s1b) 3465 0 R (unique_16_Connect_42_section_gxv_ch4_s1b) 3466 0 R (unique_16_Connect_42_section_ukl_qqz_xtb) 3471 0 R (unique_17) 3502 0 R (unique_170) 5131 0 R (unique_170_Connect_42_dl_o5x_rg5_jvb) 5135 0 R (unique_170_Connect_42_note_N1003C_N1001B_N10018_N10001) 5134 0 R (unique_170_Connect_42_section_bzy_yg4_s1b) 5133 0 R (unique_170_Connect_42_section_y23_vmz_c5b) 5136 0 R (unique_171) 5137 0 R (unique_171_Connect_42_dl_o5x_rg5_jvb) 5140 0 R (unique_171_Connect_42_section_bzy_yg4_s1b) 5139 0 R (unique_171_Connect_42_section_y23_vmz_c5b) 5141 0 R (unique_172) 5142 0 R (unique_172_Connect_42_codeblock_qgw_21v_f5b) 5149 0 R (unique_172_Connect_42_codeblock_tw1_21v_f5b) 5148 0 R (unique_172_Connect_42_dl_o5x_rg5_jvb) 5145 0 R (unique_172_Connect_42_section_bzy_yg4_s1b) 5144 0 R (unique_172_Connect_42_section_onv_wmz_c5b) 5150 0 R (unique_172_Connect_42_section_y23_vmz_c5b) 5147 0 R (unique_172_Connect_42_ul_s1h_2h5_jvb) 5146 0 R (unique_172_Connect_42_ul_uqj_1nz_c5b) 5151 0 R (unique_173) 5235 0 R (unique_173_Connect_42_dl_ngp_zd5_jvb) 5238 0 R (unique_173_Connect_42_section_bzy_yg4_s1b) 5237 0 R (unique_173_Connect_42_section_onv_wmz_c5b) 5241 0 R (unique_173_Connect_42_section_y23_vmz_c5b) 5240 0 R (unique_173_Connect_42_ul_uqj_1nz_c5b) 5242 0 R (unique_173_Connect_42_ul_xpz_b25_jvb) 5239 0 R (unique_174) 5203 0 R (unique_174_Connect_42_codeblock_xhn_5z1_g5b) 5208 0 R (unique_174_Connect_42_dl_ekx_tf5_jvb) 5206 0 R (unique_174_Connect_42_section_bzy_yg4_s1b) 5205 0 R (unique_174_Connect_42_section_onv_wmz_c5b) 5209 0 R (unique_174_Connect_42_section_y23_vmz_c5b) 5207 0 R (unique_174_Connect_42_ul_uqj_1nz_c5b) 5210 0 R (unique_175) 5189 0 R (unique_175_Connect_42_dl_ekx_tf5_jvb) 5192 0 R (unique_175_Connect_42_section_bzy_yg4_s1b) 5191 0 R (unique_175_Connect_42_section_onv_wmz_c5b) 5196 0 R (unique_175_Connect_42_section_y23_vmz_c5b) 5195 0 R (unique_175_Connect_42_ul_hqx_jg5_jvb) 5193 0 R (unique_175_Connect_42_ul_iqx_jg5_jvb) 5194 0 R (unique_175_Connect_42_ul_uqj_1nz_c5b) 5197 0 R (unique_176) 5221 0 R (unique_176_Connect_42_codeblock_ux4_sbb_g5b) 5230 0 R (unique_176_Connect_42_dl_tl4_gf5_jvb) 5224 0 R (unique_176_Connect_42_section_bsl_xbb_g5b) 5233 0 R (unique_176_Connect_42_section_bzy_yg4_s1b) 5223 0 R (unique_176_Connect_42_section_onv_wmz_c5b) 5231 0 R (unique_176_Connect_42_section_y23_vmz_c5b) 5229 0 R (unique_176_Connect_42_ul_edr_rf5_jvb) 5228 0 R (unique_176_Connect_42_ul_ehj_1cb_g5b) 5234 0 R (unique_176_Connect_42_ul_uqj_1nz_c5b) 5232 0 R (unique_176_Connect_42_ul_wqm_nf5_jvb) 5225 0 R (unique_176_Connect_42_ul_xqm_nf5_jvb) 5226 0 R (unique_176_Connect_42_ul_yqm_nf5_jvb) 5227 0 R (unique_177) 5243 0 R (unique_177_Connect_42_dl_ngp_zd5_jvb) 5246 0 R (unique_177_Connect_42_section_bzy_yg4_s1b) 5245 0 R (unique_177_Connect_42_section_onv_wmz_c5b) 5249 0 R] >> endobj 5534 0 obj << /Limits [(unique_177_Connect_42_section_y23_vmz_c5b) (unique_183_Connect_42_note_N1002F_N1001B_N10018_N10001)] /Names [(unique_177_Connect_42_section_y23_vmz_c5b) 5248 0 R (unique_177_Connect_42_ul_uqj_1nz_c5b) 5250 0 R (unique_177_Connect_42_ul_xpz_b25_jvb) 5247 0 R (unique_178) 5251 0 R (unique_178_Connect_42_dl_sxk_yc5_jvb) 5254 0 R (unique_178_Connect_42_section_bzy_yg4_s1b) 5253 0 R (unique_178_Connect_42_section_onv_wmz_c5b) 5257 0 R (unique_178_Connect_42_section_y23_vmz_c5b) 5256 0 R (unique_178_Connect_42_ul_uqj_1nz_c5b) 5258 0 R (unique_178_Connect_42_ul_v44_wd5_jvb) 5255 0 R (unique_179) 5283 0 R (unique_179_Connect_42_codeblock_p35_hjb_g5b) 5291 0 R (unique_179_Connect_42_dl_gzv_rb5_jvb) 5287 0 R (unique_179_Connect_42_dl_yx4_vfm_m5b) 5289 0 R (unique_179_Connect_42_note_N10037_N1001B_N10018_N10001) 5286 0 R (unique_179_Connect_42_section_bzy_yg4_s1b) 5285 0 R (unique_179_Connect_42_section_onv_wmz_c5b) 5292 0 R (unique_179_Connect_42_section_tx1_vmz_c5b) 5288 0 R (unique_179_Connect_42_section_y23_vmz_c5b) 5290 0 R (unique_179_Connect_42_ul_uqj_1nz_c5b) 5293 0 R (unique_17_Connect_42_codeblock_ccs_lb1_ytb) 3508 0 R (unique_17_Connect_42_codeblock_i1p_nb1_ytb) 3509 0 R (unique_17_Connect_42_codeblock_onl_5b1_ytb) 3512 0 R (unique_17_Connect_42_codeblock_vrc_sb1_ytb) 3511 0 R (unique_17_Connect_42_fig_ftp_bb1_ytb) 3505 0 R (unique_17_Connect_42_image_j3n_nv5_k5b) 3506 0 R (unique_17_Connect_42_section_bzy_yg4_s1b) 3504 0 R (unique_17_Connect_42_section_gxv_ch4_s1b) 3507 0 R (unique_17_Connect_42_section_rjg_4b1_ytb) 3510 0 R (unique_18) 3689 0 R (unique_180) 5294 0 R (unique_180_Connect_42_codeblock_f5n_hkb_g5b) 5304 0 R (unique_180_Connect_42_codeblock_v45_gkb_g5b) 5303 0 R (unique_180_Connect_42_codeblock_zkv_fkb_g5b) 5302 0 R (unique_180_Connect_42_dl_mvr_tyt_jvb) 5298 0 R (unique_180_Connect_42_note_N10027_N1001B_N10018_N10001) 5297 0 R (unique_180_Connect_42_ol_krp_mb5_jvb) 5299 0 R (unique_180_Connect_42_section_bzy_yg4_s1b) 5296 0 R (unique_180_Connect_42_section_onv_wmz_c5b) 5305 0 R (unique_180_Connect_42_section_y23_vmz_c5b) 5301 0 R (unique_180_Connect_42_ul_cvl_nb5_jvb) 5300 0 R (unique_180_Connect_42_ul_uqj_1nz_c5b) 5306 0 R (unique_181) 5307 0 R (unique_181_Connect_42_dl_mvr_tyt_jvb) 5311 0 R (unique_181_Connect_42_note_ixf_2lb_g5b) 5310 0 R (unique_181_Connect_42_section_bzy_yg4_s1b) 5309 0 R (unique_181_Connect_42_section_onv_wmz_c5b) 5315 0 R (unique_181_Connect_42_section_y23_vmz_c5b) 5313 0 R (unique_181_Connect_42_ul_rrl_3b5_jvb) 5312 0 R (unique_181_Connect_42_ul_s2z_vlb_g5b) 5314 0 R (unique_181_Connect_42_ul_uqj_1nz_c5b) 5316 0 R (unique_182) 5328 0 R (unique_182_Connect_42_dl_mvr_tyt_jvb) 5332 0 R (unique_182_Connect_42_note_N1002F_N1001B_N10018_N10001) 5331 0 R (unique_182_Connect_42_section_bzy_yg4_s1b) 5330 0 R (unique_182_Connect_42_section_onv_wmz_c5b) 5337 0 R (unique_182_Connect_42_section_y23_vmz_c5b) 5335 0 R (unique_182_Connect_42_ul_amp_brc_g5b) 5336 0 R (unique_182_Connect_42_ul_gvz_y15_jvb) 5333 0 R (unique_182_Connect_42_ul_uqj_1nz_c5b) 5338 0 R (unique_182_Connect_42_ul_vpw_z15_jvb) 5334 0 R (unique_183) 5360 0 R (unique_183_Connect_42_dl_ckd_wwt_jvb) 5364 0 R (unique_183_Connect_42_note_N1002F_N1001B_N10018_N10001) 5363 0 R] >> endobj 5535 0 obj << /Limits [(unique_183_Connect_42_section_bzy_yg4_s1b) (unique_192_Connect_42_section_x4j_fpk_3y)] /Names [(unique_183_Connect_42_section_bzy_yg4_s1b) 5362 0 R (unique_183_Connect_42_section_onv_wmz_c5b) 5367 0 R (unique_183_Connect_42_section_y23_vmz_c5b) 5366 0 R (unique_183_Connect_42_ul_jxh_mxt_jvb) 5365 0 R (unique_183_Connect_42_ul_uqj_1nz_c5b) 5368 0 R (unique_184) 5349 0 R (unique_184_Connect_42_dl_ckd_wwt_jvb) 5355 0 R (unique_184_Connect_42_note_N1002F_N1001B_N10018_N10001) 5352 0 R (unique_184_Connect_42_note_N10052_N1001B_N10018_N10001) 5354 0 R (unique_184_Connect_42_section_bzy_yg4_s1b) 5351 0 R (unique_184_Connect_42_section_onv_wmz_c5b) 5358 0 R (unique_184_Connect_42_section_y23_vmz_c5b) 5357 0 R (unique_184_Connect_42_ul_ppl_tsc_g5b) 5353 0 R (unique_184_Connect_42_ul_smj_sxt_jvb) 5356 0 R (unique_184_Connect_42_ul_uqj_1nz_c5b) 5359 0 R (unique_185) 5369 0 R (unique_185_Connect_42_codeblock_ahg_p5c_g5b) 5377 0 R (unique_185_Connect_42_codeblock_uc5_55c_g5b) 5378 0 R (unique_185_Connect_42_codeblock_uks_l5c_g5b) 5376 0 R (unique_185_Connect_42_dl_ckd_wwt_jvb) 5373 0 R (unique_185_Connect_42_section_bzy_yg4_s1b) 5371 0 R (unique_185_Connect_42_section_onv_wmz_c5b) 5379 0 R (unique_185_Connect_42_section_y23_vmz_c5b) 5375 0 R (unique_185_Connect_42_ul_frh_c5c_g5b) 5372 0 R (unique_185_Connect_42_ul_qjl_gxt_jvb) 5374 0 R (unique_185_Connect_42_ul_uqj_1nz_c5b) 5380 0 R (unique_186) 5381 0 R (unique_186_Connect_42_codeblock_cc2_mzh_3vb) 5388 0 R (unique_186_Connect_42_codeblock_y5h_gzh_3vb) 5387 0 R (unique_186_Connect_42_dl_ckd_wwt_jvb) 5384 0 R (unique_186_Connect_42_dl_egj_jj2_fvb) 5386 0 R (unique_186_Connect_42_note_mgk_lf2_fvb) 5383 0 R (unique_186_Connect_42_section_kps_gj2_fvb) 5385 0 R (unique_186_Connect_42_section_onv_wmz_c5b) 5389 0 R (unique_186_Connect_42_ul_uqj_1nz_c5b) 5390 0 R (unique_187) 5393 0 R (unique_187_Connect_42_section_N10011_N1000E_N10001) 5395 0 R (unique_188) 5396 0 R (unique_188_Connect_42_note_N1006F_N10011_N1000E_N10001) 5401 0 R (unique_188_Connect_42_section_N10011_N1000E_N10001) 5398 0 R (unique_188_Connect_42_ul_uv3_qxb_wy) 5399 0 R (unique_188_Connect_42_ul_v3b_5xb_wy) 5400 0 R (unique_189) 5402 0 R (unique_189_Connect_42_ol_hb4_qck_nx) 5405 0 R (unique_189_Connect_42_section_N1001A_N10018_N10001) 5404 0 R (unique_18_Connect_42_codeblock_fzm_lpf_15b) 3696 0 R (unique_18_Connect_42_codeblock_rws_4pf_15b) 3697 0 R (unique_18_Connect_42_fig_flv_2pf_15b) 3693 0 R (unique_18_Connect_42_image_d1d_2cv_k5b) 3694 0 R (unique_18_Connect_42_section_bzy_yg4_s1b) 3691 0 R (unique_18_Connect_42_section_gxv_ch4_s1b) 3692 0 R (unique_18_Connect_42_section_u1j_3pf_15b) 3695 0 R (unique_19) 3698 0 R (unique_190) 5406 0 R (unique_190_Connect_42_section_bzy_yg4_s1b) 5408 0 R (unique_190_Connect_42_ul_zhl_2xc_g5b) 5409 0 R (unique_191) 5410 0 R (unique_191_Connect_42_section_N10011_N1000F_N10001) 5412 0 R (unique_191_Connect_42_table_sld_cf5_wcb) 5413 0 R (unique_191_Connect_42_ul_t13_5xc_g5b) 5414 0 R (unique_192) 5415 0 R (unique_192_Connect_42_section_N10011_N1000E_N10001) 5417 0 R (unique_192_Connect_42_section_nd1_sk2_sy) 5418 0 R (unique_192_Connect_42_section_x4j_fpk_3y) 5419 0 R] >> endobj 5536 0 obj << /Limits [(unique_19_Connect_42_codeblock_cz1_fqf_15b) (unique_24_Connect_42_section_bzy_yg4_s1b)] /Names [(unique_19_Connect_42_codeblock_cz1_fqf_15b) 3708 0 R (unique_19_Connect_42_codeblock_nsm_zpf_15b) 3705 0 R (unique_19_Connect_42_codeblock_urz_3qf_15b) 3709 0 R (unique_19_Connect_42_codeblock_vxm_ypf_15b) 3704 0 R (unique_19_Connect_42_fig_gmm_wpf_15b) 3702 0 R (unique_19_Connect_42_image_ofh_hcv_k5b) 3703 0 R (unique_19_Connect_42_note_ovq_1qf_15b) 3706 0 R (unique_19_Connect_42_section_bzy_yg4_s1b) 3700 0 R (unique_19_Connect_42_section_gxv_ch4_s1b) 3701 0 R (unique_19_Connect_42_section_k5v_dqf_15b) 3707 0 R (unique_2) 3329 0 R (unique_20) 3721 0 R (unique_20_Connect_42_codeblock_cch_nrf_15b) 3732 0 R (unique_20_Connect_42_codeblock_nvp_jrf_15b) 3730 0 R (unique_20_Connect_42_codeblock_oxm_grf_15b) 3727 0 R (unique_20_Connect_42_codeblock_ppv_hrf_15b) 3728 0 R (unique_20_Connect_42_fig_tvw_drf_15b) 3725 0 R (unique_20_Connect_42_image_gkc_mcv_k5b) 3726 0 R (unique_20_Connect_42_note_x33_krf_15b) 3731 0 R (unique_20_Connect_42_section_bzy_yg4_s1b) 3723 0 R (unique_20_Connect_42_section_gxv_ch4_s1b) 3724 0 R (unique_20_Connect_42_section_x3h_3rf_15b) 3729 0 R (unique_21) 3733 0 R (unique_21_Connect_42_codeblock_dq3_zrf_15b) 3740 0 R (unique_21_Connect_42_codeblock_e4c_3sf_15b) 3745 0 R (unique_21_Connect_42_codeblock_udy_xrf_15b) 3739 0 R (unique_21_Connect_42_codeblock_uzm_1sf_15b) 3741 0 R (unique_21_Connect_42_codeblock_vjb_2sf_15b) 3744 0 R (unique_21_Connect_42_fig_kwt_5rf_15b) 3737 0 R (unique_21_Connect_42_image_enr_4cv_k5b) 3738 0 R (unique_21_Connect_42_note_kdc_bsf_15b) 3742 0 R (unique_21_Connect_42_section_bzy_yg4_s1b) 3735 0 R (unique_21_Connect_42_section_gxv_ch4_s1b) 3736 0 R (unique_21_Connect_42_section_thn_csf_15b) 3743 0 R (unique_22) 3756 0 R (unique_22_Connect_42_codeblock_bdj_hvf_15b) 3766 0 R (unique_22_Connect_42_codeblock_gg5_jvf_15b) 3767 0 R (unique_22_Connect_42_codeblock_usz_dvf_15b) 3764 0 R (unique_22_Connect_42_fig_okz_q5f_15b) 3760 0 R (unique_22_Connect_42_image_gpm_vcv_k5b) 3761 0 R (unique_22_Connect_42_note_a4l_y5f_15b) 3763 0 R (unique_22_Connect_42_section_bzy_yg4_s1b) 3758 0 R (unique_22_Connect_42_section_gxv_ch4_s1b) 3759 0 R (unique_22_Connect_42_section_jtz_v5f_15b) 3762 0 R (unique_22_Connect_42_section_u1h_fvf_15b) 3765 0 R (unique_23) 3768 0 R (unique_23_Connect_42_codeblock_jjv_bwf_15b) 3775 0 R (unique_23_Connect_42_codeblock_km3_gwf_15b) 3778 0 R (unique_23_Connect_42_codeblock_mwd_jwf_15b) 3779 0 R (unique_23_Connect_42_codeblock_npc_dwf_15b) 3776 0 R (unique_23_Connect_42_fig_pmw_rvf_15b) 3772 0 R (unique_23_Connect_42_image_tph_xcv_k5b) 3773 0 R (unique_23_Connect_42_section_bzy_yg4_s1b) 3770 0 R (unique_23_Connect_42_section_gxv_ch4_s1b) 3774 0 R (unique_23_Connect_42_section_lgw_2wf_15b) 3777 0 R (unique_23_Connect_42_ul_mrw_svf_15b) 3771 0 R (unique_24) 3780 0 R (unique_24_Connect_42_codeblock_fn3_qwf_15b) 3786 0 R (unique_24_Connect_42_codeblock_grx_vwf_15b) 3790 0 R (unique_24_Connect_42_codeblock_m1n_rwf_15b) 3787 0 R (unique_24_Connect_42_codeblock_tx1_twf_15b) 3789 0 R (unique_24_Connect_42_fig_fdc_4wf_15b) 3784 0 R (unique_24_Connect_42_image_l4r_zcv_k5b) 3785 0 R (unique_24_Connect_42_section_bzy_yg4_s1b) 3782 0 R] >> endobj 5537 0 obj << /Limits [(unique_24_Connect_42_section_gxv_ch4_s1b) (unique_31_Connect_42_fig_r2t_mfy_xtb)] /Names [(unique_24_Connect_42_section_gxv_ch4_s1b) 3783 0 R (unique_24_Connect_42_section_r1b_swf_15b) 3788 0 R (unique_25) 3802 0 R (unique_25_Connect_42_codeblock_fp3_z1t_15b) 3811 0 R (unique_25_Connect_42_codeblock_qf4_bjm_15b) 3808 0 R (unique_25_Connect_42_codeblock_qpv_pbt_15b) 3814 0 R (unique_25_Connect_42_codeblock_sfd_y1t_15b) 3810 0 R (unique_25_Connect_42_codeblock_tpg_rbt_15b) 3815 0 R (unique_25_Connect_42_codeblock_xdb_jbt_15b) 3813 0 R (unique_25_Connect_42_fig_evt_n3m_15b) 3805 0 R (unique_25_Connect_42_image_lj1_fdv_k5b) 3806 0 R (unique_25_Connect_42_section_bzy_yg4_s1b) 3804 0 R (unique_25_Connect_42_section_dq2_dbt_15b) 3812 0 R (unique_25_Connect_42_section_gxv_ch4_s1b) 3809 0 R (unique_25_Connect_42_ul_fg5_q3m_15b) 3807 0 R (unique_26) 3816 0 R (unique_26_Connect_42_codeblock_a5t_vdt_15b) 3826 0 R (unique_26_Connect_42_codeblock_fh2_xct_15b) 3822 0 R (unique_26_Connect_42_codeblock_hsq_jdt_15b) 3825 0 R (unique_26_Connect_42_codeblock_llf_zct_15b) 3823 0 R (unique_26_Connect_42_fig_lml_mct_15b) 3819 0 R (unique_26_Connect_42_image_owy_3dv_k5b) 3820 0 R (unique_26_Connect_42_section_bzy_yg4_s1b) 3818 0 R (unique_26_Connect_42_section_cyt_xct_15b) 3824 0 R (unique_26_Connect_42_section_gxv_ch4_s1b) 3821 0 R (unique_27) 3827 0 R (unique_27_Connect_42_codeblock_bvq_d3t_15b) 3835 0 R (unique_27_Connect_42_codeblock_cvb_pht_15b) 3834 0 R (unique_27_Connect_42_codeblock_eyb_m3t_15b) 3839 0 R (unique_27_Connect_42_codeblock_h3h_g3t_15b) 3837 0 R (unique_27_Connect_42_codeblock_qtv_k3t_15b) 3838 0 R (unique_27_Connect_42_codeblock_w45_x2t_15b) 3830 0 R (unique_27_Connect_42_fig_igj_w2t_15b) 3831 0 R (unique_27_Connect_42_image_rzc_ldv_k5b) 3832 0 R (unique_27_Connect_42_section_bzy_yg4_s1b) 3829 0 R (unique_27_Connect_42_section_gxv_ch4_s1b) 3833 0 R (unique_27_Connect_42_section_kmr_c3t_15b) 3836 0 R (unique_28) 3851 0 R (unique_28_Connect_42_codeblock_c1x_5dx_b5b) 3863 0 R (unique_28_Connect_42_codeblock_ppg_pdx_b5b) 3861 0 R (unique_28_Connect_42_codeblock_rpb_gdx_b5b) 3858 0 R (unique_28_Connect_42_codeblock_xbt_mdx_b5b) 3859 0 R (unique_28_Connect_42_fig_hpp_zcx_b5b) 3855 0 R (unique_28_Connect_42_image_ywc_pdv_k5b) 3856 0 R (unique_28_Connect_42_note_gl1_qdx_b5b) 3862 0 R (unique_28_Connect_42_note_ojw_bdx_b5b) 3854 0 R (unique_28_Connect_42_section_bzy_yg4_s1b) 3853 0 R (unique_28_Connect_42_section_gxv_ch4_s1b) 3857 0 R (unique_28_Connect_42_section_jj4_ndx_b5b) 3860 0 R (unique_29) 3347 0 R (unique_29_Connect_42_fig_b15_ttn_wtb) 3349 0 R (unique_29_Connect_42_image_izt_mt5_k5b) 3350 0 R (unique_29_Connect_42_ul_dks_vtn_wtb) 3351 0 R (unique_3) 3331 0 R (unique_30) 3513 0 R (unique_30_Connect_42_codeblock_eqy_q3f_ytb) 3518 0 R (unique_30_Connect_42_codeblock_flh_s3f_ytb) 3519 0 R (unique_30_Connect_42_section_bzy_yg4_s1b) 3515 0 R (unique_30_Connect_42_section_gxv_ch4_s1b) 3516 0 R (unique_30_Connect_42_section_lkz_43f_ytb) 3517 0 R (unique_31) 3369 0 R (unique_31_Connect_42_codeblock_if4_sfy_xtb) 3376 0 R (unique_31_Connect_42_codeblock_iw4_5fy_xtb) 3377 0 R (unique_31_Connect_42_fig_r2t_mfy_xtb) 3373 0 R] >> endobj 5538 0 obj << /Limits [(unique_31_Connect_42_image_xxc_b55_k5b) (unique_38_Connect_42_image_obt_bv5_k5b)] /Names [(unique_31_Connect_42_image_xxc_b55_k5b) 3374 0 R (unique_31_Connect_42_section_bzy_yg4_s1b) 3371 0 R (unique_31_Connect_42_section_hwj_rfy_xtb) 3375 0 R (unique_31_Connect_42_section_pdd_mfy_xtb) 3372 0 R (unique_32) 3359 0 R (unique_32_Connect_42_codeblock_grx_32y_xtb) 3367 0 R (unique_32_Connect_42_codeblock_rq5_k2y_xtb) 3368 0 R (unique_32_Connect_42_codeblock_xnp_d2y_xtb) 3365 0 R (unique_32_Connect_42_fig_ipf_b2y_xtb) 3363 0 R (unique_32_Connect_42_image_g4l_vt5_k5b) 3364 0 R (unique_32_Connect_42_section_bzy_yg4_s1b) 3361 0 R (unique_32_Connect_42_section_whg_12y_xtb) 3362 0 R (unique_32_Connect_42_section_z3v_g2y_xtb) 3366 0 R (unique_33) 3378 0 R (unique_33_Connect_42_codeblock_a5q_4gy_xtb) 3386 0 R (unique_33_Connect_42_codeblock_q4g_rgy_xtb) 3388 0 R (unique_33_Connect_42_codeblock_rfk_lgy_xtb) 3384 0 R (unique_33_Connect_42_codeblock_sd1_tgy_xtb) 3389 0 R (unique_33_Connect_42_codeblock_sls_mgy_xtb) 3385 0 R (unique_33_Connect_42_fig_fsj_3gy_xtb) 3382 0 R (unique_33_Connect_42_image_l3g_d55_k5b) 3383 0 R (unique_33_Connect_42_section_bzy_yg4_s1b) 3380 0 R (unique_33_Connect_42_section_gxv_ch4_s1b) 3381 0 R (unique_33_Connect_42_section_ut4_pgy_xtb) 3387 0 R (unique_34) 3390 0 R (unique_34_Connect_42_codeblock_hcj_xhy_xtb) 3397 0 R (unique_34_Connect_42_codeblock_ndx_vhy_xtb) 3396 0 R (unique_34_Connect_42_codeblock_orq_zhy_xtb) 3399 0 R (unique_34_Connect_42_codeblock_qzp_13y_xtb) 3400 0 R (unique_34_Connect_42_fig_szs_shy_xtb) 3394 0 R (unique_34_Connect_42_image_m1d_g55_k5b) 3395 0 R (unique_34_Connect_42_section_bzy_yg4_s1b) 3392 0 R (unique_34_Connect_42_section_gxv_ch4_s1b) 3393 0 R (unique_34_Connect_42_section_u5z_xhy_xtb) 3398 0 R (unique_35) 3401 0 R (unique_35_Connect_42_codeblock_cxg_fsy_xtb) 3407 0 R (unique_35_Connect_42_codeblock_dms_msy_xtb) 3412 0 R (unique_35_Connect_42_codeblock_ubc_jsy_xtb) 3410 0 R (unique_35_Connect_42_codeblock_xt4_lsy_xtb) 3411 0 R (unique_35_Connect_42_codeblock_yt2_hsy_xtb) 3408 0 R (unique_35_Connect_42_fig_jzb_mry_xtb) 3405 0 R (unique_35_Connect_42_image_ydl_555_k5b) 3406 0 R (unique_35_Connect_42_section_bzy_yg4_s1b) 3403 0 R (unique_35_Connect_42_section_gxv_ch4_s1b) 3404 0 R (unique_35_Connect_42_section_y2x_hsy_xtb) 3409 0 R (unique_36) 3413 0 R (unique_36_Connect_42_codeblock_ljm_4gz_xtb) 3421 0 R (unique_36_Connect_42_codeblock_m3t_dvy_xtb) 3420 0 R (unique_36_Connect_42_fig_tzh_d5y_xtb) 3417 0 R (unique_36_Connect_42_image_blp_x55_k5b) 3418 0 R (unique_36_Connect_42_section_bzy_yg4_s1b) 3415 0 R (unique_36_Connect_42_section_gxv_ch4_s1b) 3416 0 R (unique_36_Connect_42_section_wcb_cvy_xtb) 3419 0 R (unique_37) 3422 0 R (unique_37_Connect_42_codeblock_f1x_1hz_xtb) 3429 0 R (unique_37_Connect_42_fig_fqx_xgz_xtb) 3426 0 R (unique_37_Connect_42_image_rjn_z55_k5b) 3427 0 R (unique_37_Connect_42_section_bzy_yg4_s1b) 3424 0 R (unique_37_Connect_42_section_gxv_ch4_s1b) 3425 0 R (unique_37_Connect_42_section_usx_zgz_xtb) 3428 0 R (unique_38) 3430 0 R (unique_38_Connect_42_codeblock_f1p_4hz_xtb) 3437 0 R (unique_38_Connect_42_fig_lzg_lhz_xtb) 3434 0 R (unique_38_Connect_42_image_obt_bv5_k5b) 3435 0 R] >> endobj 5539 0 obj << /Limits [(unique_38_Connect_42_section_bzy_yg4_s1b) (unique_45_Connect_42_image_q4d_zv5_k5b)] /Names [(unique_38_Connect_42_section_bzy_yg4_s1b) 3432 0 R (unique_38_Connect_42_section_fjh_nhz_xtb) 3436 0 R (unique_38_Connect_42_section_gxv_ch4_s1b) 3433 0 R (unique_39) 3438 0 R (unique_39_Connect_42_codeblock_bcq_44z_xtb) 3448 0 R (unique_39_Connect_42_codeblock_ifr_3nz_xtb) 3447 0 R (unique_39_Connect_42_codeblock_pnf_fnz_xtb) 3445 0 R (unique_39_Connect_42_codeblock_pqx_dnz_xtb) 3444 0 R (unique_39_Connect_42_fig_d42_cnz_xtb) 3442 0 R (unique_39_Connect_42_image_ykl_dv5_k5b) 3443 0 R (unique_39_Connect_42_section_bzy_yg4_s1b) 3440 0 R (unique_39_Connect_42_section_gxv_ch4_s1b) 3441 0 R (unique_39_Connect_42_section_zdr_gnz_xtb) 3446 0 R (unique_3_Connect_42_dl_gfq_myd_vtb) 3333 0 R (unique_4) 3357 0 R (unique_40) 3352 0 R (unique_40_Connect_42_fig_nyr_15n_wtb) 3354 0 R (unique_40_Connect_42_image_l4x_pt5_k5b) 3355 0 R (unique_40_Connect_42_ul_rrn_25n_wtb) 3356 0 R (unique_41) 3520 0 R (unique_41_Connect_42_codeblock_hwv_xjf_ytb) 3530 0 R (unique_41_Connect_42_codeblock_o4c_jjf_ytb) 3527 0 R (unique_41_Connect_42_codeblock_rkv_njf_ytb) 3529 0 R (unique_41_Connect_42_codeblock_tg5_hjf_ytb) 3526 0 R (unique_41_Connect_42_fig_rd1_2jf_ytb) 3524 0 R (unique_41_Connect_42_image_msq_qv5_k5b) 3525 0 R (unique_41_Connect_42_section_bzy_yg4_s1b) 3522 0 R (unique_41_Connect_42_section_gxv_ch4_s1b) 3523 0 R (unique_41_Connect_42_section_jpf_kjf_ytb) 3528 0 R (unique_42) 3531 0 R (unique_42_Connect_42_codeblock_jtm_kkf_ytb) 3539 0 R (unique_42_Connect_42_codeblock_jwd_hkf_ytb) 3537 0 R (unique_42_Connect_42_codeblock_opl_nkf_ytb) 3540 0 R (unique_42_Connect_42_fig_w41_fkf_ytb) 3535 0 R (unique_42_Connect_42_image_nhr_sv5_k5b) 3536 0 R (unique_42_Connect_42_section_bzy_yg4_s1b) 3533 0 R (unique_42_Connect_42_section_gxv_ch4_s1b) 3534 0 R (unique_42_Connect_42_section_m2v_hkf_ytb) 3538 0 R (unique_43) 3541 0 R (unique_43_Connect_42_codeblock_awl_1lf_ytb) 3547 0 R (unique_43_Connect_42_codeblock_hyr_slf_ytb) 3549 0 R (unique_43_Connect_42_codeblock_srs_5lf_ytb) 3550 0 R (unique_43_Connect_42_fig_u5k_ykf_ytb) 3545 0 R (unique_43_Connect_42_image_k1m_5v5_k5b) 3546 0 R (unique_43_Connect_42_section_bzy_yg4_s1b) 3543 0 R (unique_43_Connect_42_section_gxv_ch4_s1b) 3544 0 R (unique_43_Connect_42_section_sq4_plf_ytb) 3548 0 R (unique_44) 3551 0 R (unique_44_Connect_42_codeblock_bkr_5wf_ytb) 3560 0 R (unique_44_Connect_42_codeblock_jf5_bvf_ytb) 3558 0 R (unique_44_Connect_42_codeblock_t1l_bxf_ytb) 3561 0 R (unique_44_Connect_42_codeblock_t2m_1vf_ytb) 3557 0 R (unique_44_Connect_42_fig_nhy_y5f_ytb) 3555 0 R (unique_44_Connect_42_image_hmm_xv5_k5b) 3556 0 R (unique_44_Connect_42_section_bzy_yg4_s1b) 3553 0 R (unique_44_Connect_42_section_gxv_ch4_s1b) 3554 0 R (unique_44_Connect_42_section_nnh_cvf_ytb) 3559 0 R (unique_45) 3562 0 R (unique_45_Connect_42_codeblock_cnx_4xf_ytb) 3569 0 R (unique_45_Connect_42_codeblock_hj2_txf_ytb) 3571 0 R (unique_45_Connect_42_codeblock_i1v_xxf_ytb) 3572 0 R (unique_45_Connect_42_codeblock_lhj_nxf_ytb) 3568 0 R (unique_45_Connect_42_fig_idk_jxf_ytb) 3566 0 R (unique_45_Connect_42_image_q4d_zv5_k5b) 3567 0 R] >> endobj 5540 0 obj << /Limits [(unique_45_Connect_42_section_bzy_yg4_s1b) (unique_53_Connect_42_codeblock_abm_j1z_ztb)] /Names [(unique_45_Connect_42_section_bzy_yg4_s1b) 3564 0 R (unique_45_Connect_42_section_gxv_ch4_s1b) 3565 0 R (unique_45_Connect_42_section_jfx_pxf_ytb) 3570 0 R (unique_46) 3573 0 R (unique_46_Connect_42_codeblock_jph_fmx_ztb) 3579 0 R (unique_46_Connect_42_codeblock_s54_dmx_ztb) 3578 0 R (unique_46_Connect_42_section_bzy_yg4_s1b) 3575 0 R (unique_46_Connect_42_section_gxv_ch4_s1b) 3576 0 R (unique_46_Connect_42_section_idq_1mx_ztb) 3577 0 R (unique_47) 3580 0 R (unique_47_Connect_42_codeblock_cpg_lnx_ztb) 3589 0 R (unique_47_Connect_42_codeblock_q24_jnx_ztb) 3588 0 R (unique_47_Connect_42_codeblock_zhg_fnx_ztb) 3586 0 R (unique_47_Connect_42_fig_q5b_dnx_ztb) 3584 0 R (unique_47_Connect_42_image_f2w_bw5_k5b) 3585 0 R (unique_47_Connect_42_section_bzy_yg4_s1b) 3582 0 R (unique_47_Connect_42_section_gxv_ch4_s1b) 3583 0 R (unique_47_Connect_42_section_n2c_gnx_ztb) 3587 0 R (unique_48) 3590 0 R (unique_48_Connect_42_codeblock_bqb_c4x_ztb) 3596 0 R (unique_48_Connect_42_codeblock_jys_xnx_ztb) 3594 0 R (unique_48_Connect_42_codeblock_nj5_d4x_ztb) 3597 0 R (unique_48_Connect_42_section_bzy_yg4_s1b) 3592 0 R (unique_48_Connect_42_section_cjf_ynx_ztb) 3595 0 R (unique_48_Connect_42_section_gxv_ch4_s1b) 3593 0 R (unique_49) 3598 0 R (unique_49_Connect_42_codeblock_apd_gqx_ztb) 3607 0 R (unique_49_Connect_42_codeblock_fmg_zpx_ztb) 3605 0 R (unique_49_Connect_42_codeblock_pcf_xpx_ztb) 3604 0 R (unique_49_Connect_42_codeblock_zz1_rsx_ztb) 3608 0 R (unique_49_Connect_42_fig_o2m_tpx_ztb) 3602 0 R (unique_49_Connect_42_image_wy3_2w5_k5b) 3603 0 R (unique_49_Connect_42_section_ax5_zpx_ztb) 3606 0 R (unique_49_Connect_42_section_bzy_yg4_s1b) 3600 0 R (unique_49_Connect_42_section_gxv_ch4_s1b) 3601 0 R (unique_5) 3864 0 R (unique_50) 3609 0 R (unique_50_Connect_42_codeblock_fqq_ptx_ztb) 3615 0 R (unique_50_Connect_42_codeblock_njd_ltx_ztb) 3613 0 R (unique_50_Connect_42_codeblock_ycl_rtx_ztb) 3616 0 R (unique_50_Connect_42_section_bzy_yg4_s1b) 3611 0 R (unique_50_Connect_42_section_gxv_ch4_s1b) 3612 0 R (unique_50_Connect_42_section_ssq_ltx_ztb) 3614 0 R (unique_51) 3617 0 R (unique_51_Connect_42_codeblock_em2_f5x_ztb) 3623 0 R (unique_51_Connect_42_codeblock_frr_d5x_ztb) 3622 0 R (unique_51_Connect_42_codeblock_ghv_dzy_ztb) 3627 0 R (unique_51_Connect_42_codeblock_o35_kzy_ztb) 3628 0 R (unique_51_Connect_42_fig_lgs_35x_ztb) 3624 0 R (unique_51_Connect_42_image_r4k_s3v_k5b) 3625 0 R (unique_51_Connect_42_section_bzy_yg4_s1b) 3619 0 R (unique_51_Connect_42_section_gxv_ch4_s1b) 3621 0 R (unique_51_Connect_42_section_tmv_h5x_ztb) 3626 0 R (unique_51_Connect_42_ul_epc_ytx_ztb) 3620 0 R (unique_52) 3629 0 R (unique_52_Connect_42_codeblock_hmk_d1z_ztb) 3637 0 R (unique_52_Connect_42_codeblock_hst_rzy_ztb) 3633 0 R (unique_52_Connect_42_codeblock_ogr_yzy_ztb) 3636 0 R (unique_52_Connect_42_codeblock_ywc_tzy_ztb) 3634 0 R (unique_52_Connect_42_section_bzy_yg4_s1b) 3631 0 R (unique_52_Connect_42_section_gjz_vzy_ztb) 3635 0 R (unique_52_Connect_42_section_gxv_ch4_s1b) 3632 0 R (unique_53) 3638 0 R (unique_53_Connect_42_codeblock_abm_j1z_ztb) 3644 0 R] >> endobj 5541 0 obj << /Limits [(unique_53_Connect_42_codeblock_hcw_w1z_ztb) (unique_59)] /Names [(unique_53_Connect_42_codeblock_hcw_w1z_ztb) 3648 0 R (unique_53_Connect_42_codeblock_tpq_n1z_ztb) 3647 0 R (unique_53_Connect_42_codeblock_urn_k1z_ztb) 3645 0 R (unique_53_Connect_42_fig_fqz_h1z_ztb) 3642 0 R (unique_53_Connect_42_image_j3h_jw5_k5b) 3643 0 R (unique_53_Connect_42_section_bzy_yg4_s1b) 3640 0 R (unique_53_Connect_42_section_gxv_ch4_s1b) 3641 0 R (unique_53_Connect_42_section_m31_l1z_ztb) 3646 0 R (unique_54) 3649 0 R (unique_54_Connect_42_codeblock_ezh_bcz_ztb) 3655 0 R (unique_54_Connect_42_codeblock_klh_fbz_ztb) 3654 0 R (unique_54_Connect_42_section_bzy_yg4_s1b) 3651 0 R (unique_54_Connect_42_section_ecw_cbz_ztb) 3653 0 R (unique_54_Connect_42_section_gxv_ch4_s1b) 3652 0 R (unique_55) 3656 0 R (unique_55_Connect_42_codeblock_imq_fdz_ztb) 3664 0 R (unique_55_Connect_42_codeblock_jt3_cdz_ztb) 3662 0 R (unique_55_Connect_42_codeblock_rmw_gdz_ztb) 3665 0 R (unique_55_Connect_42_fig_xdc_scz_ztb) 3659 0 R (unique_55_Connect_42_image_hw3_5bv_k5b) 3660 0 R (unique_55_Connect_42_section_bzy_yg4_s1b) 3658 0 R (unique_55_Connect_42_section_exw_cdz_ztb) 3663 0 R (unique_55_Connect_42_section_gxv_ch4_s1b) 3661 0 R (unique_56) 3666 0 R (unique_56_Connect_42_codeblock_b4f_b2z_ztb) 3676 0 R (unique_56_Connect_42_codeblock_l5m_xdz_ztb) 3674 0 R (unique_56_Connect_42_codeblock_q55_tdz_ztb) 3672 0 R (unique_56_Connect_42_codeblock_wlm_vdz_ztb) 3673 0 R (unique_56_Connect_42_codeblock_yg4_f2z_ztb) 3677 0 R (unique_56_Connect_42_fig_yfk_sdz_ztb) 3670 0 R (unique_56_Connect_42_image_ahf_ybv_k5b) 3671 0 R (unique_56_Connect_42_section_bzy_yg4_s1b) 3668 0 R (unique_56_Connect_42_section_gxv_ch4_s1b) 3669 0 R (unique_56_Connect_42_section_u5z_xdz_ztb) 3675 0 R (unique_57) 3678 0 R (unique_57_Connect_42_codeblock_q31_v2z_ztb) 3684 0 R (unique_57_Connect_42_codeblock_xm2_w2z_ztb) 3685 0 R (unique_57_Connect_42_codeblock_zrp_z2z_ztb) 3687 0 R (unique_57_Connect_42_codeblock_zyl_dfz_ztb) 3688 0 R (unique_57_Connect_42_fig_vrf_s2z_ztb) 3682 0 R (unique_57_Connect_42_image_p4l_bcv_k5b) 3683 0 R (unique_57_Connect_42_section_bzy_yg4_s1b) 3680 0 R (unique_57_Connect_42_section_gxv_ch4_s1b) 3681 0 R (unique_57_Connect_42_section_nkt_w2z_ztb) 3686 0 R (unique_58) 4635 0 R (unique_58_Connect_42_codeblock_fn1_spd_25b) 4647 0 R (unique_58_Connect_42_codeblock_gy3_sqd_25b) 4650 0 R (unique_58_Connect_42_codeblock_h2z_fqd_25b) 4649 0 R (unique_58_Connect_42_codeblock_nrv_qpd_25b) 4646 0 R (unique_58_Connect_42_codeblock_qrs_tpd_25b) 4648 0 R (unique_58_Connect_42_dl_mvc_21t_jvb) 4641 0 R (unique_58_Connect_42_dl_v11_f1t_jvb) 4644 0 R (unique_58_Connect_42_dl_ycq_d1t_jvb) 4640 0 R (unique_58_Connect_42_note_N10023_N1001B_N10018_N10001) 4638 0 R (unique_58_Connect_42_ol_cg3_fpd_25b) 4639 0 R (unique_58_Connect_42_section_bzy_yg4_s1b) 4637 0 R (unique_58_Connect_42_section_onv_wmz_c5b) 4651 0 R (unique_58_Connect_42_section_qmd_rrd_25b) 4653 0 R (unique_58_Connect_42_section_y23_vmz_c5b) 4645 0 R (unique_58_Connect_42_ul_ezd_kdt_jvb) 4642 0 R (unique_58_Connect_42_ul_fzd_kdt_jvb) 4643 0 R (unique_58_Connect_42_ul_nzs_5rd_25b) 4654 0 R (unique_58_Connect_42_ul_uqj_1nz_c5b) 4652 0 R (unique_59) 4945 0 R] >> endobj 5542 0 obj << /Limits [(unique_59_Connect_42_codeblock_vv3_4ry_25b) (unique_63_Connect_42_note_N10023_N1001B_N10018_N10001)] /Names [(unique_59_Connect_42_codeblock_vv3_4ry_25b) 4951 0 R (unique_59_Connect_42_codeblock_zv4_nry_25b) 4952 0 R (unique_59_Connect_42_dl_iw5_b45_jvb) 4949 0 R (unique_59_Connect_42_note_usg_cry_25b) 4948 0 R (unique_59_Connect_42_section_bzy_yg4_s1b) 4947 0 R (unique_59_Connect_42_section_onv_wmz_c5b) 4953 0 R (unique_59_Connect_42_section_y23_vmz_c5b) 4950 0 R (unique_59_Connect_42_ul_uqj_1nz_c5b) 4954 0 R (unique_6) 5391 0 R (unique_60) 3866 0 R (unique_60_Connect_42_note_wnq_r2x_b5b) 3869 0 R (unique_60_Connect_42_ul_kcb_sg4_s1b) 3868 0 R (unique_61) 3870 0 R (unique_61_Connect_42_codeblock_b3t_1jx_b5b) 3891 0 R (unique_61_Connect_42_codeblock_egn_kdf_c5b) 3892 0 R (unique_61_Connect_42_codeblock_q3t_pdf_c5b) 3893 0 R (unique_61_Connect_42_codeblock_tcv_5hx_b5b) 3878 0 R (unique_61_Connect_42_codeblock_wr2_v3x_b5b) 3890 0 R (unique_61_Connect_42_dl_knh_j3x_b5b) 3887 0 R (unique_61_Connect_42_dl_ppb_r3x_b5b) 3889 0 R (unique_61_Connect_42_fig_lfv_bhx_b5b) 3875 0 R (unique_61_Connect_42_fig_xwp_b3x_b5b) 3880 0 R (unique_61_Connect_42_image_twk_w2v_k5b) 3881 0 R (unique_61_Connect_42_image_y34_sdv_k5b) 3876 0 R (unique_61_Connect_42_note_tsr_5gx_b5b) 3873 0 R (unique_61_Connect_42_note_up2_4hx_b5b) 3877 0 R (unique_61_Connect_42_note_yv2_xhx_b5b) 3879 0 R (unique_61_Connect_42_section_bzy_yg4_s1b) 3872 0 R (unique_61_Connect_42_section_ctd_m3x_b5b) 3888 0 R (unique_61_Connect_42_section_gxv_ch4_s1b) 3882 0 R (unique_61_Connect_42_section_pb4_d3x_b5b) 3883 0 R (unique_61_Connect_42_section_qqw_qdf_c5b) 3894 0 R (unique_61_Connect_42_section_whl_33x_b5b) 3886 0 R (unique_61_Connect_42_ul_gqz_f3x_b5b) 3884 0 R (unique_61_Connect_42_ul_jfw_g3x_b5b) 3885 0 R (unique_61_Connect_42_ul_myy_xgx_b5b) 3874 0 R (unique_61_Connect_42_ul_upc_sdf_c5b) 3895 0 R (unique_62) 4581 0 R (unique_62_Connect_42_codeblock_wsr_flc_25b) 4590 0 R (unique_62_Connect_42_codeblock_zwf_zlc_25b) 4591 0 R (unique_62_Connect_42_dl_lcz_yft_jvb) 4585 0 R (unique_62_Connect_42_note_N10027_N1001B_N10018_N10001) 4584 0 R (unique_62_Connect_42_section_bzy_yg4_s1b) 4583 0 R (unique_62_Connect_42_section_onv_wmz_c5b) 4592 0 R (unique_62_Connect_42_section_y23_vmz_c5b) 4589 0 R (unique_62_Connect_42_ul_rcr_1gt_jvb) 4586 0 R (unique_62_Connect_42_ul_scr_1gt_jvb) 4587 0 R (unique_62_Connect_42_ul_trv_bgt_jvb) 4588 0 R (unique_62_Connect_42_ul_uqj_1nz_c5b) 4593 0 R (unique_63) 4291 0 R (unique_63_Connect_42_codeblock_fly_hb1_d5b) 4306 0 R (unique_63_Connect_42_codeblock_mjv_rb1_d5b) 4310 0 R (unique_63_Connect_42_codeblock_spq_kb1_d5b) 4308 0 R (unique_63_Connect_42_codeblock_sqc_cb1_d5b) 4304 0 R (unique_63_Connect_42_codeblock_t2x_wb1_d5b) 4312 0 R (unique_63_Connect_42_codeblock_uqq_qb1_d5b) 4309 0 R (unique_63_Connect_42_codeblock_y4j_jb1_d5b) 4307 0 R (unique_63_Connect_42_codeblock_znm_db1_d5b) 4305 0 R (unique_63_Connect_42_dl_bnz_tns_jvb) 4299 0 R (unique_63_Connect_42_dl_hkx_sns_jvb) 4298 0 R (unique_63_Connect_42_dl_kgx_wns_jvb) 4302 0 R (unique_63_Connect_42_dl_v52_wns_jvb) 4301 0 R (unique_63_Connect_42_dl_vg4_ntz_c5b) 4295 0 R (unique_63_Connect_42_note_N10023_N1001B_N10018_N10001) 4294 0 R] >> endobj 5543 0 obj << /Limits [(unique_63_Connect_42_note_bmy_qtz_c5b) (unique_68_Connect_42_codeblock_v51_zhf_c5b)] /Names [(unique_63_Connect_42_note_bmy_qtz_c5b) 4296 0 R (unique_63_Connect_42_note_jdq_ttz_c5b) 4297 0 R (unique_63_Connect_42_section_bzy_yg4_s1b) 4293 0 R (unique_63_Connect_42_section_onv_wmz_c5b) 4313 0 R (unique_63_Connect_42_section_y23_vmz_c5b) 4303 0 R (unique_63_Connect_42_ul_g14_vns_jvb) 4300 0 R (unique_63_Connect_42_ul_ncc_5b1_d5b) 4311 0 R (unique_63_Connect_42_ul_uqj_1nz_c5b) 4314 0 R (unique_64) 3896 0 R (unique_64_Connect_42_codeblock_bcx_52f_c5b) 3907 0 R (unique_64_Connect_42_codeblock_g1t_bff_c5b) 3911 0 R (unique_64_Connect_42_codeblock_i4q_y2f_c5b) 3909 0 R (unique_64_Connect_42_codeblock_w5y_y2f_c5b) 3910 0 R (unique_64_Connect_42_dl_it3_s2f_c5b) 3906 0 R (unique_64_Connect_42_dl_v5m_p2f_c5b) 3904 0 R (unique_64_Connect_42_note_N1002B_N1001B_N10018_N10001) 3899 0 R (unique_64_Connect_42_note_rqx_w2f_c5b) 3908 0 R (unique_64_Connect_42_section_bzy_yg4_s1b) 3898 0 R (unique_64_Connect_42_section_gxv_ch4_s1b) 3901 0 R (unique_64_Connect_42_section_khb_n2f_c5b) 3902 0 R (unique_64_Connect_42_section_rwh_cff_c5b) 3912 0 R (unique_64_Connect_42_section_tlv_r2f_c5b) 3905 0 R (unique_64_Connect_42_section_xnz_42f_c5b) 3903 0 R (unique_64_Connect_42_ul_rsv_cff_c5b) 3913 0 R (unique_64_Connect_42_ul_u1z_32f_c5b) 3900 0 R (unique_65) 4546 0 R (unique_65_Connect_42_codeblock_yxm_r3c_25b) 4555 0 R (unique_65_Connect_42_dl_m3q_kgt_jvb) 4552 0 R (unique_65_Connect_42_note_N1002E_N1001B_N10018_N10001) 4549 0 R (unique_65_Connect_42_note_N100D7_N100C7_N100C0_N10095_N1008D_N10018_N10001) 4554 0 R (unique_65_Connect_42_note_xbb_f3c_25b) 4551 0 R (unique_65_Connect_42_section_bzy_yg4_s1b) 4548 0 R (unique_65_Connect_42_section_onv_wmz_c5b) 4556 0 R (unique_65_Connect_42_section_y23_vmz_c5b) 4553 0 R (unique_65_Connect_42_ul_nhc_c3c_25b) 4550 0 R (unique_65_Connect_42_ul_uqj_1nz_c5b) 4557 0 R (unique_66) 3914 0 R (unique_66_Connect_42_codeblock_a44_jgf_c5b) 3922 0 R (unique_66_Connect_42_codeblock_ibx_lgf_c5b) 3924 0 R (unique_66_Connect_42_codeblock_zq1_lgf_c5b) 3923 0 R (unique_66_Connect_42_section_bzy_yg4_s1b) 3916 0 R (unique_66_Connect_42_section_cqs_zff_c5b) 3921 0 R (unique_66_Connect_42_section_gxv_ch4_s1b) 3917 0 R (unique_66_Connect_42_section_jrf_4ff_c5b) 3918 0 R (unique_66_Connect_42_section_ptt_rff_c5b) 3920 0 R (unique_66_Connect_42_section_yyx_ngf_c5b) 3925 0 R (unique_66_Connect_42_ul_u24_4gf_c5b) 3926 0 R (unique_66_Connect_42_ul_wz5_pff_c5b) 3919 0 R (unique_67) 3927 0 R (unique_67_Connect_42_codeblock_jbt_1hf_c5b) 3935 0 R (unique_67_Connect_42_codeblock_mxr_chf_c5b) 3937 0 R (unique_67_Connect_42_codeblock_v1x_bhf_c5b) 3936 0 R (unique_67_Connect_42_dl_tcf_xgf_c5b) 3933 0 R (unique_67_Connect_42_section_cqs_zff_c5b) 3934 0 R (unique_67_Connect_42_section_dh5_cgf_c5b) 3938 0 R (unique_67_Connect_42_section_hnp_wff_c5b) 3930 0 R (unique_67_Connect_42_section_jsp_yff_c5b) 3931 0 R (unique_67_Connect_42_section_y1v_yff_c5b) 3932 0 R (unique_67_Connect_42_section_ytw_vff_c5b) 3929 0 R (unique_67_Connect_42_ul_op2_fhf_c5b) 3939 0 R (unique_68) 3940 0 R (unique_68_Connect_42_codeblock_a5z_b3f_c5b) 3949 0 R (unique_68_Connect_42_codeblock_acd_d3f_c5b) 3950 0 R (unique_68_Connect_42_codeblock_v51_zhf_c5b) 3948 0 R] >> endobj 5544 0 obj << /Limits [(unique_68_Connect_42_section_bzy_yg4_s1b) (unique_73_Connect_42_section_bzy_yg4_s1b)] /Names [(unique_68_Connect_42_section_bzy_yg4_s1b) 3942 0 R (unique_68_Connect_42_section_cqs_zff_c5b) 3947 0 R (unique_68_Connect_42_section_dh5_cgf_c5b) 3951 0 R (unique_68_Connect_42_section_hnp_wff_c5b) 3943 0 R (unique_68_Connect_42_section_jsp_yff_c5b) 3944 0 R (unique_68_Connect_42_section_y1v_yff_c5b) 3946 0 R (unique_68_Connect_42_ul_np5_vhf_c5b) 3945 0 R (unique_68_Connect_42_ul_phx_h3f_c5b) 3952 0 R (unique_69) 3953 0 R (unique_69_Connect_42_codeblock_a4n_2gl_c5b) 3961 0 R (unique_69_Connect_42_codeblock_jtv_2gl_c5b) 3962 0 R (unique_69_Connect_42_codeblock_rxc_fgl_c5b) 3963 0 R (unique_69_Connect_42_section_bzy_yg4_s1b) 3955 0 R (unique_69_Connect_42_section_cqs_zff_c5b) 3960 0 R (unique_69_Connect_42_section_dh5_cgf_c5b) 3964 0 R (unique_69_Connect_42_section_hnp_wff_c5b) 3956 0 R (unique_69_Connect_42_section_jsp_yff_c5b) 3957 0 R (unique_69_Connect_42_section_y1v_yff_c5b) 3959 0 R (unique_69_Connect_42_ul_fm1_3gl_c5b) 3965 0 R (unique_69_Connect_42_ul_gcs_cgl_c5b) 3958 0 R (unique_7) 3334 0 R (unique_70) 3966 0 R (unique_70_Connect_42_codeblock_a1k_lzl_c5b) 3981 0 R (unique_70_Connect_42_codeblock_c2c_bzl_c5b) 3980 0 R (unique_70_Connect_42_codeblock_d22_yyl_c5b) 3978 0 R (unique_70_Connect_42_codeblock_l42_hzl_c5b) 3977 0 R (unique_70_Connect_42_codeblock_rml_gzl_c5b) 3976 0 R (unique_70_Connect_42_codeblock_t1l_1zl_c5b) 3979 0 R (unique_70_Connect_42_note_y3s_sgl_c5b) 3969 0 R (unique_70_Connect_42_section_bzy_yg4_s1b) 3968 0 R (unique_70_Connect_42_section_cqs_zff_c5b) 3975 0 R (unique_70_Connect_42_section_dh5_cgf_c5b) 3982 0 R (unique_70_Connect_42_section_hnp_wff_c5b) 3970 0 R (unique_70_Connect_42_section_jsp_yff_c5b) 3971 0 R (unique_70_Connect_42_section_y1v_yff_c5b) 3974 0 R (unique_70_Connect_42_ul_eds_vgl_c5b) 3973 0 R (unique_70_Connect_42_ul_p1w_mzl_c5b) 3983 0 R (unique_70_Connect_42_ul_ub3_vgl_c5b) 3972 0 R (unique_71) 4740 0 R (unique_71_Connect_42_codeblock_k2b_y2l_25b) 4750 0 R (unique_71_Connect_42_codeblock_mgb_52l_25b) 4749 0 R (unique_71_Connect_42_codeblock_u4t_r2l_25b) 4748 0 R (unique_71_Connect_42_codeblock_z3f_42l_25b) 4747 0 R (unique_71_Connect_42_dl_ljy_wxs_jvb) 4744 0 R (unique_71_Connect_42_note_N1002B_N1001B_N10018_N10001) 4743 0 R (unique_71_Connect_42_note_N10076_N1006F_N10068_N10065_N1005D_N10018_N10001) 4746 0 R (unique_71_Connect_42_section_bzy_yg4_s1b) 4742 0 R (unique_71_Connect_42_section_onv_wmz_c5b) 4751 0 R (unique_71_Connect_42_section_y23_vmz_c5b) 4745 0 R (unique_71_Connect_42_ul_uqj_1nz_c5b) 4752 0 R (unique_72) 3984 0 R (unique_72_Connect_42_note_gc3_5zl_c5b) 3987 0 R (unique_72_Connect_42_note_ofq_zzl_c5b) 3991 0 R (unique_72_Connect_42_section_bzy_yg4_s1b) 3986 0 R (unique_72_Connect_42_section_cqs_zff_c5b) 3992 0 R (unique_72_Connect_42_section_dh5_cgf_c5b) 3993 0 R (unique_72_Connect_42_section_hnp_wff_c5b) 3988 0 R (unique_72_Connect_42_section_jsp_yff_c5b) 3989 0 R (unique_72_Connect_42_section_y1v_yff_c5b) 3990 0 R (unique_72_Connect_42_ul_yyk_21m_c5b) 3994 0 R (unique_73) 3995 0 R (unique_73_Connect_42_codeblock_ymb_y1m_c5b) 4003 0 R (unique_73_Connect_42_dl_ukd_q1m_c5b) 4001 0 R (unique_73_Connect_42_section_bzy_yg4_s1b) 3997 0 R] >> endobj 5545 0 obj << /Limits [(unique_73_Connect_42_section_cqs_zff_c5b) (unique_79_Connect_42_section_onv_wmz_c5b)] /Names [(unique_73_Connect_42_section_cqs_zff_c5b) 4002 0 R (unique_73_Connect_42_section_dh5_cgf_c5b) 4004 0 R (unique_73_Connect_42_section_hnp_wff_c5b) 3998 0 R (unique_73_Connect_42_section_jsp_yff_c5b) 3999 0 R (unique_73_Connect_42_section_pcd_1bm_c5b) 4006 0 R (unique_73_Connect_42_section_y1v_yff_c5b) 4000 0 R (unique_73_Connect_42_ul_lhv_z1m_c5b) 4005 0 R (unique_73_Connect_42_ul_pmb_bbm_c5b) 4007 0 R (unique_74) 4008 0 R (unique_74_Connect_42_codeblock_myd_1cm_c5b) 4018 0 R (unique_74_Connect_42_note_d3n_4bm_c5b) 4014 0 R (unique_74_Connect_42_note_ohh_kbm_c5b) 4011 0 R (unique_74_Connect_42_section_bzy_yg4_s1b) 4010 0 R (unique_74_Connect_42_section_cqs_zff_c5b) 4016 0 R (unique_74_Connect_42_section_dh5_cgf_c5b) 4019 0 R (unique_74_Connect_42_section_hnp_wff_c5b) 4012 0 R (unique_74_Connect_42_section_jsp_yff_c5b) 4013 0 R (unique_74_Connect_42_section_y1v_yff_c5b) 4015 0 R (unique_74_Connect_42_ul_qwm_ccm_c5b) 4020 0 R (unique_74_Connect_42_ul_ysr_wbm_c5b) 4017 0 R (unique_75) 4021 0 R (unique_75_Connect_42_section_bzy_yg4_s1b) 4023 0 R (unique_76) 4067 0 R (unique_76_Connect_42_codeblock_shs_jwr_c5b) 4078 0 R (unique_76_Connect_42_dl_nzt_mvr_c5b) 4073 0 R (unique_76_Connect_42_note_N10055_N1001B_N10018_N10001) 4070 0 R (unique_76_Connect_42_note_N1005F_N1001B_N10018_N10001) 4071 0 R (unique_76_Connect_42_note_N100D5_N100CF_N100B0_N100A8_N10018_N10001) 4076 0 R (unique_76_Connect_42_section_bzy_yg4_s1b) 4069 0 R (unique_76_Connect_42_section_cqs_zff_c5b) 4077 0 R (unique_76_Connect_42_section_dh5_cgf_c5b) 4079 0 R (unique_76_Connect_42_section_jsp_yff_c5b) 4072 0 R (unique_76_Connect_42_section_y1v_yff_c5b) 4074 0 R (unique_76_Connect_42_ul_a3k_mwr_c5b) 4080 0 R (unique_76_Connect_42_ul_ayz_5vr_c5b) 4075 0 R (unique_77) 4569 0 R (unique_77_Connect_42_codeblock_abj_kkc_25b) 4578 0 R (unique_77_Connect_42_codeblock_ghh_jkc_25b) 4577 0 R (unique_77_Connect_42_dl_uvq_2gt_jvb) 4573 0 R (unique_77_Connect_42_note_gjg_ckc_25b) 4572 0 R (unique_77_Connect_42_note_qln_ggt_jvb) 4575 0 R (unique_77_Connect_42_section_bzy_yg4_s1b) 4571 0 R (unique_77_Connect_42_section_onv_wmz_c5b) 4579 0 R (unique_77_Connect_42_section_y23_vmz_c5b) 4576 0 R (unique_77_Connect_42_ul_p1d_ggt_jvb) 4574 0 R (unique_77_Connect_42_ul_uqj_1nz_c5b) 4580 0 R (unique_78) 4024 0 R (unique_78_Connect_42_note_N10035_N1001B_N10018_N10001) 4027 0 R (unique_78_Connect_42_section_bzy_yg4_s1b) 4026 0 R (unique_78_Connect_42_section_cqs_zff_c5b) 4032 0 R (unique_78_Connect_42_section_dh5_cgf_c5b) 4033 0 R (unique_78_Connect_42_section_hnp_wff_c5b) 4028 0 R (unique_78_Connect_42_section_jsp_yff_c5b) 4029 0 R (unique_78_Connect_42_section_y1v_yff_c5b) 4030 0 R (unique_78_Connect_42_ul_plx_kdm_c5b) 4034 0 R (unique_78_Connect_42_ul_rmn_2dm_c5b) 4031 0 R (unique_79) 4798 0 R (unique_79_Connect_42_codeblock_hjl_33l_25b) 4808 0 R (unique_79_Connect_42_dl_e1h_pxs_jvb) 4804 0 R (unique_79_Connect_42_dl_fdc_nxs_jvb) 4802 0 R (unique_79_Connect_42_dl_fq1_4xs_jvb) 4803 0 R (unique_79_Connect_42_note_N1003D_N1001B_N10018_N10001) 4801 0 R (unique_79_Connect_42_section_bzy_yg4_s1b) 4800 0 R (unique_79_Connect_42_section_onv_wmz_c5b) 4809 0 R] >> endobj 5546 0 obj << /Limits [(unique_79_Connect_42_section_y23_vmz_c5b) (unique_85_Connect_42_section_dh5_cgf_c5b)] /Names [(unique_79_Connect_42_section_y23_vmz_c5b) 4807 0 R (unique_79_Connect_42_ul_d2q_pxs_jvb) 4805 0 R (unique_79_Connect_42_ul_e2q_pxs_jvb) 4806 0 R (unique_79_Connect_42_ul_uqj_1nz_c5b) 4810 0 R (unique_7_Connect_42_note_cgp_nsn_wtb) 3336 0 R (unique_7_Connect_42_ul_bn4_psn_wtb) 3337 0 R (unique_8) 3338 0 R (unique_80) 4894 0 R (unique_80_Connect_42_codeblock_jzd_dps_25b) 4903 0 R (unique_80_Connect_42_dl_qmt_wvs_jvb) 4898 0 R (unique_80_Connect_42_dl_vns_5vs_jvb) 4897 0 R (unique_80_Connect_42_section_bzy_yg4_s1b) 4896 0 R (unique_80_Connect_42_section_onv_wmz_c5b) 4904 0 R (unique_80_Connect_42_section_y23_vmz_c5b) 4902 0 R (unique_80_Connect_42_ul_l1f_w4s_25b) 4901 0 R (unique_80_Connect_42_ul_lp3_54s_25b) 4899 0 R (unique_80_Connect_42_ul_uqj_1nz_c5b) 4905 0 R (unique_80_Connect_42_ul_x5t_54s_25b) 4900 0 R (unique_81) 4035 0 R (unique_81_Connect_42_section_bzy_yg4_s1b) 4037 0 R (unique_81_Connect_42_section_cqs_zff_c5b) 4041 0 R (unique_81_Connect_42_section_dh5_cgf_c5b) 4042 0 R (unique_81_Connect_42_section_hnp_wff_c5b) 4038 0 R (unique_81_Connect_42_section_jsp_yff_c5b) 4039 0 R (unique_81_Connect_42_section_y1v_yff_c5b) 4040 0 R (unique_81_Connect_42_ul_w11_12m_c5b) 4043 0 R (unique_82) 4044 0 R (unique_82_Connect_42_note_N1002B_N1001B_N10018_N10001) 4047 0 R (unique_82_Connect_42_note_ayp_l2m_c5b) 4052 0 R (unique_82_Connect_42_note_qwg_g2m_c5b) 4048 0 R (unique_82_Connect_42_section_bzy_yg4_s1b) 4046 0 R (unique_82_Connect_42_section_cqs_zff_c5b) 4053 0 R (unique_82_Connect_42_section_dh5_cgf_c5b) 4054 0 R (unique_82_Connect_42_section_hnp_wff_c5b) 4049 0 R (unique_82_Connect_42_section_jsp_yff_c5b) 4050 0 R (unique_82_Connect_42_section_y1v_yff_c5b) 4051 0 R (unique_82_Connect_42_ul_qbl_q2m_c5b) 4055 0 R (unique_83) 4056 0 R (unique_83_Connect_42_dl_fxn_v2m_m5b) 4063 0 R (unique_83_Connect_42_note_N10040_N1001B_N10018_N10001) 4059 0 R (unique_83_Connect_42_section_bzy_yg4_s1b) 4058 0 R (unique_83_Connect_42_section_cqs_zff_c5b) 4064 0 R (unique_83_Connect_42_section_dh5_cgf_c5b) 4065 0 R (unique_83_Connect_42_section_hnp_wff_c5b) 4060 0 R (unique_83_Connect_42_section_jsp_yff_c5b) 4061 0 R (unique_83_Connect_42_section_y1v_yff_c5b) 4062 0 R (unique_83_Connect_42_ul_vdl_kfm_c5b) 4066 0 R (unique_84) 4163 0 R (unique_84_Connect_42_codeblock_bkm_v2s_c5b) 4169 0 R (unique_84_Connect_42_dl_lmj_fss_jvb) 4166 0 R (unique_84_Connect_42_note_uwf_q2s_c5b) 4167 0 R (unique_84_Connect_42_section_bzy_yg4_s1b) 4165 0 R (unique_84_Connect_42_section_cqs_zff_c5b) 4168 0 R (unique_84_Connect_42_section_dh5_cgf_c5b) 4170 0 R (unique_84_Connect_42_ul_lrt_w2s_c5b) 4171 0 R (unique_85) 4150 0 R (unique_85_Connect_42_codeblock_yrp_yds_c5b) 4160 0 R (unique_85_Connect_42_dl_a2l_r4s_jvb) 4154 0 R (unique_85_Connect_42_dl_wz4_s4s_jvb) 4155 0 R (unique_85_Connect_42_note_N10023_N1001B_N10018_N10001) 4153 0 R (unique_85_Connect_42_note_zyb_jds_c5b) 4157 0 R (unique_85_Connect_42_section_bzy_yg4_s1b) 4152 0 R (unique_85_Connect_42_section_cqs_zff_c5b) 4159 0 R (unique_85_Connect_42_section_dh5_cgf_c5b) 4161 0 R] >> endobj 5547 0 obj << /Limits [(unique_85_Connect_42_section_y1v_yff_c5b) (unique_90_Connect_42_codeblock_vb1_2ty_25b)] /Names [(unique_85_Connect_42_section_y1v_yff_c5b) 4156 0 R (unique_85_Connect_42_ul_o2k_mds_c5b) 4158 0 R (unique_85_Connect_42_ul_q11_12s_c5b) 4162 0 R (unique_86) 4081 0 R (unique_86_Connect_42_codeblock_d3j_myr_c5b) 4094 0 R (unique_86_Connect_42_dl_cgm_2fm_m5b) 4091 0 R (unique_86_Connect_42_dl_gzs_1fm_m5b) 4090 0 R (unique_86_Connect_42_dl_s33_z2m_m5b) 4089 0 R (unique_86_Connect_42_note_N10027_N1001B_N10018_N10001) 4084 0 R (unique_86_Connect_42_note_N1005B_N1004B_N10018_N10001) 4087 0 R (unique_86_Connect_42_note_gyx_byr_c5b) 4092 0 R (unique_86_Connect_42_section_bzy_yg4_s1b) 4083 0 R (unique_86_Connect_42_section_cqs_zff_c5b) 4093 0 R (unique_86_Connect_42_section_dh5_cgf_c5b) 4095 0 R (unique_86_Connect_42_section_hnp_wff_c5b) 4085 0 R (unique_86_Connect_42_section_jsp_yff_c5b) 4086 0 R (unique_86_Connect_42_section_y1v_yff_c5b) 4088 0 R (unique_86_Connect_42_ul_fbt_nyr_c5b) 4096 0 R (unique_87) 4109 0 R (unique_87_Connect_42_codeblock_ntz_41s_c5b) 4121 0 R (unique_87_Connect_42_dl_mjp_zds_jvb) 4117 0 R (unique_87_Connect_42_dl_xd1_xds_jvb) 4114 0 R (unique_87_Connect_42_dl_zm2_yds_jvb) 4115 0 R (unique_87_Connect_42_note_N10023_N1001B_N10018_N10001) 4112 0 R (unique_87_Connect_42_section_N1005B_N10019_N10001) 4113 0 R (unique_87_Connect_42_section_bzy_yg4_s1b) 4111 0 R (unique_87_Connect_42_section_cqs_zff_c5b) 4119 0 R (unique_87_Connect_42_section_dh5_cgf_c5b) 4122 0 R (unique_87_Connect_42_ul_eld_q1s_c5b) 4123 0 R (unique_87_Connect_42_ul_kzy_yds_jvb) 4116 0 R (unique_87_Connect_42_ul_r3b_l1s_c5b) 4120 0 R (unique_87_Connect_42_ul_zgb_12s_jvb) 4118 0 R (unique_88) 4097 0 R (unique_88_Connect_42_codeblock_g5z_2zr_c5b) 4106 0 R (unique_88_Connect_42_dl_byk_rds_jvb) 4103 0 R (unique_88_Connect_42_dl_elj_tds_jvb) 4104 0 R (unique_88_Connect_42_dl_fk5_4ds_jvb) 4102 0 R (unique_88_Connect_42_note_N10023_N1001B_N10018_N10001) 4100 0 R (unique_88_Connect_42_section_N1002E_N10019_N10001) 4101 0 R (unique_88_Connect_42_section_bzy_yg4_s1b) 4099 0 R (unique_88_Connect_42_section_cqs_zff_c5b) 4105 0 R (unique_88_Connect_42_section_dh5_cgf_c5b) 4107 0 R (unique_88_Connect_42_ul_yby_gzr_c5b) 4108 0 R (unique_89) 5317 0 R (unique_89_Connect_42_codeblock_o33_1qc_g5b) 5325 0 R (unique_89_Connect_42_dl_mvr_tyt_jvb) 5321 0 R (unique_89_Connect_42_note_N10027_N1001B_N10018_N10001) 5320 0 R (unique_89_Connect_42_note_N100E8_N100D7_N100D0_N100A5_N1009D_N10018_N10001) 5324 0 R (unique_89_Connect_42_section_bzy_yg4_s1b) 5319 0 R (unique_89_Connect_42_section_onv_wmz_c5b) 5326 0 R (unique_89_Connect_42_section_y23_vmz_c5b) 5323 0 R (unique_89_Connect_42_ul_lj3_2b5_jvb) 5322 0 R (unique_89_Connect_42_ul_uqj_1nz_c5b) 5327 0 R (unique_8_Connect_42_fig_tgd_btn_wtb) 3340 0 R (unique_8_Connect_42_image_bv5_dt5_k5b) 3341 0 R (unique_8_Connect_42_section_wwc_ntn_wtb) 3343 0 R (unique_8_Connect_42_section_xbn_ntn_wtb) 3345 0 R (unique_8_Connect_42_ul_ptm_htn_wtb) 3342 0 R (unique_8_Connect_42_ul_rgx_cp5_h5b) 3344 0 R (unique_8_Connect_42_ul_wyf_gp5_h5b) 3346 0 R (unique_9) 3474 0 R (unique_90) 4965 0 R (unique_90_Connect_42_codeblock_org_vsy_25b) 4968 0 R (unique_90_Connect_42_codeblock_vb1_2ty_25b) 4971 0 R] >> endobj 5548 0 obj << /Limits [(unique_90_Connect_42_dl_pv5_wm5_jvb) (unique_96_Connect_42_section_bzy_yg4_s1b)] /Names [(unique_90_Connect_42_dl_pv5_wm5_jvb) 4969 0 R (unique_90_Connect_42_section_bzy_yg4_s1b) 4967 0 R (unique_90_Connect_42_section_onv_wmz_c5b) 4972 0 R (unique_90_Connect_42_section_y23_vmz_c5b) 4970 0 R (unique_90_Connect_42_ul_uqj_1nz_c5b) 4973 0 R (unique_91) 4124 0 R (unique_91_Connect_42_codeblock_rjx_1cs_c5b) 4135 0 R (unique_91_Connect_42_dl_kt2_ghs_jvb) 4129 0 R (unique_91_Connect_42_dl_yhk_k5s_jvb) 4130 0 R (unique_91_Connect_42_note_fvs_kbs_c5b) 4127 0 R (unique_91_Connect_42_note_wrr_n5s_jvb) 4133 0 R (unique_91_Connect_42_section_N10048_N10019_N10001) 4128 0 R (unique_91_Connect_42_section_bzy_yg4_s1b) 4126 0 R (unique_91_Connect_42_section_cqs_zff_c5b) 4134 0 R (unique_91_Connect_42_section_dh5_cgf_c5b) 4136 0 R (unique_91_Connect_42_ul_btp_pbs_c5b) 4132 0 R (unique_91_Connect_42_ul_cmc_pbs_c5b) 4131 0 R (unique_91_Connect_42_ul_k35_bcs_c5b) 4137 0 R (unique_92) 4138 0 R (unique_92_Connect_42_dl_n4s_nkm_m5b) 4146 0 R (unique_92_Connect_42_dl_ptg_cts_jvb) 4145 0 R (unique_92_Connect_42_dl_xfb_bts_jvb) 4144 0 R (unique_92_Connect_42_dl_yf2_1ts_jvb) 4143 0 R (unique_92_Connect_42_note_N1001F_N1001B_N10018_N10001) 4141 0 R (unique_92_Connect_42_note_N10031_N1001B_N10018_N10001) 4142 0 R (unique_92_Connect_42_section_bzy_yg4_s1b) 4140 0 R (unique_92_Connect_42_section_cqs_zff_c5b) 4147 0 R (unique_92_Connect_42_section_dh5_cgf_c5b) 4148 0 R (unique_92_Connect_42_ul_tyd_vcs_c5b) 4149 0 R (unique_93) 4172 0 R (unique_93_Connect_42_codeblock_xc3_sfs_c5b) 4181 0 R (unique_93_Connect_42_dl_f4s_jkm_m5b) 4179 0 R (unique_93_Connect_42_dl_hfc_xms_jvb) 4177 0 R (unique_93_Connect_42_dl_lsc_wms_jvb) 4176 0 R (unique_93_Connect_42_note_N1002B_N1001B_N10018_N10001) 4175 0 R (unique_93_Connect_42_section_bzy_yg4_s1b) 4174 0 R (unique_93_Connect_42_section_cqs_zff_c5b) 4180 0 R (unique_93_Connect_42_section_dh5_cgf_c5b) 4182 0 R (unique_93_Connect_42_section_y1v_yff_c5b) 4178 0 R (unique_93_Connect_42_ul_cgp_tfs_c5b) 4183 0 R (unique_94) 4383 0 R (unique_94_Connect_42_codeblock_zvg_5fv_d5b) 4390 0 R (unique_94_Connect_42_dl_uql_dst_jvb) 4387 0 R (unique_94_Connect_42_note_k22_4fv_d5b) 4386 0 R (unique_94_Connect_42_section_N1001B_N10018_N10001) 4385 0 R (unique_94_Connect_42_section_onv_wmz_c5b) 4391 0 R (unique_94_Connect_42_section_y23_vmz_c5b) 4389 0 R (unique_94_Connect_42_ul_fnh_vtt_jvb) 4388 0 R (unique_94_Connect_42_ul_uqj_1nz_c5b) 4392 0 R (unique_95) 4184 0 R (unique_95_Connect_42_codeblock_f5v_fhs_c5b) 4192 0 R (unique_95_Connect_42_dl_erj_wts_jvb) 4188 0 R (unique_95_Connect_42_dl_mlj_15s_jvb) 4189 0 R (unique_95_Connect_42_dl_zll_fkm_m5b) 4190 0 R (unique_95_Connect_42_note_N1002F_N1001B_N10018_N10001) 4187 0 R (unique_95_Connect_42_section_bzy_yg4_s1b) 4186 0 R (unique_95_Connect_42_section_cqs_zff_c5b) 4191 0 R (unique_95_Connect_42_section_dh5_cgf_c5b) 4193 0 R (unique_95_Connect_42_ul_ls5_fgs_c5b) 4194 0 R (unique_96) 4372 0 R (unique_96_Connect_42_codeblock_nk4_ffv_d5b) 4380 0 R (unique_96_Connect_42_codeblock_pvp_dfv_d5b) 4379 0 R (unique_96_Connect_42_dl_uql_dst_jvb) 4376 0 R (unique_96_Connect_42_section_bzy_yg4_s1b) 4374 0 R] >> endobj 5549 0 obj << /Limits [(unique_96_Connect_42_section_onv_wmz_c5b) (xWIRE)] /Names [(unique_96_Connect_42_section_onv_wmz_c5b) 4381 0 R (unique_96_Connect_42_section_y23_vmz_c5b) 4378 0 R (unique_96_Connect_42_ul_ad5_g5t_jvb) 4377 0 R (unique_96_Connect_42_ul_uqj_1nz_c5b) 4382 0 R (unique_96_Connect_42_ul_zwy_t2v_d5b) 4375 0 R (unique_97) 4195 0 R (unique_97_Connect_42_codeblock_ed4_mls_c5b) 4206 0 R (unique_97_Connect_42_dl_kbm_nns_jvb) 4200 0 R (unique_97_Connect_42_dl_nfk_bns_jvb) 4198 0 R (unique_97_Connect_42_dl_tfx_4ns_jvb) 4203 0 R (unique_97_Connect_42_section_bzy_yg4_s1b) 4197 0 R (unique_97_Connect_42_section_cqs_zff_c5b) 4204 0 R (unique_97_Connect_42_section_dh5_cgf_c5b) 4207 0 R (unique_97_Connect_42_ul_fkd_nns_jvb) 4199 0 R (unique_97_Connect_42_ul_ls5_fgs_c5b) 4208 0 R (unique_97_Connect_42_ul_qns_3ls_c5b) 4205 0 R (unique_97_Connect_42_ul_vkg_4ns_jvb) 4201 0 R (unique_97_Connect_42_ul_wkg_4ns_jvb) 4202 0 R (unique_98) 4209 0 R (unique_98_Connect_42_dl_g15_pjm_m5b) 4213 0 R (unique_98_Connect_42_dl_pvw_kss_jvb) 4212 0 R (unique_98_Connect_42_section_bzy_yg4_s1b) 4211 0 R (unique_98_Connect_42_section_cqs_zff_c5b) 4214 0 R (unique_98_Connect_42_section_dh5_cgf_c5b) 4215 0 R (unique_98_Connect_42_ul_ls5_fgs_c5b) 4216 0 R (unique_99) 4217 0 R (unique_99_Connect_42_codeblock_fmm_yns_c5b) 4223 0 R (unique_99_Connect_42_dl_agk_q5s_jvb) 4220 0 R (unique_99_Connect_42_section_bzy_yg4_s1b) 4219 0 R (unique_99_Connect_42_section_cqs_zff_c5b) 4222 0 R (unique_99_Connect_42_section_dh5_cgf_c5b) 4224 0 R (unique_99_Connect_42_ul_lmr_55s_jvb) 4221 0 R (unique_99_Connect_42_ul_ls5_fgs_c5b) 4225 0 R (unique_9_Connect_42_codeblock_e3v_ptz_xtb) 3486 0 R (unique_9_Connect_42_codeblock_ijq_mtz_xtb) 3484 0 R (unique_9_Connect_42_codeblock_kdg_xtz_xtb) 3489 0 R (unique_9_Connect_42_codeblock_lnh_prz_xtb) 3480 0 R (unique_9_Connect_42_codeblock_pb5_vtz_xtb) 3488 0 R (unique_9_Connect_42_codeblock_pxj_ltz_xtb) 3483 0 R (unique_9_Connect_42_codeblock_qwy_4tz_xtb) 3485 0 R (unique_9_Connect_42_fig_dl5_mrz_xtb) 3478 0 R (unique_9_Connect_42_image_kps_jv5_k5b) 3479 0 R (unique_9_Connect_42_note_spn_stz_xtb) 3487 0 R (unique_9_Connect_42_section_bkg_qrz_xtb) 3481 0 R (unique_9_Connect_42_section_bzy_yg4_s1b) 3476 0 R (unique_9_Connect_42_section_gxv_ch4_s1b) 3477 0 R (unique_9_Connect_42_table_qpy_xrz_xtb) 3482 0 R (xASYNC_REG) 5614 0 R (xAUTOPIPELINE_GROUP) 5616 0 R (xAUTOPIPELINE_INCLUDE) 5618 0 R (xAUTOPIPELINE_LIMIT) 5619 0 R (xAUTOPIPELINE_MODULE) 5617 0 R (xAUTO_INCREMENTAL_CHECKPOINT) 5615 0 R (xAsyncReg) 5551 0 R (xBD_ADDR_SEG) 5566 0 R (xBD_ADDR_SPACE) 5567 0 R (xBD_CELL) 5568 0 R (xBD_INTF_NET) 5569 0 R (xBD_INTF_PIN) 5570 0 R (xBD_INTF_PORT) 5571 0 R (xBD_NET) 5572 0 R (xBD_PIN) 5573 0 R (xBD_PORT) 5574 0 R (xBEL) 5575 0 R (xBEL_PIN) 5576 0 R (xBLACK_BOX) 5620 0 R (xBLI) 5621 0 R (xBLOCK_SYNTH) 5622 0 R (xBUFFER_TYPE) 5623 0 R (xCARRY_REMAP) 5624 0 R (xCASCADE_HEIGHT) 5625 0 R (xCELL) 5577 0 R (xCELL_BLOAT_FACTOR) 5626 0 R (xCFGBVS) 5627 0 R (xCLOCK) 5578 0 R (xCLOCK_BUFFER_TYPE) 5628 0 R (xCLOCK_DEDICATED_ROUTE) 5629 0 R (xCLOCK_DELAY_GROUP) 5630 0 R (xCLOCK_LOW_FANOUT) 5631 0 R (xCLOCK_REGION) 5579 0 R (xCLOCK_ROOT) 5632 0 R (xCONFIG_MODE) 5633 0 R (xCONFIG_VOLTAGE) 5634 0 R (xCONTAIN_ROUTING) 5635 0 R (xCONTROL_SET_REMAP) 5636 0 R (xClockBufferType) 5552 0 R (xClockRoot) 5553 0 R (xDCI_CASCADE) 5637 0 R (xDELAY_BYPASS) 5638 0 R (xDELAY_VALUE_XPHY) 5639 0 R (xDIAGRAM) 5580 0 R (xDIFF_TERM) 5640 0 R (xDIFF_TERM_ADV) 5641 0 R (xDIRECT_ENABLE) 5642 0 R (xDIRECT_RESET) 5643 0 R (xDONT_TOUCH) 5644 0 R (xDQS_BIAS) 5645 0 R (xDRIVE) 5646 0 R (xDciCascade) 5554 0 R (xEDIF_EXTRA_SEARCH_PATHS) 5647 0 R (xEQUALIZATION) 5648 0 R (xEQUIVALENT_DRIVER_OPT) 5649 0 R (xEXCLUDE_PLACEMENT) 5650 0 R (xEXTRACT_ENABLE) 5651 0 R (xEXTRACT_RESET) 5652 0 R (xFORCE_MAX_FANOUT) 5653 0 R (xFSM_ENCODING) 5654 0 R (xFSM_SAFE_STATE) 5655 0 R (xGATED_CLOCK) 5656 0 R (xGENERATE_SYNTH_CHECKPOINT) 5657 0 R (xHIODELAY_GROUP) 5659 0 R (xHLUTNM) 5660 0 R (xHW_AXI) 5581 0 R (xHW_BITSTREAM) 5582 0 R (xHW_CFGMEM) 5583 0 R (xHW_DEVICE) 5584 0 R (xHW_ILA) 5585 0 R (xHW_ILA_DATA) 5586 0 R (xHW_PROBE) 5587 0 R (xHW_SERVER) 5588 0 R (xHW_SIO_GT) 5589 0 R (xHW_SIO_GTGROUP) 5590 0 R (xHW_SIO_IBERT) 5591 0 R (xHW_SIO_PLL) 5592 0 R (xHW_SIO_RX) 5593 0 R (xHW_SIO_TX) 5594 0 R (xHW_SYSMON) 5595 0 R (xHW_TARGET) 5596 0 R (xHW_VIO) 5597 0 R (xH_SETandHU_SET) 5658 0 R (xIBUF_LOW_PWR) 5661 0 R (xINCREMENTAL_CHECKPOINT) 5663 0 R (xINTERNAL_VREF) 5664 0 R (xIN_TERM) 5662 0 R (xIOB) 5556 0 R (xIOBDELAY) 5667 0 R (xIOB_TRI_REG) 5666 0 R (xIOBufferType) 5555 0 R (xIODELAY_GROUP) 5668 0 R (xIOSTANDARD) 5669 0 R (xIOStandard) 5557 0 R (xIO_BANK) 5598 0 R (xIO_BUFFER_TYPE) 5665 0 R (xIO_STANDARD) 5599 0 R (xIPRepoPaths) 5559 0 R (xIP_REPO_PATHS) 5670 0 R (xIS_ENABLED) 5671 0 R (xIS_SOFT) 5672 0 R (xKEEP) 5673 0 R (xKEEPER) 5676 0 R (xKEEP_COMPATIBLE) 5674 0 R (xKEEP_HIERARCHY) 5675 0 R (xKeepCompatible) 5560 0 R (xLOC) 5677 0 R (xLOCK_PINS) 5678 0 R (xLOCK_UPGRADE) 5679 0 R (xLUTNM) 5680 0 R (xLUT_REMAP) 5681 0 R (xLVDS_PRE_EMPHASIS) 5682 0 R (xMARK_DEBUG) 5683 0 R (xMAX_FANOUT) 5684 0 R (xMAX_FANOUT_MODE) 5685 0 R (xMAX_NAMES) 5686 0 R (xMBUFG_GROUP) 5687 0 R (xMIG_FLOORPLAN_MODE) 5688 0 R (xMUXF_REMAP) 5689 0 R (xNET) 5600 0 R (xNODE) 5601 0 R (xODT) 5690 0 R (xOFFSET_CNTRL) 5693 0 R (xOPT_MODIFIED) 5691 0 R (xOPT_SKIPPED) 5692 0 R (xPACKAGE_PIN) 5602 0 R (xPATH_MODE) 5694 0 R (xPBLOCK) 5695 0 R (xPHYS_OPT_MODIFIED) 5696 0 R (xPHYS_OPT_SKIPPED) 5697 0 R (xPIN) 5603 0 R (xPIPorSITE_PIP) 5604 0 R (xPKGPIN_BYTEGROUP) 5605 0 R (xPKGPIN_NIBBLE) 5606 0 R (xPORT) 5607 0 R (xPOST_CRC) 5698 0 R (xPOST_CRC_ACTION) 5699 0 R (xPOST_CRC_FREQ) 5700 0 R (xPOST_CRC_INIT_FLAG) 5701 0 R (xPOST_CRC_SOURCE) 5702 0 R (xPRE_EMPHASIS) 5703 0 R (xPROCESSING_ORDER) 5704 0 R (xPROHIBIT) 5705 0 R (xPULLDOWN) 5706 0 R (xPULLTYPE) 5707 0 R (xPULLUP) 5708 0 R (xPackagePin) 5561 0 R (xPathMode) 5563 0 R (xPropertiesInformation) 5613 0 R (xRAM_AVERAGE_ACTIVITY) 5711 0 R (xRAM_DECOMP) 5709 0 R (xRAM_STYLE) 5710 0 R (xREF_NAME) 5712 0 R (xREF_PIN_NAME) 5713 0 R (xREG_TO_SRL) 5714 0 R (xRLOC) 5715 0 R (xRLOCS) 5716 0 R (xRLOC_ORIGIN) 5717 0 R (xROUTE_STATUS) 5718 0 R (xRPM) 5719 0 R (xRPM_GRID) 5720 0 R (xSEVERITY) 5721 0 R (xSITE) 5608 0 R (xSLEW) 5722 0 R (xSLR) 5609 0 R (xSRL_STAGES_TO_REG_INPUT) 5724 0 R (xSRL_STAGES_TO_REG_OUTPUT) 5725 0 R (xSRL_TO_REG) 5723 0 R (xSYNTH_CHECKPOINT_MODE) 5726 0 R (xTILE) 5610 0 R (xTIMING_PATH) 5611 0 R (xUNAVAILABLE_DURING_CALIBRATION) 5728 0 R (xUSED_IN) 5730 0 R (xUSER_CLOCK_ROOT) 5731 0 R (xUSER_CLUSTER) 5737 0 R (xUSER_CROSSING_SLR) 5732 0 R (xUSER_RAM_AVERAGE_ACTIVITY) 5733 0 R (xUSER_SLL_REG) 5734 0 R (xUSER_SLR_ASSIGNMENT) 5735 0 R (xUSE_DSP) 5729 0 R (xU_SET) 5727 0 R (xUsedIn) 5565 0 R (xVCCAUX_IO) 5736 0 R (xWIRE) 5612 0 R] >> endobj 5550 0 obj << /Author (Xilinx, Inc.) /CreationDate (D:20221102111747-08'00') /Creator (AH XSL Formatter V6.6 MR2 for Linux64 : 6.6.4.36256 \(2018/11/29 19:37JST\)) /Keywords (ug912,Virtex,Kintex,Artix,properties,Xilinx Design constraints,XDC,XDC properties,architecture suppor\ t, applicable elements,propagation rules,properties values,syntax examples) /ModDate (D:20221102235036+05'30') /PostProcess (v2022.2.0.4) /Producer (Xilinx, Inc. via ABCpdf) /Subject (Documents the properties available for use in the Vivado Design Suite. For each property this manual\ provides a description; supported Xilinx FPGA devices; applicable logic elements or device resource\ s; accepted values; Verilog, VHDL, and XDC syntax examples; and affected FPGA design flow steps.) /Title (Vivado Design Suite Properties Reference Guide) /Trapped /False /XilinxDocID (UG912) >> endobj 5551 0 obj [1313 0 R /XYZ 0 238.6 0] endobj 5552 0 obj [1508 0 R /XYZ 0 341.2999 0] endobj 5553 0 obj [1589 0 R /XYZ 0 394.4038 0] endobj 5554 0 obj [1661 0 R /XYZ 0 517.9 0] endobj 5555 0 obj [2076 0 R /XYZ 0 387.4038 0] endobj 5556 0 obj [2085 0 R /XYZ 0 284.2038 0] endobj 5557 0 obj [1019 0 R /XYZ 0 457.2 0] endobj 5558 0 obj [2159 0 R /XYZ 0 487 0] endobj 5559 0 obj [2159 0 R /XYZ 0 487 0] endobj 5560 0 obj [2215 0 R /XYZ 0 604.2 0] endobj 5561 0 obj [1072 0 R /XYZ 0 219.1615 0] endobj 5562 0 obj [2516 0 R /XYZ 0 697 0] endobj 5563 0 obj [2516 0 R /XYZ 0 697 0] endobj 5564 0 obj [3029 0 R /XYZ 0 242.9114 0] endobj 5565 0 obj [3029 0 R /XYZ 0 242.9114 0] endobj 5566 0 obj [364 0 R /XYZ 0 539 0] endobj 5567 0 obj [387 0 R /XYZ 0 697 0] endobj 5568 0 obj [401 0 R /XYZ 0 458.6 0] endobj 5569 0 obj [415 0 R /XYZ 0 224.3 0] endobj 5570 0 obj [430 0 R /XYZ 0 433.3 0] endobj 5571 0 obj [452 0 R /XYZ 0 576.4 0] endobj 5572 0 obj [467 0 R /XYZ 0 454.3 0] endobj 5573 0 obj [481 0 R /XYZ 0 512.9 0] endobj 5574 0 obj [495 0 R /XYZ 0 414.3 0] endobj 5575 0 obj [509 0 R /XYZ 0 414.3 0] endobj 5576 0 obj [532 0 R /XYZ 0 161.1346 0] endobj 5577 0 obj [554 0 R /XYZ 0 697 0] endobj 5578 0 obj [575 0 R /XYZ 0 281.0308 0] endobj 5579 0 obj [608 0 R /XYZ 0 697 0] endobj 5580 0 obj [625 0 R /XYZ 0 461.5039 0] endobj 5581 0 obj [634 0 R /XYZ 0 492.9 0] endobj 5582 0 obj [649 0 R /XYZ 0 414.0038 0] endobj 5583 0 obj [664 0 R /XYZ 0 390.8077 0] endobj 5584 0 obj [679 0 R /XYZ 0 315.4039 0] endobj 5585 0 obj [702 0 R /XYZ 0 493.4 0] endobj 5586 0 obj [725 0 R /XYZ 0 697 0] endobj 5587 0 obj [735 0 R /XYZ 0 697 0] endobj 5588 0 obj [750 0 R /XYZ 0 391.8 0] endobj 5589 0 obj [760 0 R /XYZ 0 429.7039 0] endobj 5590 0 obj [840 0 R /XYZ 0 697 0] endobj 5591 0 obj [849 0 R /XYZ 0 697 0] endobj 5592 0 obj [865 0 R /XYZ 0 697 0] endobj 5593 0 obj [873 0 R /XYZ 0 423.4 0] endobj 5594 0 obj [916 0 R /XYZ 0 373.4 0] endobj 5595 0 obj [940 0 R /XYZ 0 393.4 0] endobj 5596 0 obj [971 0 R /XYZ 0 618 0] endobj 5597 0 obj [986 0 R /XYZ 0 389.7039 0] endobj 5598 0 obj [1002 0 R /XYZ 0 454.0038 0] endobj 5599 0 obj [1019 0 R /XYZ 0 457.2 0] endobj 5600 0 obj [1037 0 R /XYZ 0 397.2 0] endobj 5601 0 obj [1065 0 R /XYZ 0 697 0] endobj 5602 0 obj [1072 0 R /XYZ 0 219.1615 0] endobj 5603 0 obj [1090 0 R /XYZ 0 268.0616 0] endobj 5604 0 obj [1111 0 R /XYZ 0 357.7 0] endobj 5605 0 obj [1132 0 R /XYZ 0 697 0] endobj 5606 0 obj [1150 0 R /XYZ 0 517.2 0] endobj 5607 0 obj [1166 0 R /XYZ 0 507.2 0] endobj 5608 0 obj [1188 0 R /XYZ 0 457.7 0] endobj 5609 0 obj [1220 0 R /XYZ 0 525.8038 0] endobj 5610 0 obj [1244 0 R /XYZ 0 697 0] endobj 5611 0 obj [1275 0 R /XYZ 0 697 0] endobj 5612 0 obj [1292 0 R /XYZ 0 287.2 0] endobj 5613 0 obj [1313 0 R /XYZ 0 572.6 0] endobj 5614 0 obj [1313 0 R /XYZ 0 238.6 0] endobj 5615 0 obj [1350 0 R /XYZ 0 421.6 0] endobj 5616 0 obj [1372 0 R /XYZ 0 697 0] endobj 5617 0 obj [1384 0 R /XYZ 0 697 0] endobj 5618 0 obj [1392 0 R /XYZ 0 697 0] endobj 5619 0 obj [1399 0 R /XYZ 0 525.9 0] endobj 5620 0 obj [1422 0 R /XYZ 0 563 0] endobj 5621 0 obj [1433 0 R /XYZ 0 517.5 0] endobj 5622 0 obj [1440 0 R /XYZ 0 424.2 0] endobj 5623 0 obj [1459 0 R /XYZ 0 697 0] endobj 5624 0 obj [1459 0 R /XYZ 0 593.2 0] endobj 5625 0 obj [1472 0 R /XYZ 0 305.8 0] endobj 5626 0 obj [1483 0 R /XYZ 0 343.2076 0] endobj 5627 0 obj [1497 0 R /XYZ 0 697 0] endobj 5628 0 obj [1508 0 R /XYZ 0 341.2999 0] endobj 5629 0 obj [1526 0 R /XYZ 0 563 0] endobj 5630 0 obj [1544 0 R /XYZ 0 563 0] endobj 5631 0 obj [1553 0 R /XYZ 0 497.7 0] endobj 5632 0 obj [1589 0 R /XYZ 0 394.4038 0] endobj 5633 0 obj [1600 0 R /XYZ 0 415.4999 0] endobj 5634 0 obj [1622 0 R /XYZ 0 498.7 0] endobj 5635 0 obj [1631 0 R /XYZ 0 294.8999 0] endobj 5636 0 obj [1652 0 R /XYZ 0 697 0] endobj 5637 0 obj [1661 0 R /XYZ 0 517.9 0] endobj 5638 0 obj [1672 0 R /XYZ 0 188.2076 0] endobj 5639 0 obj [1681 0 R /XYZ 0 289.2077 0] endobj 5640 0 obj [1695 0 R /XYZ 0 697 0] endobj 5641 0 obj [1706 0 R /XYZ 0 185.8076 0] endobj 5642 0 obj [1727 0 R /XYZ 0 324.6076 0] endobj 5643 0 obj [1737 0 R /XYZ 0 297.9307 0] endobj 5644 0 obj [1747 0 R /XYZ 0 313.1307 0] endobj 5645 0 obj [1770 0 R /XYZ 0 259.4076 0] endobj 5646 0 obj [1792 0 R /XYZ 0 240.1076 0] endobj 5647 0 obj [1808 0 R /XYZ 0 349.3 0] endobj 5648 0 obj [1817 0 R /XYZ 0 371.7 0] endobj 5649 0 obj [1833 0 R /XYZ 0 619.4 0] endobj 5650 0 obj [1845 0 R /XYZ 0 507.9 0] endobj 5651 0 obj [1854 0 R /XYZ 0 493.6 0] endobj 5652 0 obj [1864 0 R /XYZ 0 529 0] endobj 5653 0 obj [1873 0 R /XYZ 0 529 0] endobj 5654 0 obj [1882 0 R /XYZ 0 634.6 0] endobj 5655 0 obj [1891 0 R /XYZ 0 583.2 0] endobj 5656 0 obj [1902 0 R /XYZ 0 367.3999 0] endobj 5657 0 obj [1911 0 R /XYZ 0 253.1764 0] endobj 5658 0 obj [1934 0 R /XYZ 0 358.2038 0] endobj 5659 0 obj [1975 0 R /XYZ 0 697 0] endobj 5660 0 obj [1989 0 R /XYZ 0 452.8 0] endobj 5661 0 obj [2016 0 R /XYZ 0 563 0] endobj 5662 0 obj [2025 0 R /XYZ 0 198.1114 0] endobj 5663 0 obj [2045 0 R /XYZ 0 290.2114 0] endobj 5664 0 obj [2065 0 R /XYZ 0 634.6 0] endobj 5665 0 obj [2076 0 R /XYZ 0 387.4038 0] endobj 5666 0 obj [2101 0 R /XYZ 0 657.8 0] endobj 5667 0 obj [2109 0 R /XYZ 0 697 0] endobj 5668 0 obj [2118 0 R /XYZ 0 266.7038 0] endobj 5669 0 obj [2139 0 R /XYZ 0 504.2 0] endobj 5670 0 obj [2159 0 R /XYZ 0 487 0] endobj 5671 0 obj [2172 0 R /XYZ 0 284.9077 0] endobj 5672 0 obj [2184 0 R /XYZ 0 196.8153 0] endobj 5673 0 obj [2201 0 R /XYZ 0 697 0] endobj 5674 0 obj [2215 0 R /XYZ 0 604.2 0] endobj 5675 0 obj [2226 0 R /XYZ 0 174.5038 0] endobj 5676 0 obj [2251 0 R /XYZ 0 697 0] endobj 5677 0 obj [2260 0 R /XYZ 0 330.2038 0] endobj 5678 0 obj [2280 0 R /XYZ 0 467.3 0] endobj 5679 0 obj [2307 0 R /XYZ 0 427.2999 0] endobj 5680 0 obj [2319 0 R /XYZ 0 349.7076 0] endobj 5681 0 obj [2342 0 R /XYZ 0 427.3999 0] endobj 5682 0 obj [2353 0 R /XYZ 0 186.4153 0] endobj 5683 0 obj [2373 0 R /XYZ 0 546.1077 0] endobj 5684 0 obj [2393 0 R /XYZ 0 492.2 0] endobj 5685 0 obj [2405 0 R /XYZ 0 329.4038 0] endobj 5686 0 obj [2412 0 R /XYZ 0 410.8 0] endobj 5687 0 obj [2423 0 R /XYZ 0 451.8076 0] endobj 5688 0 obj [2430 0 R /XYZ 0 394.3076 0] endobj 5689 0 obj [2437 0 R /XYZ 0 139.8192 0] endobj 5690 0 obj [2452 0 R /XYZ 0 473.8038 0] endobj 5691 0 obj [2471 0 R /XYZ 0 549.9115 0] endobj 5692 0 obj [2481 0 R /XYZ 0 477.7 0] endobj 5693 0 obj [2490 0 R /XYZ 0 401.475 0] endobj 5694 0 obj [2516 0 R /XYZ 0 697 0] endobj 5695 0 obj [2523 0 R /XYZ 0 556.1 0] endobj 5696 0 obj [2539 0 R /XYZ 0 589 0] endobj 5697 0 obj [2551 0 R /XYZ 0 504.7 0] endobj 5698 0 obj [2560 0 R /XYZ 0 504.7 0] endobj 5699 0 obj [2571 0 R /XYZ 0 294.6999 0] endobj 5700 0 obj [2594 0 R /XYZ 0 517.4 0] endobj 5701 0 obj [2609 0 R /XYZ 0 340.8037 0] endobj 5702 0 obj [2624 0 R /XYZ 0 209.3076 0] endobj 5703 0 obj [2647 0 R /XYZ 0 589 0] endobj 5704 0 obj [2660 0 R /XYZ 0 448.3076 0] endobj 5705 0 obj [2672 0 R /XYZ 0 212.6076 0] endobj 5706 0 obj [2679 0 R /XYZ 0 309.2115 0] endobj 5707 0 obj [2697 0 R /XYZ 0 568 0] endobj 5708 0 obj [2719 0 R /XYZ 0 552.8001 0] endobj 5709 0 obj [2733 0 R /XYZ 0 285.0038 0] endobj 5710 0 obj [2740 0 R /XYZ 0 256.5076 0] endobj 5711 0 obj [2751 0 R /XYZ 0 217.5191 0] endobj 5712 0 obj [2760 0 R /XYZ 0 286.5076 0] endobj 5713 0 obj [2771 0 R /XYZ 0 459.6038 0] endobj 5714 0 obj [2778 0 R /XYZ 0 697 0] endobj 5715 0 obj [2787 0 R /XYZ 0 697 0] endobj 5716 0 obj [2810 0 R /XYZ 0 558.6 0] endobj 5717 0 obj [2839 0 R /XYZ 0 697 0] endobj 5718 0 obj [2854 0 R /XYZ 0 530.4 0] endobj 5719 0 obj [2868 0 R /XYZ 0 236.9267 0] endobj 5720 0 obj [2876 0 R /XYZ 0 402.3076 0] endobj 5721 0 obj [2898 0 R /XYZ 0 558.6 0] endobj 5722 0 obj [2918 0 R /XYZ 0 258.8076 0] endobj 5723 0 obj [2934 0 R /XYZ 0 358.1 0] endobj 5724 0 obj [2943 0 R /XYZ 0 392.6 0] endobj 5725 0 obj [2952 0 R /XYZ 0 362.2 0] endobj 5726 0 obj [2963 0 R /XYZ 0 291.4999 0] endobj 5727 0 obj [2987 0 R /XYZ 0 292.2038 0] endobj 5728 0 obj [3013 0 R /XYZ 0 458.2307 0] endobj 5729 0 obj [3022 0 R /XYZ 0 434 0] endobj 5730 0 obj [3029 0 R /XYZ 0 242.9114 0] endobj 5731 0 obj [3043 0 R /XYZ 0 343.7076 0] endobj 5732 0 obj [3062 0 R /XYZ 0 532.6 0] endobj 5733 0 obj [3087 0 R /XYZ 0 697 0] endobj 5734 0 obj [3094 0 R /XYZ 0 423.4 0] endobj 5735 0 obj [3117 0 R /XYZ 0 589 0] endobj 5736 0 obj [3133 0 R /XYZ 0 232.1 0] endobj 5737 0 obj [3150 0 R /XYZ 0 455.1038 0] endobj xref 0 5738 0000000000 65535 f 0000044087 00000 n 0000044477 00000 n 0000044677 00000 n 0000044920 00000 n 0000044994 00000 n 0000046067 00000 n 0000046142 00000 n 0000046966 00000 n 0000047291 00000 n 0000047949 00000 n 0000048274 00000 n 0000048620 00000 n 0000048943 00000 n 0000049279 00000 n 0000049616 00000 n 0000049946 00000 n 0000050610 00000 n 0000050950 00000 n 0000051288 00000 n 0000051634 00000 n 0000051976 00000 n 0000052318 00000 n 0000052658 00000 n 0000053004 00000 n 0000053351 00000 n 0000053696 00000 n 0000054047 00000 n 0000054394 00000 n 0000054744 00000 n 0000055091 00000 n 0000055430 00000 n 0000055774 00000 n 0000056120 00000 n 0000056458 00000 n 0000056797 00000 n 0000057140 00000 n 0000057487 00000 n 0000057828 00000 n 0000058169 00000 n 0000058237 00000 n 0000060645 00000 n 0000061010 00000 n 0000061211 00000 n 0000061507 00000 n 0000061583 00000 n 0000062409 00000 n 0000062735 00000 n 0000063077 00000 n 0000063420 00000 n 0000063755 00000 n 0000064095 00000 n 0000064437 00000 n 0000064780 00000 n 0000065123 00000 n 0000065463 00000 n 0000065805 00000 n 0000066151 00000 n 0000066494 00000 n 0000066832 00000 n 0000067180 00000 n 0000067525 00000 n 0000067864 00000 n 0000068213 00000 n 0000068555 00000 n 0000068887 00000 n 0000069225 00000 n 0000069572 00000 n 0000069922 00000 n 0000070272 00000 n 0000070622 00000 n 0000070962 00000 n 0000071310 00000 n 0000072030 00000 n 0000072365 00000 n 0000072707 00000 n 0000073025 00000 n 0000073355 00000 n 0000073684 00000 n 0000074013 00000 n 0000074346 00000 n 0000074696 00000 n 0000075038 00000 n 0000075388 00000 n 0000075726 00000 n 0000075794 00000 n 0000078174 00000 n 0000078528 00000 n 0000078729 00000 n 0000079062 00000 n 0000079138 00000 n 0000079963 00000 n 0000080289 00000 n 0000080629 00000 n 0000080968 00000 n 0000081303 00000 n 0000081636 00000 n 0000081981 00000 n 0000082314 00000 n 0000082641 00000 n 0000082972 00000 n 0000083304 00000 n 0000083642 00000 n 0000083982 00000 n 0000084320 00000 n 0000084656 00000 n 0000084990 00000 n 0000085322 00000 n 0000085663 00000 n 0000086003 00000 n 0000086338 00000 n 0000086682 00000 n 0000087021 00000 n 0000087360 00000 n 0000087701 00000 n 0000088040 00000 n 0000088385 00000 n 0000088733 00000 n 0000089061 00000 n 0000089400 00000 n 0000089729 00000 n 0000090061 00000 n 0000090398 00000 n 0000090737 00000 n 0000091070 00000 n 0000091407 00000 n 0000091746 00000 n 0000092086 00000 n 0000092408 00000 n 0000092746 00000 n 0000093079 00000 n 0000093148 00000 n 0000095654 00000 n 0000096012 00000 n 0000096214 00000 n 0000096558 00000 n 0000096635 00000 n 0000097461 00000 n 0000097788 00000 n 0000098132 00000 n 0000098470 00000 n 0000098815 00000 n 0000099141 00000 n 0000099480 00000 n 0000099819 00000 n 0000100169 00000 n 0000100512 00000 n 0000100856 00000 n 0000101193 00000 n 0000101533 00000 n 0000101872 00000 n 0000102215 00000 n 0000102562 00000 n 0000102911 00000 n 0000103247 00000 n 0000103584 00000 n 0000103931 00000 n 0000104281 00000 n 0000104625 00000 n 0000104963 00000 n 0000105309 00000 n 0000105651 00000 n 0000105985 00000 n 0000106324 00000 n 0000106664 00000 n 0000106996 00000 n 0000107337 00000 n 0000107674 00000 n 0000108003 00000 n 0000108343 00000 n 0000108692 00000 n 0000109031 00000 n 0000109372 00000 n 0000109712 00000 n 0000110053 00000 n 0000110394 00000 n 0000110738 00000 n 0000110807 00000 n 0000113172 00000 n 0000113530 00000 n 0000113732 00000 n 0000114076 00000 n 0000114153 00000 n 0000114979 00000 n 0000115306 00000 n 0000115639 00000 n 0000115975 00000 n 0000116319 00000 n 0000116655 00000 n 0000116993 00000 n 0000117328 00000 n 0000117663 00000 n 0000118003 00000 n 0000118336 00000 n 0000118681 00000 n 0000119022 00000 n 0000119367 00000 n 0000119713 00000 n 0000120052 00000 n 0000120395 00000 n 0000120726 00000 n 0000121069 00000 n 0000121409 00000 n 0000121752 00000 n 0000122100 00000 n 0000122447 00000 n 0000122788 00000 n 0000123127 00000 n 0000123476 00000 n 0000123819 00000 n 0000124165 00000 n 0000124513 00000 n 0000124856 00000 n 0000125184 00000 n 0000125509 00000 n 0000125835 00000 n 0000126184 00000 n 0000126502 00000 n 0000126848 00000 n 0000127194 00000 n 0000127529 00000 n 0000127863 00000 n 0000128186 00000 n 0000128255 00000 n 0000130643 00000 n 0000131015 00000 n 0000131217 00000 n 0000131345 00000 n 0000131423 00000 n 0000132253 00000 n 0000132580 00000 n 0000132921 00000 n 0000133252 00000 n 0000133595 00000 n 0000133935 00000 n 0000134556 00000 n 0000134898 00000 n 0000135217 00000 n 0000135562 00000 n 0000135901 00000 n 0000136243 00000 n 0000136567 00000 n 0000136636 00000 n 0000138528 00000 n 0000138999 00000 n 0000139261 00000 n 0000139509 00000 n 0000139816 00000 n 0000140027 00000 n 0000140265 00000 n 0000140467 00000 n 0000140571 00000 n 0000140648 00000 n 0000141724 00000 n 0000141802 00000 n 0000142632 00000 n 0000142959 00000 n 0000143200 00000 n 0000143420 00000 n 0000143659 00000 n 0000143728 00000 n 0000148217 00000 n 0000148677 00000 n 0000148879 00000 n 0000148957 00000 n 0000149787 00000 n 0000150114 00000 n 0000150183 00000 n 0000154914 00000 n 0000155348 00000 n 0000155550 00000 n 0000155628 00000 n 0000156458 00000 n 0000156786 00000 n 0000156855 00000 n 0000166470 00000 n 0000166895 00000 n 0000167097 00000 n 0000167297 00000 n 0000167375 00000 n 0000168204 00000 n 0000168532 00000 n 0000168937 00000 n 0000169131 00000 n 0000169327 00000 n 0000169521 00000 n 0000169715 00000 n 0000169910 00000 n 0000170112 00000 n 0000170306 00000 n 0000170504 00000 n 0000170707 00000 n 0000170905 00000 n 0000171107 00000 n 0000171302 00000 n 0000171502 00000 n 0000171707 00000 n 0000171914 00000 n 0000172118 00000 n 0000172313 00000 n 0000172507 00000 n 0000172700 00000 n 0000172769 00000 n 0000175946 00000 n 0000176370 00000 n 0000176572 00000 n 0000176620 00000 n 0000176698 00000 n 0000177528 00000 n 0000177856 00000 n 0000178045 00000 n 0000178114 00000 n 0000183423 00000 n 0000183834 00000 n 0000184036 00000 n 0000184156 00000 n 0000184234 00000 n 0000185064 00000 n 0000185392 00000 n 0000185584 00000 n 0000185782 00000 n 0000185978 00000 n 0000186166 00000 n 0000186362 00000 n 0000186558 00000 n 0000186755 00000 n 0000186952 00000 n 0000187139 00000 n 0000187331 00000 n 0000187400 00000 n 0000189844 00000 n 0000190281 00000 n 0000190555 00000 n 0000190757 00000 n 0000190861 00000 n 0000190939 00000 n 0000191769 00000 n 0000192097 00000 n 0000192294 00000 n 0000192497 00000 n 0000192697 00000 n 0000192897 00000 n 0000193094 00000 n 0000193296 00000 n 0000193494 00000 n 0000193563 00000 n 0000200308 00000 n 0000200693 00000 n 0000200895 00000 n 0000201015 00000 n 0000201093 00000 n 0000201923 00000 n 0000202251 00000 n 0000202445 00000 n 0000202639 00000 n 0000202838 00000 n 0000203035 00000 n 0000203230 00000 n 0000203424 00000 n 0000203618 00000 n 0000203812 00000 n 0000204006 00000 n 0000204197 00000 n 0000204266 00000 n 0000205964 00000 n 0000206433 00000 n 0000206510 00000 n 0000207586 00000 n 0000207788 00000 n 0000207866 00000 n 0000208696 00000 n 0000209024 00000 n 0000209093 00000 n 0000212520 00000 n 0000212968 00000 n 0000213170 00000 n 0000213248 00000 n 0000214077 00000 n 0000214405 00000 n 0000214474 00000 n 0000219920 00000 n 0000220355 00000 n 0000220557 00000 n 0000220635 00000 n 0000221465 00000 n 0000221793 00000 n 0000221862 00000 n 0000224960 00000 n 0000225381 00000 n 0000225583 00000 n 0000225661 00000 n 0000226491 00000 n 0000226819 00000 n 0000226888 00000 n 0000229535 00000 n 0000229983 00000 n 0000230185 00000 n 0000230263 00000 n 0000231093 00000 n 0000231421 00000 n 0000231490 00000 n 0000236663 00000 n 0000237098 00000 n 0000237300 00000 n 0000237378 00000 n 0000238207 00000 n 0000238535 00000 n 0000238604 00000 n 0000241591 00000 n 0000242039 00000 n 0000242241 00000 n 0000242319 00000 n 0000243148 00000 n 0000243476 00000 n 0000243545 00000 n 0000248930 00000 n 0000249365 00000 n 0000249567 00000 n 0000249645 00000 n 0000250474 00000 n 0000250802 00000 n 0000250871 00000 n 0000254667 00000 n 0000255136 00000 n 0000255396 00000 n 0000255598 00000 n 0000255676 00000 n 0000256506 00000 n 0000256834 00000 n 0000256903 00000 n 0000262944 00000 n 0000263400 00000 n 0000263664 00000 n 0000263866 00000 n 0000263944 00000 n 0000264774 00000 n 0000264843 00000 n 0000265171 00000 n 0000269263 00000 n 0000269711 00000 n 0000269913 00000 n 0000269982 00000 n 0000270060 00000 n 0000270889 00000 n 0000271217 00000 n 0000276419 00000 n 0000276854 00000 n 0000277056 00000 n 0000277125 00000 n 0000277203 00000 n 0000278033 00000 n 0000278361 00000 n 0000281442 00000 n 0000281898 00000 n 0000282162 00000 n 0000282364 00000 n 0000282433 00000 n 0000282511 00000 n 0000283341 00000 n 0000283669 00000 n 0000287243 00000 n 0000287691 00000 n 0000287893 00000 n 0000287962 00000 n 0000288040 00000 n 0000288869 00000 n 0000289197 00000 n 0000294247 00000 n 0000294682 00000 n 0000294884 00000 n 0000294953 00000 n 0000295031 00000 n 0000295860 00000 n 0000296188 00000 n 0000299246 00000 n 0000299694 00000 n 0000299896 00000 n 0000299965 00000 n 0000300043 00000 n 0000300872 00000 n 0000301200 00000 n 0000306110 00000 n 0000306545 00000 n 0000306747 00000 n 0000306816 00000 n 0000306894 00000 n 0000307723 00000 n 0000308051 00000 n 0000310752 00000 n 0000311200 00000 n 0000311402 00000 n 0000311471 00000 n 0000311549 00000 n 0000312378 00000 n 0000312706 00000 n 0000317784 00000 n 0000318219 00000 n 0000318421 00000 n 0000318490 00000 n 0000318568 00000 n 0000319398 00000 n 0000319726 00000 n 0000322679 00000 n 0000323114 00000 n 0000323316 00000 n 0000323385 00000 n 0000323463 00000 n 0000324293 00000 n 0000324621 00000 n 0000329538 00000 n 0000329963 00000 n 0000330165 00000 n 0000330213 00000 n 0000330402 00000 n 0000330471 00000 n 0000330549 00000 n 0000331378 00000 n 0000331706 00000 n 0000335539 00000 n 0000335935 00000 n 0000336137 00000 n 0000336206 00000 n 0000336284 00000 n 0000337113 00000 n 0000337441 00000 n 0000340396 00000 n 0000340844 00000 n 0000341046 00000 n 0000341115 00000 n 0000341193 00000 n 0000342024 00000 n 0000342352 00000 n 0000349992 00000 n 0000350474 00000 n 0000350736 00000 n 0000350938 00000 n 0000351007 00000 n 0000351085 00000 n 0000351914 00000 n 0000352242 00000 n 0000356768 00000 n 0000357216 00000 n 0000357418 00000 n 0000357487 00000 n 0000357565 00000 n 0000358394 00000 n 0000358722 00000 n 0000366377 00000 n 0000366812 00000 n 0000367014 00000 n 0000367083 00000 n 0000367161 00000 n 0000367990 00000 n 0000368318 00000 n 0000371280 00000 n 0000371714 00000 n 0000371916 00000 n 0000371985 00000 n 0000372063 00000 n 0000372892 00000 n 0000373220 00000 n 0000379684 00000 n 0000380119 00000 n 0000380321 00000 n 0000380390 00000 n 0000380468 00000 n 0000381297 00000 n 0000381625 00000 n 0000387535 00000 n 0000387970 00000 n 0000388172 00000 n 0000388241 00000 n 0000388319 00000 n 0000389149 00000 n 0000389477 00000 n 0000395409 00000 n 0000395891 00000 n 0000396153 00000 n 0000396355 00000 n 0000396424 00000 n 0000396502 00000 n 0000397332 00000 n 0000397660 00000 n 0000402557 00000 n 0000403029 00000 n 0000403292 00000 n 0000403563 00000 n 0000403834 00000 n 0000404036 00000 n 0000404105 00000 n 0000404183 00000 n 0000405012 00000 n 0000405340 00000 n 0000409690 00000 n 0000410138 00000 n 0000410340 00000 n 0000410409 00000 n 0000410487 00000 n 0000411316 00000 n 0000411644 00000 n 0000418866 00000 n 0000419322 00000 n 0000419587 00000 n 0000419789 00000 n 0000419858 00000 n 0000419936 00000 n 0000420766 00000 n 0000421094 00000 n 0000424111 00000 n 0000424545 00000 n 0000424747 00000 n 0000424816 00000 n 0000424894 00000 n 0000425724 00000 n 0000426052 00000 n 0000434065 00000 n 0000434537 00000 n 0000434802 00000 n 0000435074 00000 n 0000435339 00000 n 0000435541 00000 n 0000435610 00000 n 0000435688 00000 n 0000436516 00000 n 0000436844 00000 n 0000440831 00000 n 0000441256 00000 n 0000441458 00000 n 0000441506 00000 n 0000441878 00000 n 0000441947 00000 n 0000442025 00000 n 0000442855 00000 n 0000443183 00000 n 0000447286 00000 n 0000447742 00000 n 0000448009 00000 n 0000448211 00000 n 0000448280 00000 n 0000448358 00000 n 0000449189 00000 n 0000449517 00000 n 0000452709 00000 n 0000453157 00000 n 0000453359 00000 n 0000453428 00000 n 0000453506 00000 n 0000454335 00000 n 0000454663 00000 n 0000461408 00000 n 0000461864 00000 n 0000462129 00000 n 0000462331 00000 n 0000462400 00000 n 0000462478 00000 n 0000463308 00000 n 0000463636 00000 n 0000467332 00000 n 0000467767 00000 n 0000467969 00000 n 0000468038 00000 n 0000468116 00000 n 0000468946 00000 n 0000469274 00000 n 0000475609 00000 n 0000476065 00000 n 0000476330 00000 n 0000476532 00000 n 0000476601 00000 n 0000476679 00000 n 0000477508 00000 n 0000477836 00000 n 0000481650 00000 n 0000482098 00000 n 0000482300 00000 n 0000482369 00000 n 0000482447 00000 n 0000483276 00000 n 0000483604 00000 n 0000489846 00000 n 0000490302 00000 n 0000490567 00000 n 0000490769 00000 n 0000490838 00000 n 0000490916 00000 n 0000491745 00000 n 0000492073 00000 n 0000495561 00000 n 0000496030 00000 n 0000496291 00000 n 0000496493 00000 n 0000496562 00000 n 0000496640 00000 n 0000497471 00000 n 0000497799 00000 n 0000504690 00000 n 0000505112 00000 n 0000505314 00000 n 0000505383 00000 n 0000505461 00000 n 0000506290 00000 n 0000506618 00000 n 0000509389 00000 n 0000509845 00000 n 0000510117 00000 n 0000510319 00000 n 0000510388 00000 n 0000510466 00000 n 0000511297 00000 n 0000511625 00000 n 0000515228 00000 n 0000515676 00000 n 0000515878 00000 n 0000515947 00000 n 0000516025 00000 n 0000516856 00000 n 0000517184 00000 n 0000524057 00000 n 0000524513 00000 n 0000524776 00000 n 0000524978 00000 n 0000525047 00000 n 0000525125 00000 n 0000525954 00000 n 0000526282 00000 n 0000529486 00000 n 0000529924 00000 n 0000530187 00000 n 0000530389 00000 n 0000530445 00000 n 0000530824 00000 n 0000530893 00000 n 0000530971 00000 n 0000531801 00000 n 0000532129 00000 n 0000535678 00000 n 0000536134 00000 n 0000536406 00000 n 0000536608 00000 n 0000536677 00000 n 0000536755 00000 n 0000537585 00000 n 0000537913 00000 n 0000540922 00000 n 0000541370 00000 n 0000541572 00000 n 0000541641 00000 n 0000541719 00000 n 0000542548 00000 n 0000542876 00000 n 0000549218 00000 n 0000549656 00000 n 0000549917 00000 n 0000550119 00000 n 0000550175 00000 n 0000550569 00000 n 0000550638 00000 n 0000550716 00000 n 0000551545 00000 n 0000551873 00000 n 0000555701 00000 n 0000556178 00000 n 0000556443 00000 n 0000556729 00000 n 0000556931 00000 n 0000557000 00000 n 0000557078 00000 n 0000557907 00000 n 0000558235 00000 n 0000562099 00000 n 0000562568 00000 n 0000562830 00000 n 0000563032 00000 n 0000563101 00000 n 0000563179 00000 n 0000564008 00000 n 0000564336 00000 n 0000571131 00000 n 0000571553 00000 n 0000571755 00000 n 0000571824 00000 n 0000571902 00000 n 0000572731 00000 n 0000573059 00000 n 0000575695 00000 n 0000576091 00000 n 0000576293 00000 n 0000576362 00000 n 0000576440 00000 n 0000577269 00000 n 0000577597 00000 n 0000580154 00000 n 0000580550 00000 n 0000580752 00000 n 0000580821 00000 n 0000580899 00000 n 0000581729 00000 n 0000582057 00000 n 0000584583 00000 n 0000584979 00000 n 0000585181 00000 n 0000585250 00000 n 0000585328 00000 n 0000586157 00000 n 0000586485 00000 n 0000589042 00000 n 0000589438 00000 n 0000589640 00000 n 0000589709 00000 n 0000589787 00000 n 0000590616 00000 n 0000590944 00000 n 0000593454 00000 n 0000593850 00000 n 0000594052 00000 n 0000594121 00000 n 0000594199 00000 n 0000595029 00000 n 0000595357 00000 n 0000597821 00000 n 0000598217 00000 n 0000598419 00000 n 0000598488 00000 n 0000598566 00000 n 0000599396 00000 n 0000599724 00000 n 0000601909 00000 n 0000602305 00000 n 0000602507 00000 n 0000602576 00000 n 0000602654 00000 n 0000603483 00000 n 0000603811 00000 n 0000606096 00000 n 0000606518 00000 n 0000606720 00000 n 0000606789 00000 n 0000606867 00000 n 0000607696 00000 n 0000608024 00000 n 0000610805 00000 n 0000611282 00000 n 0000611566 00000 n 0000611831 00000 n 0000612033 00000 n 0000612102 00000 n 0000612180 00000 n 0000613009 00000 n 0000613337 00000 n 0000617378 00000 n 0000617847 00000 n 0000618131 00000 n 0000618333 00000 n 0000618402 00000 n 0000618480 00000 n 0000619309 00000 n 0000619637 00000 n 0000623351 00000 n 0000623820 00000 n 0000624083 00000 n 0000624285 00000 n 0000624354 00000 n 0000624432 00000 n 0000625262 00000 n 0000625590 00000 n 0000632725 00000 n 0000633181 00000 n 0000633444 00000 n 0000633646 00000 n 0000633715 00000 n 0000633793 00000 n 0000634622 00000 n 0000634950 00000 n 0000638588 00000 n 0000639023 00000 n 0000639225 00000 n 0000639294 00000 n 0000639372 00000 n 0000640202 00000 n 0000640530 00000 n 0000643413 00000 n 0000643861 00000 n 0000644063 00000 n 0000644132 00000 n 0000644210 00000 n 0000645040 00000 n 0000645368 00000 n 0000651716 00000 n 0000652172 00000 n 0000652434 00000 n 0000652636 00000 n 0000652705 00000 n 0000652783 00000 n 0000653613 00000 n 0000653941 00000 n 0000657045 00000 n 0000657441 00000 n 0000657643 00000 n 0000657712 00000 n 0000657790 00000 n 0000658619 00000 n 0000658947 00000 n 0000661512 00000 n 0000661908 00000 n 0000662110 00000 n 0000662179 00000 n 0000662257 00000 n 0000663088 00000 n 0000663416 00000 n 0000665819 00000 n 0000666215 00000 n 0000666417 00000 n 0000666486 00000 n 0000666564 00000 n 0000667393 00000 n 0000667721 00000 n 0000669961 00000 n 0000670386 00000 n 0000670588 00000 n 0000670636 00000 n 0000671065 00000 n 0000671134 00000 n 0000671212 00000 n 0000672041 00000 n 0000672369 00000 n 0000675986 00000 n 0000676442 00000 n 0000676704 00000 n 0000676906 00000 n 0000676975 00000 n 0000677053 00000 n 0000677882 00000 n 0000678210 00000 n 0000681276 00000 n 0000681672 00000 n 0000681874 00000 n 0000681943 00000 n 0000682021 00000 n 0000682851 00000 n 0000683179 00000 n 0000685621 00000 n 0000686056 00000 n 0000686258 00000 n 0000686327 00000 n 0000686405 00000 n 0000687235 00000 n 0000687563 00000 n 0000690804 00000 n 0000691281 00000 n 0000691550 00000 n 0000691815 00000 n 0000692017 00000 n 0000692086 00000 n 0000692164 00000 n 0000692993 00000 n 0000693321 00000 n 0000701060 00000 n 0000701516 00000 n 0000701781 00000 n 0000701983 00000 n 0000702052 00000 n 0000702130 00000 n 0000702959 00000 n 0000703287 00000 n 0000706390 00000 n 0000706786 00000 n 0000706988 00000 n 0000707057 00000 n 0000707135 00000 n 0000707964 00000 n 0000708292 00000 n 0000710661 00000 n 0000711117 00000 n 0000711388 00000 n 0000711590 00000 n 0000711659 00000 n 0000711737 00000 n 0000712566 00000 n 0000712894 00000 n 0000716251 00000 n 0000716699 00000 n 0000716901 00000 n 0000716970 00000 n 0000717048 00000 n 0000717878 00000 n 0000718206 00000 n 0000724770 00000 n 0000725234 00000 n 0000725499 00000 n 0000725759 00000 n 0000725961 00000 n 0000726030 00000 n 0000726108 00000 n 0000726939 00000 n 0000727267 00000 n 0000731370 00000 n 0000731820 00000 n 0000732022 00000 n 0000732091 00000 n 0000732169 00000 n 0000732999 00000 n 0000733328 00000 n 0000740025 00000 n 0000740507 00000 n 0000740773 00000 n 0000741043 00000 n 0000741316 00000 n 0000741519 00000 n 0000741589 00000 n 0000741668 00000 n 0000742498 00000 n 0000742828 00000 n 0000747035 00000 n 0000747490 00000 n 0000747693 00000 n 0000747763 00000 n 0000747842 00000 n 0000748673 00000 n 0000749003 00000 n 0000756570 00000 n 0000757014 00000 n 0000757279 00000 n 0000757550 00000 n 0000757753 00000 n 0000757823 00000 n 0000758022 00000 n 0000758092 00000 n 0000758171 00000 n 0000759000 00000 n 0000759330 00000 n 0000762409 00000 n 0000762890 00000 n 0000763093 00000 n 0000763163 00000 n 0000763242 00000 n 0000764072 00000 n 0000764402 00000 n 0000775191 00000 n 0000775633 00000 n 0000775836 00000 n 0000775906 00000 n 0000775985 00000 n 0000776816 00000 n 0000777146 00000 n 0000780929 00000 n 0000781384 00000 n 0000781587 00000 n 0000781657 00000 n 0000781736 00000 n 0000782567 00000 n 0000782897 00000 n 0000789753 00000 n 0000790195 00000 n 0000790398 00000 n 0000790468 00000 n 0000790547 00000 n 0000791377 00000 n 0000791707 00000 n 0000795057 00000 n 0000795486 00000 n 0000795689 00000 n 0000795759 00000 n 0000795838 00000 n 0000796669 00000 n 0000796999 00000 n 0000799753 00000 n 0000800194 00000 n 0000800397 00000 n 0000800467 00000 n 0000800546 00000 n 0000801378 00000 n 0000801708 00000 n 0000811215 00000 n 0000811672 00000 n 0000811941 00000 n 0000812144 00000 n 0000812214 00000 n 0000812408 00000 n 0000812609 00000 n 0000812679 00000 n 0000812758 00000 n 0000813588 00000 n 0000813918 00000 n 0000818574 00000 n 0000819029 00000 n 0000819232 00000 n 0000819302 00000 n 0000819381 00000 n 0000820211 00000 n 0000820541 00000 n 0000828349 00000 n 0000828817 00000 n 0000829020 00000 n 0000829090 00000 n 0000829169 00000 n 0000830000 00000 n 0000830330 00000 n 0000834678 00000 n 0000835119 00000 n 0000835322 00000 n 0000835392 00000 n 0000835471 00000 n 0000836302 00000 n 0000836632 00000 n 0000843597 00000 n 0000844039 00000 n 0000844242 00000 n 0000844312 00000 n 0000844391 00000 n 0000845221 00000 n 0000845551 00000 n 0000851785 00000 n 0000852227 00000 n 0000852430 00000 n 0000852500 00000 n 0000852579 00000 n 0000853410 00000 n 0000853740 00000 n 0000856916 00000 n 0000857371 00000 n 0000857574 00000 n 0000857644 00000 n 0000857723 00000 n 0000858553 00000 n 0000858883 00000 n 0000868431 00000 n 0000868899 00000 n 0000869102 00000 n 0000869172 00000 n 0000869251 00000 n 0000870081 00000 n 0000870411 00000 n 0000874311 00000 n 0000874741 00000 n 0000875073 00000 n 0000875338 00000 n 0000875541 00000 n 0000875611 00000 n 0000875804 00000 n 0000875874 00000 n 0000875953 00000 n 0000876783 00000 n 0000877113 00000 n 0000880362 00000 n 0000880817 00000 n 0000881020 00000 n 0000881090 00000 n 0000881169 00000 n 0000881999 00000 n 0000882329 00000 n 0000890101 00000 n 0000890532 00000 n 0000890735 00000 n 0000890787 00000 n 0000890990 00000 n 0000891060 00000 n 0000891139 00000 n 0000891970 00000 n 0000892300 00000 n 0000894768 00000 n 0000895223 00000 n 0000895426 00000 n 0000895496 00000 n 0000895575 00000 n 0000896405 00000 n 0000896735 00000 n 0000904670 00000 n 0000905112 00000 n 0000905315 00000 n 0000905385 00000 n 0000905464 00000 n 0000906295 00000 n 0000906625 00000 n 0000909358 00000 n 0000909835 00000 n 0000910103 00000 n 0000910306 00000 n 0000910376 00000 n 0000910455 00000 n 0000911286 00000 n 0000911616 00000 n 0000921447 00000 n 0000921889 00000 n 0000922092 00000 n 0000922162 00000 n 0000922241 00000 n 0000923071 00000 n 0000923401 00000 n 0000926559 00000 n 0000927001 00000 n 0000927204 00000 n 0000927274 00000 n 0000927353 00000 n 0000928183 00000 n 0000928513 00000 n 0000931625 00000 n 0000932110 00000 n 0000932377 00000 n 0000932647 00000 n 0000932850 00000 n 0000932920 00000 n 0000932999 00000 n 0000933830 00000 n 0000934160 00000 n 0000944012 00000 n 0000944472 00000 n 0000944739 00000 n 0000945009 00000 n 0000945212 00000 n 0000945282 00000 n 0000945361 00000 n 0000946191 00000 n 0000946521 00000 n 0000949560 00000 n 0000950002 00000 n 0000950205 00000 n 0000950275 00000 n 0000950354 00000 n 0000951185 00000 n 0000951515 00000 n 0000955083 00000 n 0000955556 00000 n 0000955914 00000 n 0000956183 00000 n 0000956386 00000 n 0000956456 00000 n 0000956535 00000 n 0000957366 00000 n 0000957696 00000 n 0000960923 00000 n 0000961378 00000 n 0000961581 00000 n 0000961651 00000 n 0000961730 00000 n 0000962561 00000 n 0000962891 00000 n 0000970262 00000 n 0000970726 00000 n 0000970990 00000 n 0000971193 00000 n 0000971263 00000 n 0000971342 00000 n 0000972172 00000 n 0000972502 00000 n 0000975756 00000 n 0000976187 00000 n 0000976390 00000 n 0000976442 00000 n 0000976633 00000 n 0000976703 00000 n 0000976782 00000 n 0000977612 00000 n 0000977942 00000 n 0000981114 00000 n 0000981517 00000 n 0000981720 00000 n 0000981790 00000 n 0000981869 00000 n 0000982699 00000 n 0000983029 00000 n 0000985074 00000 n 0000985529 00000 n 0000985732 00000 n 0000985802 00000 n 0000985881 00000 n 0000986711 00000 n 0000987041 00000 n 0000995094 00000 n 0000995558 00000 n 0000995824 00000 n 0000996027 00000 n 0000996097 00000 n 0000996176 00000 n 0000997006 00000 n 0000997336 00000 n 0001000825 00000 n 0001001255 00000 n 0001001523 00000 n 0001001726 00000 n 0001001787 00000 n 0001001973 00000 n 0001002043 00000 n 0001002122 00000 n 0001002953 00000 n 0001003283 00000 n 0001007077 00000 n 0001007532 00000 n 0001007735 00000 n 0001007805 00000 n 0001007884 00000 n 0001008714 00000 n 0001009044 00000 n 0001018436 00000 n 0001018917 00000 n 0001019120 00000 n 0001019190 00000 n 0001019269 00000 n 0001020099 00000 n 0001020429 00000 n 0001024853 00000 n 0001025308 00000 n 0001025511 00000 n 0001025581 00000 n 0001025660 00000 n 0001026492 00000 n 0001026822 00000 n 0001036364 00000 n 0001036819 00000 n 0001037022 00000 n 0001037092 00000 n 0001037171 00000 n 0001038001 00000 n 0001038331 00000 n 0001041705 00000 n 0001042198 00000 n 0001042276 00000 n 0001043353 00000 n 0001043622 00000 n 0001043825 00000 n 0001043886 00000 n 0001044079 00000 n 0001044149 00000 n 0001044228 00000 n 0001045058 00000 n 0001045388 00000 n 0001049811 00000 n 0001050282 00000 n 0001050485 00000 n 0001050537 00000 n 0001050737 00000 n 0001050807 00000 n 0001050886 00000 n 0001051716 00000 n 0001052046 00000 n 0001058874 00000 n 0001059355 00000 n 0001059558 00000 n 0001059628 00000 n 0001059707 00000 n 0001060537 00000 n 0001060867 00000 n 0001088169 00000 n 0001088534 00000 n 0001092224 00000 n 0001092679 00000 n 0001092882 00000 n 0001092952 00000 n 0001093031 00000 n 0001093861 00000 n 0001094191 00000 n 0001097449 00000 n 0001097919 00000 n 0001098250 00000 n 0001098512 00000 n 0001098848 00000 n 0001099051 00000 n 0001099139 00000 n 0001099321 00000 n 0001099524 00000 n 0001099594 00000 n 0001099673 00000 n 0001100503 00000 n 0001100833 00000 n 0001105364 00000 n 0001105834 00000 n 0001106037 00000 n 0001106089 00000 n 0001106296 00000 n 0001106366 00000 n 0001106445 00000 n 0001107276 00000 n 0001107606 00000 n 0001111682 00000 n 0001112152 00000 n 0001112420 00000 n 0001112623 00000 n 0001112702 00000 n 0001112908 00000 n 0001113109 00000 n 0001113313 00000 n 0001113383 00000 n 0001113462 00000 n 0001114292 00000 n 0001114622 00000 n 0001118391 00000 n 0001118881 00000 n 0001119149 00000 n 0001119352 00000 n 0001119422 00000 n 0001119501 00000 n 0001120331 00000 n 0001120661 00000 n 0001124012 00000 n 0001124467 00000 n 0001124670 00000 n 0001124740 00000 n 0001124819 00000 n 0001125649 00000 n 0001125979 00000 n 0001129411 00000 n 0001129866 00000 n 0001130069 00000 n 0001130139 00000 n 0001130218 00000 n 0001131049 00000 n 0001131379 00000 n 0001134467 00000 n 0001134937 00000 n 0001135140 00000 n 0001135192 00000 n 0001135382 00000 n 0001135452 00000 n 0001135531 00000 n 0001136360 00000 n 0001136690 00000 n 0001140383 00000 n 0001140838 00000 n 0001141041 00000 n 0001141111 00000 n 0001141190 00000 n 0001142021 00000 n 0001142351 00000 n 0001145431 00000 n 0001145901 00000 n 0001146185 00000 n 0001146462 00000 n 0001146665 00000 n 0001146735 00000 n 0001146918 00000 n 0001146988 00000 n 0001147067 00000 n 0001147899 00000 n 0001148229 00000 n 0001152327 00000 n 0001152782 00000 n 0001152985 00000 n 0001153055 00000 n 0001153134 00000 n 0001153965 00000 n 0001154295 00000 n 0001157688 00000 n 0001158205 00000 n 0001158484 00000 n 0001158762 00000 n 0001159054 00000 n 0001159335 00000 n 0001159538 00000 n 0001159608 00000 n 0001159687 00000 n 0001160517 00000 n 0001160847 00000 n 0001165209 00000 n 0001165700 00000 n 0001165982 00000 n 0001166185 00000 n 0001166255 00000 n 0001166334 00000 n 0001167165 00000 n 0001167495 00000 n 0001170801 00000 n 0001171271 00000 n 0001171598 00000 n 0001171880 00000 n 0001172083 00000 n 0001172171 00000 n 0001172375 00000 n 0001172570 00000 n 0001172770 00000 n 0001172840 00000 n 0001172919 00000 n 0001173749 00000 n 0001174079 00000 n 0001178266 00000 n 0001178710 00000 n 0001178913 00000 n 0001178983 00000 n 0001179170 00000 n 0001179359 00000 n 0001179549 00000 n 0001179619 00000 n 0001179698 00000 n 0001180528 00000 n 0001180858 00000 n 0001184217 00000 n 0001184685 00000 n 0001184888 00000 n 0001184958 00000 n 0001185037 00000 n 0001185867 00000 n 0001186197 00000 n 0001190804 00000 n 0001191285 00000 n 0001191488 00000 n 0001191558 00000 n 0001191637 00000 n 0001192468 00000 n 0001192798 00000 n 0001197301 00000 n 0001197771 00000 n 0001198039 00000 n 0001198317 00000 n 0001198520 00000 n 0001198590 00000 n 0001198795 00000 n 0001198865 00000 n 0001198944 00000 n 0001199774 00000 n 0001200104 00000 n 0001204646 00000 n 0001205090 00000 n 0001205293 00000 n 0001205363 00000 n 0001205552 00000 n 0001205752 00000 n 0001205956 00000 n 0001206026 00000 n 0001206105 00000 n 0001206937 00000 n 0001207267 00000 n 0001211030 00000 n 0001211525 00000 n 0001211728 00000 n 0001211798 00000 n 0001211877 00000 n 0001212709 00000 n 0001213039 00000 n 0001218134 00000 n 0001218604 00000 n 0001218874 00000 n 0001219149 00000 n 0001219352 00000 n 0001219422 00000 n 0001219615 00000 n 0001219685 00000 n 0001219764 00000 n 0001220594 00000 n 0001220924 00000 n 0001226132 00000 n 0001226601 00000 n 0001226804 00000 n 0001226874 00000 n 0001226953 00000 n 0001227784 00000 n 0001228114 00000 n 0001231615 00000 n 0001232072 00000 n 0001232275 00000 n 0001232327 00000 n 0001232522 00000 n 0001232592 00000 n 0001232671 00000 n 0001233502 00000 n 0001233832 00000 n 0001238184 00000 n 0001238654 00000 n 0001238857 00000 n 0001238954 00000 n 0001239149 00000 n 0001239361 00000 n 0001239568 00000 n 0001239773 00000 n 0001239965 00000 n 0001240159 00000 n 0001240229 00000 n 0001240308 00000 n 0001241138 00000 n 0001241468 00000 n 0001246570 00000 n 0001247025 00000 n 0001247228 00000 n 0001247298 00000 n 0001247377 00000 n 0001248207 00000 n 0001248537 00000 n 0001251890 00000 n 0001252374 00000 n 0001252717 00000 n 0001252983 00000 n 0001253186 00000 n 0001253292 00000 n 0001253493 00000 n 0001253689 00000 n 0001253872 00000 n 0001254057 00000 n 0001254251 00000 n 0001254321 00000 n 0001254400 00000 n 0001255230 00000 n 0001255560 00000 n 0001259580 00000 n 0001260050 00000 n 0001260253 00000 n 0001260323 00000 n 0001260526 00000 n 0001260721 00000 n 0001260925 00000 n 0001260995 00000 n 0001261074 00000 n 0001261904 00000 n 0001262234 00000 n 0001267246 00000 n 0001267716 00000 n 0001267980 00000 n 0001268254 00000 n 0001268457 00000 n 0001268545 00000 n 0001268748 00000 n 0001268945 00000 n 0001269145 00000 n 0001269215 00000 n 0001269294 00000 n 0001270124 00000 n 0001270454 00000 n 0001274479 00000 n 0001274978 00000 n 0001275239 00000 n 0001275510 00000 n 0001275713 00000 n 0001275783 00000 n 0001275862 00000 n 0001276693 00000 n 0001277023 00000 n 0001280489 00000 n 0001280988 00000 n 0001281255 00000 n 0001281535 00000 n 0001281738 00000 n 0001281808 00000 n 0001281887 00000 n 0001282717 00000 n 0001283047 00000 n 0001287579 00000 n 0001288049 00000 n 0001288323 00000 n 0001288526 00000 n 0001288596 00000 n 0001288782 00000 n 0001288977 00000 n 0001289047 00000 n 0001289126 00000 n 0001289956 00000 n 0001290286 00000 n 0001294686 00000 n 0001295130 00000 n 0001295333 00000 n 0001295394 00000 n 0001295596 00000 n 0001295787 00000 n 0001295857 00000 n 0001295936 00000 n 0001296766 00000 n 0001297096 00000 n 0001300022 00000 n 0001300521 00000 n 0001300874 00000 n 0001301146 00000 n 0001301349 00000 n 0001301419 00000 n 0001301498 00000 n 0001302329 00000 n 0001302659 00000 n 0001307340 00000 n 0001307797 00000 n 0001308069 00000 n 0001308341 00000 n 0001308544 00000 n 0001308614 00000 n 0001308811 00000 n 0001308881 00000 n 0001308960 00000 n 0001309791 00000 n 0001310121 00000 n 0001313813 00000 n 0001314312 00000 n 0001314580 00000 n 0001314851 00000 n 0001315054 00000 n 0001315124 00000 n 0001315203 00000 n 0001316033 00000 n 0001316363 00000 n 0001319597 00000 n 0001320052 00000 n 0001320255 00000 n 0001320325 00000 n 0001320404 00000 n 0001321234 00000 n 0001321564 00000 n 0001324995 00000 n 0001325437 00000 n 0001325640 00000 n 0001325710 00000 n 0001325789 00000 n 0001326619 00000 n 0001326949 00000 n 0001329569 00000 n 0001330053 00000 n 0001330319 00000 n 0001330586 00000 n 0001330789 00000 n 0001330859 00000 n 0001331059 00000 n 0001331129 00000 n 0001331208 00000 n 0001332037 00000 n 0001332367 00000 n 0001336805 00000 n 0001337249 00000 n 0001337452 00000 n 0001337522 00000 n 0001337721 00000 n 0001337920 00000 n 0001338115 00000 n 0001338185 00000 n 0001338264 00000 n 0001339095 00000 n 0001339425 00000 n 0001342736 00000 n 0001343220 00000 n 0001343488 00000 n 0001343691 00000 n 0001343752 00000 n 0001343946 00000 n 0001344016 00000 n 0001344095 00000 n 0001344925 00000 n 0001345255 00000 n 0001349614 00000 n 0001350058 00000 n 0001350261 00000 n 0001350322 00000 n 0001350518 00000 n 0001350713 00000 n 0001350783 00000 n 0001350862 00000 n 0001351693 00000 n 0001352023 00000 n 0001355230 00000 n 0001355688 00000 n 0001355891 00000 n 0001355952 00000 n 0001356150 00000 n 0001356347 00000 n 0001356417 00000 n 0001356496 00000 n 0001357327 00000 n 0001357657 00000 n 0001360944 00000 n 0001361428 00000 n 0001361631 00000 n 0001361683 00000 n 0001361882 00000 n 0001361952 00000 n 0001362031 00000 n 0001362861 00000 n 0001363191 00000 n 0001367442 00000 n 0001367936 00000 n 0001368139 00000 n 0001368209 00000 n 0001368288 00000 n 0001369118 00000 n 0001369448 00000 n 0001374474 00000 n 0001374916 00000 n 0001375119 00000 n 0001375189 00000 n 0001375268 00000 n 0001376100 00000 n 0001376430 00000 n 0001379197 00000 n 0001379667 00000 n 0001379870 00000 n 0001379940 00000 n 0001380130 00000 n 0001380331 00000 n 0001380527 00000 n 0001380597 00000 n 0001380676 00000 n 0001381506 00000 n 0001381836 00000 n 0001385948 00000 n 0001386419 00000 n 0001386687 00000 n 0001386958 00000 n 0001387161 00000 n 0001387231 00000 n 0001387436 00000 n 0001387506 00000 n 0001387585 00000 n 0001388415 00000 n 0001388745 00000 n 0001392633 00000 n 0001393077 00000 n 0001393280 00000 n 0001393332 00000 n 0001393531 00000 n 0001393601 00000 n 0001393680 00000 n 0001394510 00000 n 0001394840 00000 n 0001398126 00000 n 0001398581 00000 n 0001398784 00000 n 0001398854 00000 n 0001398933 00000 n 0001399764 00000 n 0001400094 00000 n 0001403006 00000 n 0001403505 00000 n 0001403772 00000 n 0001404042 00000 n 0001404245 00000 n 0001404315 00000 n 0001404394 00000 n 0001405225 00000 n 0001405555 00000 n 0001410173 00000 n 0001410643 00000 n 0001410846 00000 n 0001410898 00000 n 0001411094 00000 n 0001411164 00000 n 0001411243 00000 n 0001412073 00000 n 0001412403 00000 n 0001417420 00000 n 0001417875 00000 n 0001418078 00000 n 0001418148 00000 n 0001418227 00000 n 0001419058 00000 n 0001419388 00000 n 0001422114 00000 n 0001422584 00000 n 0001422886 00000 n 0001423152 00000 n 0001423355 00000 n 0001423434 00000 n 0001423630 00000 n 0001423823 00000 n 0001423893 00000 n 0001423972 00000 n 0001424802 00000 n 0001425132 00000 n 0001428864 00000 n 0001429322 00000 n 0001429525 00000 n 0001429577 00000 n 0001429770 00000 n 0001429840 00000 n 0001429919 00000 n 0001430749 00000 n 0001431079 00000 n 0001434421 00000 n 0001434865 00000 n 0001435068 00000 n 0001435129 00000 n 0001435323 00000 n 0001435514 00000 n 0001435584 00000 n 0001435663 00000 n 0001436493 00000 n 0001436823 00000 n 0001440458 00000 n 0001440902 00000 n 0001441105 00000 n 0001441157 00000 n 0001441351 00000 n 0001441421 00000 n 0001441500 00000 n 0001442332 00000 n 0001442662 00000 n 0001446381 00000 n 0001446825 00000 n 0001447028 00000 n 0001447080 00000 n 0001447275 00000 n 0001447345 00000 n 0001447424 00000 n 0001448254 00000 n 0001448584 00000 n 0001452017 00000 n 0001452461 00000 n 0001452664 00000 n 0001452716 00000 n 0001452911 00000 n 0001452981 00000 n 0001453060 00000 n 0001453889 00000 n 0001454219 00000 n 0001457580 00000 n 0001458050 00000 n 0001458329 00000 n 0001458532 00000 n 0001458602 00000 n 0001458797 00000 n 0001458998 00000 n 0001459068 00000 n 0001459147 00000 n 0001459977 00000 n 0001460307 00000 n 0001465087 00000 n 0001465545 00000 n 0001465748 00000 n 0001465800 00000 n 0001465998 00000 n 0001466068 00000 n 0001466147 00000 n 0001466977 00000 n 0001467307 00000 n 0001470660 00000 n 0001471164 00000 n 0001471462 00000 n 0001471718 00000 n 0001472025 00000 n 0001472292 00000 n 0001472495 00000 n 0001472565 00000 n 0001472644 00000 n 0001473473 00000 n 0001473803 00000 n 0001477735 00000 n 0001478205 00000 n 0001478544 00000 n 0001478854 00000 n 0001479106 00000 n 0001479309 00000 n 0001479388 00000 n 0001479597 00000 n 0001479667 00000 n 0001479746 00000 n 0001480576 00000 n 0001480906 00000 n 0001485533 00000 n 0001486017 00000 n 0001486285 00000 n 0001486488 00000 n 0001486549 00000 n 0001486757 00000 n 0001486827 00000 n 0001486906 00000 n 0001487737 00000 n 0001488067 00000 n 0001492926 00000 n 0001493412 00000 n 0001493677 00000 n 0001493945 00000 n 0001494148 00000 n 0001494218 00000 n 0001494297 00000 n 0001495127 00000 n 0001495457 00000 n 0001499968 00000 n 0001500397 00000 n 0001500600 00000 n 0001500670 00000 n 0001500749 00000 n 0001501579 00000 n 0001501909 00000 n 0001504739 00000 n 0001505209 00000 n 0001505475 00000 n 0001505678 00000 n 0001505784 00000 n 0001505985 00000 n 0001506175 00000 n 0001506367 00000 n 0001506564 00000 n 0001506754 00000 n 0001506945 00000 n 0001507015 00000 n 0001507094 00000 n 0001507926 00000 n 0001508256 00000 n 0001512432 00000 n 0001512913 00000 n 0001513116 00000 n 0001513186 00000 n 0001513265 00000 n 0001514095 00000 n 0001514425 00000 n 0001518329 00000 n 0001518771 00000 n 0001518974 00000 n 0001519044 00000 n 0001519123 00000 n 0001519953 00000 n 0001520283 00000 n 0001523338 00000 n 0001523794 00000 n 0001524061 00000 n 0001524331 00000 n 0001524714 00000 n 0001524983 00000 n 0001525186 00000 n 0001525274 00000 n 0001525468 00000 n 0001525538 00000 n 0001525617 00000 n 0001526448 00000 n 0001526778 00000 n 0001531735 00000 n 0001532190 00000 n 0001532393 00000 n 0001532463 00000 n 0001532542 00000 n 0001533373 00000 n 0001533703 00000 n 0001536862 00000 n 0001537304 00000 n 0001537507 00000 n 0001537577 00000 n 0001537656 00000 n 0001538486 00000 n 0001538816 00000 n 0001541938 00000 n 0001542382 00000 n 0001542585 00000 n 0001542637 00000 n 0001542823 00000 n 0001542893 00000 n 0001542972 00000 n 0001543802 00000 n 0001544132 00000 n 0001547716 00000 n 0001548186 00000 n 0001548389 00000 n 0001548450 00000 n 0001548645 00000 n 0001548838 00000 n 0001548908 00000 n 0001548987 00000 n 0001549817 00000 n 0001550147 00000 n 0001553935 00000 n 0001554392 00000 n 0001554660 00000 n 0001554863 00000 n 0001554924 00000 n 0001555122 00000 n 0001555192 00000 n 0001555271 00000 n 0001556101 00000 n 0001556431 00000 n 0001560179 00000 n 0001560649 00000 n 0001560951 00000 n 0001561219 00000 n 0001561422 00000 n 0001561510 00000 n 0001561706 00000 n 0001561902 00000 n 0001562117 00000 n 0001562187 00000 n 0001562266 00000 n 0001563096 00000 n 0001563426 00000 n 0001568148 00000 n 0001568629 00000 n 0001568832 00000 n 0001568902 00000 n 0001568981 00000 n 0001569811 00000 n 0001570141 00000 n 0001575162 00000 n 0001575646 00000 n 0001575916 00000 n 0001576191 00000 n 0001576394 00000 n 0001576464 00000 n 0001576671 00000 n 0001576741 00000 n 0001576820 00000 n 0001577650 00000 n 0001577980 00000 n 0001582591 00000 n 0001583049 00000 n 0001583252 00000 n 0001583304 00000 n 0001583512 00000 n 0001583582 00000 n 0001583661 00000 n 0001584491 00000 n 0001584821 00000 n 0001588390 00000 n 0001588874 00000 n 0001589077 00000 n 0001589129 00000 n 0001589332 00000 n 0001589402 00000 n 0001589481 00000 n 0001590312 00000 n 0001590642 00000 n 0001594454 00000 n 0001594909 00000 n 0001595112 00000 n 0001595182 00000 n 0001595261 00000 n 0001596092 00000 n 0001596422 00000 n 0001599430 00000 n 0001599920 00000 n 0001600189 00000 n 0001600392 00000 n 0001600462 00000 n 0001600541 00000 n 0001601371 00000 n 0001601701 00000 n 0001605786 00000 n 0001606272 00000 n 0001606540 00000 n 0001606811 00000 n 0001607014 00000 n 0001607084 00000 n 0001607163 00000 n 0001607993 00000 n 0001608323 00000 n 0001611897 00000 n 0001612378 00000 n 0001612581 00000 n 0001612651 00000 n 0001612730 00000 n 0001613560 00000 n 0001613890 00000 n 0001617499 00000 n 0001617954 00000 n 0001618157 00000 n 0001618227 00000 n 0001618306 00000 n 0001619136 00000 n 0001619466 00000 n 0001622943 00000 n 0001623398 00000 n 0001623601 00000 n 0001623671 00000 n 0001623750 00000 n 0001624581 00000 n 0001624911 00000 n 0001627948 00000 n 0001628418 00000 n 0001628685 00000 n 0001628955 00000 n 0001629158 00000 n 0001629228 00000 n 0001629422 00000 n 0001629492 00000 n 0001629571 00000 n 0001630402 00000 n 0001630732 00000 n 0001634779 00000 n 0001635265 00000 n 0001635531 00000 n 0001635800 00000 n 0001636003 00000 n 0001636073 00000 n 0001636152 00000 n 0001636982 00000 n 0001637312 00000 n 0001640657 00000 n 0001641194 00000 n 0001641461 00000 n 0001641731 00000 n 0001641903 00000 n 0001642159 00000 n 0001642362 00000 n 0001642651 00000 n 0001642984 00000 n 0001643054 00000 n 0001643134 00000 n 0001643967 00000 n 0001644297 00000 n 0001650068 00000 n 0001650595 00000 n 0001650941 00000 n 0001651218 00000 n 0001651587 00000 n 0001651854 00000 n 0001652163 00000 n 0001652366 00000 n 0001652436 00000 n 0001652516 00000 n 0001653350 00000 n 0001653680 00000 n 0001658063 00000 n 0001658508 00000 n 0001658817 00000 n 0001659020 00000 n 0001659081 00000 n 0001659276 00000 n 0001659346 00000 n 0001659426 00000 n 0001660260 00000 n 0001660590 00000 n 0001663496 00000 n 0001663952 00000 n 0001664155 00000 n 0001664225 00000 n 0001664305 00000 n 0001665139 00000 n 0001665469 00000 n 0001668956 00000 n 0001669452 00000 n 0001669655 00000 n 0001669725 00000 n 0001669805 00000 n 0001670639 00000 n 0001670969 00000 n 0001675793 00000 n 0001676275 00000 n 0001676478 00000 n 0001676548 00000 n 0001676628 00000 n 0001677462 00000 n 0001677792 00000 n 0001681571 00000 n 0001682016 00000 n 0001682219 00000 n 0001682289 00000 n 0001682476 00000 n 0001682671 00000 n 0001682861 00000 n 0001682931 00000 n 0001683011 00000 n 0001683844 00000 n 0001684174 00000 n 0001688066 00000 n 0001688522 00000 n 0001688725 00000 n 0001688795 00000 n 0001688875 00000 n 0001689708 00000 n 0001690038 00000 n 0001693069 00000 n 0001693551 00000 n 0001693754 00000 n 0001693824 00000 n 0001693904 00000 n 0001694740 00000 n 0001695070 00000 n 0001699401 00000 n 0001699846 00000 n 0001700049 00000 n 0001700119 00000 n 0001700312 00000 n 0001700499 00000 n 0001700691 00000 n 0001700761 00000 n 0001700841 00000 n 0001701675 00000 n 0001702005 00000 n 0001704795 00000 n 0001705267 00000 n 0001705470 00000 n 0001705522 00000 n 0001705714 00000 n 0001705784 00000 n 0001705864 00000 n 0001706697 00000 n 0001707027 00000 n 0001711548 00000 n 0001712006 00000 n 0001712209 00000 n 0001712297 00000 n 0001712492 00000 n 0001712687 00000 n 0001712879 00000 n 0001713073 00000 n 0001713273 00000 n 0001713343 00000 n 0001713423 00000 n 0001714257 00000 n 0001714587 00000 n 0001719010 00000 n 0001719492 00000 n 0001719695 00000 n 0001719765 00000 n 0001719845 00000 n 0001720679 00000 n 0001721009 00000 n 0001725099 00000 n 0001725584 00000 n 0001725850 00000 n 0001726053 00000 n 0001726132 00000 n 0001726315 00000 n 0001726505 00000 n 0001726690 00000 n 0001726760 00000 n 0001726840 00000 n 0001727673 00000 n 0001728003 00000 n 0001731667 00000 n 0001732159 00000 n 0001732425 00000 n 0001732628 00000 n 0001732698 00000 n 0001732778 00000 n 0001733612 00000 n 0001733942 00000 n 0001737960 00000 n 0001738429 00000 n 0001738632 00000 n 0001738702 00000 n 0001738782 00000 n 0001739616 00000 n 0001739946 00000 n 0001743516 00000 n 0001743974 00000 n 0001744235 00000 n 0001744438 00000 n 0001744517 00000 n 0001744700 00000 n 0001744887 00000 n 0001745076 00000 n 0001745146 00000 n 0001745226 00000 n 0001746059 00000 n 0001746389 00000 n 0001750283 00000 n 0001750783 00000 n 0001751170 00000 n 0001751443 00000 n 0001751646 00000 n 0001751716 00000 n 0001751796 00000 n 0001752629 00000 n 0001752959 00000 n 0001757921 00000 n 0001758377 00000 n 0001758580 00000 n 0001758650 00000 n 0001758730 00000 n 0001759564 00000 n 0001759894 00000 n 0001763281 00000 n 0001763724 00000 n 0001763927 00000 n 0001763997 00000 n 0001764077 00000 n 0001764911 00000 n 0001765241 00000 n 0001768229 00000 n 0001768700 00000 n 0001768999 00000 n 0001769267 00000 n 0001769470 00000 n 0001769540 00000 n 0001769732 00000 n 0001769802 00000 n 0001769882 00000 n 0001770715 00000 n 0001771045 00000 n 0001775878 00000 n 0001776323 00000 n 0001776526 00000 n 0001776596 00000 n 0001776793 00000 n 0001776986 00000 n 0001777182 00000 n 0001777252 00000 n 0001777332 00000 n 0001778166 00000 n 0001778496 00000 n 0001781780 00000 n 0001782251 00000 n 0001782454 00000 n 0001782506 00000 n 0001782703 00000 n 0001782773 00000 n 0001782853 00000 n 0001783688 00000 n 0001784018 00000 n 0001789128 00000 n 0001789613 00000 n 0001789816 00000 n 0001789904 00000 n 0001790103 00000 n 0001790302 00000 n 0001790504 00000 n 0001790701 00000 n 0001790902 00000 n 0001790972 00000 n 0001791052 00000 n 0001791885 00000 n 0001792215 00000 n 0001797087 00000 n 0001797543 00000 n 0001797746 00000 n 0001797816 00000 n 0001797896 00000 n 0001798730 00000 n 0001799060 00000 n 0001801925 00000 n 0001802409 00000 n 0001802740 00000 n 0001802943 00000 n 0001803022 00000 n 0001803209 00000 n 0001803393 00000 n 0001803588 00000 n 0001803658 00000 n 0001803738 00000 n 0001804572 00000 n 0001804902 00000 n 0001809604 00000 n 0001810060 00000 n 0001810263 00000 n 0001810333 00000 n 0001810413 00000 n 0001811246 00000 n 0001811576 00000 n 0001815392 00000 n 0001815863 00000 n 0001816138 00000 n 0001816474 00000 n 0001816677 00000 n 0001816747 00000 n 0001816944 00000 n 0001817014 00000 n 0001817094 00000 n 0001817927 00000 n 0001818257 00000 n 0001821834 00000 n 0001822290 00000 n 0001822493 00000 n 0001822563 00000 n 0001822643 00000 n 0001823476 00000 n 0001823806 00000 n 0001827675 00000 n 0001828131 00000 n 0001828334 00000 n 0001828404 00000 n 0001828484 00000 n 0001829319 00000 n 0001829649 00000 n 0001833289 00000 n 0001833745 00000 n 0001833948 00000 n 0001834018 00000 n 0001834098 00000 n 0001834932 00000 n 0001835262 00000 n 0001838431 00000 n 0001838922 00000 n 0001839190 00000 n 0001839393 00000 n 0001839463 00000 n 0001839543 00000 n 0001840378 00000 n 0001840708 00000 n 0001844238 00000 n 0001844723 00000 n 0001844996 00000 n 0001845199 00000 n 0001845278 00000 n 0001845475 00000 n 0001845670 00000 n 0001845867 00000 n 0001845937 00000 n 0001846017 00000 n 0001846852 00000 n 0001847182 00000 n 0001851665 00000 n 0001852135 00000 n 0001852338 00000 n 0001852408 00000 n 0001852488 00000 n 0001853322 00000 n 0001853652 00000 n 0001857255 00000 n 0001857700 00000 n 0001857903 00000 n 0001857964 00000 n 0001858158 00000 n 0001858353 00000 n 0001858423 00000 n 0001858503 00000 n 0001859337 00000 n 0001859667 00000 n 0001864708 00000 n 0001865167 00000 n 0001865370 00000 n 0001865422 00000 n 0001865619 00000 n 0001865689 00000 n 0001865769 00000 n 0001866603 00000 n 0001866933 00000 n 0001871746 00000 n 0001872204 00000 n 0001872479 00000 n 0001872682 00000 n 0001872743 00000 n 0001872943 00000 n 0001873013 00000 n 0001873093 00000 n 0001873926 00000 n 0001874256 00000 n 0001878992 00000 n 0001879474 00000 n 0001879677 00000 n 0001879747 00000 n 0001879827 00000 n 0001880661 00000 n 0001880991 00000 n 0001885253 00000 n 0001885698 00000 n 0001885901 00000 n 0001885953 00000 n 0001886142 00000 n 0001886212 00000 n 0001886292 00000 n 0001887126 00000 n 0001887456 00000 n 0001890027 00000 n 0001890509 00000 n 0001890712 00000 n 0001890782 00000 n 0001890862 00000 n 0001891696 00000 n 0001892026 00000 n 0001897439 00000 n 0001897917 00000 n 0001898184 00000 n 0001898387 00000 n 0001898457 00000 n 0001898537 00000 n 0001899371 00000 n 0001899701 00000 n 0001903767 00000 n 0001904245 00000 n 0001904507 00000 n 0001904710 00000 n 0001904780 00000 n 0001904860 00000 n 0001905694 00000 n 0001906024 00000 n 0001909085 00000 n 0001909530 00000 n 0001909733 00000 n 0001909812 00000 n 0001909995 00000 n 0001910189 00000 n 0001910372 00000 n 0001910568 00000 n 0001910638 00000 n 0001910718 00000 n 0001911552 00000 n 0001911882 00000 n 0001916646 00000 n 0001917091 00000 n 0001917294 00000 n 0001917346 00000 n 0001917539 00000 n 0001917609 00000 n 0001917689 00000 n 0001918522 00000 n 0001918852 00000 n 0001923739 00000 n 0001924224 00000 n 0001924489 00000 n 0001924753 00000 n 0001924956 00000 n 0001925026 00000 n 0001925218 00000 n 0001925288 00000 n 0001925368 00000 n 0001926202 00000 n 0001926532 00000 n 0001931726 00000 n 0001932197 00000 n 0001932461 00000 n 0001932731 00000 n 0001932934 00000 n 0001933031 00000 n 0001933226 00000 n 0001933419 00000 n 0001933623 00000 n 0001933824 00000 n 0001933894 00000 n 0001933974 00000 n 0001934808 00000 n 0001935138 00000 n 0001939603 00000 n 0001940048 00000 n 0001940251 00000 n 0001940303 00000 n 0001940499 00000 n 0001940569 00000 n 0001940649 00000 n 0001941483 00000 n 0001941813 00000 n 0001945492 00000 n 0001945963 00000 n 0001946226 00000 n 0001946490 00000 n 0001946693 00000 n 0001946799 00000 n 0001946988 00000 n 0001947181 00000 n 0001947379 00000 n 0001947574 00000 n 0001947770 00000 n 0001947840 00000 n 0001947920 00000 n 0001948753 00000 n 0001949083 00000 n 0001953809 00000 n 0001954280 00000 n 0001954547 00000 n 0001954817 00000 n 0001955020 00000 n 0001955126 00000 n 0001955321 00000 n 0001955522 00000 n 0001955726 00000 n 0001955927 00000 n 0001956127 00000 n 0001956197 00000 n 0001956277 00000 n 0001957110 00000 n 0001957440 00000 n 0001962259 00000 n 0001962730 00000 n 0001962999 00000 n 0001963202 00000 n 0001963290 00000 n 0001963485 00000 n 0001963686 00000 n 0001963885 00000 n 0001964086 00000 n 0001964156 00000 n 0001964236 00000 n 0001965069 00000 n 0001965399 00000 n 0001968532 00000 n 0001969003 00000 n 0001969267 00000 n 0001969470 00000 n 0001969531 00000 n 0001969728 00000 n 0001969798 00000 n 0001969878 00000 n 0001970711 00000 n 0001971041 00000 n 0001975775 00000 n 0001976246 00000 n 0001976449 00000 n 0001976537 00000 n 0001976726 00000 n 0001976921 00000 n 0001977114 00000 n 0001977312 00000 n 0001977509 00000 n 0001977579 00000 n 0001977659 00000 n 0001978493 00000 n 0001978823 00000 n 0001983646 00000 n 0001984104 00000 n 0001984442 00000 n 0001984710 00000 n 0001984913 00000 n 0001984992 00000 n 0001985191 00000 n 0001985393 00000 n 0001985463 00000 n 0001985543 00000 n 0001986377 00000 n 0001986707 00000 n 0001990573 00000 n 0001991042 00000 n 0001991245 00000 n 0001991315 00000 n 0001991395 00000 n 0001992229 00000 n 0001992559 00000 n 0001996626 00000 n 0001997084 00000 n 0001997287 00000 n 0001997339 00000 n 0001997539 00000 n 0001997609 00000 n 0001997689 00000 n 0001998523 00000 n 0001998853 00000 n 0002002664 00000 n 0002003165 00000 n 0002003429 00000 n 0002003696 00000 n 0002003899 00000 n 0002003969 00000 n 0002004049 00000 n 0002004883 00000 n 0002005213 00000 n 0002009079 00000 n 0002009564 00000 n 0002009767 00000 n 0002009855 00000 n 0002010041 00000 n 0002010227 00000 n 0002010417 00000 n 0002010609 00000 n 0002010799 00000 n 0002010869 00000 n 0002010949 00000 n 0002011782 00000 n 0002012112 00000 n 0002016589 00000 n 0002017076 00000 n 0002017340 00000 n 0002017607 00000 n 0002017810 00000 n 0002017880 00000 n 0002017960 00000 n 0002018795 00000 n 0002019125 00000 n 0002022545 00000 n 0002023017 00000 n 0002023285 00000 n 0002023556 00000 n 0002023759 00000 n 0002023856 00000 n 0002024042 00000 n 0002024231 00000 n 0002024417 00000 n 0002024610 00000 n 0002024680 00000 n 0002024760 00000 n 0002025594 00000 n 0002025924 00000 n 0002030369 00000 n 0002030851 00000 n 0002031054 00000 n 0002031124 00000 n 0002031204 00000 n 0002032038 00000 n 0002032368 00000 n 0002037256 00000 n 0002037727 00000 n 0002038027 00000 n 0002038306 00000 n 0002038509 00000 n 0002038579 00000 n 0002038774 00000 n 0002038844 00000 n 0002038924 00000 n 0002039758 00000 n 0002040088 00000 n 0002044224 00000 n 0002044669 00000 n 0002044872 00000 n 0002044924 00000 n 0002045120 00000 n 0002045190 00000 n 0002045270 00000 n 0002046105 00000 n 0002046435 00000 n 0002049813 00000 n 0002050284 00000 n 0002050572 00000 n 0002050895 00000 n 0002051098 00000 n 0002051168 00000 n 0002051379 00000 n 0002051449 00000 n 0002051529 00000 n 0002052363 00000 n 0002052693 00000 n 0002056594 00000 n 0002057076 00000 n 0002057279 00000 n 0002057349 00000 n 0002057429 00000 n 0002058263 00000 n 0002058593 00000 n 0002062985 00000 n 0002063430 00000 n 0002063633 00000 n 0002063685 00000 n 0002063882 00000 n 0002063952 00000 n 0002064032 00000 n 0002064866 00000 n 0002065196 00000 n 0002068532 00000 n 0002069023 00000 n 0002069287 00000 n 0002069490 00000 n 0002069560 00000 n 0002069640 00000 n 0002070473 00000 n 0002070803 00000 n 0002076456 00000 n 0002076925 00000 n 0002077128 00000 n 0002077198 00000 n 0002077278 00000 n 0002078111 00000 n 0002078441 00000 n 0002082872 00000 n 0002083363 00000 n 0002083629 00000 n 0002083832 00000 n 0002083902 00000 n 0002083982 00000 n 0002084816 00000 n 0002085146 00000 n 0002089468 00000 n 0002089926 00000 n 0002090190 00000 n 0002090393 00000 n 0002090499 00000 n 0002090696 00000 n 0002090882 00000 n 0002091073 00000 n 0002091257 00000 n 0002091445 00000 n 0002091630 00000 n 0002091700 00000 n 0002091780 00000 n 0002092615 00000 n 0002092945 00000 n 0002096776 00000 n 0002097221 00000 n 0002097424 00000 n 0002097521 00000 n 0002097724 00000 n 0002097914 00000 n 0002098111 00000 n 0002098301 00000 n 0002098495 00000 n 0002098686 00000 n 0002098756 00000 n 0002098836 00000 n 0002099669 00000 n 0002099999 00000 n 0002102779 00000 n 0002103257 00000 n 0002103522 00000 n 0002103725 00000 n 0002103795 00000 n 0002103875 00000 n 0002104708 00000 n 0002105038 00000 n 0002108975 00000 n 0002109431 00000 n 0002109634 00000 n 0002109704 00000 n 0002109784 00000 n 0002110619 00000 n 0002110949 00000 n 0002114396 00000 n 0002114841 00000 n 0002115044 00000 n 0002115141 00000 n 0002115338 00000 n 0002115522 00000 n 0002115708 00000 n 0002115892 00000 n 0002116080 00000 n 0002116265 00000 n 0002116335 00000 n 0002116415 00000 n 0002117247 00000 n 0002117577 00000 n 0002121185 00000 n 0002121650 00000 n 0002121917 00000 n 0002122120 00000 n 0002122190 00000 n 0002122270 00000 n 0002123103 00000 n 0002123433 00000 n 0002127739 00000 n 0002128197 00000 n 0002128466 00000 n 0002128669 00000 n 0002128775 00000 n 0002128978 00000 n 0002129168 00000 n 0002129360 00000 n 0002129557 00000 n 0002129751 00000 n 0002129942 00000 n 0002130012 00000 n 0002130092 00000 n 0002130926 00000 n 0002131256 00000 n 0002135059 00000 n 0002135515 00000 n 0002135718 00000 n 0002135788 00000 n 0002135868 00000 n 0002136702 00000 n 0002137032 00000 n 0002140317 00000 n 0002140788 00000 n 0002141137 00000 n 0002141412 00000 n 0002141777 00000 n 0002142040 00000 n 0002142370 00000 n 0002142709 00000 n 0002142912 00000 n 0002143063 00000 n 0002143260 00000 n 0002143444 00000 n 0002143630 00000 n 0002143821 00000 n 0002144005 00000 n 0002144190 00000 n 0002144260 00000 n 0002144340 00000 n 0002145173 00000 n 0002145503 00000 n 0002149710 00000 n 0002150155 00000 n 0002150358 00000 n 0002150410 00000 n 0002150607 00000 n 0002150677 00000 n 0002150757 00000 n 0002151591 00000 n 0002151921 00000 n 0002155028 00000 n 0002155484 00000 n 0002155687 00000 n 0002155757 00000 n 0002155837 00000 n 0002156672 00000 n 0002157002 00000 n 0002160099 00000 n 0002160586 00000 n 0002160853 00000 n 0002161123 00000 n 0002161326 00000 n 0002161396 00000 n 0002161476 00000 n 0002162310 00000 n 0002162640 00000 n 0002165994 00000 n 0002166439 00000 n 0002166642 00000 n 0002166694 00000 n 0002166885 00000 n 0002166955 00000 n 0002167035 00000 n 0002167868 00000 n 0002168198 00000 n 0002171455 00000 n 0002171900 00000 n 0002172103 00000 n 0002172173 00000 n 0002172364 00000 n 0002172555 00000 n 0002172758 00000 n 0002172828 00000 n 0002172908 00000 n 0002173743 00000 n 0002174073 00000 n 0002177226 00000 n 0002177697 00000 n 0002178023 00000 n 0002178284 00000 n 0002178487 00000 n 0002178584 00000 n 0002178771 00000 n 0002178962 00000 n 0002179165 00000 n 0002179381 00000 n 0002179451 00000 n 0002179531 00000 n 0002180365 00000 n 0002180695 00000 n 0002184688 00000 n 0002185184 00000 n 0002185523 00000 n 0002185835 00000 n 0002186089 00000 n 0002186292 00000 n 0002186362 00000 n 0002186442 00000 n 0002187276 00000 n 0002187606 00000 n 0002191520 00000 n 0002191965 00000 n 0002192234 00000 n 0002192437 00000 n 0002192498 00000 n 0002192709 00000 n 0002192779 00000 n 0002192859 00000 n 0002193693 00000 n 0002194023 00000 n 0002197456 00000 n 0002197956 00000 n 0002198223 00000 n 0002198493 00000 n 0002198696 00000 n 0002198766 00000 n 0002198846 00000 n 0002199679 00000 n 0002200009 00000 n 0002204451 00000 n 0002204894 00000 n 0002205097 00000 n 0002205167 00000 n 0002205247 00000 n 0002206080 00000 n 0002206410 00000 n 0002209675 00000 n 0002210175 00000 n 0002210441 00000 n 0002210714 00000 n 0002210917 00000 n 0002210987 00000 n 0002211067 00000 n 0002211901 00000 n 0002212231 00000 n 0002217766 00000 n 0002218235 00000 n 0002218438 00000 n 0002218508 00000 n 0002218588 00000 n 0002219422 00000 n 0002219752 00000 n 0002224434 00000 n 0002224916 00000 n 0002225119 00000 n 0002225189 00000 n 0002225269 00000 n 0002226103 00000 n 0002226433 00000 n 0002231115 00000 n 0002231571 00000 n 0002231774 00000 n 0002231844 00000 n 0002231924 00000 n 0002232758 00000 n 0002233088 00000 n 0002235529 00000 n 0002236000 00000 n 0002236203 00000 n 0002236255 00000 n 0002236450 00000 n 0002236520 00000 n 0002236600 00000 n 0002237434 00000 n 0002237764 00000 n 0002241732 00000 n 0002242241 00000 n 0002242589 00000 n 0002242931 00000 n 0002243261 00000 n 0002243464 00000 n 0002243534 00000 n 0002243614 00000 n 0002244447 00000 n 0002244777 00000 n 0002249657 00000 n 0002250114 00000 n 0002250469 00000 n 0002250741 00000 n 0002250944 00000 n 0002251050 00000 n 0002251247 00000 n 0002251438 00000 n 0002251627 00000 n 0002251829 00000 n 0002252029 00000 n 0002252099 00000 n 0002252179 00000 n 0002253012 00000 n 0002253342 00000 n 0002257765 00000 n 0002258210 00000 n 0002258413 00000 n 0002258474 00000 n 0002258673 00000 n 0002258879 00000 n 0002258949 00000 n 0002259029 00000 n 0002259863 00000 n 0002260193 00000 n 0002263019 00000 n 0002263475 00000 n 0002263678 00000 n 0002263748 00000 n 0002263828 00000 n 0002264662 00000 n 0002264992 00000 n 0002268626 00000 n 0002269097 00000 n 0002269379 00000 n 0002269696 00000 n 0002270048 00000 n 0002270320 00000 n 0002270523 00000 n 0002270620 00000 n 0002270825 00000 n 0002271009 00000 n 0002271079 00000 n 0002271159 00000 n 0002271993 00000 n 0002272323 00000 n 0002277473 00000 n 0002277944 00000 n 0002278147 00000 n 0002278199 00000 n 0002278391 00000 n 0002278461 00000 n 0002278541 00000 n 0002279375 00000 n 0002279705 00000 n 0002283344 00000 n 0002283815 00000 n 0002284174 00000 n 0002284446 00000 n 0002284649 00000 n 0002284764 00000 n 0002284946 00000 n 0002285131 00000 n 0002285329 00000 n 0002285529 00000 n 0002285733 00000 n 0002285933 00000 n 0002286003 00000 n 0002286083 00000 n 0002286917 00000 n 0002287247 00000 n 0002291963 00000 n 0002292408 00000 n 0002292611 00000 n 0002292672 00000 n 0002292870 00000 n 0002293063 00000 n 0002293133 00000 n 0002293213 00000 n 0002294046 00000 n 0002294376 00000 n 0002297353 00000 n 0002297809 00000 n 0002298012 00000 n 0002298082 00000 n 0002298162 00000 n 0002298995 00000 n 0002299325 00000 n 0002302520 00000 n 0002303002 00000 n 0002303205 00000 n 0002303275 00000 n 0002303355 00000 n 0002304189 00000 n 0002304519 00000 n 0002308871 00000 n 0002309327 00000 n 0002309530 00000 n 0002309600 00000 n 0002309680 00000 n 0002310514 00000 n 0002310844 00000 n 0002313010 00000 n 0002313565 00000 n 0002313643 00000 n 0002314720 00000 n 0002314944 00000 n 0002315155 00000 n 0002315404 00000 n 0002315658 00000 n 0002315861 00000 n 0002315931 00000 n 0002316011 00000 n 0002316844 00000 n 0002317174 00000 n 0002320692 00000 n 0002321400 00000 n 0002321664 00000 n 0002321928 00000 n 0002322192 00000 n 0002322451 00000 n 0002322717 00000 n 0002322977 00000 n 0002323249 00000 n 0002323518 00000 n 0002323787 00000 n 0002324051 00000 n 0002324320 00000 n 0002324593 00000 n 0002324857 00000 n 0002325121 00000 n 0002325400 00000 n 0002325653 00000 n 0002325924 00000 n 0002326204 00000 n 0002326473 00000 n 0002326739 00000 n 0002327016 00000 n 0002327283 00000 n 0002327556 00000 n 0002327828 00000 n 0002328099 00000 n 0002328373 00000 n 0002328638 00000 n 0002328908 00000 n 0002329171 00000 n 0002329449 00000 n 0002329705 00000 n 0002329908 00000 n 0002329978 00000 n 0002330058 00000 n 0002330892 00000 n 0002331222 00000 n 0002335118 00000 n 0002335562 00000 n 0002335830 00000 n 0002336102 00000 n 0002336373 00000 n 0002336654 00000 n 0002336969 00000 n 0002337242 00000 n 0002337548 00000 n 0002337822 00000 n 0002338138 00000 n 0002338341 00000 n 0002338555 00000 n 0002338754 00000 n 0002338954 00000 n 0002339167 00000 n 0002339384 00000 n 0002339579 00000 n 0002339781 00000 n 0002339984 00000 n 0002340181 00000 n 0002340387 00000 n 0002340596 00000 n 0002340666 00000 n 0002340746 00000 n 0002341580 00000 n 0002341910 00000 n 0002346884 00000 n 0002347340 00000 n 0002347594 00000 n 0002347835 00000 n 0002348089 00000 n 0002348292 00000 n 0002348362 00000 n 0002348442 00000 n 0002349275 00000 n 0002349605 00000 n 0002354783 00000 n 0002354947 00000 n 0002355116 00000 n 0002355543 00000 n 0002356137 00000 n 0002356228 00000 n 0002356791 00000 n 0002357163 00000 n 0002357431 00000 n 0002371502 00000 n 0002371620 00000 n 0002372162 00000 n 0002372544 00000 n 0002372817 00000 n 0002386414 00000 n 0002386529 00000 n 0002386643 00000 n 0002386872 00000 n 0002386927 00000 n 0002387746 00000 n 0002387899 00000 n 0002388102 00000 n 0002388205 00000 n 0002388856 00000 n 0002389432 00000 n 0002389953 00000 n 0002390564 00000 n 0002391221 00000 n 0002391738 00000 n 0002391843 00000 n 0002392014 00000 n 0002392182 00000 n 0002392350 00000 n 0002393227 00000 n 0002393891 00000 n 0002394161 00000 n 0002394940 00000 n 0002395556 00000 n 0002395984 00000 n 0002396380 00000 n 0002396658 00000 n 0002406853 00000 n 0002406959 00000 n 0002427189 00000 n 0002427333 00000 n 0002427503 00000 n 0002428006 00000 n 0002428397 00000 n 0002428669 00000 n 0002437778 00000 n 0002437881 00000 n 0002438048 00000 n 0002438779 00000 n 0002439339 00000 n 0002439610 00000 n 0002458094 00000 n 0002458229 00000 n 0002458404 00000 n 0002458635 00000 n 0002459306 00000 n 0002459580 00000 n 0002476892 00000 n 0002477029 00000 n 0002477196 00000 n 0002477813 00000 n 0002478341 00000 n 0002478513 00000 n 0002478834 00000 n 0002479186 00000 n 0002479461 00000 n 0002489965 00000 n 0002490086 00000 n 0002490156 00000 n 0002493156 00000 n 0002493218 00000 n 0002493277 00000 n 0002493338 00000 n 0002493399 00000 n 0002493460 00000 n 0002493521 00000 n 0002493584 00000 n 0002493647 00000 n 0002493708 00000 n 0002493769 00000 n 0002493830 00000 n 0002493893 00000 n 0002493956 00000 n 0002494019 00000 n 0002494082 00000 n 0002494143 00000 n 0002494206 00000 n 0002494269 00000 n 0002494335 00000 n 0002494401 00000 n 0002494467 00000 n 0002494533 00000 n 0002494596 00000 n 0002494659 00000 n 0002494725 00000 n 0002494791 00000 n 0002494854 00000 n 0002494917 00000 n 0002494980 00000 n 0002495041 00000 n 0002495104 00000 n 0002495170 00000 n 0002495231 00000 n 0002495292 00000 n 0002495353 00000 n 0002495414 00000 n 0002495477 00000 n 0002495543 00000 n 0002495609 00000 n 0002495675 00000 n 0002495738 00000 n 0002495801 00000 n 0002495864 00000 n 0002495925 00000 n 0002495986 00000 n 0002496047 00000 n 0002496110 00000 n 0002496171 00000 n 0002496234 00000 n 0002496297 00000 n 0002496358 00000 n 0002496421 00000 n 0002496484 00000 n 0002496547 00000 n 0002496610 00000 n 0002496673 00000 n 0002496734 00000 n 0002496797 00000 n 0002496860 00000 n 0002496926 00000 n 0002496992 00000 n 0002497057 00000 n 0002497118 00000 n 0002497181 00000 n 0002497244 00000 n 0002497307 00000 n 0002497370 00000 n 0002497433 00000 n 0002497496 00000 n 0002497559 00000 n 0002497622 00000 n 0002497687 00000 n 0002497750 00000 n 0002497813 00000 n 0002497876 00000 n 0002497939 00000 n 0002498002 00000 n 0002498065 00000 n 0002498128 00000 n 0002498189 00000 n 0002498252 00000 n 0002498315 00000 n 0002498380 00000 n 0002498446 00000 n 0002498507 00000 n 0002498570 00000 n 0002498633 00000 n 0002498696 00000 n 0002498759 00000 n 0002498822 00000 n 0002498888 00000 n 0002498949 00000 n 0002499012 00000 n 0002499075 00000 n 0002499136 00000 n 0002499199 00000 n 0002499262 00000 n 0002499325 00000 n 0002499388 00000 n 0002499451 00000 n 0002499512 00000 n 0002499575 00000 n 0002499638 00000 n 0002499699 00000 n 0002499762 00000 n 0002499825 00000 n 0002499888 00000 n 0002499951 00000 n 0002500012 00000 n 0002500075 00000 n 0002500138 00000 n 0002500199 00000 n 0002500262 00000 n 0002500325 00000 n 0002500388 00000 n 0002500451 00000 n 0002500512 00000 n 0002500575 00000 n 0002500638 00000 n 0002500704 00000 n 0002500770 00000 n 0002500831 00000 n 0002500894 00000 n 0002500957 00000 n 0002501020 00000 n 0002501083 00000 n 0002501146 00000 n 0002501212 00000 n 0002501273 00000 n 0002501336 00000 n 0002501399 00000 n 0002501462 00000 n 0002501525 00000 n 0002501588 00000 n 0002501651 00000 n 0002501717 00000 n 0002501783 00000 n 0002501849 00000 n 0002501915 00000 n 0002501981 00000 n 0002502047 00000 n 0002502110 00000 n 0002502173 00000 n 0002502236 00000 n 0002502302 00000 n 0002502365 00000 n 0002502428 00000 n 0002502491 00000 n 0002502554 00000 n 0002502615 00000 n 0002502676 00000 n 0002502739 00000 n 0002502802 00000 n 0002502868 00000 n 0002502931 00000 n 0002502994 00000 n 0002503057 00000 n 0002503120 00000 n 0002503186 00000 n 0002503249 00000 n 0002503312 00000 n 0002503375 00000 n 0002503438 00000 n 0002503504 00000 n 0002503570 00000 n 0002503636 00000 n 0002503702 00000 n 0002503768 00000 n 0002503831 00000 n 0002503892 00000 n 0002503955 00000 n 0002504018 00000 n 0002504084 00000 n 0002504150 00000 n 0002504211 00000 n 0002504274 00000 n 0002504340 00000 n 0002504401 00000 n 0002504462 00000 n 0002504525 00000 n 0002504588 00000 n 0002504651 00000 n 0002504714 00000 n 0002504780 00000 n 0002504846 00000 n 0002504912 00000 n 0002504975 00000 n 0002505038 00000 n 0002505104 00000 n 0002505170 00000 n 0002505236 00000 n 0002505301 00000 n 0002505362 00000 n 0002505425 00000 n 0002505488 00000 n 0002505551 00000 n 0002505614 00000 n 0002505677 00000 n 0002505738 00000 n 0002505801 00000 n 0002505864 00000 n 0002505930 00000 n 0002505996 00000 n 0002506057 00000 n 0002506123 00000 n 0002506189 00000 n 0002506255 00000 n 0002506321 00000 n 0002506387 00000 n 0002506448 00000 n 0002506511 00000 n 0002506574 00000 n 0002506640 00000 n 0002506701 00000 n 0002506767 00000 n 0002506833 00000 n 0002506899 00000 n 0002506965 00000 n 0002507031 00000 n 0002507092 00000 n 0002507155 00000 n 0002507218 00000 n 0002507284 00000 n 0002507345 00000 n 0002507411 00000 n 0002507477 00000 n 0002507543 00000 n 0002507609 00000 n 0002507675 00000 n 0002507736 00000 n 0002507799 00000 n 0002507862 00000 n 0002507928 00000 n 0002507994 00000 n 0002508060 00000 n 0002508123 00000 n 0002508186 00000 n 0002508249 00000 n 0002508312 00000 n 0002508375 00000 n 0002508436 00000 n 0002508499 00000 n 0002508562 00000 n 0002508628 00000 n 0002508692 00000 n 0002508753 00000 n 0002508819 00000 n 0002508885 00000 n 0002508946 00000 n 0002509007 00000 n 0002509070 00000 n 0002509136 00000 n 0002509202 00000 n 0002509268 00000 n 0002509334 00000 n 0002509395 00000 n 0002509456 00000 n 0002509519 00000 n 0002509580 00000 n 0002509643 00000 n 0002509706 00000 n 0002509772 00000 n 0002509838 00000 n 0002509901 00000 n 0002509964 00000 n 0002510027 00000 n 0002510090 00000 n 0002510153 00000 n 0002510219 00000 n 0002510285 00000 n 0002510346 00000 n 0002510412 00000 n 0002510478 00000 n 0002510544 00000 n 0002510610 00000 n 0002510676 00000 n 0002510737 00000 n 0002510800 00000 n 0002510863 00000 n 0002510929 00000 n 0002510995 00000 n 0002511061 00000 n 0002511124 00000 n 0002511190 00000 n 0002511251 00000 n 0002511312 00000 n 0002511375 00000 n 0002511441 00000 n 0002511507 00000 n 0002511573 00000 n 0002511639 00000 n 0002511705 00000 n 0002511766 00000 n 0002511827 00000 n 0002511890 00000 n 0002511953 00000 n 0002512016 00000 n 0002512079 00000 n 0002512142 00000 n 0002512203 00000 n 0002512266 00000 n 0002512332 00000 n 0002512398 00000 n 0002512463 00000 n 0002512524 00000 n 0002512585 00000 n 0002512648 00000 n 0002512711 00000 n 0002512774 00000 n 0002512835 00000 n 0002512896 00000 n 0002512962 00000 n 0002513025 00000 n 0002513088 00000 n 0002513151 00000 n 0002513214 00000 n 0002513275 00000 n 0002513338 00000 n 0002513401 00000 n 0002513467 00000 n 0002513533 00000 n 0002513594 00000 n 0002513660 00000 n 0002513723 00000 n 0002513786 00000 n 0002513849 00000 n 0002513912 00000 n 0002513975 00000 n 0002514036 00000 n 0002514102 00000 n 0002514165 00000 n 0002514228 00000 n 0002514291 00000 n 0002514354 00000 n 0002514415 00000 n 0002514478 00000 n 0002514539 00000 n 0002514602 00000 n 0002514665 00000 n 0002514731 00000 n 0002514797 00000 n 0002514858 00000 n 0002514919 00000 n 0002514982 00000 n 0002515043 00000 n 0002515106 00000 n 0002515169 00000 n 0002515235 00000 n 0002515301 00000 n 0002515367 00000 n 0002515428 00000 n 0002515494 00000 n 0002515560 00000 n 0002515626 00000 n 0002515692 00000 n 0002515758 00000 n 0002515819 00000 n 0002515882 00000 n 0002515945 00000 n 0002516011 00000 n 0002516077 00000 n 0002516139 00000 n 0002516206 00000 n 0002516273 00000 n 0002516340 00000 n 0002516407 00000 n 0002516474 00000 n 0002516536 00000 n 0002516600 00000 n 0002516664 00000 n 0002516726 00000 n 0002516790 00000 n 0002516854 00000 n 0002516918 00000 n 0002516982 00000 n 0002517044 00000 n 0002517106 00000 n 0002517170 00000 n 0002517234 00000 n 0002517301 00000 n 0002517368 00000 n 0002517435 00000 n 0002517497 00000 n 0002517561 00000 n 0002517625 00000 n 0002517689 00000 n 0002517753 00000 n 0002517815 00000 n 0002517879 00000 n 0002517941 00000 n 0002518005 00000 n 0002518069 00000 n 0002518133 00000 n 0002518197 00000 n 0002518261 00000 n 0002518325 00000 n 0002518387 00000 n 0002518449 00000 n 0002518513 00000 n 0002518577 00000 n 0002518641 00000 n 0002518705 00000 n 0002518769 00000 n 0002518833 00000 n 0002518897 00000 n 0002518959 00000 n 0002519023 00000 n 0002519090 00000 n 0002519157 00000 n 0002519224 00000 n 0002519291 00000 n 0002519353 00000 n 0002519417 00000 n 0002519481 00000 n 0002519548 00000 n 0002519615 00000 n 0002519679 00000 n 0002519743 00000 n 0002519810 00000 n 0002519877 00000 n 0002519944 00000 n 0002520011 00000 n 0002520078 00000 n 0002520145 00000 n 0002520207 00000 n 0002520271 00000 n 0002520335 00000 n 0002520397 00000 n 0002520461 00000 n 0002520526 00000 n 0002520590 00000 n 0002520654 00000 n 0002520718 00000 n 0002520782 00000 n 0002520844 00000 n 0002520908 00000 n 0002520972 00000 n 0002521034 00000 n 0002521098 00000 n 0002521165 00000 n 0002521232 00000 n 0002521299 00000 n 0002521366 00000 n 0002521428 00000 n 0002521490 00000 n 0002521554 00000 n 0002521618 00000 n 0002521680 00000 n 0002521744 00000 n 0002521811 00000 n 0002521878 00000 n 0002521945 00000 n 0002522007 00000 n 0002522071 00000 n 0002522135 00000 n 0002522199 00000 n 0002522263 00000 n 0002522325 00000 n 0002522387 00000 n 0002522451 00000 n 0002522515 00000 n 0002522582 00000 n 0002522649 00000 n 0002522711 00000 n 0002522775 00000 n 0002522839 00000 n 0002522903 00000 n 0002522967 00000 n 0002523029 00000 n 0002523091 00000 n 0002523155 00000 n 0002523222 00000 n 0002523289 00000 n 0002523353 00000 n 0002523417 00000 n 0002523481 00000 n 0002523545 00000 n 0002523609 00000 n 0002523673 00000 n 0002523737 00000 n 0002523799 00000 n 0002523863 00000 n 0002523930 00000 n 0002523994 00000 n 0002524056 00000 n 0002524123 00000 n 0002524187 00000 n 0002524251 00000 n 0002524313 00000 n 0002524380 00000 n 0002524447 00000 n 0002524514 00000 n 0002524581 00000 n 0002524648 00000 n 0002524710 00000 n 0002524774 00000 n 0002524841 00000 n 0002524908 00000 n 0002524972 00000 n 0002525036 00000 n 0002525103 00000 n 0002525170 00000 n 0002525232 00000 n 0002525294 00000 n 0002525358 00000 n 0002525425 00000 n 0002525487 00000 n 0002525551 00000 n 0002525618 00000 n 0002525685 00000 n 0002525749 00000 n 0002525813 00000 n 0002525880 00000 n 0002525947 00000 n 0002526014 00000 n 0002526076 00000 n 0002526138 00000 n 0002526202 00000 n 0002526269 00000 n 0002526336 00000 n 0002526398 00000 n 0002526462 00000 n 0002526526 00000 n 0002526588 00000 n 0002526652 00000 n 0002526716 00000 n 0002526780 00000 n 0002526844 00000 n 0002526906 00000 n 0002526973 00000 n 0002527035 00000 n 0002527099 00000 n 0002527166 00000 n 0002527233 00000 n 0002527300 00000 n 0002527367 00000 n 0002527433 00000 n 0002527497 00000 n 0002527564 00000 n 0002527626 00000 n 0002527688 00000 n 0002527752 00000 n 0002527816 00000 n 0002527880 00000 n 0002527947 00000 n 0002528011 00000 n 0002528075 00000 n 0002528142 00000 n 0002528209 00000 n 0002528276 00000 n 0002528338 00000 n 0002528402 00000 n 0002528469 00000 n 0002528536 00000 n 0002528600 00000 n 0002528667 00000 n 0002528734 00000 n 0002528801 00000 n 0002528868 00000 n 0002528935 00000 n 0002529002 00000 n 0002529069 00000 n 0002529135 00000 n 0002529199 00000 n 0002529263 00000 n 0002529327 00000 n 0002529394 00000 n 0002529461 00000 n 0002529528 00000 n 0002529590 00000 n 0002529654 00000 n 0002529718 00000 n 0002529782 00000 n 0002529849 00000 n 0002529913 00000 n 0002529980 00000 n 0002530042 00000 n 0002530106 00000 n 0002530168 00000 n 0002530232 00000 n 0002530299 00000 n 0002530366 00000 n 0002530430 00000 n 0002530497 00000 n 0002530564 00000 n 0002530631 00000 n 0002530698 00000 n 0002530765 00000 n 0002530832 00000 n 0002530894 00000 n 0002530956 00000 n 0002531020 00000 n 0002531084 00000 n 0002531148 00000 n 0002531212 00000 n 0002531276 00000 n 0002531340 00000 n 0002531404 00000 n 0002531468 00000 n 0002531532 00000 n 0002531596 00000 n 0002531663 00000 n 0002531725 00000 n 0002531787 00000 n 0002531851 00000 n 0002531915 00000 n 0002531979 00000 n 0002532043 00000 n 0002532107 00000 n 0002532172 00000 n 0002532237 00000 n 0002532304 00000 n 0002532371 00000 n 0002532438 00000 n 0002532503 00000 n 0002532565 00000 n 0002532627 00000 n 0002532691 00000 n 0002532753 00000 n 0002532817 00000 n 0002532881 00000 n 0002532945 00000 n 0002533009 00000 n 0002533073 00000 n 0002533137 00000 n 0002533201 00000 n 0002533265 00000 n 0002533329 00000 n 0002533393 00000 n 0002533457 00000 n 0002533521 00000 n 0002533585 00000 n 0002533649 00000 n 0002533713 00000 n 0002533780 00000 n 0002533847 00000 n 0002533914 00000 n 0002533981 00000 n 0002534045 00000 n 0002534109 00000 n 0002534173 00000 n 0002534237 00000 n 0002534301 00000 n 0002534363 00000 n 0002534427 00000 n 0002534491 00000 n 0002534555 00000 n 0002534619 00000 n 0002534686 00000 n 0002534753 00000 n 0002534815 00000 n 0002534879 00000 n 0002534946 00000 n 0002535013 00000 n 0002535080 00000 n 0002535147 00000 n 0002535214 00000 n 0002535276 00000 n 0002535340 00000 n 0002535402 00000 n 0002535464 00000 n 0002535528 00000 n 0002535592 00000 n 0002535658 00000 n 0002535724 00000 n 0002535790 00000 n 0002535857 00000 n 0002535924 00000 n 0002535988 00000 n 0002536052 00000 n 0002536116 00000 n 0002536180 00000 n 0002536244 00000 n 0002536308 00000 n 0002536372 00000 n 0002536439 00000 n 0002536506 00000 n 0002536573 00000 n 0002536637 00000 n 0002536701 00000 n 0002536763 00000 n 0002536827 00000 n 0002536891 00000 n 0002536955 00000 n 0002537019 00000 n 0002537086 00000 n 0002537153 00000 n 0002537215 00000 n 0002537279 00000 n 0002537341 00000 n 0002537403 00000 n 0002537470 00000 n 0002537537 00000 n 0002537604 00000 n 0002537671 00000 n 0002537738 00000 n 0002537800 00000 n 0002537862 00000 n 0002537926 00000 n 0002537990 00000 n 0002538054 00000 n 0002538116 00000 n 0002538183 00000 n 0002538250 00000 n 0002538317 00000 n 0002538384 00000 n 0002538451 00000 n 0002538513 00000 n 0002538575 00000 n 0002538639 00000 n 0002538703 00000 n 0002538767 00000 n 0002538831 00000 n 0002538898 00000 n 0002538960 00000 n 0002539027 00000 n 0002539094 00000 n 0002539161 00000 n 0002539228 00000 n 0002539295 00000 n 0002539362 00000 n 0002539429 00000 n 0002539496 00000 n 0002539560 00000 n 0002539626 00000 n 0002539692 00000 n 0002539759 00000 n 0002539826 00000 n 0002539893 00000 n 0002539960 00000 n 0002540027 00000 n 0002540089 00000 n 0002540151 00000 n 0002540215 00000 n 0002540282 00000 n 0002540349 00000 n 0002540416 00000 n 0002540482 00000 n 0002540548 00000 n 0002540615 00000 n 0002540679 00000 n 0002540743 00000 n 0002540810 00000 n 0002540877 00000 n 0002540944 00000 n 0002541008 00000 n 0002541072 00000 n 0002541136 00000 n 0002541200 00000 n 0002541267 00000 n 0002541334 00000 n 0002541400 00000 n 0002541466 00000 n 0002541532 00000 n 0002541594 00000 n 0002541658 00000 n 0002541720 00000 n 0002541782 00000 n 0002541846 00000 n 0002541910 00000 n 0002541977 00000 n 0002542044 00000 n 0002542111 00000 n 0002542173 00000 n 0002542237 00000 n 0002542301 00000 n 0002542368 00000 n 0002542435 00000 n 0002542502 00000 n 0002542569 00000 n 0002542631 00000 n 0002542695 00000 n 0002542757 00000 n 0002542819 00000 n 0002542883 00000 n 0002542947 00000 n 0002543011 00000 n 0002543075 00000 n 0002543139 00000 n 0002543203 00000 n 0002543270 00000 n 0002543334 00000 n 0002543398 00000 n 0002543462 00000 n 0002543526 00000 n 0002543590 00000 n 0002543654 00000 n 0002543718 00000 n 0002543785 00000 n 0002543852 00000 n 0002543919 00000 n 0002543981 00000 n 0002544048 00000 n 0002544115 00000 n 0002544182 00000 n 0002544249 00000 n 0002544316 00000 n 0002544382 00000 n 0002544448 00000 n 0002544512 00000 n 0002544576 00000 n 0002544640 00000 n 0002544702 00000 n 0002544766 00000 n 0002544830 00000 n 0002544892 00000 n 0002544956 00000 n 0002545023 00000 n 0002545090 00000 n 0002545157 00000 n 0002545224 00000 n 0002545291 00000 n 0002545358 00000 n 0002545425 00000 n 0002545492 00000 n 0002545559 00000 n 0002545626 00000 n 0002545693 00000 n 0002545760 00000 n 0002545827 00000 n 0002545894 00000 n 0002545956 00000 n 0002546020 00000 n 0002546084 00000 n 0002546148 00000 n 0002546215 00000 n 0002546282 00000 n 0002546349 00000 n 0002546413 00000 n 0002546477 00000 n 0002546541 00000 n 0002546603 00000 n 0002546667 00000 n 0002546731 00000 n 0002546798 00000 n 0002546862 00000 n 0002546926 00000 n 0002546990 00000 n 0002547054 00000 n 0002547118 00000 n 0002547182 00000 n 0002547249 00000 n 0002547316 00000 n 0002547378 00000 n 0002547442 00000 n 0002547506 00000 n 0002547570 00000 n 0002547637 00000 n 0002547704 00000 n 0002547771 00000 n 0002547838 00000 n 0002547902 00000 n 0002547966 00000 n 0002548033 00000 n 0002548100 00000 n 0002548167 00000 n 0002548234 00000 n 0002548301 00000 n 0002548368 00000 n 0002548430 00000 n 0002548492 00000 n 0002548556 00000 n 0002548623 00000 n 0002548690 00000 n 0002548757 00000 n 0002548824 00000 n 0002548891 00000 n 0002548955 00000 n 0002549019 00000 n 0002549083 00000 n 0002549147 00000 n 0002549211 00000 n 0002549275 00000 n 0002549342 00000 n 0002549409 00000 n 0002549476 00000 n 0002549543 00000 n 0002549609 00000 n 0002549671 00000 n 0002549735 00000 n 0002549799 00000 n 0002549866 00000 n 0002549933 00000 n 0002550000 00000 n 0002550067 00000 n 0002550134 00000 n 0002550201 00000 n 0002550268 00000 n 0002550335 00000 n 0002550402 00000 n 0002550469 00000 n 0002550536 00000 n 0002550603 00000 n 0002550670 00000 n 0002550737 00000 n 0002550803 00000 n 0002550870 00000 n 0002550937 00000 n 0002551004 00000 n 0002551071 00000 n 0002551138 00000 n 0002551200 00000 n 0002551262 00000 n 0002551326 00000 n 0002551388 00000 n 0002551452 00000 n 0002551516 00000 n 0002551580 00000 n 0002551647 00000 n 0002551714 00000 n 0002551781 00000 n 0002551848 00000 n 0002551915 00000 n 0002551982 00000 n 0002552049 00000 n 0002552113 00000 n 0002552177 00000 n 0002552239 00000 n 0002552303 00000 n 0002552370 00000 n 0002552437 00000 n 0002552504 00000 n 0002552571 00000 n 0002552638 00000 n 0002552705 00000 n 0002552769 00000 n 0002552833 00000 n 0002552897 00000 n 0002552964 00000 n 0002553030 00000 n 0002553097 00000 n 0002553164 00000 n 0002553231 00000 n 0002553298 00000 n 0002553362 00000 n 0002553426 00000 n 0002553493 00000 n 0002553560 00000 n 0002553627 00000 n 0002553694 00000 n 0002553761 00000 n 0002553828 00000 n 0002553895 00000 n 0002553962 00000 n 0002554029 00000 n 0002554096 00000 n 0002554158 00000 n 0002554222 00000 n 0002554286 00000 n 0002554350 00000 n 0002554414 00000 n 0002554481 00000 n 0002554548 00000 n 0002554615 00000 n 0002554682 00000 n 0002554749 00000 n 0002554816 00000 n 0002554883 00000 n 0002554950 00000 n 0002555012 00000 n 0002555076 00000 n 0002555140 00000 n 0002555204 00000 n 0002555268 00000 n 0002555335 00000 n 0002555402 00000 n 0002555469 00000 n 0002555536 00000 n 0002555603 00000 n 0002555670 00000 n 0002555732 00000 n 0002555796 00000 n 0002555862 00000 n 0002555929 00000 n 0002555995 00000 n 0002556061 00000 n 0002556128 00000 n 0002556195 00000 n 0002556262 00000 n 0002556329 00000 n 0002556393 00000 n 0002556457 00000 n 0002556521 00000 n 0002556585 00000 n 0002556652 00000 n 0002556716 00000 n 0002556780 00000 n 0002556847 00000 n 0002556914 00000 n 0002556981 00000 n 0002557048 00000 n 0002557115 00000 n 0002557182 00000 n 0002557249 00000 n 0002557313 00000 n 0002557377 00000 n 0002557441 00000 n 0002557508 00000 n 0002557575 00000 n 0002557642 00000 n 0002557709 00000 n 0002557776 00000 n 0002557840 00000 n 0002557907 00000 n 0002557974 00000 n 0002558041 00000 n 0002558108 00000 n 0002558175 00000 n 0002558242 00000 n 0002558309 00000 n 0002558376 00000 n 0002558442 00000 n 0002558504 00000 n 0002558570 00000 n 0002558636 00000 n 0002558702 00000 n 0002558768 00000 n 0002558834 00000 n 0002558901 00000 n 0002558965 00000 n 0002559029 00000 n 0002559093 00000 n 0002559157 00000 n 0002559224 00000 n 0002559288 00000 n 0002559352 00000 n 0002559416 00000 n 0002559480 00000 n 0002559542 00000 n 0002559606 00000 n 0002559668 00000 n 0002559732 00000 n 0002559796 00000 n 0002559860 00000 n 0002559924 00000 n 0002559988 00000 n 0002560052 00000 n 0002560119 00000 n 0002560183 00000 n 0002560247 00000 n 0002560314 00000 n 0002560378 00000 n 0002560442 00000 n 0002560507 00000 n 0002560574 00000 n 0002560641 00000 n 0002560708 00000 n 0002560772 00000 n 0002560836 00000 n 0002560900 00000 n 0002560967 00000 n 0002561034 00000 n 0002561101 00000 n 0002561168 00000 n 0002561234 00000 n 0002561298 00000 n 0002561362 00000 n 0002561426 00000 n 0002561490 00000 n 0002561554 00000 n 0002561618 00000 n 0002561682 00000 n 0002561746 00000 n 0002561813 00000 n 0002561880 00000 n 0002561944 00000 n 0002562008 00000 n 0002562072 00000 n 0002562136 00000 n 0002562200 00000 n 0002562264 00000 n 0002562331 00000 n 0002562393 00000 n 0002562457 00000 n 0002562524 00000 n 0002562588 00000 n 0002562652 00000 n 0002562716 00000 n 0002562778 00000 n 0002562840 00000 n 0002562904 00000 n 0002562971 00000 n 0002563038 00000 n 0002563105 00000 n 0002563172 00000 n 0002563239 00000 n 0002563303 00000 n 0002563367 00000 n 0002563431 00000 n 0002563493 00000 n 0002563555 00000 n 0002563619 00000 n 0002563686 00000 n 0002563753 00000 n 0002563820 00000 n 0002563887 00000 n 0002563953 00000 n 0002564017 00000 n 0002564081 00000 n 0002564145 00000 n 0002564212 00000 n 0002564276 00000 n 0002564343 00000 n 0002564410 00000 n 0002564477 00000 n 0002564539 00000 n 0002564603 00000 n 0002564667 00000 n 0002564731 00000 n 0002564793 00000 n 0002564859 00000 n 0002564925 00000 n 0002564992 00000 n 0002565054 00000 n 0002565118 00000 n 0002565182 00000 n 0002565249 00000 n 0002565313 00000 n 0002565375 00000 n 0002565442 00000 n 0002565509 00000 n 0002565576 00000 n 0002565640 00000 n 0002565704 00000 n 0002565768 00000 n 0002565835 00000 n 0002565899 00000 n 0002565966 00000 n 0002566033 00000 n 0002566100 00000 n 0002566167 00000 n 0002566234 00000 n 0002566301 00000 n 0002566368 00000 n 0002566435 00000 n 0002566502 00000 n 0002566566 00000 n 0002566632 00000 n 0002566699 00000 n 0002566766 00000 n 0002566833 00000 n 0002566897 00000 n 0002566964 00000 n 0002567031 00000 n 0002567098 00000 n 0002567165 00000 n 0002567232 00000 n 0002567299 00000 n 0002567366 00000 n 0002567433 00000 n 0002567495 00000 n 0002567562 00000 n 0002567626 00000 n 0002567693 00000 n 0002567757 00000 n 0002567821 00000 n 0002567885 00000 n 0002567949 00000 n 0002568013 00000 n 0002568080 00000 n 0002568147 00000 n 0002568214 00000 n 0002568276 00000 n 0002568338 00000 n 0002568402 00000 n 0002568466 00000 n 0002568533 00000 n 0002568600 00000 n 0002568667 00000 n 0002568734 00000 n 0002568801 00000 n 0002568868 00000 n 0002568935 00000 n 0002568999 00000 n 0002569066 00000 n 0002569132 00000 n 0002569194 00000 n 0002569258 00000 n 0002569322 00000 n 0002569386 00000 n 0002569450 00000 n 0002569514 00000 n 0002569578 00000 n 0002569642 00000 n 0002569706 00000 n 0002569773 00000 n 0002569840 00000 n 0002569907 00000 n 0002569974 00000 n 0002570041 00000 n 0002570108 00000 n 0002570175 00000 n 0002570239 00000 n 0002570306 00000 n 0002570373 00000 n 0002570440 00000 n 0002570502 00000 n 0002570566 00000 n 0002570628 00000 n 0002570690 00000 n 0002570754 00000 n 0002570821 00000 n 0002570888 00000 n 0002570955 00000 n 0002571022 00000 n 0002571089 00000 n 0002571156 00000 n 0002571223 00000 n 0002571290 00000 n 0002571357 00000 n 0002571424 00000 n 0002571491 00000 n 0002571555 00000 n 0002571622 00000 n 0002571689 00000 n 0002571756 00000 n 0002571820 00000 n 0002571887 00000 n 0002571954 00000 n 0002572021 00000 n 0002572088 00000 n 0002572155 00000 n 0002572222 00000 n 0002572289 00000 n 0002572356 00000 n 0002572422 00000 n 0002572486 00000 n 0002572550 00000 n 0002572617 00000 n 0002572684 00000 n 0002572751 00000 n 0002572818 00000 n 0002572884 00000 n 0002572948 00000 n 0002573012 00000 n 0002573076 00000 n 0002573143 00000 n 0002573210 00000 n 0002573277 00000 n 0002573343 00000 n 0002573409 00000 n 0002573476 00000 n 0002573543 00000 n 0002573610 00000 n 0002573677 00000 n 0002573744 00000 n 0002573811 00000 n 0002573878 00000 n 0002573945 00000 n 0002574012 00000 n 0002574074 00000 n 0002574141 00000 n 0002574208 00000 n 0002574275 00000 n 0002574342 00000 n 0002574409 00000 n 0002574476 00000 n 0002574543 00000 n 0002574610 00000 n 0002574677 00000 n 0002574744 00000 n 0002574811 00000 n 0002574877 00000 n 0002574944 00000 n 0002575011 00000 n 0002575078 00000 n 0002575145 00000 n 0002575212 00000 n 0002575278 00000 n 0002575342 00000 n 0002575406 00000 n 0002575470 00000 n 0002575534 00000 n 0002575598 00000 n 0002575665 00000 n 0002575732 00000 n 0002575799 00000 n 0002575866 00000 n 0002575933 00000 n 0002575995 00000 n 0002576057 00000 n 0002576121 00000 n 0002576188 00000 n 0002576255 00000 n 0002576322 00000 n 0002576389 00000 n 0002576456 00000 n 0002576523 00000 n 0002576590 00000 n 0002576657 00000 n 0002576724 00000 n 0002576791 00000 n 0002576858 00000 n 0002576925 00000 n 0002576992 00000 n 0002577059 00000 n 0002577126 00000 n 0002577193 00000 n 0002577260 00000 n 0002577327 00000 n 0002577394 00000 n 0002577461 00000 n 0002577528 00000 n 0002577595 00000 n 0002577662 00000 n 0002577729 00000 n 0002577796 00000 n 0002577863 00000 n 0002577925 00000 n 0002577989 00000 n 0002578053 00000 n 0002578117 00000 n 0002578179 00000 n 0002578243 00000 n 0002578307 00000 n 0002578374 00000 n 0002578438 00000 n 0002578502 00000 n 0002578569 00000 n 0002578631 00000 n 0002578695 00000 n 0002578759 00000 n 0002578823 00000 n 0002578887 00000 n 0002578954 00000 n 0002579021 00000 n 0002579088 00000 n 0002579155 00000 n 0002579219 00000 n 0002579283 00000 n 0002579345 00000 n 0002579407 00000 n 0002579471 00000 n 0002579535 00000 n 0002579602 00000 n 0002579669 00000 n 0002579731 00000 n 0002579795 00000 n 0002579862 00000 n 0002579929 00000 n 0002579996 00000 n 0002580063 00000 n 0002580130 00000 n 0002580197 00000 n 0002580264 00000 n 0002580331 00000 n 0002580393 00000 n 0002580457 00000 n 0002580524 00000 n 0002580591 00000 n 0002580658 00000 n 0002580725 00000 n 0002580792 00000 n 0002580859 00000 n 0002580926 00000 n 0002580993 00000 n 0002581060 00000 n 0002581124 00000 n 0002581188 00000 n 0002581255 00000 n 0002581322 00000 n 0002581389 00000 n 0002581456 00000 n 0002581523 00000 n 0002581585 00000 n 0002581647 00000 n 0002581711 00000 n 0002581778 00000 n 0002581845 00000 n 0002581912 00000 n 0002581979 00000 n 0002582046 00000 n 0002582113 00000 n 0002582180 00000 n 0002582247 00000 n 0002582314 00000 n 0002582381 00000 n 0002582448 00000 n 0002582515 00000 n 0002582582 00000 n 0002582648 00000 n 0002582712 00000 n 0002582776 00000 n 0002582838 00000 n 0002582905 00000 n 0002582972 00000 n 0002583039 00000 n 0002583101 00000 n 0002583168 00000 n 0002583235 00000 n 0002583302 00000 n 0002583369 00000 n 0002583436 00000 n 0002583503 00000 n 0002583567 00000 n 0002583631 00000 n 0002583698 00000 n 0002583765 00000 n 0002583832 00000 n 0002583899 00000 n 0002583966 00000 n 0002584033 00000 n 0002584100 00000 n 0002584162 00000 n 0002584224 00000 n 0002584288 00000 n 0002584352 00000 n 0002584419 00000 n 0002584485 00000 n 0002584551 00000 n 0002584618 00000 n 0002584685 00000 n 0002584752 00000 n 0002584819 00000 n 0002584886 00000 n 0002584953 00000 n 0002585020 00000 n 0002585087 00000 n 0002585154 00000 n 0002585221 00000 n 0002585283 00000 n 0002585347 00000 n 0002585411 00000 n 0002585478 00000 n 0002585545 00000 n 0002585609 00000 n 0002585673 00000 n 0002585737 00000 n 0002585801 00000 n 0002585865 00000 n 0002585929 00000 n 0002585993 00000 n 0002586057 00000 n 0002586121 00000 n 0002586188 00000 n 0002586255 00000 n 0002586322 00000 n 0002586389 00000 n 0002586456 00000 n 0002586523 00000 n 0002586590 00000 n 0002586654 00000 n 0002586721 00000 n 0002586785 00000 n 0002586849 00000 n 0002586913 00000 n 0002586980 00000 n 0002587047 00000 n 0002587114 00000 n 0002587181 00000 n 0002587243 00000 n 0002587310 00000 n 0002587377 00000 n 0002587444 00000 n 0002587511 00000 n 0002587578 00000 n 0002587645 00000 n 0002587712 00000 n 0002587779 00000 n 0002587846 00000 n 0002587910 00000 n 0002587976 00000 n 0002588042 00000 n 0002588109 00000 n 0002588176 00000 n 0002588243 00000 n 0002588307 00000 n 0002588374 00000 n 0002588441 00000 n 0002588505 00000 n 0002588569 00000 n 0002588633 00000 n 0002588700 00000 n 0002588767 00000 n 0002588834 00000 n 0002588901 00000 n 0002588968 00000 n 0002589035 00000 n 0002589102 00000 n 0002589169 00000 n 0002589235 00000 n 0002589297 00000 n 0002589364 00000 n 0002589431 00000 n 0002589498 00000 n 0002589565 00000 n 0002589632 00000 n 0002589699 00000 n 0002589766 00000 n 0002589830 00000 n 0002589897 00000 n 0002589964 00000 n 0002590031 00000 n 0002590098 00000 n 0002590165 00000 n 0002590229 00000 n 0002590296 00000 n 0002590363 00000 n 0002590430 00000 n 0002590497 00000 n 0002590564 00000 n 0002590631 00000 n 0002590698 00000 n 0002590765 00000 n 0002590827 00000 n 0002590891 00000 n 0002590958 00000 n 0002591025 00000 n 0002591092 00000 n 0002591159 00000 n 0002591226 00000 n 0002591288 00000 n 0002591352 00000 n 0002591416 00000 n 0002591480 00000 n 0002591542 00000 n 0002591609 00000 n 0002591676 00000 n 0002591743 00000 n 0002591805 00000 n 0002591869 00000 n 0002591936 00000 n 0002592003 00000 n 0002592070 00000 n 0002592137 00000 n 0002592204 00000 n 0002592271 00000 n 0002592338 00000 n 0002592405 00000 n 0002592472 00000 n 0002592539 00000 n 0002592606 00000 n 0002592668 00000 n 0002592732 00000 n 0002592796 00000 n 0002592860 00000 n 0002592924 00000 n 0002592988 00000 n 0002593052 00000 n 0002593116 00000 n 0002593180 00000 n 0002593247 00000 n 0002593311 00000 n 0002593378 00000 n 0002593445 00000 n 0002593512 00000 n 0002593579 00000 n 0002593646 00000 n 0002593713 00000 n 0002593780 00000 n 0002593847 00000 n 0002593914 00000 n 0002593981 00000 n 0002594045 00000 n 0002594109 00000 n 0002594176 00000 n 0002594243 00000 n 0002594310 00000 n 0002594377 00000 n 0002594444 00000 n 0002594511 00000 n 0002594578 00000 n 0002594645 00000 n 0002594712 00000 n 0002594779 00000 n 0002594846 00000 n 0002594913 00000 n 0002594980 00000 n 0002595047 00000 n 0002595114 00000 n 0002595181 00000 n 0002595248 00000 n 0002595315 00000 n 0002595382 00000 n 0002595449 00000 n 0002595516 00000 n 0002595583 00000 n 0002595649 00000 n 0002595716 00000 n 0002595783 00000 n 0002595850 00000 n 0002595917 00000 n 0002595984 00000 n 0002596051 00000 n 0002596118 00000 n 0002596185 00000 n 0002596249 00000 n 0002596316 00000 n 0002596383 00000 n 0002596450 00000 n 0002596512 00000 n 0002596579 00000 n 0002596646 00000 n 0002596713 00000 n 0002596780 00000 n 0002596847 00000 n 0002596914 00000 n 0002596976 00000 n 0002597038 00000 n 0002597102 00000 n 0002597166 00000 n 0002597230 00000 n 0002597294 00000 n 0002597358 00000 n 0002597425 00000 n 0002597492 00000 n 0002597559 00000 n 0002597625 00000 n 0002597691 00000 n 0002597757 00000 n 0002597823 00000 n 0002597889 00000 n 0002597955 00000 n 0002598022 00000 n 0002598088 00000 n 0002598155 00000 n 0002598222 00000 n 0002598289 00000 n 0002598356 00000 n 0002598423 00000 n 0002598490 00000 n 0002598557 00000 n 0002598624 00000 n 0002598691 00000 n 0002598758 00000 n 0002598820 00000 n 0002598884 00000 n 0002598948 00000 n 0002599012 00000 n 0002599076 00000 n 0002599138 00000 n 0002599200 00000 n 0002599264 00000 n 0002599328 00000 n 0002599392 00000 n 0002599459 00000 n 0002599525 00000 n 0002599589 00000 n 0002599653 00000 n 0002599717 00000 n 0002599781 00000 n 0002599845 00000 n 0002599909 00000 n 0002599976 00000 n 0002600040 00000 n 0002600107 00000 n 0002600174 00000 n 0002600241 00000 n 0002600308 00000 n 0002600370 00000 n 0002600432 00000 n 0002600496 00000 n 0002600563 00000 n 0002600627 00000 n 0002600694 00000 n 0002600756 00000 n 0002600820 00000 n 0002600884 00000 n 0002600948 00000 n 0002601012 00000 n 0002601076 00000 n 0002601140 00000 n 0002601204 00000 n 0002601271 00000 n 0002601333 00000 n 0002601397 00000 n 0002601461 00000 n 0002601525 00000 n 0002601589 00000 n 0002601653 00000 n 0002601717 00000 n 0002601781 00000 n 0002601848 00000 n 0002601915 00000 n 0002601977 00000 n 0002602041 00000 n 0002602105 00000 n 0002602172 00000 n 0002602239 00000 n 0002602306 00000 n 0002602373 00000 n 0002602437 00000 n 0002602504 00000 n 0002602570 00000 n 0002602632 00000 n 0002602696 00000 n 0002602760 00000 n 0002602824 00000 n 0002602888 00000 n 0002602952 00000 n 0002603019 00000 n 0002603086 00000 n 0002603152 00000 n 0002603219 00000 n 0002603286 00000 n 0002603353 00000 n 0002603420 00000 n 0002603487 00000 n 0002603554 00000 n 0002603621 00000 n 0002603685 00000 n 0002603752 00000 n 0002603819 00000 n 0002603886 00000 n 0002603953 00000 n 0002604020 00000 n 0002604087 00000 n 0002604151 00000 n 0002604215 00000 n 0002604282 00000 n 0002604349 00000 n 0002604416 00000 n 0002604483 00000 n 0002604550 00000 n 0002604612 00000 n 0002604674 00000 n 0002604738 00000 n 0002604802 00000 n 0002604869 00000 n 0002604935 00000 n 0002605002 00000 n 0002605066 00000 n 0002605133 00000 n 0002605200 00000 n 0002605267 00000 n 0002605334 00000 n 0002605396 00000 n 0002605463 00000 n 0002605530 00000 n 0002605597 00000 n 0002605664 00000 n 0002605731 00000 n 0002605798 00000 n 0002605865 00000 n 0002605932 00000 n 0002605999 00000 n 0002606061 00000 n 0002606128 00000 n 0002606195 00000 n 0002606262 00000 n 0002606329 00000 n 0002606396 00000 n 0002606463 00000 n 0002606530 00000 n 0002606597 00000 n 0002606661 00000 n 0002606725 00000 n 0002606792 00000 n 0002606859 00000 n 0002606921 00000 n 0002606985 00000 n 0002607047 00000 n 0002607109 00000 n 0002607173 00000 n 0002607237 00000 n 0002607301 00000 n 0002607363 00000 n 0002607427 00000 n 0002607491 00000 n 0002607555 00000 n 0002607622 00000 n 0002607689 00000 n 0002607751 00000 n 0002607815 00000 n 0002607882 00000 n 0002607949 00000 n 0002608013 00000 n 0002608077 00000 n 0002608144 00000 n 0002608208 00000 n 0002608272 00000 n 0002608338 00000 n 0002608400 00000 n 0002608467 00000 n 0002608534 00000 n 0002608601 00000 n 0002608668 00000 n 0002608735 00000 n 0002608802 00000 n 0002608864 00000 n 0002608931 00000 n 0002608998 00000 n 0002609065 00000 n 0002609132 00000 n 0002609199 00000 n 0002609266 00000 n 0002609333 00000 n 0002609400 00000 n 0002609467 00000 n 0002609529 00000 n 0002609596 00000 n 0002609663 00000 n 0002609730 00000 n 0002609797 00000 n 0002609864 00000 n 0002609931 00000 n 0002609998 00000 n 0002610065 00000 n 0002610132 00000 n 0002610199 00000 n 0002610263 00000 n 0002610330 00000 n 0002610397 00000 n 0002610464 00000 n 0002610531 00000 n 0002610598 00000 n 0002610665 00000 n 0002610732 00000 n 0002610799 00000 n 0002610863 00000 n 0002610925 00000 n 0002610992 00000 n 0002611059 00000 n 0002611126 00000 n 0002611193 00000 n 0002611260 00000 n 0002611327 00000 n 0002611389 00000 n 0002611451 00000 n 0002611515 00000 n 0002611579 00000 n 0002611646 00000 n 0002611713 00000 n 0002611780 00000 n 0002611847 00000 n 0002611914 00000 n 0002611981 00000 n 0002612043 00000 n 0002612105 00000 n 0002612169 00000 n 0002612233 00000 n 0002612300 00000 n 0002612367 00000 n 0002612434 00000 n 0002612501 00000 n 0002612568 00000 n 0002612632 00000 n 0002612696 00000 n 0002612763 00000 n 0002612827 00000 n 0002612894 00000 n 0002612961 00000 n 0002613028 00000 n 0002613092 00000 n 0002613156 00000 n 0002613220 00000 n 0002613287 00000 n 0002613354 00000 n 0002613421 00000 n 0002613488 00000 n 0002613555 00000 n 0002613622 00000 n 0002613684 00000 n 0002613746 00000 n 0002613810 00000 n 0002613877 00000 n 0002613944 00000 n 0002614011 00000 n 0002614078 00000 n 0002614145 00000 n 0002614209 00000 n 0002614273 00000 n 0002614340 00000 n 0002614407 00000 n 0002614471 00000 n 0002614535 00000 n 0002614599 00000 n 0002614666 00000 n 0002614733 00000 n 0002614800 00000 n 0002614867 00000 n 0002614934 00000 n 0002615001 00000 n 0002615068 00000 n 0002615135 00000 n 0002615202 00000 n 0002615264 00000 n 0002615331 00000 n 0002615398 00000 n 0002615465 00000 n 0002615532 00000 n 0002615599 00000 n 0002615661 00000 n 0002615723 00000 n 0002615790 00000 n 0002615857 00000 n 0002615921 00000 n 0002615985 00000 n 0002616049 00000 n 0002616116 00000 n 0002616183 00000 n 0002616250 00000 n 0002616312 00000 n 0002616376 00000 n 0002616443 00000 n 0002616510 00000 n 0002616577 00000 n 0002616644 00000 n 0002616711 00000 n 0002616778 00000 n 0002616845 00000 n 0002616912 00000 n 0002616974 00000 n 0002617040 00000 n 0002617107 00000 n 0002617174 00000 n 0002617238 00000 n 0002617302 00000 n 0002617366 00000 n 0002617430 00000 n 0002617494 00000 n 0002617558 00000 n 0002617625 00000 n 0002617689 00000 n 0002617756 00000 n 0002617823 00000 n 0002617887 00000 n 0002617951 00000 n 0002618015 00000 n 0002618079 00000 n 0002618143 00000 n 0002618207 00000 n 0002618274 00000 n 0002618341 00000 n 0002618405 00000 n 0002618469 00000 n 0002618533 00000 n 0002618597 00000 n 0002618664 00000 n 0002618728 00000 n 0002618795 00000 n 0002618857 00000 n 0002618921 00000 n 0002618985 00000 n 0002619052 00000 n 0002619119 00000 n 0002619186 00000 n 0002619253 00000 n 0002619317 00000 n 0002619384 00000 n 0002619451 00000 n 0002619518 00000 n 0002619585 00000 n 0002619652 00000 n 0002619719 00000 n 0002619786 00000 n 0002619853 00000 n 0002619920 00000 n 0002619984 00000 n 0002620048 00000 n 0002620112 00000 n 0002620179 00000 n 0002620246 00000 n 0002620310 00000 n 0002620374 00000 n 0002620438 00000 n 0002620505 00000 n 0002620572 00000 n 0002620639 00000 n 0002620706 00000 n 0002620773 00000 n 0002620840 00000 n 0002620907 00000 n 0002620974 00000 n 0002621041 00000 n 0002621105 00000 n 0002621169 00000 n 0002621233 00000 n 0002621297 00000 n 0002621359 00000 n 0002621421 00000 n 0002621485 00000 n 0002621549 00000 n 0002621615 00000 n 0002621679 00000 n 0002621743 00000 n 0002621810 00000 n 0002621877 00000 n 0002621944 00000 n 0002622011 00000 n 0002622078 00000 n 0002622145 00000 n 0002622212 00000 n 0002622279 00000 n 0002622346 00000 n 0002622413 00000 n 0002622480 00000 n 0002622547 00000 n 0002622614 00000 n 0002622676 00000 n 0002622743 00000 n 0002622810 00000 n 0002622877 00000 n 0002622944 00000 n 0002623011 00000 n 0002623078 00000 n 0002623145 00000 n 0002623212 00000 n 0002623279 00000 n 0002623346 00000 n 0002623413 00000 n 0002623475 00000 n 0002623539 00000 n 0002623603 00000 n 0002623667 00000 n 0002623731 00000 n 0002623798 00000 n 0002623862 00000 n 0002623924 00000 n 0002623991 00000 n 0002624058 00000 n 0002624125 00000 n 0002624192 00000 n 0002624259 00000 n 0002624321 00000 n 0002624383 00000 n 0002624447 00000 n 0002624514 00000 n 0002624581 00000 n 0002624648 00000 n 0002624710 00000 n 0002624774 00000 n 0002624838 00000 n 0002624902 00000 n 0002624966 00000 n 0002625030 00000 n 0002625094 00000 n 0002625161 00000 n 0002625228 00000 n 0002625290 00000 n 0002625354 00000 n 0002625421 00000 n 0002625488 00000 n 0002625555 00000 n 0002625622 00000 n 0002625684 00000 n 0002625746 00000 n 0002625810 00000 n 0002625877 00000 n 0002625944 00000 n 0002626010 00000 n 0002626072 00000 n 0002626136 00000 n 0002626200 00000 n 0002626264 00000 n 0002626328 00000 n 0002626392 00000 n 0002626459 00000 n 0002626523 00000 n 0002626590 00000 n 0002626657 00000 n 0002626724 00000 n 0002626791 00000 n 0002626858 00000 n 0002626925 00000 n 0002626992 00000 n 0002627059 00000 n 0002627126 00000 n 0002627193 00000 n 0002627260 00000 n 0002627322 00000 n 0002627386 00000 n 0002627450 00000 n 0002627514 00000 n 0002627578 00000 n 0002627642 00000 n 0002627704 00000 n 0002627766 00000 n 0002627828 00000 n 0002627890 00000 n 0002627954 00000 n 0002628018 00000 n 0002628082 00000 n 0002628144 00000 n 0002628208 00000 n 0002628275 00000 n 0002628342 00000 n 0002628409 00000 n 0002628476 00000 n 0002628543 00000 n 0002628605 00000 n 0002628667 00000 n 0002628729 00000 n 0002628793 00000 n 0002628857 00000 n 0002628921 00000 n 0002628985 00000 n 0002629049 00000 n 0002629113 00000 n 0002629179 00000 n 0002629241 00000 n 0002629303 00000 n 0002629367 00000 n 0002629434 00000 n 0002629501 00000 n 0002629586 00000 n 0002629750 00000 n 0002629915 00000 n 0002630080 00000 n 0002630250 00000 n 0002630418 00000 n 0002630587 00000 n 0002630754 00000 n 0002630922 00000 n 0002631093 00000 n 0002631265 00000 n 0002631439 00000 n 0002631607 00000 n 0002631776 00000 n 0002631949 00000 n 0002632115 00000 n 0002632285 00000 n 0002632455 00000 n 0002632624 00000 n 0002632791 00000 n 0002632956 00000 n 0002633118 00000 n 0002633298 00000 n 0002633467 00000 n 0002633645 00000 n 0002633819 00000 n 0002633990 00000 n 0002634160 00000 n 0002634333 00000 n 0002634502 00000 n 0002634673 00000 n 0002634841 00000 n 0002635023 00000 n 0002635196 00000 n 0002635367 00000 n 0002635530 00000 n 0002635699 00000 n 0002635863 00000 n 0002636042 00000 n 0002636212 00000 n 0002636383 00000 n 0002636543 00000 n 0002636711 00000 n 0002636876 00000 n 0002637046 00000 n 0002637213 00000 n 0002637383 00000 n 0002637550 00000 n 0002637714 00000 n 0002637875 00000 n 0002638047 00000 n 0002638218 00000 n 0002638381 00000 n 0002638541 00000 n 0002638707 00000 n 0002638876 00000 n 0002639038 00000 n 0002639204 00000 n 0002639378 00000 n 0002639545 00000 n 0002639712 00000 n 0002639884 00000 n 0002640050 00000 n 0002640218 00000 n 0002640393 00000 n 0002640560 00000 n 0002640720 00000 n 0002640889 00000 n 0002641057 00000 n 0002641226 00000 n 0002641394 00000 n 0002641560 00000 n 0002641723 00000 n 0002641897 00000 n 0002642070 00000 n 0002642235 00000 n 0002642407 00000 n 0002642577 00000 n 0002642752 00000 n 0002642924 00000 n 0002643093 00000 n 0002643266 00000 n 0002643431 00000 n 0002643596 00000 n 0002643761 00000 n 0002643924 00000 n 0002644091 00000 n 0002644257 00000 n 0002644434 00000 n 0002644599 00000 n 0002644768 00000 n 0002644935 00000 n 0002645096 00000 n 0002645258 00000 n 0002645426 00000 n 0002645471 00000 n 0002645805 00000 n 0002649040 00000 n 0002652238 00000 n 0002655390 00000 n 0002658600 00000 n 0002661989 00000 n 0002665288 00000 n 0002668517 00000 n 0002671866 00000 n 0002675201 00000 n 0002678509 00000 n 0002681803 00000 n 0002685040 00000 n 0002688409 00000 n 0002691532 00000 n 0002694747 00000 n 0002698031 00000 n 0002701181 00000 n 0002704444 00000 n 0002707645 00000 n 0002710917 00000 n 0002714124 00000 n 0002717363 00000 n 0002720565 00000 n 0002723774 00000 n 0002727014 00000 n 0002730273 00000 n 0002733585 00000 n 0002736871 00000 n 0002740149 00000 n 0002743331 00000 n 0002746606 00000 n 0002749830 00000 n 0002756649 00000 n 0002757526 00000 n 0002757575 00000 n 0002757627 00000 n 0002757679 00000 n 0002757728 00000 n 0002757780 00000 n 0002757832 00000 n 0002757881 00000 n 0002757928 00000 n 0002757975 00000 n 0002758024 00000 n 0002758076 00000 n 0002758123 00000 n 0002758170 00000 n 0002758222 00000 n 0002758274 00000 n 0002758320 00000 n 0002758366 00000 n 0002758414 00000 n 0002758462 00000 n 0002758510 00000 n 0002758558 00000 n 0002758606 00000 n 0002758654 00000 n 0002758702 00000 n 0002758750 00000 n 0002758801 00000 n 0002758847 00000 n 0002758898 00000 n 0002758944 00000 n 0002758995 00000 n 0002759043 00000 n 0002759094 00000 n 0002759145 00000 n 0002759196 00000 n 0002759244 00000 n 0002759290 00000 n 0002759336 00000 n 0002759384 00000 n 0002759435 00000 n 0002759481 00000 n 0002759527 00000 n 0002759573 00000 n 0002759621 00000 n 0002759669 00000 n 0002759717 00000 n 0002759763 00000 n 0002759814 00000 n 0002759866 00000 n 0002759915 00000 n 0002759964 00000 n 0002760011 00000 n 0002760063 00000 n 0002760115 00000 n 0002760164 00000 n 0002760211 00000 n 0002760260 00000 n 0002760309 00000 n 0002760358 00000 n 0002760410 00000 n 0002760457 00000 n 0002760504 00000 n 0002760553 00000 n 0002760602 00000 n 0002760651 00000 n 0002760700 00000 n 0002760747 00000 n 0002760794 00000 n 0002760841 00000 n 0002760890 00000 n 0002760937 00000 n 0002760986 00000 n 0002761035 00000 n 0002761082 00000 n 0002761131 00000 n 0002761180 00000 n 0002761232 00000 n 0002761279 00000 n 0002761331 00000 n 0002761378 00000 n 0002761425 00000 n 0002761474 00000 n 0002761526 00000 n 0002761578 00000 n 0002761627 00000 n 0002761679 00000 n 0002761726 00000 n 0002761775 00000 n 0002761827 00000 n 0002761879 00000 n 0002761926 00000 n 0002761978 00000 n 0002762030 00000 n 0002762082 00000 n 0002762134 00000 n 0002762186 00000 n 0002762238 00000 n 0002762287 00000 n 0002762336 00000 n 0002762385 00000 n 0002762434 00000 n 0002762483 00000 n 0002762530 00000 n 0002762577 00000 n 0002762626 00000 n 0002762675 00000 n 0002762727 00000 n 0002762779 00000 n 0002762831 00000 n 0002762878 00000 n 0002762927 00000 n 0002762974 00000 n 0002763026 00000 n 0002763078 00000 n 0002763127 00000 n 0002763179 00000 n 0002763228 00000 n 0002763275 00000 n 0002763327 00000 n 0002763376 00000 n 0002763423 00000 n 0002763475 00000 n 0002763527 00000 n 0002763574 00000 n 0002763623 00000 n 0002763675 00000 n 0002763722 00000 n 0002763774 00000 n 0002763823 00000 n 0002763875 00000 n 0002763927 00000 n 0002763979 00000 n 0002764031 00000 n 0002764083 00000 n 0002764132 00000 n 0002764184 00000 n 0002764233 00000 n 0002764285 00000 n 0002764337 00000 n 0002764389 00000 n 0002764441 00000 n 0002764493 00000 n 0002764542 00000 n 0002764593 00000 n 0002764640 00000 n 0002764689 00000 n 0002764736 00000 n 0002764785 00000 n 0002764834 00000 n 0002764886 00000 n 0002764935 00000 n 0002764987 00000 n 0002765039 00000 n 0002765086 00000 n 0002765138 00000 n 0002765190 00000 n 0002765242 00000 n 0002765289 00000 n 0002765341 00000 n 0002765393 00000 n 0002765445 00000 n 0002765497 00000 n 0002765549 00000 n 0002765601 00000 n 0002765648 00000 n 0002765695 00000 n 0002765744 00000 n 0002765791 00000 n 0002765840 00000 n 0002765892 00000 n 0002765944 00000 n 0002765993 00000 n 0002766045 00000 n 0002766094 00000 n 0002766143 00000 n 0002766192 00000 n 0002766244 00000 n 0002766296 00000 n 0002766348 00000 n 0002766395 00000 n 0002766447 00000 n 0002766499 00000 n 0002766548 00000 n 0002766595 00000 n 0002766644 00000 n 0002766691 00000 n 0002766740 00000 n trailer << /Size 5738 >> startxref 191 %%EOF