%PDF-1.5 % 24768 0 obj << /E 51732 /H [3091 6427] /L 13314154 /Linearized 1 /N 1921 /O 24771 /T 12818742 >> endobj xref 24768 93 0000000017 00000 n 0000002902 00000 n 0000003091 00000 n 0000009518 00000 n 0000009856 00000 n 0000010024 00000 n 0000010219 00000 n 0000010489 00000 n 0000010662 00000 n 0000011356 00000 n 0000011899 00000 n 0000012169 00000 n 0000012619 00000 n 0000013014 00000 n 0000013289 00000 n 0000013392 00000 n 0000013529 00000 n 0000013600 00000 n 0000015179 00000 n 0000022770 00000 n 0000038457 00000 n 0000038697 00000 n 0000038858 00000 n 0000039081 00000 n 0000039262 00000 n 0000039461 00000 n 0000039698 00000 n 0000039857 00000 n 0000040032 00000 n 0000040208 00000 n 0000040370 00000 n 0000040539 00000 n 0000040759 00000 n 0000040930 00000 n 0000041112 00000 n 0000041296 00000 n 0000041486 00000 n 0000041731 00000 n 0000041904 00000 n 0000042081 00000 n 0000042259 00000 n 0000042449 00000 n 0000042635 00000 n 0000042800 00000 n 0000042999 00000 n 0000043222 00000 n 0000043389 00000 n 0000043565 00000 n 0000043812 00000 n 0000043963 00000 n 0000044143 00000 n 0000044317 00000 n 0000044492 00000 n 0000044662 00000 n 0000044832 00000 n 0000045006 00000 n 0000045184 00000 n 0000045369 00000 n 0000045547 00000 n 0000045716 00000 n 0000045893 00000 n 0000046068 00000 n 0000046244 00000 n 0000046425 00000 n 0000046596 00000 n 0000046765 00000 n 0000046934 00000 n 0000047104 00000 n 0000047275 00000 n 0000047454 00000 n 0000047634 00000 n 0000047808 00000 n 0000047981 00000 n 0000048153 00000 n 0000048333 00000 n 0000048507 00000 n 0000048688 00000 n 0000048864 00000 n 0000049042 00000 n 0000049221 00000 n 0000049404 00000 n 0000049581 00000 n 0000049752 00000 n 0000049927 00000 n 0000050099 00000 n 0000050274 00000 n 0000050445 00000 n 0000050626 00000 n 0000050776 00000 n 0000051012 00000 n 0000051174 00000 n 0000051375 00000 n 0000051550 00000 n trailer << /ABCpdf 9116 /ID [<025F3828CA375120BB42F0A54C821E25> ] /Info 24031 0 R /Prev 12818728 /Root 24769 0 R /Size 24861 /Source (WeJXFxNO4fJduyUMetTcP9+oaONfINN4+d7Y5b/KFkg2zAquHnX/dOQQD0sux1p5B9khgm8VtCFmyd8gIrwOjQRAIjPsWhM4vgMCV\ 8KvVF/K8lfmsM49eZ7zWXq1VmgaHqxefJhtxx0BGEg=) >> startxref 0 %%EOF 24769 0 obj << /Lang (en-US) /Names 23989 0 R /OpenAction [24771 0 R /Fit] /Outlines 23300 0 R /PageLayout /SinglePage /PageMode /UseOutlines /Pages 20742 0 R /Type /Catalog >> endobj 24770 0 obj << /Filter /FlateDecode /Length 6333 /S 30772 >> stream x{mUU{ךs<&OQ^<@x.WDA$ 41BE`VZ<48cg}n?kY\s9oƘ㸽ƘԘjf61|X&^8᷑ߛgW88`98$8uN#揻g}-3Keew߿˻w]ڝξ I{g1NqwK=`I7?n맗e=D~̾޻w<}|6+N+ %޹b^~,_һ 8Oo9{EY'͙}cT7 Y>2+(1*-{/<7ek.Q0F9cq<w|kx}IL''c",go}~p/B>,_?ּqm{B_8>&Az}Jk͋)^V<ﻒ3_G~j|"{>X1fy\ {?w6/M<*!: rPV?/˂oF9<1oao<^o;ܿ<?2:9mV ,<ý`0c[!U q{,8潕w]u_W)̵&xPb0Y;/C>g^s^s7gX Q_{C|9C{}C}1ݚkco[~B?Wb̳ۗ_ 9r2!?u}yB|GP~g]аJkX}y u ~&yps{_sHɜ1¹ZhzrI8%__~ ǖ_O_Ou5/ saN9m4}mi凡7/~:Ɯ>}݆yJo~Pf~ !Łb!VMp 5_ic4rB7\s|4\\Kx43 s}׻BӇqj>| =ߣ7xrƬƟ7%׍9Ø5f#cMc#cv1gte~//a_1$ rܘUqBϧ/>EZ ]f |S1}`'1~ߟ-\Qs0g̜?PT2/pom>Ęq089?a#uǚRp\Fέ }W-z0yR#wYmdy;DYSuǞH/M* {Ż8"ݜO~#w_a ^JY~&}Ŝuf滍WoUsѽ襜YWuYdү`Ndp72t2=MuTllP]E<_5\_|I ڂTb0d71f d:P`Ͼx희J|jvڸBb`7CZbK,</7+fK,Oч:]7sb!;Z Y?sY~-l܁{֓ gplO.9|Vc6 ؟=\2k8ӷO >1笱Cc';r~·- z`nM[(_\mU]7bCaù㛖VПqӕ732gRH ss*t`;O_~ϩ?=zgv[bö 8ɰw %S:V|bC xi"V?aUJym{>)Js3;~D"$gS2֎kė?[hcQ%q+;~oF"HħKw_g_Fk_SL<` [ʕ?.+kk}Onfpį+tM*cߚįW5auX_tHl7p]5lPwՓ܃T[AJcbsbJV4uf5zhޥ>R#GsS k.}4i~P7c7l% sj6W5+.Jy!8?[  ]5]K kp\_ଂu}#÷rrMwE T4!O*y}Ij揰[Mjrqu9B%q^vH'^MQ<>҂U/$/'4jҬ\Z-\η|5|A+6 ^#~(?  yl~g?$`J'UQXt~ yx/v x62;epm-\5NSYZ OCLo?,sb,M+Rc|lVYo:r~meLGqX8T1lМŮU93e .ҵI/ ,6?UIE4-o<â\WԵ)WĎgCS_I5ϗ]$͍7QVmK~XC3³9"iOP =ڝUWsEORr'z^鱚޼Ic`^8̒ǎGߦ{9^4v& &pSm Sѝ`&hW?\_yEET)nWq+!w[i̦`cJU>ʰI L.N5[M-ש?O#{SdXC4w+u`wmG\w,9W ݥOVr6+I ]x"TJ.L“>߾LA 2_wi&eH;dMQ;g*WأL3b9K:Q]8䱫5-i12=K5__Kǝݨ9~AśC)9& Pq`kM=2JޑK K+ m[ M8 ÉSQͺIk,$oz&.];dlKK,oSfc8=ӳXaJb~\Gz!Jt>xMS% tCz(RǷg G>>#[2:dHmg{ꞨƯHc[t*֓'Sdzr3{ǵTE*3I'yVv{^"jqƪPd2"V2Gb(SpeRU ' 6Ii_ܭG`Ys0LBZ''t>>Kia R3`)F/u/V෼I+7`^@yn+{ZdOO'8܁ה~6 5/TYbZ$"Ʃ23wܹ*ʞggYqY$<FrL$ؼYP,RBRYrrxVtxJ-IQ ͑gTknOZF!5h{|~A9J8GɷfĨz}$[R3r;hN7rR7}-gOlUo?INJrQ LF,?K7*r߯@r6_ O33 IշhX&9Na J$ GQ%.~kwT^1Sy҂ :ΩS#ޢ9f,%E [~oNۦk-$Ix69ȥwݬ>JE/S>ˢvoJtp7ue0c n5$v. 4WXjVjw=3$u{Jo)90w!dErČ#R?TܧW 9ͷ Hsxb8Xၖyگ_ƻj=$?ҿdႌz#{M՗?ͤ.'ٟoVWsGjRvFNQveدosy/8} 73•c 't,{H|d]1PI|YjO/L^;y֞."#!7ք6i3ŧԗ[K1"ս#;?E,2kUGK=Q;75Gd[`(/<\p+}4WX9bn'90ٓZGI F/?9urvC3O4I&5h}u,9 |svć~YM=9Wn#=s[$nмYjuUHz?I$:tHr ~ZƪLjn-5M%K0 GUqR:wv{:NZ;E{ӼL͋a1:=Fcre p&{4~J#R+c$ {+smڱۥ]XވuDZ\W-90);S9N|*Zj~1w[wr@S T1Z=;D`M# :#'zD76f^S(G.RGGgj xlp֢6 mhCІ6 mhCІ6 mhCІ6 mh??m~<x;w~<x;w~<x;w~<x;{lXzZ鶆ͧu^Sgn,ntoХ;N{w>ncMw7ȱֻ?Ԯݘ'u'u}wsC wo?Fwo,\ToOc-lt6Ķx5c0eL#:aȣ9c0c}F*Uƌ7+G`M+;ݰ10Ʒ}!F&c=~:/|o+r(bG=83:]g/2F6:  endstream endobj 24771 0 obj << /Annots [24773 0 R 24774 0 R] /BleedBox [0 0 612 792] /Contents [24785 0 R 24784 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F1 24775 0 R >> /ProcSet [/PDF /Text /ImageC] >> /Type /Page >> endobj 24772 0 obj << /BaseFont /BTFZIP+Lato-Bold /DescendantFonts [24776 0 R] /Encoding /Identity-H /Name /F0 /Subtype /Type0 /ToUnicode 24777 0 R /Type /Font >> endobj 24773 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents ( ) /M (D:20210608081201-08'00') /Rect [367.2 80.005 540 115.9] /Subtype /Link /Type /Annot >> endobj 24774 0 obj << /A << /S /URI /URI (https://www.xilinx.com/bin/public/docSeeAllVersions?productType=DesignTools&documentId=UG835) >> /Border [0 0 0] /Contents ( ) /M (D:20210608081201-08'00') /Rect [443.1038 716.9005 540 745.9] /Subtype /Link /Type /Annot >> endobj 24775 0 obj << /BaseFont /BTFZIP+Roboto-Regular /DescendantFonts [24779 0 R] /Encoding /Identity-H /Name /F1 /Subtype /Type0 /ToUnicode 24780 0 R /Type /Font >> endobj 24776 0 obj << /BaseFont /BTFZIP+Lato-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 24778 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [243 695] 6 [659 662] 9 [761] 11 [575] 13 [566 726 771] 17 [297] 19 [430] 21 [520] 24 [945 771] 27 [808] 30 [619] 33 [643 549] 36 [600] 38 [743] 40 [695] 42 [671] 45 [508] 48 [568 482] 55 [568] 59 [534] 61 [359] 93 [528] 95 [564] 98 [254] 104 [535] 108 [838 564] 111 [575] 114 [568] 117 [373 440] 124 [372] 134 [564] 136 [528 803 522 528] 308 [281] 311 [234] 313 [258 238] 330 [373] 336 337 275 399 402 580 404 [580] 458 [1000] 1140 [249 580] 1142 [580] 1363 [125]] >> endobj 24777 0 obj << /Filter /FlateDecode /Length 459 >> stream xUn0y _` P)6䐋}shW)>sW8_؞_AiW^IE}zf=Qeg1P?SS/.a}>J["~7WbcʀumjZU,md*?t#ܾ7!-'@ @J2ր >;B921)(m #p XqF9XCP'q-gy`Y-1LE@펀z3 %Ԁ ,ժb9,"E=2ȢdIaj8Y(A)ۦ>FnЎɡC^ψ~5HəhAP.$#C."\6{e{2a=4.7x'Y Gg endstream endobj 24778 0 obj << /Ascent 987 /AvgWidth 563 /CIDSet 24783 0 R /CapHeight 987 /Descent -213 /Flags 4 /FontBBox [-603 -285 1344 1100] /FontFile2 24787 0 R /FontName /BTFZIP+Lato-Bold /FontWeight 700 /ItalicAngle 0 /MaxWidth 1947 /StemV 0 /Type /FontDescriptor >> endobj 24779 0 obj << /BaseFont /BTFZIP+Roboto-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 652 /FontDescriptor 24781 0 R /Subtype /CIDFontType2 /Type /Font /W [4 [248] 17 [276] 19 [412 562] 21 22 562 24 26 562 29 [562] 38 [623 651] 45 [272] 50 [713 688 631] 54 [616 593 597] 60 [627] 69 [544] 71 [523 564 530 347 561 551 243] 79 [507 243 876 552 570] 86 [338 516 327 551 484]] >> endobj 24780 0 obj << /Filter /FlateDecode /Length 311 >> stream xUj@ E -[gJ!Ҥ,8c95al/IKd w?3Nv87v3BM_;}'!9NwGC<;= 0vuSϟysY-C ^IU! 6Q)M|>ZCdR AȠ2tn,'(Tdk)аT2Ҏ]2q|^Z'Y$J=LZx"y-XʋB,HF\`fy|:@gqOc(w& endstream endobj 24781 0 obj << /Ascent 950 /AvgWidth 565 /CIDSet 24782 0 R /CapHeight 950 /Descent -250 /Flags 4 /FontBBox [-737 -271 1148 1056] /FontFile2 24786 0 R /FontName /BTFZIP+Roboto-Regular /FontWeight 400 /ItalicAngle 0 /MaxWidth 1885 /StemV 0 /Type /FontDescriptor >> endobj 24782 0 obj << /Filter /FlateDecode /Length 20 >> stream x`{b+j endstream endobj 24783 0 obj << /Filter /FlateDecode /Length 54 >> stream xr)kAV^5N XgV`#'0Hhs endstream endobj 24784 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 24785 0 obj << /Filter /FlateDecode /Length 1494 >> stream xڕWMo7 W\`T[8)[==u`] FXPEE}V6~ÓǬACc?Do7}jWL-IJ9iyg 'ՓNorj:;wR';K!CCSSP*k\ŜϞoN:Aٓ zwe˰@RBo V<p# 6I;˰lyߞ-+{Ńby:XÚa?ƶڦ3E{orҿ \ˊU ITpKo)LƥoI7ʓr1QrTW%$rAfS?k[j/-]Kx"|f_A}~ E&qu~ MB\=;Qś-&]>ρ&ĝoT,&l4?7@+WSDydۆٌ+Hv &_K BU|.#l M`(%u c̏ A2I|[vzYM/QG;Wq|2Sc..VZLzmN\@<}kUr$Lީϻz쑻uzrZB \%]9D=JL;C <.>p4}>*׊en}[mDX*G"Y orGrڱaȩ>ɼ܅Ơ L=~׮C7 8 œPwV)D>Oz&#a%M'SOMGn VIv}I RȢkMqGԔc!Ʒ7dZv{  >v̎:4ɮ x ȔA]I\}yt GiI6rnf۔FQSGbYȽ%^ UVzokC;q덣U/.zL}m: >˦&|% _bjt][*|O愍)IJ hp?hp k0TP pb xSVGO;[KقE!C{=_縄Xaw0Cm?Hs,n:&Ǐda cr\[X)f ŹFKxdCdX.܏bCjL׊Nd$iLAkXK<nn,Iu3rÊ94\)K?̥7V`K3cOY2y -KɟPM*nWE|-,K;~諺> stream x[w`պ̙THd $@40"Rl  U0&Q*@RU/\kvݸyoΙs^fBRm~YU0*hiL[X#4f_x1fUKf'5%pE vI/xm;fϵ+FvQI2,Qh0Kv),۹])6Oo-m2юR8%^Cpq;BN:TAV%ZrRS&[L\vV^{OSfi+W<*CQ#v'`8g?5>|d70 \Z KDYr Y e:`uPi));6Ŗbn3N<s/bWUKD)'[kh :Ï 3? `V') L΄Y͔ fí[-ΘMVGڶv56JMˎ&$i2O~9~m_:[;#o/[ؼ֙BRHsR A]7pA@"6R(cStn#rs\HW{7C1SON6A{ßJ1{^͹zaqGv[˪5)5bGUZk3 D9 ZX- u*춎P3)]Sss?X+i)yy>S;?]4蒷K?p/6Kgۡ[m=g}[J^kf|g 2fk[Wo6>y] 8JXVwǓ4Lgdj̑0k;;6p>') ü\kQj7M+¸h`w,l8̚ί*0>GޚnYxRr3m?aDxLXԇG#qmP#V9*X FB<%l9Q a+1Q2~h\0ְ=Y# l|ĝr7׶ik e`l$~N蓼O(cMq߱H_jVi c>9u拭kV,ޢ.-[4ҥ5h(Ӭ8]R~5DՋ.+Wd "J2@qX݄X*o,떰܏7)=/kgKOf+ÔK._̈́WMSEi-N] źo8;#hLMQ/H4pDzC6."0lsR\`W~ȮOlb3Ҹeq}Ց=aGǻ^0K>6%`ƹgvH4b̛0Th/\;220ZZomBZh)J$~qun#& LBĄ>XQ%=Ku龩FZ1YE0CRR6Fsc3}A]qq7U:T>U2sY{QjAs]-[ɀnu$,2'܌ֺ, \{zP> fRpzK Ը=5M7Mx[L|r)"ŵ,zwj#zlơ3<%e´^YY}h=*8ӈxj=C2xnLMlY Tz7[j)c9mNP! [p)td8=ڌc~b\vn{Vm޴dࡻYm?~Ҹ?rp=HJѮf{ AXT=]&"(gismٶ %7^8Z{`c7^/m)9759B%7XɹJJYίe ?AʱYY$ ),뺄̽o$ uP\RϚ=My*.k:CgMMUz~l\~EN/=\=87iC\?6}]a\2=:箂gE,#:ǂQȸ:$W?[6 lyBgAF *ѵ00Q"'ЈkHC9$3w_~>_QJ˸T\!G6O2j*2Hv,b|^"ejbM񍃭V>#\A $򲓣S(=v Rkظ55*c;Wy{^ݺL 2R0#H, VX! N] Tˊћ55oСÄ<%ipǎ2#a4=H  7*ƥ̢v09TF㻝w2RVߧCBPR8tpZMHc;{gTgb n@mpݛ|, 2ZIϒQ{D {1$cUb01HYD-" _YE*r|m85) =徻kxziSR]|'/w,XN>HI*+^h 7bv>#[d)4^D kM.:RxR D! E#K3d޿*>a~l|wUVإm7ʌSFk,eGp}WfJ .Y'3B#|qYBXWRJUv٫y.޴M<ޚ/aoQ [*a ȿ]# ɦEH"]dRjplfߪkaWUl|ࢇGMb_wfkS-`IZG zݵكy/EC'|Y(<~REa(Uh<=EBʋ4[iEYqzLNÔ4sD`}04J8l &+Trj;) 2u,47A;(U7ȵz4mfЃ|(Uh `^Es4H?8R9-Phm-MӠ1hN BNA^{I;韸vP>b?@[4 =#b^N]%ЇxzvAk +F9[QKSh^J^ *OKWԙT=6idd 诚_:V|)~t}LTy)OKv^M4tө qPV1^-6*u{Hj2"sKT>$B\o*x nwBl#ڲ*j[9CcRG5C+h2zm0gi7uUF@!b5yjqL@Ijz<2|đ(7V|%kP7%)kΧiJ wi>~Pdvc?y|1|Uu87S\"(]I3^oBlbΥ|qt"]Sz``$lOpޟRXq 4Wn~C!gT{1.T% 4R;x/ڪOScxX]O勉6 "tm b"ӝ,Ӧawn!!nΠ2z!4t z6&|?F3C6 {`ٴ>Rk{+wʴ<;L'|FwbwETMbZ߅qs'hgvT@ `&`=`>`8`{*ܳy3*C#.k,:r6Wan QzDMY;"sk}$9gP2 <5sEY^ Y[d o/wKzSk -EM*pK >  ـ\bd}>4u$PwO}{^5TX֨^χGʚubiCm1H}CW,jrQ z>E*RɃIz^ b,| H o>xY]7A@1A0x?I-:Tb C }"A@rC}>| sIa>RiޡF<=ߗ ߏ zRǢ7j&Yw=Mѻ_B{(k~A/j:sg]d(^ 5CLk-=Ye$@-~ۖ諛:K$G|C.75皹X ?D[Rk _aD^4C<׵_xlgO/ _@QOZRbAO#NnE ۖv'l+>Y?G؋?7Ho WaC"qrvȒgY4,wxuϷ^_1Twu~]Ǿ^A\<{bޟ D}^뭋^@-rXk˺3ezgOUfc_ KAΫ8ڥxQAP6v)dCm.86gy}Nm8RoQkdmnn~ļ5Ke坐Fr"-A\YwK(? ]R\9WȎ*<fUDǬF6q%ecϝ^j9Mu ┙zS8UqSR.ޘ.ޘ.ޘl") .N8yĩs<\"Nxf]z endstream endobj 24787 0 obj << /Filter /FlateDecode /Length 15586 /Length1 39301 >> stream x} |6,dMLIBB6 aOX-DAPDŽmU *ֽU[[k~}ZUZMf3m}s{=sϹM FDad*9h?݈'m[Un!b(qeϪ }"IKW?w寿sA&Q Wtv_?LTKW"|︍(r [w,m!M"*Z?w=њ:w'|; ޳iV)u|(f"?E* Rj,LS1ꒊe*I"Khxs[[NhM*1v-"Gyo?ǏNI"g:柯 |D>Kiԣ >RQ>ڧ]>uFf;ֶP+[G{{\Nhod(`Hmm>)n'wk9ۧvw<kv_ajhunoswN=>3պ"I ;k:}>օ}jgr9a]Zn-휤N0iv?d <ǠC#\`#tv"nA.}rN",2ن6qn1B6hsx|>Iuwy|nqMud5p^R8J_$"C]Qa?aE@h_yn[]מ _Yͳښ6cD}"kEFXg/ŕ[7|,3!gqa51<69k1*jGNY&( i!Ƙ7T?鬱 N[?(jjvEk.9.[:Ÿ<>4riOiOiOiOgiw_Os|[f%2_|4𘊶tS'xixi&xixi6xixmqۨ{-槣VL[qY`鏋o1X`s^OqYWĬ _(vgWΏJJ2L eS(꜊]ʘ5aA u8۫| 6Aeud,9@3SP;jG/ntc/vt;}rmg7K6; N'Xav6`B"zA{gĩ[; WPiE>LnխP_\vԨY UMxT*!2>C4-^slT9/Ak(5}:3j<685|{=TV|.|8yuxw$i}36ZUc,tLK/.W.'T=DoF6_Pũ.sR 6ߎvz.NC8<C;aLoⴳ7_ Mn_f.zހ,(7WG_3 3331Qӊiꑙixfᙹgq)4./b^)͛ XL@M-GbX:)qѪ<Ւzڧ1}xj^K\{|jztݏ_޲ކ~aIȔxƵ]1(CTUi fv-s0 c Mt10d_5*5WRbX! BDn7B!eQoU+hu[Fy+ ]h0;1jd1AA'U8s9N}|‡4 U*/i@ioS7P?_޸Ywۍ{{GOLwZQ5S/<ӺXR?ls7L d%GO?{Xoeu ۛ3mDJ\>0|Ojvg,BS=Bi5FfmbQR-QRh+ D>.hv/Q3[M6VJt pC6o1+R kQ1kz$geW2n*8Tmh[ ,)6KY^E[e* l i͉E8m-cI2k`}lCbc"ƙ4JdjB{D6U4㼹>]v{ߝ={{ҋo[oyBos A10a{܇6&έ[sr+:dXc >HZĸd c.H]=n?ZU^%ƋFvo-&L̆Y;X.E310T`j>sGfqɉa14%2/H\~HKOFZ>NLdDLh|RŊ񆆡DKŦE&MLj`= A|fE\ؼy@N,bWZڽaNgRvfFV94J-[cJE ijŤNV㌬lu״eMnT2RZ^ nj]T6ec;riS5j|jRF;SʥUfYlX]=:?EZՕLEvngS%O}"(nnHظsK#7F]BVfac%)J 2mSXTE{7Ēf T2vĵR6uU,pg+JU8,1LKI)ZwR {ۺWƤOO0gJ4i7 sxk xƐ9. - N 5$qgS'vbۺ0)˧؝5K++2S#vPݍSv?v܈]3gYIq/,57?}EkSЁz ;i72 aMD&s}F}d}DZqac<З1lfFFN1p8KpɟUQЛR:pSLJ[{ꭷP/˛}ᮙNorWEm UJ1#̧> ӻrӖų[:oV.m/s-͙^K֜zʳ΅WDiT-&|crڡO&sFikVfbKv>ĊuNƈ&`%]ڧNRYD|]_-ŶbΏ1+|?~~4[C|Av/& K|}[,83HΌ [?ay3Wy f)?s,t)^9#jCBć׮M7ɍ`Oò_Hr:~9HRI*_wxSva;dU efJEd2MA[=G੉̬F7%#Qƒ%ka qp"YdؐkK dGF]7"m'U2 ;Df$b4=.zΒb[Һ)_,NZr[aɺĶ/h-C>}҆jJILjO,khչkfZLn9o~dCK4i^^23P?eɻ|iy mI\gvb압\[`2b0.<8*7Ɔ,msE ;FF*kwJK6s} N͟5e|洖.Q$OKjQu͂ W-3+i|S!ϭZZ?`7/Gqw}Pl8կ(` X:꧷M/$:R7Q&&/Bg%s=O61/l#[bl5 k g|*1+7.qӦw?eyh.%sÔ<M߿Sws"oXTе S6Kp6z~Z~dYH6Ir9̜~؉MɬlcRFN,_8p<'ߺ~ep:;|7;32T}gwoQR_ ;=G"찈c+0j$ޮSo:7xL6ZI9WIq;t2~) -Rc"+sٖSWgr5i'#C<܁dsUtrUzyܟMqƑq3Cv_`/}g-/h㚺p .Y}cwɊ%3S+RsKݓsc\S-[4UyL'F&V9<6{]cmK-IItOBF>j:&+nǘDzWt3RG`.RK|7EM8 LS{0vQ-!.&)XjzV~Jsqq!{+&1AMâƧGEJ/:_b'}o M 0&736FpWe@;GU]TLTN̺P~Nka+x\y_?e|m Ӄ$^h+rbEyYV%ۙtZCS\f9VOe>%{w Kj-KdaFZ;XDQ/ (ݛI#'mɚ'sĥ8WaW̙P~dcߓMV\fb %t3F&Hm {LH9JH"{QQCET5UtIG>CC] ^oZgQ-gyI Z.qs[t9n2֬R{VEfLJ/"̱qNOIj|N_LJ/\;/&3+H!}^_bU>1 "ĂrA5}$'le\lev{yN$Ũ}!퐞%ˉFl<*+i_IϾ"d}cjDŽB(bt|*B$˗ŁM|ZbiKBe%tcꚴMC-f9tIi|kקFħYo 3 Rv>B,U3/F3kI ~Oؒ.î|>j1Iٿ ~{ϢM>*g]Ojm=^9+?i*)힞/qcX'Y?x?~+7R.a]dofI/,I] '6웿,6τEpX&!jg%7,S~-ڙ`'^>q\T@ |f7Pȿ(q):0h9VlT /qp9)K /SoƳ-Z6<1'RZ|Q >`ډ ѓyIJ8v|e 3KmTs)\Òo?)ts1x92%GM3P.]LCe 224أa 4.^?+2^gH老K/z 혛lvU*trZ\ލvLM]nĐˊ>f֯Q{nXO+qʝ0*+c]&–띔@LpBƜ)"!?Τ/j?qd9`Z Ҕ #9;mlu[v[ђ8vnuҋWiRLАGlyd5,{Yn5%5b6$S:%r%:D췉MbbatLĦU: a!Bӱf@'3SI '\%MWV4_?p|ARIS<1wYeoƗ$]h} l`7+4~V*JR_ͅw*b(ɧ"xլbb])ٙ)y9N_S1j1๏nMТ6⓽[_T?gkYeԜ啕uY) _ѷi+^MH:6gZgEuW}F򪮆l1" vPKU$o.$7 E7& "I-1iqgk3a&fh ߒI'mݺ>y֒Dk쪪E֤ 'nwľ֬qgw;j9m߹s{jҜ^є59+k,WnSE=XU{{\zgZSY0ϕUqȁq U`0?U<4a䐮l .؅19ihW2ZЉE(Ozҥ?C2ݔ 9MHG=v )Z%MI#M>LhFCJI6EfP.u-裗r`Oi5FoE~ x( j uЫ% tP~*us(g5OF N:b5S>|rT+hy3oȦxlxRv~(Q}m=xkSfPzy4T* h5Mgo|)ΑdZ\h>;A-\Y`.{Mi@=o'tEwԫ*.AӐJDL*LCM+A3C+@PIxZIaZ7l}Z'O}8@$!@68^r()2FD| cQZ/ΤEXx/ Lj4SL`ZLmrtq'5(dS\)"eU"`/f`#}V(@ f@=0XfPkTŠ 4,+85,64i+y4/T:կȮ^D |nlPM?0 SCMuU<4#93ic|T~Gv-]PڇRK7)!.=Dig2d ! =D:B9D7rs' v alT xt>V7m ? >x1>2)ž^~gѱ`YMvŖ"Nm)e!=89wNݨKfd Q*ހ}_,ak)}HmLUvʅT(J ߳F~|LssWS S&aMS W ly=ew"|L.aQC~XPiᨐ5ؘZ6B>ȑ&{MGI<{8Hr*CW>'a_S| i3dJQXW~`GIsi keLB.(f`&B׭J:߃_a.ރ1‡`w{)&` a[㯡:VFQuk-D~4»>3Ô%]_Ņ}Y!c>ųrȢC/nt\)h.`-`?z @>wH{90_[(`}rvWMzU\J >8?&CwCb5k.Be^W$k82ӡ/几=JUBi3f-lOV#>E>JV!>+o q趒djb5ϟPvRfCH>GI.R 6Hzx"MŦ-x3'-=Яp7zu;آ@]O# Խ1þjúqRM h.L|@A(ȇ T>z )l|i\X·W8OdkIT$;>|a/D|*&{j}\7|9HM4G;IiD!oSb2<'!?b͞Yhcv5laශH+Y\Ru:+t: T+ޭ9}C/4Zegr51XW54ϴsqg/f^ gN{k/ ;IudpI+;g) b7CTZ~nġ+pá\GS'i2PvAf;v*B0 9D-!u4|啈>sDSIX1RB5C~"|aW 0Us=ۨ_ F<M@u" s$bLu |:n;J`5PX}jL:RURmcsK2ເx eo2=*i! (H p&` }}x6cx D6<޾46r06SW1ގj88͈  I_Q0n"b`@ &W.<`7 [s94ĉ@Oy;ŞKm& s~?# :ƁJ0'ςݰs4>j MȥyyoijĽ?G%F].8C9yӡdžndy[y}um^ ';j|XsOQ_)sy mg|]sOY5R:-lMy_Awp<_)@?~n/h#;|R |5;)ܷ>sI⪏)}lwޕ#_BiJղ `VldFR6E(qgB' 96bmQg ׋8&ß:>SOVB/Fx HEF9@ \ lVo<`?Ht"FF[EF_;mc@+HupOŸ:2"= oBzP_4~ ӀeHτ.>F g >ş^ w=)Ctij3·O?3m*I5@wbh|D mF1@^nsuf$|'Q |Ov S|HqshY|{(# OC@T ] )CϢV/" 𪁗P&Ez;Pi1ҙiI8C~%ˁta}Gԟm]`LP":N">GL{=~4.ˑ;7bF`?DO!P9X\f:5'2_3|d}o0<;n>ÿw$qob8X?[ D^|8w5)-0{"Al6O ~W9=5;ŷ+װ2BOGӹFCwU9=w'+o(#x" m@}bTQ|vøhEzcuW[͟K1I1$i' @ ߏ;ҸS]5;3}J٘4O?^nSJ+!7i߉iMFz7s:_ME((oZn>@n)VN]#~}r"MUM.c01*4(Wљe=(?1D7xLX Ͼ 's)u)l$߱Wf jIӇ8f637qgŝ-~_˸Ŏ_V麗;[l;S'$pCKeث;PFzQJ[hͿVܻ-SHIFiQ%Q hgM3 j!q,M.{k}N;o ';oۮMGﮉk{)C>Dyqm4ig>&=4~;cJ壀R9~2}Tvv.= h+2qA/4h "\, C\ ~;BHwer`e=N'+Ž"0g]:P3B^7B*_UMOqIn"q;I<5+/QB*`ЉJH%R>X2!w?Lw ^ŝOgIT߇B*߉1*qQ4Ջ7ϐ]'򋁏N9b] 7w7jw~'AM7Q9Oimy]P!Fÿ}vM`x}9 Yi=%_p﯑3 ܪC!m/=|: <VnBUD[ VhVC!mE֝Q9#a 3#z bKGa͙a=$~鈿} !Q36mɿבH~vtWa ¹c>ԑ7ό*?uQ6:r1a c01B("uc0a c01a c071a c0q0c+I#Z5ca_oφ8dv[c}섙GZͱ JUNt$-oRF:mVkvUT<'fO=E> /C [0 0 0] /Count 5 /Dest [24771 0 R /Fit] /First 24789 0 R /Last 24856 0 R /Parent 23300 0 R /Title (Vivado Design Suite Tcl Command Reference Guide) >> endobj 24789 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12239) /S /GoTo >> /C [0 0 0] /Next 24790 0 R /Parent 24788 0 R /Title (Revision History) >> endobj 24790 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12458) /S /GoTo >> /C [0 0 0] /Count -8 /First 24791 0 R /Last 24811 0 R /Next 24812 0 R /Parent 24788 0 R /Prev 24789 0 R /Title (Ch. 1: Introduction) >> endobj 24791 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12461) /S /GoTo >> /C [0 0 0] /Next 24792 0 R /Parent 24790 0 R /Title (Navigating Content by Design Process) >> endobj 24792 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12528) /S /GoTo >> /C [0 0 0] /Next 24793 0 R /Parent 24790 0 R /Prev 24791 0 R /Title (Overview of Tcl Capabilities in Vivado) >> endobj 24793 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12567) /S /GoTo >> /C [0 0 0] /Count -4 /First 24794 0 R /Last 24797 0 R /Next 24798 0 R /Parent 24790 0 R /Prev 24792 0 R /Title (Launching the Vivado Design Suite) >> endobj 24794 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12595) /S /GoTo >> /C [0 0 0] /Next 24795 0 R /Parent 24793 0 R /Title (Tcl Shell Mode) >> endobj 24795 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12669) /S /GoTo >> /C [0 0 0] /Next 24796 0 R /Parent 24793 0 R /Prev 24794 0 R /Title (Tcl Batch Mode) >> endobj 24796 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12705) /S /GoTo >> /C [0 0 0] /Next 24797 0 R /Parent 24793 0 R /Prev 24795 0 R /Title (Vivado IDE Mode) >> endobj 24797 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12793) /S /GoTo >> /C [0 0 0] /Parent 24793 0 R /Prev 24796 0 R /Title (Tcl Journal Files) >> endobj 24798 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12825) /S /GoTo >> /C [0 0 0] /Next 24799 0 R /Parent 24790 0 R /Prev 24793 0 R /Title (Tcl Help) >> endobj 24799 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12950) /S /GoTo >> /C [0 0 0] /Count -3 /First 24800 0 R /Last 24802 0 R /Next 24803 0 R /Parent 24790 0 R /Prev 24798 0 R /Title (Scripting in Tcl) >> endobj 24800 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e12953) /S /GoTo >> /C [0 0 0] /Next 24801 0 R /Parent 24799 0 R /Title (Tcl Initialization Scripts) >> endobj 24801 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13085) /S /GoTo >> /C [0 0 0] /Next 24802 0 R /Parent 24799 0 R /Prev 24800 0 R /Title (Sourcing a Tcl Script) >> endobj 24802 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13135) /S /GoTo >> /C [0 0 0] /Parent 24799 0 R /Prev 24801 0 R /Title (Using Tcl.pre and Tcl.post Hook Scripts) >> endobj 24803 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13199) /S /GoTo >> /C [0 0 0] /Next 24804 0 R /Parent 24790 0 R /Prev 24799 0 R /Title (General Tcl Syntax Guidelines) >> endobj 24804 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13441) /S /GoTo >> /C [0 0 0] /Count -6 /First 24805 0 R /Last 24810 0 R /Next 24811 0 R /Parent 24790 0 R /Prev 24803 0 R /Title (First Class Tcl Objects and Relationships) >> endobj 24805 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13462) /S /GoTo >> /C [0 0 0] /Next 24806 0 R /Parent 24804 0 R /Title (Object Types and Definitions) >> endobj 24806 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13530) /S /GoTo >> /C [0 0 0] /Next 24807 0 R /Parent 24804 0 R /Prev 24805 0 R /Title (Querying Objects) >> endobj 24807 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13592) /S /GoTo >> /C [0 0 0] /Next 24808 0 R /Parent 24804 0 R /Prev 24806 0 R /Title (Object Properties) >> endobj 24808 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13892) /S /GoTo >> /C [0 0 0] /Next 24809 0 R /Parent 24804 0 R /Prev 24807 0 R /Title (Filtering Based on Properties) >> endobj 24809 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e13942) /S /GoTo >> /C [0 0 0] /Next 24810 0 R /Parent 24804 0 R /Prev 24808 0 R /Title (Handling Lists of Objects) >> endobj 24810 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14076) /S /GoTo >> /C [0 0 0] /Parent 24804 0 R /Prev 24809 0 R /Title (Object Relationships) >> endobj 24811 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14126) /S /GoTo >> /C [0 0 0] /Parent 24790 0 R /Prev 24804 0 R /Title (Errors, Warnings, Critical Warnings, and Info Messages) >> endobj 24812 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14159) /S /GoTo >> /C [0 0 0] /Count -2 /First 24813 0 R /Last 24814 0 R /Next 24815 0 R /Parent 24788 0 R /Prev 24790 0 R /Title (Ch. 2: Tcl Commands) >> endobj 24813 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14162) /S /GoTo >> /C [0 0 0] /Next 24814 0 R /Parent 24812 0 R /Title (Tcl Command Categories) >> endobj 24814 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14370) /S /GoTo >> /C [0 0 0] /Parent 24812 0 R /Prev 24813 0 R /Title (Tcl Commands Listed by Category) >> endobj 24815 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19905) /S /GoTo >> /C [0 0 0] /Count -728 /First 24816 0 R /Last 24855 0 R /Next 24856 0 R /Parent 24788 0 R /Prev 24812 0 R /Title (Ch. 3: Tcl Commands Listed Alphabetically) >> endobj 24816 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19926) /S /GoTo >> /C [0 0 0] /Next 24817 0 R /Parent 24815 0 R /Title (add_bp) >> endobj 24817 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20167) /S /GoTo >> /C [0 0 0] /Next 24818 0 R /Parent 24815 0 R /Prev 24816 0 R /Title (add_cells_to_pblock) >> endobj 24818 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20460) /S /GoTo >> /C [0 0 0] /Next 24819 0 R /Parent 24815 0 R /Prev 24817 0 R /Title (add_condition) >> endobj 24819 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20836) /S /GoTo >> /C [0 0 0] /Next 24820 0 R /Parent 24815 0 R /Prev 24818 0 R /Title (add_drc_checks) >> endobj 24820 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21284) /S /GoTo >> /C [0 0 0] /Next 24821 0 R /Parent 24815 0 R /Prev 24819 0 R /Title (add_files) >> endobj 24821 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21741) /S /GoTo >> /C [0 0 0] /Next 24822 0 R /Parent 24815 0 R /Prev 24820 0 R /Title (add_force) >> endobj 24822 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22234) /S /GoTo >> /C [0 0 0] /Next 24823 0 R /Parent 24815 0 R /Prev 24821 0 R /Title (add_hw_hbm_pc) >> endobj 24823 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22519) /S /GoTo >> /C [0 0 0] /Next 24824 0 R /Parent 24815 0 R /Prev 24822 0 R /Title (add_hw_probe_enum) >> endobj 24824 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22874) /S /GoTo >> /C [0 0 0] /Next 24825 0 R /Parent 24815 0 R /Prev 24823 0 R /Title (add_peripheral_interface) >> endobj 24825 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23144) /S /GoTo >> /C [0 0 0] /Next 24826 0 R /Parent 24815 0 R /Prev 24824 0 R /Title (add_to_power_rail) >> endobj 24826 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23285) /S /GoTo >> /C [0 0 0] /Next 24827 0 R /Parent 24815 0 R /Prev 24825 0 R /Title (add_wave) >> endobj 24827 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23772) /S /GoTo >> /C [0 0 0] /Next 24828 0 R /Parent 24815 0 R /Prev 24826 0 R /Title (add_wave_divider) >> endobj 24828 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24081) /S /GoTo >> /C [0 0 0] /Next 24829 0 R /Parent 24815 0 R /Prev 24827 0 R /Title (add_wave_group) >> endobj 24829 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24382) /S /GoTo >> /C [0 0 0] /Next 24830 0 R /Parent 24815 0 R /Prev 24828 0 R /Title (add_wave_marker) >> endobj 24830 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24663) /S /GoTo >> /C [0 0 0] /Next 24831 0 R /Parent 24815 0 R /Prev 24829 0 R /Title (add_wave_virtual_bus) >> endobj 24831 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25046) /S /GoTo >> /C [0 0 0] /Next 24832 0 R /Parent 24815 0 R /Prev 24830 0 R /Title (all_clocks) >> endobj 24832 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25273) /S /GoTo >> /C [0 0 0] /Next 24833 0 R /Parent 24815 0 R /Prev 24831 0 R /Title (all_cpus) >> endobj 24833 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25518) /S /GoTo >> /C [0 0 0] /Next 24834 0 R /Parent 24815 0 R /Prev 24832 0 R /Title (all_dsps) >> endobj 24834 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25760) /S /GoTo >> /C [0 0 0] /Next 24835 0 R /Parent 24815 0 R /Prev 24833 0 R /Title (all_fanin) >> endobj 24835 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26093) /S /GoTo >> /C [0 0 0] /Next 23301 0 R /Parent 24815 0 R /Prev 24834 0 R /Title (all_fanout) >> endobj 24836 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e236390) /S /GoTo >> /C [0 0 0] /Next 24837 0 R /Parent 24815 0 R /Prev 23988 0 R /Title (write_hw_sio_scan) >> endobj 24837 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e236673) /S /GoTo >> /C [0 0 0] /Next 24838 0 R /Parent 24815 0 R /Prev 24836 0 R /Title (write_hw_sio_sweep) >> endobj 24838 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e236954) /S /GoTo >> /C [0 0 0] /Next 24839 0 R /Parent 24815 0 R /Prev 24837 0 R /Title (write_hw_svf) >> endobj 24839 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e237266) /S /GoTo >> /C [0 0 0] /Next 24840 0 R /Parent 24815 0 R /Prev 24838 0 R /Title (write_hwdef) >> endobj 24840 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e237511) /S /GoTo >> /C [0 0 0] /Next 24841 0 R /Parent 24815 0 R /Prev 24839 0 R /Title (write_ibis) >> endobj 24841 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e237835) /S /GoTo >> /C [0 0 0] /Next 24842 0 R /Parent 24815 0 R /Prev 24840 0 R /Title (write_inferred_xdc) >> endobj 24842 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e238377) /S /GoTo >> /C [0 0 0] /Next 24843 0 R /Parent 24815 0 R /Prev 24841 0 R /Title (write_ip_tcl) >> endobj 24843 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e238592) /S /GoTo >> /C [0 0 0] /Next 24844 0 R /Parent 24815 0 R /Prev 24842 0 R /Title (write_iphys_opt_tcl) >> endobj 24844 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e238869) /S /GoTo >> /C [0 0 0] /Next 24845 0 R /Parent 24815 0 R /Prev 24843 0 R /Title (write_mem_info) >> endobj 24845 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e239147) /S /GoTo >> /C [0 0 0] /Next 24846 0 R /Parent 24815 0 R /Prev 24844 0 R /Title (write_peripheral) >> endobj 24846 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e239350) /S /GoTo >> /C [0 0 0] /Next 24847 0 R /Parent 24815 0 R /Prev 24845 0 R /Title (write_project_tcl) >> endobj 24847 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e239915) /S /GoTo >> /C [0 0 0] /Next 24848 0 R /Parent 24815 0 R /Prev 24846 0 R /Title (write_qor_suggestions) >> endobj 24848 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e240277) /S /GoTo >> /C [0 0 0] /Next 24849 0 R /Parent 24815 0 R /Prev 24847 0 R /Title (write_schematic) >> endobj 24849 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e240594) /S /GoTo >> /C [0 0 0] /Next 24850 0 R /Parent 24815 0 R /Prev 24848 0 R /Title (write_sdf) >> endobj 24850 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e240915) /S /GoTo >> /C [0 0 0] /Next 24851 0 R /Parent 24815 0 R /Prev 24849 0 R /Title (write_verilog) >> endobj 24851 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e241416) /S /GoTo >> /C [0 0 0] /Next 24852 0 R /Parent 24815 0 R /Prev 24850 0 R /Title (write_vhdl) >> endobj 24852 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e241833) /S /GoTo >> /C [0 0 0] /Next 24853 0 R /Parent 24815 0 R /Prev 24851 0 R /Title (write_waivers) >> endobj 24853 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e242148) /S /GoTo >> /C [0 0 0] /Next 24854 0 R /Parent 24815 0 R /Prev 24852 0 R /Title (write_xdc) >> endobj 24854 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e242602) /S /GoTo >> /C [0 0 0] /Next 24855 0 R /Parent 24815 0 R /Prev 24853 0 R /Title (write_xsim_coverage) >> endobj 24855 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e242741) /S /GoTo >> /C [0 0 0] /Parent 24815 0 R /Prev 24854 0 R /Title (xsim) >> endobj 24856 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e243491) /S /GoTo >> /C [0 0 0] /Count -4 /First 24857 0 R /Last 24860 0 R /Parent 24788 0 R /Prev 24815 0 R /Title (Appx. A: Additional Resources and Legal Notices) >> endobj 24857 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e243512) /S /GoTo >> /C [0 0 0] /Next 24858 0 R /Parent 24856 0 R /Title (Xilinx Resources) >> endobj 24858 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e243543) /S /GoTo >> /C [0 0 0] /Next 24859 0 R /Parent 24856 0 R /Prev 24857 0 R /Title (Documentation Navigator and Design Hubs) >> endobj 24859 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e243654) /S /GoTo >> /C [0 0 0] /Next 24860 0 R /Parent 24856 0 R /Prev 24858 0 R /Title (Tcl Resources) >> endobj 24860 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e243786) /S /GoTo >> /C [0 0 0] /Parent 24856 0 R /Prev 24859 0 R /Title (Please Read: Important Legal Notices) >> endobj 1 0 obj << /Annots 3 0 R /BleedBox [0 0 612 792] /Contents [47 0 R 42 0 R 20666 0 R 4 0 R 6 0 R 20666 0 R 43 0 R 20666 0 R 45 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21475 44 0 R /Iabc23886 46 0 R /Iabc311 7 0 R /Iabc42 5 0 R >> >> /Type /Page >> endobj 2 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 27.9999 558 37.9999] /Subtype /Link /Type /Annot >> endobj 3 0 obj [2 0 R 9 0 R 10 0 R 11 0 R 12 0 R 13 0 R 14 0 R 15 0 R 16 0 R 17 0 R 18 0 R 19 0 R 20 0 R 21 0 R 22 0 R 23 0 R 24 0 R 25 0 R 26 0 R 27 0 R 28 0 R 29 0 R 30 0 R 31 0 R 32 0 R 33 0 R 34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R 41 0 R 8 0 R] endobj 4 0 obj << /Length 16 >> stream q /Iabc42 Do Q endstream endobj 5 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc44 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 6 0 obj << /Length 17 >> stream q /Iabc311 Do Q endstream endobj 7 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc313 20690 0 R /Gabc335 20697 0 R >> /Font << /Fabc348 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=!W^Ls_RUƐ ?wG?{`EV2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OE٧j gVwlVbVM^RuTkI>U}IDURj)\Όl :Z;//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^.jj; Jt١Pϡ臨h#bwo* endstream endobj 8 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=2) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9 0 obj << /A << /D (unique_2) /S /GoTo >> /Border [0 0 0] /Contents (copy_constraints) /M (D:20210608081201-08'00') /Rect [93.5 572.5749 156.1758 580.5749] /Subtype /Link /Type /Annot >> endobj 10 0 obj << /A << /D (unique_3) /S /GoTo >> /Border [0 0 0] /Contents (create_single_pass_run) /M (D:20210608081201-08'00') /Rect [160.2539 572.5749 246.1914 580.5749] /Subtype /Link /Type /Annot >> endobj 11 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (get_constant_paths) /M (D:20210608081201-08'00') /Rect [250.2695 572.5749 322.9062 580.5749] /Subtype /Link /Type /Annot >> endobj 12 0 obj << /A << /D (unique_5) /S /GoTo >> /Border [0 0 0] /Contents (report_constant_paths) /M (D:20210608081201-08'00') /Rect [93.5 563.3749 177.5078 571.3749] /Subtype /Link /Type /Annot >> endobj 13 0 obj << /A << /D (unique_6) /S /GoTo >> /Border [0 0 0] /Contents (report_sim_version) /M (D:20210608081201-08'00') /Rect [181.5859 563.3749 252.7891 571.3749] /Subtype /Link /Type /Annot >> endobj 14 0 obj << /A << /D (unique_7) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_project) /M (D:20210608081201-08'00') /Rect [256.8672 563.3749 318.3828 571.3749] /Subtype /Link /Type /Annot >> endobj 15 0 obj << /A << /D (unique_8) /S /GoTo >> /Border [0 0 0] /Contents (write_xsim_coverage) /M (D:20210608081201-08'00') /Rect [93.5 554.1749 171.0625 562.1749] /Subtype /Link /Type /Annot >> endobj 16 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (combine_hw_platforms) /M (D:20210608081201-08'00') /Rect [93.5 538.975 180.4844 546.975] /Subtype /Link /Type /Annot >> endobj 17 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20210608081201-08'00') /Rect [184.5625 538.975 239.9922 546.975] /Subtype /Link /Type /Annot >> endobj 18 0 obj << /A << /D (unique_11) /S /GoTo >> /Border [0 0 0] /Contents (config_ip_cache) /M (D:20210608081201-08'00') /Rect [244.0703 538.975 302.6211 546.975] /Subtype /Link /Type /Annot >> endobj 19 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20210608081201-08'00') /Rect [93.5 529.775 199.6836 537.775] /Subtype /Link /Type /Annot >> endobj 20 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20210608081201-08'00') /Rect [203.7617 529.775 268.8711 537.775] /Subtype /Link /Type /Annot >> endobj 21 0 obj << /A << /D (unique_14) /S /GoTo >> /Border [0 0 0] /Contents (create_slack_histogram) /M (D:20210608081201-08'00') /Rect [272.9492 529.775 360.1719 537.775] /Subtype /Link /Type /Annot >> endobj 22 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [93.5 520.5749 144.9727 528.5749] /Subtype /Link /Type /Annot >> endobj 23 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (export_simulation) /M (D:20210608081201-08'00') /Rect [149.0508 520.5749 216.5625 528.5749] /Subtype /Link /Type /Annot >> endobj 24 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (find_routing_path) /M (D:20210608081201-08'00') /Rect [220.6406 520.5749 286.9727 528.5749] /Subtype /Link /Type /Annot >> endobj 25 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20210608081201-08'00') /Rect [291.0508 520.5749 321.9883 528.5749] /Subtype /Link /Type /Annot >> endobj 26 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20210608081201-08'00') /Rect [93.5 511.3749 175.6562 519.3749] /Subtype /Link /Type /Annot >> endobj 27 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (implement_xphy_cores) /M (D:20210608081201-08'00') /Rect [179.7344 511.3749 265.6016 519.3749] /Subtype /Link /Type /Annot >> endobj 28 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (iphys_opt_design) /M (D:20210608081201-08'00') /Rect [269.6797 511.3749 333.9414 519.3749] /Subtype /Link /Type /Annot >> endobj 29 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [338.0195 511.3749 386.3281 519.3749] /Subtype /Link /Type /Annot >> endobj 30 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [93.5 502.175 161.793 510.175] /Subtype /Link /Type /Annot >> endobj 31 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20210608081201-08'00') /Rect [165.8711 502.175 255.6914 510.175] /Subtype /Link /Type /Annot >> endobj 32 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20210608081201-08'00') /Rect [259.7695 502.175 345.0234 510.175] /Subtype /Link /Type /Annot >> endobj 33 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (report_exceptions) /M (D:20210608081201-08'00') /Rect [93.5 492.975 160.9062 500.975] /Subtype /Link /Type /Annot >> endobj 34 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [164.9844 492.975 242.293 500.975] /Subtype /Link /Type /Annot >> endobj 35 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20210608081201-08'00') /Rect [246.3711 492.975 333.8594 500.975] /Subtype /Link /Type /Annot >> endobj 36 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [93.5 483.775 182.3672 491.775] /Subtype /Link /Type /Annot >> endobj 37 0 obj << /A << /D (unique_30) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design_as) /M (D:20210608081201-08'00') /Rect [186.4453 483.775 256.5664 491.775] /Subtype /Link /Type /Annot >> endobj 38 0 obj << /A << /D (unique_31) /S /GoTo >> /Border [0 0 0] /Contents (validate_bd_design) /M (D:20210608081201-08'00') /Rect [260.6445 483.775 331.7461 491.775] /Subtype /Link /Type /Annot >> endobj 39 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20210608081201-08'00') /Rect [93.5 474.575 177.7305 482.575] /Subtype /Link /Type /Annot >> endobj 40 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20210608081201-08'00') /Rect [181.8086 474.575 217.0664 482.575] /Subtype /Link /Type /Annot >> endobj 41 0 obj << /A << /D (unique_34) /S /GoTo >> /Border [0 0 0] /Contents (xsim) /M (D:20210608081201-08'00') /Rect [221.1445 474.575 238.75 482.575] /Subtype /Link /Type /Annot >> endobj 42 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 43 0 obj << /Length 19 >> stream q /Iabc21475 Do Q endstream endobj 44 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21476 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 45 0 obj << /Length 19 >> stream q /Iabc23886 Do Q endstream endobj 46 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23887 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 47 0 obj << /Filter /FlateDecode /Length 3341 >> stream xڵˎy+zK c:&&; ~HQvUf.&E.]߂_ih8#Z&j_:!Ik4\ܮqq2_ڨ}8"_F9tY|TpTEҮfXMEd O Ld|>WIЂ _p4mR$°VAOo8*Xف/r&np}%8PcAX4 pmqh3=X":1nv&Z(WOCGTwWmws05c&~_8e!~t^E<ĘA cIي=iCe e]ʈț?Fp)_U@]PTH\ĸtt~0WrŒ3'acSx,zf쌽9[;g;1CU2\P$d!1=VRw6?i+p`v4LlU6a͠b"O~ Z1] xUbv3~ĜN㘑KۅfF]5=/lZPKT}}ԁXi{V 4j ^\E#TJę>l|jW]>UnHs2sD8D{x_dH`MUybYmd dQ\@uNWx2[QQA* c5^6w Ƙ5pW9W_эۃƋg&#q?8]mLl59 >k~O^UOsVOꤒ,_^Yά,>sTk #I6B8f!(nIRfneH&gЫ2vb7K}aZ911i>Ϛm4gQ3gaCify[bPFe^|ym^+lv ݪ1↯]s]5m$Fdyu?ܐJL}q3 ۑyF4| q5# ]z)Elڵ0t ;^wIT +ag?3+c߼ћ9nzQBn"LV#%y"% *jiciQ3蕍|˷1*Cy`z\+ܰF27-Q¿T]2B;2m"Yot%#lξ3lowq>vYkcx<8 YCEܝ'܊S5zd'{S9)o' W@ :[Z|nC#HRNv0buTs9/"|}^+wn+^l.Dܻq+bV9ݧj[W1[+8Q$ב9~:hnʳrk?d}4Ja)4vP_B{La4\-6R;o=gkζ '`mZ7מ1 c5=NZ3r>^EkMzUlΩX+A`ĘlDpNq̝w+67 #|8O<40 $ƅ2,D'g5p(̵ I`Jkv8UJaNH3@f]BG!D1%L +yReS,mZlᾈ`R1q%Zb1&b$bզ'SǝO0q`Q1 && XaL`˥LN0F%`g^df_mK‰G;3mĥi?eK8N4L<:c#pЏ`/NYuUBya\ع! -Ooaӹw79H)qbϚRI[>?5߂*0mhNEQЁ;pD1\,Í{[8\m0H͹ˍrsxక\u󛑞Ҏ`Lp7_ˬ .WZf4jّ 蓧]0H ]fN:eHw*Wɣ!`Dw[eSNIcL:nP!.Q̒\H~HP4Kߔ]t(:EZGb$<( vY!V98kN*m-0$B4ie` eGCB%l:fܘJZ"m‘9?7]X@C*uXD|XCRĩqSx>CXVDT _-`y xEN2к' QyzwbF| =1,ĝ'8|G@ ]m˯.TWX bFH'A]-dHKT(]v] a#9,:mh\EGEbbpER/FAZTjʹ_dѝ| }V+ڠD-ӗKB}ڙ&F2CyDk`\W7fdp&uͺ/Khr;%ЪYcr>Z\FK5خVb]IMI94t2@ -0GALN=Z.O[ͣ'o ϏF㤿҃tSԹuzw7n+~<^x-5(_{Zi;$9#ke endstream endobj 48 0 obj << /Annots [49 0 R 50 0 R 51 0 R 56 0 R] /BleedBox [0 0 612 792] /Contents [62 0 R 57 0 R 20666 0 R 52 0 R 54 0 R 20666 0 R 58 0 R 20666 0 R 60 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21479 59 0 R /Iabc23890 61 0 R /Iabc514 55 0 R /Iabc75 53 0 R >> >> /Type /Page >> endobj 49 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation-navigation/design-hubs.html) >> /Border [0 0 0] /Contents (Design Hubs) /M (D:20210608081201-08'00') /Rect [502.9125 510.45 536.27 521.45] /Subtype /Link /Type /Annot >> endobj 50 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation-navigation/design-hubs.html) >> /Border [0 0 0] /Contents (Hubs) /M (D:20210608081201-08'00') /Rect [90 496.15 115.355 507.15] /Subtype /Link /Type /Annot >> endobj 51 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 52 0 obj << /Length 16 >> stream q /Iabc75 Do Q endstream endobj 53 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc77 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 54 0 obj << /Length 17 >> stream q /Iabc514 Do Q endstream endobj 55 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc524 20690 0 R /Gabc532 20697 0 R >> /Font << /Fabc544 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=!W^Ls_RUƐ ?wG?{`EV2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OE٧j gVwlVbVM^RuTkI>U}IDURj)\Όl :ޘG6x|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dvQUǯh^@wP".*x P?E ۾{w' endstream endobj 56 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=3) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 57 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 58 0 obj << /Length 19 >> stream q /Iabc21479 Do Q endstream endobj 59 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21480 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 60 0 obj << /Length 19 >> stream q /Iabc23890 Do Q endstream endobj 61 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23891 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 62 0 obj << /Filter /FlateDecode /Length 4077 >> stream xn$ίsiM6oh^*ib]d/H?!RvIWts}U~MvQi .|;K _NJ menz SktyXNF“S)'מt}Avl./?vъ0A*q~ZSQ3&P>.qg"9#3T:mbByY^vV8?<`ڱPϘ6׍7d(sX"Dj4I|.IvS;-O˿@^SoL_W_]d0R~#qxgykVK_% AZ=Y*oژW8m.#|l^~$ + ՙsii[0 fނ y_gbHL!al}v5% E4ybX>xPVAXY(ib#\vIs`b*OH' g( yE]}ܝWLMb )+Z»a -/AGGMՋL^ۂCt;*+"N0hᴨY}Ù5`+DbփXBB1 "DHHWoDØaU\h 6Z$k=~$w-4yndN쥸'dUd~^i4j.PI|T`69;Gp|c}d۫m *dl`*rhxĨ{`u 6ӗ)"ziIoţ2ԮP;:j1yN]\2豍aC72*Je:=Na Y1tKNxBef [^ -;ZXddlGVw♃bUk ߷b&msWb9~_7ʈH*?<ZPVRSn]5vZIJl6Z g\Oxj\j[1k"}QN@XCss7])|-HGD4"q[g 5am ; |mY:3 ʙ@?mh'T} gؾ~`:P'۶ھeo}dc0ɂA" ,g y⍔SC1jer+s9ծ6}>]m21)-`9#R?*oW1^~[PMdʸuZ+l:fkr  ~^I1{=U [< [TzktX&%J|_Idm ]Kk9kѮK JXv8d !sT4#>5Tl?nj9uc|9w}_r!k]FVM+AG=W;ebyH9WXnעxؙ;Wo;F"ݖ e }+`pta:'tiD ޙڭ 9rQHuH lʪ9F5;U>DM6lBd67jىEcty dQKQeS JZUS {zs`ql{l9UگJ^ }:UnGb5D'xdGdB$_z炭_CZCb{qzjbBXL@''zqC 0+{IϡaaML~Rscΰ6t nC^/fW\h\KOQ;|Oߖ}q^ѻZtmasj5/w雬ӵw%QޏUvBԁ'zMdd{ GκrWJ H =,{w=%&V Csnq/Œ1~yJ+Э>%Xِ;wG(S^ga WA~@"{/* ?nHS+jVCHk [~ gu͝Yy s챹pN(PoӴ z c;枺;iJ;߈ O9Xwf,ekokshsc5*j~8MR<,}~s/TrCpAߩ"//t49i`NBʈ_\W u볥!alG }Kб׍%}?o]0XA>VMwޖߗ/1}3 Zh vmWa0s|0_ydMk:r?bӺUkf$8%\ װPMCZ]}m0#y.M $Nrl8 k֨3L#4I7"bA 'ax 2[a! "ήzG0 . ܑ5=cƖ#/MRwZGѳe~^wO|V:I+Y X"r@Ţ-yEqQxMC3_é2?U:TL 8y-<"j4ϖgAb{h85q*bxq-6cq*hdS ҂+J+*]*+SvM+[H$?a. eK[ )Y'Jo} ٽba`Ykt頍ueBoX_HM,׼V#9I"菆vn{ʳ.87^n~n'&"KjX"cH_$}@,-Mҍ7E~Hd Kw"i1c%`9J8g"a^.Rɧ " ~>_($f`o\|?W ӗ6j/:{l6@MVKQmď کC=v =i.@?b"N{TEں}FdVzbI@t\'r endstream endobj 63 0 obj << /Annots [64 0 R 65 0 R 66 0 R 69 0 R] /BleedBox [0 0 612 792] /Contents [71 0 R 70 0 R 67 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc700 68 0 R >> >> /Type /Page >> endobj 64 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug892-vivado-design-flows-overview.pdf) >> /Border [0 0 0] /Contents (UG892) /M (D:20210608081201-08'00') /Rect [201.441 462.7501 236.707 473.7501] /Subtype /Link /Type /Annot >> endobj 65 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug894-vivado-tcl-scripting.pdf) >> /Border [0 0 0] /Contents (UG894) /M (D:20210608081201-08'00') /Rect [251.931 156.3501 287.197 167.3501] /Subtype /Link /Type /Annot >> endobj 66 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 67 0 obj << /Length 17 >> stream q /Iabc700 Do Q endstream endobj 68 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc711 20690 0 R /Gabc721 20697 0 R >> /Font << /Fabc736 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴hy㮞~?va,6> }0SxAo}Wi4SokWAӡm~l1DҤYUp J϶*/?d D<<9C9j9 9 ?d#9/\0s2*WLj$cx2W.s(R.Q8!h|=B/}l* endstream endobj 69 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=4) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 70 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 71 0 obj << /Filter /FlateDecode /Length 4734 >> stream x2HjNoY. oUkk_Tc,Dϳ1.< .:mjBO@_ Lz O?ÊIVLe9\_a loM_,MI}DŽKVH: x3Po:ckϸt/21XPqm?89~"drGFxaa?SDXx%m4p_)UB'-[)uDj\|bCVX1<D|4Z_nm4(M~XfƯ0BIw̬g޽-oc>$'툠41ȥm8OkgQ,#98mѕdHڀ jUz6Axgl`$CVnDB[ۭy+VNxRC7 k],auy N(|MKN&HՉd~N;FI 6JCL}R"2QxD(IIM%?X}JhVⲍ{IРPҎE0-Y ?V9o"Ig/ޮtB^p8)(4j9CH0>ljݷc7t~R<&`*MZ>% <[c,oMoPcܳҿi@e?΃?!quBE ZƴiP_ "%/^'Sh5jմu pg}Vfq&'?O|3 Zseܰeb*y'KvIݲKvvike#t|;\6T(} zXln`}R{DTcJKl, {|l/pMF0oqoVLbWeE0%khƝ@pH<` S@N;.ώClv3Ioڧ& D!&0C,<4Th:f괄rAi4j j+*}"`:VmLoۇS_^`/cx^0B4ps_Vڊsw$HFor oh1y0i'ufEXFz2$/C K@>>wV ❸$!5{bRWZ|,G8DT)qU>܈!sd79&k͔%N(AR(t1d" KA[ݗ)rȚ2bH͗ M%G78\ v}1Y-`̽mD Ҩ>bV :[B܂e#Hݹ܇m[vʋJD;SVRd:tّSH Mj&jVLØnyΘ /G?>RpnG!;Knnh0?~7SM& wܼgܞ͔W9%8ˀu~ W[ɦ%rΊ7"ySmv7,k3:>Bng uNC:<>R#_Des4.B~[n n$nq'%bRqp2=le{rceHH&$Xb" s]+̌޴lsc[w}K #<H$[^cMֿV2*0~ TX\F~V@/<˕qo^g­ +E{֋s3jEZfNm=H.@\ .X$ XAG QU2',>FLi:.TqZ,!qԍ-aR&ӣD0z'삁xaIZ,lZXo C8.v{uBĺTp6M|hhR3gB'<`ffѠ|zT )N*N]"p[بN-`7 ;lA:"fPHb ]2IJ!ߵ@ 斊4.O6CpB D G4!H04{߭[Cq@Ppku1 xt]6u&3 RYdpܣHj2Pa%6oZt@*ĝf媵?d _tPM6E z-{X)xgGK 2[hoEE9Q*OSi?bV- Oi, 2TK9D ok*ش[ԩZ:© tĺg|w9=%°HJbp[,Hн'痒FDo:&bZɷkYRh0AMi^.h+YLEy`a\ n2pՃ(BqZ`=T >ДMQ8FSHE$f ]UsKL_#f˾y57E`CHnY}(nI۹}mɼpDҝ%k}| Y7gr k`;27* xSQR.dI Y6 @W %uRؔovV넱fϟrv5,k~f uul#l0iۊcjE"֟"Fy\j)ćp (;@?vm KU20KuM mq$ nbtCvPhȢuAS;*(Z+<, =CMlIKl+"'~T_D}]y<+ iPBGYE6InwpfʵaBDXШUcw#(GYbe0] fRpCS6tɒ{t5`jCf[Â0XQ jė{ߤ[&Ln?hTj`mQ"j~ yE^H?fMm&&;j14d)]MA? LΖq53و > \RQЖgH 07Sooӣ&a~Z׻Đ>=-u~Qo O |7] Y !0P\ti(s_NL/f{1*0tnpL#py$+ 44/Ȼ"`9s^4M ^'Tg3SkM_n Eݭ.-ިfbL7bb&X*i?~hX䇴|rK۹5, Ym%F9f,,Gӹu7RN:PN8𕛪/6V\C4 Ko9b\Tc, '6!۝L 9Nq]OGsAI'6gG6EJY(+m ϋ?Lb*<#__["?k4ǡ[^#֖cG"\~h%DԶr[^*}nIrPbj^ R Y/ hs+Ei`oLR,,UF7$>?\ ) ,7"#ϬcӀ:o1AÙ8NzKFF@ obYj\Tz%3f',@u endstream endobj 72 0 obj << /Annots [73 0 R 74 0 R 75 0 R 78 0 R] /BleedBox [0 0 612 792] /Contents [80 0 R 79 0 R 76 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc893 77 0 R >> >> /Type /Page >> endobj 73 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug892-vivado-design-flows-overview.pdf) >> /Border [0 0 0] /Contents (UG892) /M (D:20210608081201-08'00') /Rect [201.441 275.8539 236.707 286.8539] /Subtype /Link /Type /Annot >> endobj 74 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug893-vivado-ide.pdf) >> /Border [0 0 0] /Contents (UG893) /M (D:20210608081201-08'00') /Rect [92.937 222.954 128.203 233.954] /Subtype /Link /Type /Annot >> endobj 75 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 76 0 obj << /Length 17 >> stream q /Iabc893 Do Q endstream endobj 77 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc900 20690 0 R /Gabc910 20697 0 R >> /Font << /Fabc921 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=!W^Ls_RUƐ ?wG?{`EV2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OE٧j gVwlVbVM^RuTkI>U}IDURj)\Όl :\//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^.jj; Jt١Pϡ臨h#bwo& endstream endobj 78 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=5) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 79 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 80 0 obj << /Filter /FlateDecode /Length 5389 >> stream x<ɮ$7r<Pi PhQ> fc㚙Uuۆժ" 3W*sm-}znMonqkjæTXubv}[zU/(B*rx.}Y+}h~Uue'@ڮZ#) a4׿kWxU*]g{6GGn'&XKk}62Pe|\t IJU<Ǜ+#Fx, X4N B,e!SR4@|YMmu^y%Bڕ 3aD mG`tpVt[=}kI; ʻZ8 OcbHBBzxF顮[T7e "esg!i5|Y qrXʡ\T2~a012 Y;~v^Wp}5o`X3+2 JN,m3kvJ*.*mU<g@E4Pz<6cLhF~.tyk:5q>^^i-@@xv#3j<4=_RzSXNQメTdxYPTS0%@N8/pQ!Jz 3[J)Er~JfU UC3S .&k ܨ4gWZ{zYkFxƫ =v:3\ ]ӊ.T(,$>UPARs!jYL,x#AF՞YN*AmU/Keg&p5ɕ:m | <ЉHs3E&]yQǴb/A֣3"IIP؜ dɄ@ȚDIJb|9egGL&np0G 1*(ct)~zqNBB;;֚M[{SR랡9艑{-sw{3{Bjh ġ^?]<ݡxA_!?}NBv~lgQe,2D(z I35v3 |J,[Ǯ k|';zs {t7-ӑƤ_^߱C9!H;ڀ ٻP{!ԉsH Cg_?A'm>㴇Vc¦cHk?)g tG$uaqhc– i!۷QdG{{7w|7_[x?*8z A ïEmeP$j6'q7RVF0`.¦jY[]`5g8`8[/.oI02F`sZ,+_ןvݼ":i86[ع/^/|Uxav C@],=`l\,坌G.D'mk]#Ua%kAҦK9Kw?0< LxqNAϫ͔"H@h5 ] E(:5` 1YVgDOVO]YTpGomF}Α@/$^2c,ԛ/%ӽϨ:穏Ã=E Ytxf烢/_"sUI#s:X:#YtX,x @zoW뎁N*wXԌcMѤOoYGkP:CJ[KkI2p )5lYy`=jV[ p[H3]sj@)*aJ[];{>,Y?v5QB pr 1B >) iLTb#Y }FoqaN@E8V'nD]MwwgL1Lg1wIK\T=pmB<ӎ*R;?f9/@7zOYfz}s\aD9,~Ev]JB1YP1+1KjtR ǖ#3ݽXp3|MM/ڇq\h0uldp`.rb9K]3;iTzFz#gꐛtxZ<_\/ڽ>+ڋʩ/k 8E:tEnye7@8&,D }Lvכwѩ&b$wvS .Z [>Ffg ; `9>~9%_&pgUS)#-A՝Mrޜݙ[]p,ds4jI8Jt^w9՝3D:i.$ Swx >$!~j@^oKFv~[M9|@JXJk((ӖA-O۫jo$k;|CMD<jEP5 S u&/S% Rnv<WM{T7l6ـwrtP%UaAUrT[3: 3Qr?AyAYꠖfАMߩ]-dOP>TBuR'͊S|]nB_Ij1[Tn)xi3G "&< QjhW*}a74k'a.f(;FA.J0MbNqJ-K)^EV97'LEJ}]N"5n=F!OYS@`Zft3KAkϩ΃BӪA[h) JLs@E<ŽJI;Lz|ѾfNXߢ{^HRGo|FL3P/t0;ǥKiƜߥtAm0p{qaXk_vh-}7[N˩VV݄`= SՎߏ[ZXG w9tL*"AٌD:@3bB2G&@1s^d!9c(5W9 qOɴ2<L iiTva9~n ~[NЗ62Mh _!5ՠZ{Wo: HF /XB hWФf2GihsY#dy tBy7uz,s}*Ddh}t~❄Aٺ֌!. 8T@G[ZWEzbNm/xTO,01 3{Q! Zl,pJå:(0FH0۞ܞk '} k E׺ȩT`K4a<ʚ^fhk.=TR#W3T3 H7V9!8x'Q*%_<8:Eɩrtf)/+;ʖ,.:"`DY6# qO,~'R2H % .솉r % :T|Т$FdJ)j$ʼna|],puhC.p]io]gRx )ؤ־g!.xpާEX@z7ǠĝfeW/O\hs:nx o48wA6+ ꃸ('~!-cQuS%~HuQ]ni'X&zP vӲU%p p#c|+S*ߺʽ4;nr77ykDjy_I6VR`\޷*uu!3=JfU-P.u~\͌A@>vAy'=-}>r hi3 rIQ=D9_JRKKRe =U-JEu gaCA| %c(6kYgxE_D{[i &_[tH &6]S`CXנּwpiK/B.2\429w^Wnڈ."P&XGA(Sa3pP3C;~" "oigc&{CkvezO `! O;N d`-]r qMKS(P>7q)}Zf^"X`X*oaX'H!?7|bcZ/z|k{|}O~OoC;6<K{dX aIu~8YOSC _F2W!>zFdDFҠ^Uj< v*k:)zN7xbBP@7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1091 84 0 R >> >> /Type /Page >> endobj 82 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 83 0 obj << /Length 18 >> stream q /Iabc1091 Do Q endstream endobj 84 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1107 20690 0 R /Gabc1114 20697 0 R >> /Font << /Fabc1124 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'$>.PkP=(ES~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 86 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 87 0 obj << /Filter /FlateDecode /Length 3488 >> stream x[KcWh=;z?:i"dLՁM~Co_]5$eKWH:RK߾ڧw2iR_Jן6lJAڼwRZdpQJRƝ=<3<<~xn6rLJI#8IsIj^>$/>/ $2W?c"N~ď? ќd]nIU~I|Je5~oqAw E,To*@8G pw<(U:$j7y6 EiA$sМueߢqɯkZ=H'">d?} HHqП**=cR: "2EvpQٳ f> }zEBH/ó|άPDM[N~ͳa_`/{r(>)u2B4"uD7BjZ5.i*O͠2{3bKYRfp0#}Hj0t#}'gyusEjC!jC5:DU UV iG<}l)LVCu2pO fH`+`-R3+y txQe /+>L^?@X9mW2J+ p*yW4H`cL1X|e`[w%Z YA =/x;e7|R~|uu%AlR T6@P\h^02vdbISoSS}K7*n*=3=}8:xk֚jP^&eaVgc0;B! 5p›D K\/I4v>P5@%p>6B<% u-Pc+YT=(&m]ەkUjٜP5{Uta95WvD9Hʜ_da/Q[CeVJ>x#p&'{ TGW+Ҽ(ZEd)T3}bb5Uk)88oZ<.$Y)Iuk(A&;ۇ0} أ3壪+0莞36}XrXBTXD0م(84֓p> bEze! Z jC9 \unV\ЮIQ 9QA@CsfL\wxbr!R5! G;Y5& q3p R' ƴ߂!Q_\|-ͷc]2Y@N]S,=YFBo-*bГJwzg EʓOD83Bd\+ x~n5HÆ@wN9 W!ZhU3/X_QMU}(ߎI'Ox *B<2pigHBؼyg\5>{ǘ>֑ExJCŻ* 6%O-678U%㢹byʿ135'i=V(^^n:qp["8iJ -{̩mɅv3anzR{T-zN-UZo+ӶK;-&'p4PȊ-8f6^VZF?i9 䔓!WINV-:ᦴsy[.{OjjŬ`f'*;M A"ƤτrO؜5~6U~7@GnjqEc"g}h ^ pIxOB@?WZcs k0\ jp7M{+]8TZ9O4+zzW{?I#ftrAK?*PDڬq6A { ` 7R挲,&r#7}L6.uwM$ZǙ1Ob2X 4Y 2͈_6~>=|3Diq zPy`\И)sx)z\ t'Q]`|*rX+R\ ~8UF06 g~O‹'ǼE!vTZYDrJv"f{lu/Jn4AWOadapZh+ZW &{ F縅$cY^ßGClefݻ3tO(|׷T}]B`RӲ/K7ɚԿCMk"PiXXcGbmaC0\-XjO-LJk8Ge6[[ ΋UVN\Fi1ۍ@4G?< o6qэKD3z+ޤ+`"޸\9<%%RC WMRe̼47!5_$@~ Aj݀`RlMu;7OYiu~} e77> >|Gx>'xv3C3'0+  >8gf{-Gψ>Pc(BzoZw׀o^.WU|e><֋Kk^2cqX endstream endobj 88 0 obj << /Annots [89 0 R 92 0 R] /BleedBox [0 0 612 792] /Contents [94 0 R 93 0 R 90 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1286 91 0 R >> >> /Type /Page >> endobj 89 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 90 0 obj << /Length 18 >> stream q /Iabc1286 Do Q endstream endobj 91 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1301 20690 0 R /Gabc1303 20697 0 R >> /Font << /Fabc1318 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶Gj5ŐL$E d_ )2̼d9 M \09 `XkO:dxQUh^@wP".*x P?E ۾{wj endstream endobj 92 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=7) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 93 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 94 0 obj << /Filter /FlateDecode /Length 4389 >> stream x[I%qWKq_j2xA TnԫV[xI& XX,.H./ߖglK.xv1ԠWbtX~[t%V5:vwKBG j<}1))20(G Ou"i70{`cd er?\ײ\xbC]%JEӝu[Ne6nALu\.֬n+F|[ 7QG_̴~;uiW2Vg= neKXY3 3fO+NymutK0.ا  ] hB"-wq ixwm+Z%2D!2@w+sYr)_*ß:ĶWWr,Ja!;c39=`Iryk_[Aًwm;v9[8#R뱘+F,%lfa!6H2;oZg`V[[=X`6y Iq[lOņM)U1)7OSCC-p2A !|/)Ep"GܧS7etvHXhs$$;$ ']OJzO;,æxb\cYx,C)IWul.8zBYQ@% {," $~D*8.IvuB.L?y]P,,ԈB YVگ=0OyY`dlВhRpȻHAGSY#/PFS(SX=y 74-G NpKu Ļu.Ҵ3b:ΒH=$|'WG]q6Ͱ7-:Vܠl0pd]F`e([B5p%^Q$ʎPJo)[JE[xl)!CJm@{}V:.,jǒY4!}״cyw]Rw_у4p1pn:p#҈~uJ_QZFME8Ⱥ;wjíwKoHf)R'\ B_LT3tE5S49X#_cR0%[DsB X5Ser0U,OdCl6Jο0%z/.9@?(p$KB!S Cž=;ǓcTΊ]YF; R"}L M)iآ-捒DnMLM+`ۚs˹l[^/ V_[eJ7#Vw =鿉Iϸn<p&Yv}:S$֤Z+1|I"2&VSVe8I:f;4٫h(HDfJ@Vn`ΒcPp,i _qeM]5#b 6W\j.퐏BEg4 <=/`:*jSFvg(IS0q2~geZwVwɜITsSzi,uS)e_ht|1"]饦^c^'uMB_}&||axIj+0i>$ :N3˾k>;ю&#Ax F|1e"w\WL1igŽp5Yteg[^G|ʦ;ʴ|i1j䎂yq|DwO]^E[I5GVfo" )eȐKӠ{vԻZ퇵)v-0`M K;$gLv4#B:AU?SyT]446aЗ;ѹ&yI(ØP.[J~O/M$lNn|z0E]B|p[VX \P'fcAQIfgWis5V7^WB 8~mrb2~ӊ3o_0980dEaH',A-T[6K3FHnN(->%N?-?tr鉑fo\=ސ Pv܃d=q+y0GB609k *41[ͩa17WIcݶ%3]: +|ƈelQ\eKu vKFhi>x3vzOI+Lhz_)&`F#u뮤>A)pݩV :BCn[#ˇ1oW{@^F wu-Lݛ,M89L< RVΏs$i:ަۢ\| al[( i8dJvyrE4mD{PrE/FP{SEd эQN܂n]Wؚ=-uHT,w;\R? |;§>+|ӵjM_PY4#] E/|v3ypE^.ԙn= S>BcG&m}])ʭ|}W ,/zuIĩ!KJR?e ZWR_37.kmF7xaJ/:)t5ި~xXGmT]naiY@Vi6uc:{4qjj z/ekVx>-FSЄf$'Knت&cM~v=wC ӷxhF'E#G?\FZ=^3y[R9W2]g?fqt+.za%*{ѷO\0t-`O>;mm{ɎM&1JPGm< ߂~y_Oۦ-@Kߟ AqbzE{84VpFdϕ\ȧ! wWpB~zÜ.p'5vfo4b> }vKX)i1XM,τ$ ! endstream endobj 95 0 obj << /Annots [96 0 R 99 0 R] /BleedBox [0 0 612 792] /Contents [101 0 R 100 0 R 97 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1472 98 0 R >> >> /Type /Page >> endobj 96 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 97 0 obj << /Length 18 >> stream q /Iabc1472 Do Q endstream endobj 98 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1482 20690 0 R /Gabc1493 20697 0 R >> /Font << /Fabc1503 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7Ce٦c8nc.@f!Ui su4_P%er1"" Ƙ3Mw0)'JQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d߯}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>?dQHLJ\[-48יq|mauSG5~d"ɟZ`O6Oaeΐ"ky/ KqܟBٿ`Ni)QXI*d~yQUh^@wP".*x Pߟڢ_Ћm߽? endstream endobj 99 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=8) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 100 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 101 0 obj << /Filter /FlateDecode /Length 4893 >> stream xdsǝB}Xn Alx7kcOvcdY]RM{M kxo0|Ibwlxi/@5oHkACn<\V pܙ[xC[LIH"Dǩ¹)j:F=oA6T? Q<[ЕS/OIhA`rDcfQ2YXjw 3Gc'mGC};@P&fX_j0e@XMtt>6G ߥP1R{d7 [\U_6Stq[ث\yJaĚۜstpbm@]݄jD޴E&PnW3(qך5aGG޵ ^d:^wtWJB&e0}VX_Яus~Lq"d@:x\ZJ mL5|U\IF0{^2RW0WpL*Sp#Wc49 `.Ngᴷz 88S߾_y+Fq3X﷿-W1||?FM$-Of)'XpZȀo)5:f'ؠ`V) nʸk@^  }yga9( kE69KK!`eLohR,l "0 D<b!H'Tm=x)7ib ?/? y xWq/Ȑ.8lLm ~_&Xt {R !©["J\ȊE< |P ;IS˕adؠE¥X[uD&TJt B9p:abb׮x|NU % NyP=" F"N{, ),U`J I9$/Nxp7M"+'"qB2`IOxNf^IPeҙ͋:I7twod&3@wTdvkU"lL",+/MYJd;A=2gИz&Ք'qÞ*E1S7`tD}25qvwa4 wnfyڞy2zhf{yJee |lZ;"=cMlM<{]t4aK^G2ccf̀C;";W-;b0z {s>M$6*D &C^,S # /L=2QM0fy@3ݾHuwGՐ#>/A㧔o =?#-ƺbs;4uMvl2RR"vftB>DЙc7_$*c B``NI&fd%A퓗 >t6g 5 F/l#Mjz(y,UI4ؘ9Hn=jQi(/Kbbad׸1IIbV52fKB׌vZ'qî+^So$I :9 yz;`" ɉ.d|B ChO>K VR{}1}~߇r0.vOiW 2Cn_;"9XeZgc:DXέڱ{P V4wts1Y&o`wX66Fcchx > ź'N#Ṁ=lZ@4Zvc={Ze`t̮̍+ȾN9%Q $fSHHښmW|^ ˰w Bwy^ƸtL\CEEAlas#?HXrP/~~|00]Oq&/g"Q*lyn'LϙݻO`nfB[ygosӪаa IIF)ՋpBv2],HYȪ^ "56r1W=Y#[ݎ j|EGqIF{[a, b'YdJ )#8,nru؆?Wa8=71iH;r;vSWˡ;{t8:אvH rr`։$Xn*_Vʂk,AhB;1۳kU/ 楺by z̙☢$֠n]=սou6x+*hTUm [G< `.]2XޗGMi@O@a u 1ZF_@0< 4&9ԩ\qGXJMD}`l[P Xb'z1DuTLeb419[گx\7oņ2,h*,]}bv''MՊ&m{fH%"2a}6n%N +] <6=:COw]-[>`>UToV#ViBvT?!MgMCL T{j0tjpu@WXH[Wp\êiϊF.q%m ^*IoSE#L 7j*jfx#J7:)Xhii?1b?4,OmT]ΰ4/dldn6}c:{4QJfٗe+<d˥T}n64AsLb{?9:Ve@Rщc;X}V40 Fx`D?+9e(j6ks^:'V8&b猉Hv[  몽n[ "mFĤ$z#cp?UwFj2[+Idv!ǒ`y/`l?\Ӱ}ZG5nBmO00 7fh"`x"pm2_* ;"#Oy)0Bz2pN< v:7tRf_+L)FtQVKXl! G9'Q> endstream endobj 102 0 obj << /Annots [103 0 R 104 0 R 107 0 R] /BleedBox [0 0 612 792] /Contents [109 0 R 108 0 R 105 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1661 106 0 R >> >> /Type /Page >> endobj 103 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug894-vivado-tcl-scripting.pdf) >> /Border [0 0 0] /Contents (UG894) /M (D:20210608081201-08'00') /Rect [178.6325 338.2847 213.8985 349.2847] /Subtype /Link /Type /Annot >> endobj 104 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 105 0 obj << /Length 18 >> stream q /Iabc1661 Do Q endstream endobj 106 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1676 20690 0 R /Gabc1684 20697 0 R >> /Font << /Fabc1699 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {v\"|>So>:H/ JcBr4G7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{Rva}3AwĘ (R%4 <~UW|OBD>.PkP=(%ypf%)Rgm-־0Zdӡ'<ʡ|~72ْԑJ_%+VK2 ef[X]jr/d"Xm뜡5ڟAKiܝBj2gԜΘ9cD9?^zv+Q) )evgP(y _E W۾{w6q endstream endobj 107 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=9) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 108 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 109 0 obj << /Filter /FlateDecode /Length 4646 >> stream xڵ<ˎ$8HY(r ;^ x_$JYY9R((K$kfR{3{{68]ݤUq7Q <.?Ws=?m[s?>+um_?7u@Gː=Rf4g=G]<@Pyƃ$q'8.q]4sX׿AY`4a >@0APs8OK/7D"<,'g_H@9,71nk7{ Oi8Au_QMvxʇ98>3'h::N:~cc:FU p)%,K8fA]"5Hx &apN~NfU#$-DAC4J^eTIiaU d\c.*r.٨21 P7rm 醡֣ٛvcq6&9%Υ`fTzڑ_5^U3ɱR}kEʊhg*d333+AE hm#JD ( YauvRb5IR*?9`]Qq|tjQ3 Ҍ02}({ygsݏ% Of$iHX]DâE90i2tü &k?K9k5]2Y(aZ ' .rڄl%mLʗOIV݄T,tv[8VV+c"Gk5Y$\KT|Yc Ti}F2@jN:,̳YrCнawW6uDaǢß >igYw|ǀ\(dڈa!GTU&kf𥹌+όDI&r\c~⵷xj(w9pK l&&3/mH\f왢FY+:Ъ/Cn­)wxOX,A@/͗f\ QG(\+FҌI(PzEdAe+`L1eh~V9.]KixLv۰]xM~҉`PH0H.Eړ!755av״-iDCemtԼCW"m{Zh44=qܠ5Ahĩ"PuZ9֐37~@nml5b̹BwU]F84]G~d'b3p % &B!w#jG&2/lHi0qTOw5|]>{1Mol?ˀVuAK-Hi(3G޿2~˟QdL5%lAF>OVԽw1*mNld@slD"ɴi9)Ϋ|SUR9C<4.Bm^Ah fV]':`XШ?݁`cUpT(`V^frB ,1U iZA۔F6Teu*2mv`8+8_|Q!X%J[@9k/{}Dnӌүg7cM|h4'[P]e$?vA) \#w]W M$<}@@.Sr#Mxi,2y3 }i\PƶI/Z"ɠL:BV‡2RZNq`TPaJĒ D@Hs ,50 CYcnxMu#ěE:a'L"/#̓7Ņ-KA"t=g&ȹ^ZrskWnjHnmYjy@c _N<''G-ٱa;1@777W͍\ b<$[C8D'S o`: FЬF LH\S`uXgXAӷw3\58r{!8ãW ԰$m >aS[ɇe-n2gӳC֥Z-k(uQxSXv:tHv$jҤK`XdENdqVqC_*R Om 3Lk tVd7,z.DZH++T,+{g ?%-Х'ۙG{#XJi|Clw u`"Famsh k`3Vuκ,gTy/2k(6 ȁ,̌rov`L(-jHW;od`psBqȚh|mEMOZCGVimRN3Wn79ֶE(08QC[B3Kf? O^nltOE/#GQ'7*Br  ~\[a "htY $f9FL6,EL~6Ֆ@Z\s~ౡ1vK Dc#Oȁyl܄s30k3“u9)&`4{:zʽVZJkp(u 1(_ S!so5 T6Fj\כyҡ.#q0-m?CI`GT oZC@Ud&,[!cӶ۳rD,ެEەyTSF*`緇}qNU44}2`\hOwزBg|Ë[ٸ7{Y&!)}V"Hj5\!u|\(X.t# @myQs+I ~Wj[Ĉ:\ך8kT|[SF'r%ΊX9=۪qttx֥"hً^ ߋ`Ȉ0/λ熓{+ܚjr' 4;B%3tm*+-%=L쐇޽^(k%Z^aZJ7E < kFb{h-_b9x)JW%k&v @@ʌ@.VTa=*pPʜ(` V,T@"'y*3&N (TS xPCg!̣J{}=>+|f 7 PBMFpRԼ>/kr`F>{1Q*͝H /AaOg J9Pz§}?'\ʭ@I;|'X6Pa]v//ƑM>=Z}K?С^EB%55APE+U8ubK=j,Xű^X/\GgVEq endstream endobj 110 0 obj << /Annots [111 0 R 114 0 R] /BleedBox [0 0 612 792] /Contents [116 0 R 115 0 R 112 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1865 113 0 R >> >> /Type /Page >> endobj 111 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 112 0 obj << /Length 18 >> stream q /Iabc1865 Do Q endstream endobj 113 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1867 20690 0 R /Gabc1882 20697 0 R >> /Font << /Fabc1888 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n UOvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"J.\[-:ufg[XLZ[/d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!ëXN> tweBC (cμs_|۾{w6x endstream endobj 114 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=10) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 115 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 116 0 obj << /Filter /FlateDecode /Length 3212 >> stream xɊcuV4` eȮ U'£:A\΃иlJGgt{1I~lߧ:'捻qJѿ޾6H`VI}Q)u=MpS*z0?W\`cO"Z{VF^`|1NתL 8^`>Wz;Mo 28CK;CN .iźUhN¤c1Z;oꐔzO+~Wc9}9 JI0H@4H  ԔY]ʭ|iST\;@&YxT?9 >DiA" 'Q$t ( pT9vFms!Mk .O6ɄM8_?5}mƂ ֒Z؋2Đ-XJ}{t֩=K .t`RhqlBM``](: e(~&"nvP@uFmubtվCPjDu02?Α"o./TեO5LA&fY]'%NALa .RŐG3.OItL}8YZNc!qϊ0pL"@{M2+@ YY Y u*?0jjAHţ"RC=ܷ+֑7FO@KV | ?.^輨ёH [O27lmA\=ŰcbE .ą|[XXA%R|O5댄!m*>*3+_bSbRƫ2IQq+?B#r\57q"(6Ia7∿qmy0vnU;J5 uՊ<5PhVUo\B rͲy=-0t'\gAڼ,ε}-MKT,8z69R$v:AB9<L a=4$ Qt{҄Y܌jl*hfX6B>i2?82j}0 Y*Q X}GA܋i˵uÒiQmEA]trA&,mP5K\E{8)9T4<<IR>XSҸ7ħrVgy_K Ag[){|c} ]Zȶ.`)AAⱕxݬMQp~wd ݕ55u.BMҤ2)&Y9I UrP(oM?`#~07W̿J~jxqBMS#$ d?@1fo `Jփω \.o~)d2mlmG %&9_*&d<.>9=*xCާoӗm`5P@ eNTutD \1vc>!/O@Ww!,]XG tmKcF6Y!Z =ZR6ZK_Cs`۔!(&/nXf22TXfo&YWnAnhOEVp(Se3ak)3?>_ +ƙ"):G[Gu7=P>u׸D䀊IZ|EuedMKY_ǡ*(E' ?t-s `=QI Hʣ2*D endstream endobj 117 0 obj << /Annots [118 0 R 121 0 R] /BleedBox [0 0 612 792] /Contents [123 0 R 122 0 R 119 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2040 120 0 R >> >> /Type /Page >> endobj 118 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 119 0 obj << /Length 18 >> stream q /Iabc2040 Do Q endstream endobj 120 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2060 20690 0 R /Gabc2062 20697 0 R >> /Font << /Fabc2082 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫!1|:]֯޽m:H9K\C.$G3\MF=zɨA:n\&#₅1LS4$dqJI$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<+!fZ5Y}GܱYZ)6{ik_KaR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[X݌Zj`^$jQ<<9C99 3/s#9p!g9`Ni)QXI*d~yQUh^@wP".*)3h8r}-z^lMD endstream endobj 121 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=11) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 122 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 123 0 obj << /Filter /FlateDecode /Length 3812 >> stream xڽ[K# W@>@9,rd7zCHEU_*HoRK߾vOKdxKѿwx/c RVjxwQ)^dR=)R(|HE(^D`[p?2F"!?+ǺrR2.gX㟴/R#U3EM)g0VmL5^ "lKհhk9`&eܲHXLYE؋HT\$RUҰ`:~izuM.%Ӓ3#s)pAUiԸ4[lz]ǡkB8L3EE*լdZliR0]n-œtAejY(CtʽE]M/9xqO/?liobb7n2'\q[1aKqC" M>^7eE8;^쪻l3l= hŠa1`ޚ)* ɧPŭvd 6nvp 3Ȁ:aƁ1tO@+hHhQODE!l?CΛEK`QŐo YT 3B, w?B)'K~hSCnXVI 1F.mfnk ?AevٔUdCUDfnS5xgj(tKe=_M5mTDF*LS;V<,Vj/nqyU5yn &#:8ktFf1fdv^6ߝ!}=Pv+bnq(tΠ[niJ߇uw` NT PY̭W@@`X? j-e UG56tSRskm;-ul|H:ZpHm -ٸp0`,;0^O`̹[OnǺP#jXzyib0TA ,k"kr8Zh$ך$9h %6xC7c^I5o 0b{Խyq!(y9+mNy'ޡ$:uAw4.%Pad6A 8vЀPg2/U)q0:,*YP:AN!ޫ:h:]=ET:Dhe.%C6;7h`l'-9bOsoXu( 6vcA<OTo?| 0M΁K?u~QW˫cibhexGkut訖` KsT1Τ޼H\k^rq`hW OIV=@_;ojcVL[v9g&[St%0Qh[sG70rn^ce%eӜ;,G?6E[5Ujc?Jc#{4O !y sFVU@n>ѕ}wvIk~7^ B0|[$dܢzu-ߞF,$&& }p!OKHu1WӛPM K M,b=p~)(_*@ 5*&C d=0XDՂ:a_ | ۑ.[w1oMj8Lv^ʵ-672xNۖEzTXUSR6ro5A.Aoթ ޝ#tN&Tb}s\"dUzuR^۪ñoә֭ɀ,-w^l}k6)J~'6܆X~CIW~[â[_v1{ht\WVe(t҂HcnW׉(rs\>9'>1//0_F)ƻ)-458ÉLd ~s*| riɔ54]i9Bm%TM1H 7asL]va8?FgzEVQW29DRc1()S,föZg(WcedP4kdk!);c+] NvbMn/c|Y1^㈡Z]HMl74]oihǡu&o"h:RXD[\'ں^ b?Ǜ8_%š>JEgQ4'iP( Z2rYF]&t$5(ߧ@{JKC|W6VqkcfZ,-~sXH-F7jp/R AJy~e}n46EaϮ9RcdA Omw>z,_|p;'G\Z%إ==p8ls) RwE|*{PU? "-{]5zf\+w.ˎ[(p|ߤYo&rjqR~5'No|+|b6A/.HDRdLEh? 57RX֯1D5il|1촻OS.zEս;Ƒ(){ݪWTn? } endstream endobj 124 0 obj << /Annots [125 0 R 128 0 R] /BleedBox [0 0 612 792] /Contents [130 0 R 129 0 R 126 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2244 127 0 R >> >> /Type /Page >> endobj 125 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 126 0 obj << /Length 18 >> stream q /Iabc2244 Do Q endstream endobj 127 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2259 20690 0 R /Gabc2265 20697 0 R >> /Font << /Fabc2281 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< + 5pZ [n`w/gx(RN/ Z[cȅ(査\=0Z2 *nE.FP(BB1LS4(&4%&S)!^\y( ^T!b6M CZNޜ/P|}{Y#rڻ3^JQRc7uoBl(Y|zǓ|"j@͠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 129 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 130 0 obj << /Filter /FlateDecode /Length 3004 >> stream xˎyk4 ymrrd6z!$)YngwEC-*]mrq篣1qeouGk/mO1khuۋ1&~+<'~ 9q?8 ȝ;GfΎp4~_p~ ~ /<&G3wyʊR}_$|;oTl?YGx1'Tq }rI5TJD\Džƅ:bLAD^"CBΥy s.saB f:NP+P+,*faf*䔸 n5-axJsc՜Ϸ+-=A(i*%a8ˆfLо-OX@Wv%+&X)SD4/etæ7piyMWJN+ A1:17<8_) AD3,vL)9 NXl a"J“(f,%&h0#AM$~TPWT|= W<267)e4muz*. ٻ9>'~0'׾]-q 좄hBnA=?CA@_O@r ɸd(S,khH"$Y414S X71bt bܰhĬQQ9 xj<iNFQw<ǒ0}6qdɳպWY/!.:wseDrNwny:Pڌ9DXIߡaXy/旍t 1h-KsrA~ӵeqcZxg#Y"e/[۩FZԽ.'Q .iI+!VJE:@` X,}l.l*ѻ $zbS-5z o֤fь 8:Iu>9w1%oa41$emS%.t>:d}fh=X~'J{c) 2&,w .LOjX Lx^>?87_:ɕ-둿 |{ bYW7`iݣpcM]Π2H*{zO0 0ztHNaocբ endstream endobj 131 0 obj << /Annots [132 0 R 135 0 R] /BleedBox [0 0 612 792] /Contents [137 0 R 136 0 R 133 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2456 134 0 R >> >> /Type /Page >> endobj 132 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 133 0 obj << /Length 18 >> stream q /Iabc2456 Do Q endstream endobj 134 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2458 20690 0 R /Gabc2473 20697 0 R >> /Font << /Fabc2480 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶G#x?$_l˜!E2̼d9 M \09 `FcU$!ëEWmGyݝC.;p94C-z^lM endstream endobj 135 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=13) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 136 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 137 0 obj << /Filter /FlateDecode /Length 3927 >> stream xˎyk4hCn "Nf'R*EJrwmJT/RY +&3}5={7/ߧכ~kڰ(W╟~{RJg? >+|F`oot5onSzj xy-\`=Z7pc=7q_՞ [&m$g(cge@mBB!W"c 0HV׿MK) dY2;ũS=u Gu,#wu>=Ov^*HD3j͎ä%c-E]<~kAIE5BhfYm aLcZF1nm ŎO[XR&/di7`V]֕%w*eon+=x*:Ք@%U,:ԂmhSAH72vc,,13l9>n,wķf)9-[9{ɐݚQ SFjgֻQx0WetIEڹ1mӌo Zzx7 vц~ħt5"v!mɝ{Q?djk}FZh}Zï <R]à>-6=^ p.#rdKT\MM=m[bhչ%_0k{1$..he'-E؋HUnjZcj^ L0p렴t82IjԣG!g~ԽI%K:HQN,3CJy5H; -1(fb2 %^N? '5~HVa__/t>MT$҂I*wp/Wھ@ 9; 5Glqy`\Cΰp 8/'G]^?Ībt%*ЅsRCy]h^^4*kDZpaEr $+5ڳu;MX׿fP袥A Kq- nWwrp:L9=wc+#QO0p 0FeImk,C3Q:[()qcO׹K}55ՀPrm>/y5 ֪:;z2_oMRڂ҈cvop'_.:\Ip,3z4/|kڊ#^zҡe j?D㌫}]Qi^7oeGmo E{jvlbe>nʊM@cuHw*nI[?[l `h1@-}XS8.2}3SR+\uq+^&*\{;=cMwwa>l}SGZJxþ 4H:]oX`ꎦ="ڬuĹ*-1qG0Ly_!C-涀:a Q>Lc$$]!(GeN 6Dz8=e`kt|hIJ2O"%'F˘j/H j2:.pd_+[T|}0C3==y/1=,E aFO<~Wʌj mb5.eBfaxˑm8}&4F{F*l]Fi\{ƿ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2643 141 0 R >> >> /Type /Page >> endobj 139 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 140 0 obj << /Length 18 >> stream q /Iabc2643 Do Q endstream endobj 141 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2658 20690 0 R /Gabc2665 20697 0 R >> /Font << /Fabc2675 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`w/gx(RN/ Z1Br4n~AG/cX"#(j!`aL9 }',M $WJ2%ī+O˓*DƵa(Rɐ7' g5kDN 9.J}GZ\ #QB3Wuœ}"juZG)ȃ;6+)C+p|֦b/mk: S[${JLh*Ȩ%#5fe8>϶G5-~C25h}'2g("_@9 ?ɜ F0.\05cD9 ?^Wb^@wevbVC9/ڢ_Ћm߽?, endstream endobj 142 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=14) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 143 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 144 0 obj << /Filter /FlateDecode /Length 4152 >> stream xڵ\KoW|Sn;& Ş& OK~"EL%J,HeE Q/_.M-.&I}^~zVxWc`}0/W)u|X.Z•}*W+_ƒMק^I_RfBᑲTF֧vcTL۸bOYIS>W)=7mqFE^8iۅʿ~%?-#JL_W_,L)YT+ƭ1Fe ]U`}&$465M7mj5A =ҟ/e=S^o չ3d|: A|A P-? tsm#a=HXY|;C7i 9X0MƺӢUk`l7p>1N]P!]@iW$ ";pKD^2h+Ε~qe.ݎ*XHp zn$^|l=w5.q!>65qIz`'"Q` )݈sii麈.6)L1L3%:RNʠ^1&uy_u͌gʒ:l :z >ki̪1Mi;.o!O 9YcW N;Ml<\:Qxq4;xmڻ猃D' >?r96{E,l˃ Fy\2&*~bv#7umUJ aSk)G;zeg`udV|q(K,Ue񊒢ryy['Rk7c} ċlŪjLI `|Xǵ;8e'^W7edĺ;|nAʹTOժ۬zb&O!en>bA;. ` ZeV~5T?~$>bc:Fe֗m?O۬fybm߁ ee7Df;]3?Èݘe d#|/Vf;@kЋ'  ZF*BF ; /(dNzX:s:vHa17P̥Ui`^B t gԙ <ЙC\ALhN+W*}WKڙWƳDTd0'M}t%X+|ETʮAR=]}#{/xtl'#E,pc(1rH,FhdȹA VB#21')g4NzEsgY8u5ZWARdGFf_ƄqUWNrQTYKo\sݣ&&n 5i=5WIbFzXũ󬾢D9#BƑ}%OL |j/96:V CHYu\ۼK}HYS KHA/RrtmtJt"P=osNk ǂ*OMC9[r6+ȹЯbV6w]2"ڀ }gXE^[,1wL)`}]38֪us@"e/C:UB!NKGC[tӼ[utJgqcjvn`VNr: VQ*OAAFs}x9r=a X?1!=SsAdM/LSsYߴxǖ>o<@}|S!5!H.RvDSΫTDFӇ '8Kok{)1g?Ž `ynX{J7NξNݝ'PYg^4GN/ %Cvư`?w_eTjƝFk_hI`0Ypfkg螤%jeӼMǘX6vi=(#W%~TuޚCC >}A,/BtQ~!b7`Z2Cn=V*{0*,h駧czǘ)B٧&!xOQܟLdWNE3?jV[j~XL, @Z67q4E}1+vO坉Br(Xwc;%Em+k&1uթI݁ m)J+}G2 *weNNY/ L}p9^םt:pPڹ'*v;i?_ O{nGBc bWwSp|#Ӭ59z^"\wx'QW$V]WnbrT89gC_xtg),Q{]j;;`O vܿߡ97 z.鵪Ń2z 7x^ nx^$ceG{,g{!m.;I`t.sxR؝LNJ ()A5C,a!9F|a/Lm)~#w{מ?AlKߋȴ M}b-5(* pύķqNoVwÈ]8p8U|M[HgkFԗwS5h5Vʰ-N/~],u uUfy]ªiNT7 iAv;&+3/9'M^_c-.Nf t/es{ȉROdiK|,L&Rz1(}z| h41;fuӉvZI|]R-I"7,DF5ՆuG\$t6凧<8KMBHkkohLb0FėZk"ț6c;1[hZTtz7LU9+*_[RxfU,E?_P]Tn&YӫI_ťOX:iP܁B1#6_hjo!_Kׂm `ѰKICK~%qBbO,.yir4S 5W{win7l t8՞HWNAZO\^s'ߙٝi4Km)o> {[$^k3u(Q:ӳߙnE=Ke`Y5w-/D[jRF\F4LLv=ׂ^tg~~%&o-\Jn`[o 57< /L, 453Um9FDR pÒ 6leO{ŌeK[Gt[ l[acqQ>I%o/O(**s^nW~/_MI} |"IoNpq,^r{8t&٥}Q@*Ջg5uri9D#+=7.EYw[x+IOIԘruG+ OKE3/! endstream endobj 145 0 obj << /Annots [146 0 R 149 0 R] /BleedBox [0 0 612 792] /Contents [151 0 R 150 0 R 147 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2836 148 0 R >> >> /Type /Page >> endobj 146 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 147 0 obj << /Length 18 >> stream q /Iabc2836 Do Q endstream endobj 148 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2851 20690 0 R /Gabc2857 20697 0 R >> /Font << /Fabc2874 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=?dQHL_%ee8>϶G5wf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ< endstream endobj 149 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=15) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 150 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 151 0 obj << /Filter /FlateDecode /Length 4567 >> stream x\K丑Wl 5|S TVU.|Xw=QeF0OIYÀY)`1H)Z*w¯SfY#I,O+V0)T5yOOJYzwV*giy%Qt )~o ySj6RY~G#4QKjzTP7' 0 $ZDVI,>IJ,:{zCjTFHsA=5r'ֱoU%>~tF~ b~*`$6PϽѲX|}ÅL꿂az},:5K>&MP2 Y8;)]B8K҃sqz2A+-y#kj 5<%ӪAk2mj=wԫ:2Y~oճжqOI_ɿmzrf^/Ƙ( j#mtv˦=0gKG;9v, N$Z(Ou`? TѭɝqH=ð'a/> G ^cL/hmq)dNJ%(N8= F\ihekG_^trX41Pe̤=PEgEJ͖Vtn2D2hɏedJY: 1T_*2`` LF[ykessV :tL@ E>p]WFQNGGHhP:no}lv&Q  f$=А7kF[ځ0aU!]cƳQzV1\ 4ro%Zbn$82-$q7֮ЪfG3+iof/,hpS1iAK\qUOXqb%ۼj.:8|{x͔L&NXcGCIn8"6smrhcl2`1.m:!@jN>]րSьOt5P54Y tAg0 M_ i啾_{x7jw; @]; U:csIŘ,"G}̹.(o,ZoƤ r~˖S HmJU},pH\zr51&@|AI΂32L:.vYhyAc/'v)b@8c- o8~06l}"!dz| e @N+B*.`1b+Bl9_\@1iK(Wp񅳷k~M9׍zaBR.Gv-2Fpeo,j嚯!f4.cg0,KwY0qWok М-Mc+ W#=A!ϓI*uX^Ykܘ rY%VZ.;NZ困Q(:"sʕbYʴ2y)UZI+0-a2~L q[#$cf:gxߜ ge ǔQ={UFgDlNXN;*%M%Îg{Z2]j;s\ 9Z4< 6'46Z!T 4!M~2Gqd4Ww.)CH머U|}쯽euNS[3uw##8$@s׏.La MvpuYMv5(s^8`à1@,RȻ~ :ܚ{Z.cCϡm^y4ڌOQe7k ONGkht;=L1s } Aѿ` /*{lpU Qӣ)^ &+3\*\Y^GeW˝,;1[}/ý| e=ᴢපiikDX7v"R++"|`Viݧ"jR"܊58hy8qQ㈣ƑG#v)YP#I.iqkPiM#/?7iӟVBKyKU~]"#F'ԸEV=S/cVC\E<7:.Ǝ(Ti1x3ӲszbA#\ܳ2^x|}aS8;V FLY[Jb3zU۷3 }qOOg ۾r`m370z ջ'iS_'EIWܱ(h|'Zw G,u,'HIhܺd˛>X=e_jo\WCB2W|ևp!J d!?zjCE ;zFxO.^nҷ ҩYEʗ'\+ W2VLLk)/tNE!!U\`?qqDՖDqʬ*GplҞi 1YJv !uLnH7j6ծY {%**>7/¢HMI6\#XSLaMmz Ń]#nR)VRi6N .oԁKMH]EQ%$8˼Q5I( XV!DK܍W3!}&n^oi؆PjQ#J֞MSh|ۥ \)ڞphbTM!dI{l:?X\Qx3 FD B5U3t7H+qfTm`2+nUڸE)48<0J*c|m*diMjWħNS)Sy5DҸ)3”SSxx)SGj{`JaJ> S,0%)SqqȇaJ`Ju?L[0%)({C0%#0D˦n)u6u#L-0%eS7Ô8M)q0 āl_eSÔ"lNWaJ=Sb+zޢL]{;PT@/TE8vj@jPSSNA~*C$Q0"~ǜ(u\ ძ=7E[}+=#SJ+D: %ѨC"5"+;ule~ʮz ي?w6K|88'ּ 6_&wXi:P#T%IuҘ)BϿ8Ÿx3f]jwoN~ІR;lWP*sDO NY&YWPqo  LޚsTz =k3\gË^r2o/FᩖGe'iaHgY ( )KXxV>qnn[Y~@=-!N"x: ;T)K9Lы|;'6UQƵ* Z@Re+|8.:]cEJ^NL3swXWq%] p)7NaNrG$&;RH|˝ߚ KT2G쫹KRX Βjԅ\ҩ)΍g+qXߓUq-B6>*}qR6票#m壶QcEb;KRKWW%4%3U1YLYU ^o*;7RV Dmf YQG fMr?u5p˿{:F~'_Si;ц-GGt\K i똥FڃU לx*ΗW3 ʻ=o:E߆ξyZj/\x~Pzq i: Lt̟g̈S! ד6x|#\NaAz] |oɡ^\A\r+!֓-?$$S'ֹpJ endstream endobj 152 0 obj << /Annots [153 0 R 156 0 R] /BleedBox [0 0 612 792] /Contents [158 0 R 157 0 R 154 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3038 155 0 R >> >> /Type /Page >> endobj 153 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 154 0 obj << /Length 18 >> stream q /Iabc3038 Do Q endstream endobj 155 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3048 20690 0 R /Gabc3059 20697 0 R >> /Font << /Fabc3069 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HPOvջCp<)k.@Br!9h7r ģ1H[&!#((`aԜiJSo"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]dߟ}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>?d*u$R&o2VK2 ufg[X݌`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rE[z7} endstream endobj 156 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=16) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 157 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 158 0 obj << /Filter /FlateDecode /Length 5291 >> stream x]Y8~dH #byaOs`P@˖#GՑaEGg|hBm|LBE7pm}ހ(-knj] ]~nUJ% 5ɴycMW@g+JkMeFem9Ukmoq.*\/JU \fy6ɤ|"R/107-aabWcJd}#֙WG.z`ޣfWUn4 ,@U{BJ |4M?=&4 X/I^c6$$d<1Z;Ё)iYbŠ0>e_4 M0Iv<@"h& \z:b`َC*EKzKZT 2"-ɬ'tzd]q].WyT[39jB+A S^I>o"|gB#ؗ }XVL<@k:ۍb䂺H:YFRN6Ҁ hf(eCueE%,кdF%U.[ZSl:DQty5β!YxfO sjQ¢+Y[.t f}k:%bC&5Դ QjkUf՚Q 8l Ya:\Wm)0nҎxBbTYUQ,TJ&,5?m@PMm@҂Zc^X[LJ­ :Gm=Xߎ&blg 5J)Spܵ#22R4#b2M=x1* U 9C$PhKJ k[j#\cYEPIY9HJ2 MJ,ɑ2ϋMjf M,I"/>B!'CJ%謲VIfJc./_1p#5+bqCЄ%Ub 8rej҆%9 ^\^^2\&M92i()&$[SeAIYkv7*BR%5J(-ՠm. - ׄH$'(Ӻ\  r9LL%Uʌ rKra >Z.a j0<)XT(L( L5T`yJ\r0+jSPǮa j#05j)l)XTLA=SIhVԍ0;[` vES7쌦쌦n)MSp-J4u7LhN0[` j#0[=0u骢OOAI@姮ܓTpW~2P S@.ܕ Tpk~jP@.ܕTpO~"P@{G9ye Iyt%x^)xb)xF)xFSXSXNy:NcyOĩkc'P}'P;vA|^ym &k܎ Oͨ׳WϻBQ Q Q QQ+z k:?3_F}Ӄɪg@Ŏ-nM edVdQ;0,E41*<,@MR}y_^޷lKA'JeȴB+,<>42GuŌ~qJCPT\\<,[ Ҽ Vw *+KQ`8ԚuH<>a̽6~gZ? H_?qFR/zm4SՅ@r#l$%,C8"padӨw#w {XD 灎C k̙7o<';Dtd.!ѡ=ϝ閙,r ap\ ![DLj.X>DNdl;Eg}(Z?g,ywNJ%ɋrNu!ؼ2sMO'WmL &)ӓN|;/36qbKdîk;3jð0=уIx֜:_!!G#qv"Nz$a4Y\ K!Mc7y3ȂSt]UɷdW 3.U{{ΙaY%ڇϼ8,]08* =or:ÊtFC;iݸ^,-ZZƏS5F' lB_0 GJɉKa|SxP@€؟ϟ*;mZF #BJ4k#nPm,-UiGA}jA{>4EgKo`3)t?}.s²ŃrseF6Qg:ɚZa[nkSqq=G p㲡d:D^/+c"XԆ~&/Pǿ7'ܯ1+zb8Bw38cUݿ3Y{㲄< Pjjq[ vp=MMK(?kPJPD0%0.{&)IS(s9t5eP pwݛdNBhʼn}b/X9c&Sur63%I\(\Ϥ]lrᒯ*`.-K(ȧ-q('׊T|[ugRSfk "ot8Mܪ(/eͅهKMO\p1J^n[y85]cĄSmGƵV ;S&Wb)pax@经P&n:yN`7w0/L;\p!&-!pX{>#*OULjN@;6Xڑ/n[NwXip` Fem蒨Iַ?̢q~o!+&٠KJZn rpm?9q4>⌢1&_r99E VM;oQpYBP*=Y^8,AmT.+n>]p5Wn54Z$"#΀#9j5뚛ֿ!YI״|EG@hv!ȣڣ&AHIG7T:c|(LW<Sl> R7\I@o&4NE"CVJbi^l{^T8X:SqM\Y:IRcyHSM2aOeo۠~ٹ^k3]^Z~_+^bS;xᩛV`>wetuFf?RvpS=eoOeQ_\5d/ⷆShube1IЧoL6d95I_M=dz6xo}[e#}?^ =W><{qz&6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3231 162 0 R >> >> /Type /Page >> endobj 160 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 161 0 obj << /Length 18 >> stream q /Iabc3231 Do Q endstream endobj 162 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3242 20690 0 R /Gabc3252 20697 0 R >> /Font << /Fabc3267 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7+çC;e١c85 e!Ui sw4_PK$V-dAQ\0j4ECIB%)7u%)!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxfxOBD>.PkP=(%ypfE3Rgm-־0ZOt⩔L2:)WIkp:3ϳ-nQM~k~C2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$sx2> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 164 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 165 0 obj << /Filter /FlateDecode /Length 4885 >> stream x<Ɏ,w~E $} tw2a0ȆOD%9HBJ2`06ߋ^Wfu>x$][t\ҧ 2fݯ^2o/j<9O^؞n/>{O9\>#8\oܼl[ߞ[CW[k.Z#V*'qL8+^> dg/hDHl/E AF:x Օzcj3ʂ؏0$_DV'R $7nWk*k(:)`,q˰b^h9[K{j~܂Lӑ_}㝚7" Ϸ`+9`As}9c4C}#Yhb:iI}9ָ S-x‹,3!wu (F1Bx>,.X'`9`f Z[i&u\viv&!^]7I6Z pGv <ҡ"Ϥ*QAx8Ex@#ժ$#Pѐ4MKKWɌ'];.&,:Nv] mlm -{C3#4) fr#lO0CߌeC^Zx,FvSf߲!}{ߋI}7ޡDz؇ѻje ^YquѦ2Z!2CˤrF#Tyn&4bXzxo8}iZzY6D8!Y5rXe||u :nz4M{O'YeŹx]oXV yrDZm0TVa%@5qU;>)i-jH37nWR"r"K[o㰅#[:/ـGJuHg;PyjiwigG];Cwlmnʒ>/U ֟O{'DV`jr`Gʮɓol' 6\X,R$U9l8+6u;D?ٚɕN)GsDX[ghƘ7eEg9VI[D}GvH(/20X_A.H,@@*sM)*&2@4ZC'l{*Ї%v:yktY{7G&ˁ ފ`8;P`V-5b!&q:\{W @Ϥev,TDHmuOOS%ip w7%З*Q벽܏w1tQ Y4ZLrj8^ |. cOODr<;(5|w Ὄaqʗv<< 5>YKld cy0_2/9&s 9p9v46֥q 2 `d0=RY4G3ǻH\^u2M"~';J=y]Y;O|=UcI`Lɸn:HزTǬlE3 bř)3zK SJaO6󳵮Uz.1h euԔCZs4D`E>O#!ȤCsFN~iyIɳ8nxm]|Lm*Ixnsۗ}re#. m~]xdawOK\i\ʽҪX}=֕Zɶc|1cyxA6 pz݆035k (a%PEoCMy]ڃ(F8-3{>ZMukQnH*w8kPgЃ|ñ mI kp>/OA~З3+LЏoߕB,-D:teǦ_`\ܬߥ=eXk՘R4oǟiP@=9c]֪m{3 %xeJ8Ckm 0ˍa-牴J'}*tWpw_0' .C K8\p FY Ip&-H *ږMDPfHCC*wz>ipcpC2gzXb؁l0j+lӤ|EIΐmgDposPME! y m8ŤKаCd ?},^ܚD vh^fSA}}E̿} ]`FkUؙŁ\ƀa28'# j@҈LyEG#oDEg [*{|dT>AtQ@ _?cx;mؕP0`a"^QHFn;x<AV񵷁OF㖑gt%JlbAmXKLca>pL'!R+Dk#=!MJsRG1MŠ,LCEI |0@$XnVlfXI\4ǵ8YX1,O9mP/o%!sZXί3 {˕6uڤ6^j"QD0; l‚jK3&!~X;hiklj3@ZuE34a*z7F[X9 EY8x雳1˕x@iz? с&f. L?0C>>W/2gREΌeLšojB?F֕ nN ڥve6_ȘL=fNn57zlClPK+?ٕtug ^NŨ=Pm301;|~nw?wg@Lν~0iƍB6Y\1"zy48>0rRutB vlN߾ 'e1ɰ$\yq΁_\ _ 4Wx'h$HPItu0䴎+m^gyxPƺo0ޖoYbb(QA=@>A7dV)-5),>LJEk lu!m~^'QkTO5J_0-lۯ \Fͅ<65Rdt!66ȘeEUwvqF=0T7zV*hSݽp].a;'drFڗ6Vs7jW_z}QnT&Jۼi{Qs|gqr{Xf /اC;h 1Pš E婖ȿmn_D˵B-k c유rHt1g) @c&Ѩ1[z8YІ+&8l-[hCDƒ'&;VSv:)'&tih"1Ty(Ϡ [u3G=Ә'/ԀKmQ+cx R`j@9okRt5T,MKA$X*0lS P6EJa80,).l!'gfzE6Q'p1@` @%9s3=OEJF1)0mŒODUNq_睓cFA ĵdƞsKmP0}=.|_%+*kKDvhB>Q4p<ܧLD0tdN2WG"m}x㖶%]D> "])Q,Jg#!ZۂZ(˯s볶F5ӰJuej|R 'SEU[6Vr׶13,U#>{Әu8/VǬ5RvL*mn0Y> KnEjLF\F{V q˻=D:~أ}.M]9'YS܋xXJtou봥)7WDj&L ;=}]{_SؑT뚪T2[/I$Lp}%Q ˛Wz㵷|>~G!n)s >'} ?@؃,PK"^&n\ɧW@Dxprԁ4C)zo}Yj1 BҵmfS¼'!`ZTQR endstream endobj 166 0 obj << /Annots [167 0 R 168 0 R 171 0 R] /BleedBox [0 0 612 792] /Contents [173 0 R 172 0 R 169 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3416 170 0 R >> >> /Type /Page >> endobj 167 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug912-vivado-properties.pdf) >> /Border [0 0 0] /Contents (UG912) /M (D:20210608081201-08'00') /Rect [218.612 253.4 253.878 264.4] /Subtype /Link /Type /Annot >> endobj 168 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 169 0 obj << /Length 18 >> stream q /Iabc3416 Do Q endstream endobj 170 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3426 20690 0 R /Gabc3441 20697 0 R >> /Font << /Fabc3443 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTM`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM] endstream endobj 171 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=18) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 172 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 173 0 obj << /Filter /FlateDecode /Length 4347 >> stream xڽn$ί@U/@CZr0rRb&۸T"ɱqOY6gGN~խteo?kkOcO1kh~<ƒgv4)!Fcr87*AY{`9`Z0yQxL<1cA墀(AD}2>(l:3l~9>hg(,BU`,"6ClC6ufw8OL܄l `y-bYb@N`rX~a+q eFab) ?j=E13q,Ay>s8;|zPYVgj]4# %4&K偸?d&8< C AY w&x>(fv-."bM65zaaAk# }ԦGPx2ShqnӀ'e*bi->R`tf-$b/w [1Y5cZs|n3䉹be61Q?<$Aq:.wYT}wY&O"*Id=6Lm jC@cHEi_tw=δymy;>`Äd8l;J{ yse݆-aZ5 灃X Zǡ9D)>}qgbqja@Ωţ/b]pske7Mm<# 9<;GbDrɞL6c][g.=]:_2yۜ-tǸw_~--ޖ5?a;=׺;A xqD/ RvEP{OÍCqM*?QAD0@a7u:4粦PkܰǦm;oTñ#fğw>8I>u He7ы]s`"gRD,oDHureNȹ#\M r.Xzs#6EQ!Gj7ё@3ݒU;ZJhFǹ[m0wV v E j5E{:9^5E9N]KY-b6YNWSIRy)`0P= R>C`8Re%r.5F v*LHt߭[=M@4Dot6eׂ&US475&-R +'eJ|oE~n0dT$,%[z$`-@ţ2kF]v/]*bűrLj~q~?+uw.cv "mٶjF{_} ؤ_~:] Gd- w0xbС; ?ŀpᦅ.ڽ,A"AKږ| ɖ0E`I\ W/JhhK3siS% ..qـ7+1 z[pALsp'6ׄ2ޗva8NiOYZ0*CÎ-5_2L=سR`xQڞv+,jVy9/7W\$Y4+}T)~$7J p{5vC9sisҀ1 )9徆&]=Y&# rA7a2auQiVLlEuK=%wl>2{&mr|#k}xsb*vq:;pnODV%=l</ >`65Y]_EJ8 la(ٗaÖnQ|,Z84w)"z)#lWզV<`F0nUJ:PO%Iw:ypWaJINوuLrb*L,~L}UD¨^\C NLK/ڨ#L7 >@lA/!@F9&WyâֶfS8 }:]I2!J:ɳV)a moQ USdlzL SCcܐ, "*"ݖ #8kXƤ~߅^%U6/cXCj jy{Xs)uIr|'A/|c~BvioaNJXb"~[+4jӘR6kLֵ»K!/5n>x3vYJLQPL.bVO,7Ϋ2ۮJ8AHb,y:Č ; "n`mۘK1oW{@G wu-V7aO4 *](̈́IET\~Tf$ʽV5Gg;i@1l2E[&\O,VE=Őhn#\G i@3n7,FZHVX{Ap %JQǰ̊F+d极qۖ~U,w;=QC;§>+|M&VJZe9^k@P7JU&^P+,L/)`,0tnpL#py$늉 v[+VZ2%ȧ<. "9o+cA$ɾ^RޤU,ӶSlTJ_LS8S Bni;ex!En_{O6昱MbZK9 B9y4U_l|&h+ R[l*H&cMav=wEcӷtn0b5苚GsYCt9WJ?J;TC2*nXQ! օj'`,ay~GYd$?Z 8f'm@oֽ n~ߤo&CN.@l'=c o~,OG8Zx@IbW蚇6XD2R}#2"cuQii QM84 G)#^" Fte>1Gۅ+ޔ#B,?f-9) endstream endobj 174 0 obj << /Annots [175 0 R 178 0 R] /BleedBox [0 0 612 792] /Contents [180 0 R 179 0 R 176 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F1 24775 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3611 177 0 R >> >> /Type /Page >> endobj 175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 176 0 obj << /Length 18 >> stream q /Iabc3611 Do Q endstream endobj 177 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3613 20690 0 R /Gabc3628 20697 0 R >> /Font << /Fabc3635 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkMsV7㨊ۂG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}') endstream endobj 178 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=19) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 179 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 180 0 obj << /Filter /FlateDecode /Length 6633 >> stream x]Ko$9Wy av b/ޞ@e#%vr] RDI<}̤ RS3SS6jٔP4G_JQ>}1;'Ykm"U}2hmA)AssoY Q\h^ߺ7[%=_U,OuuQz-6vF:3}FkgE?M_5}N RuB<[,7Gšy:㴧z#-{qQ]JȗL9 '|B#:K*rO˖VG?tFM_YlEe=ҨJ\64ebxde,zDam'<'z:mQA ,$ Uu0NrtҌ&)*I!&?GyVS%IOyP9\( CB@式_/ɺ8RԀausz3hІUTaw2ɼ.$_,1GBOMRHud姱lgWR555H˝@1q!Uȡ%w"d24 oYudb𙔊JQ>\rŖ+MiZ ]T: !}f@"Ty4BV5Itd'h WG['TKjd իY0;ʎ;jRB. ϏS:+$PI)|i=@&{ eFU%KI8~skڊs}$N46hRm͹͑sՑGĦXzѤ9o7yD^<"M H).-N쑄n2iqRZL-ffd M,z6ɬ4Di ɜt&CU~/{r 2H(DiFe//| .P2?3IQ&K:%2a  VʻøB%.\|_!!lAȟfO{<GhI[YYAq!>jR'' RśO}鏽#Je>Tɷ^-mGaOLHrDܭrDNC c&Ԇ?CpVĀ.^o"{]D0CyO}rؙ6Z7F*"kLFƣṈ +*PYS$$P1n$-7$kU\܈ ةK`H;Hf %6@LD!M)"i:ϴG&GL ߍ~ b`;`:,:uCÁ7nFxJS |6 -Z^n:F2ֈo u7zySpEhɳW>iᶕ %l%q ޷3` eccbd vdwVg8 KJpΙcƐZT~jM&R1Eppq 5=.{X>$:v{iFjԛF( X@;&\&ª!>? "P4fSedԎƐ޺`8Ze.xN+h 1iшM K&ʿh%T 5",LMf #3&\ $Ȯ礼PžAscnH:%dcN#Q΅5\ZE=Fŀs0dY"j#fMess,BsX2[G"C)e8C*,D ^1'F[b콲#o&Z%6y\cyb(+XDX3-1 L^ę pǥ(*>RV0^ԫIk:1hV9%54JթuE; !W}O(JSKpV " @X6rYJK"9lPC3P=-Z&9Qv ;d]roI~V)#€+VOe ҵm bYniA*i KEz[}P.Q Oے^E{*w<\E=kIRES R$WuyH[ K#9o|$Ԋݜl34*g5LnݗENqVrV+ ϒS:~4NdtrlE.J|ѴQJcG59X,S TR$Dz f5Gƕ#{E$ύU9O{e1{@<d5Te8-yMd_oc98S$4j@.FW'[@MEԛ֣EPV `gkʐ5F|*dpU ~v؍vPC~GHܪ!y^\f-I}Rk ղ$,6F6 H*#p?قd)jx>hզFv!}2ou"6&Ug9FT5PG[wI{߯!6 9M[ K#Rʜz#@r;HsN 4I潽 i1>Դ,Vi6̍]9H[ǎsjsk '!(M0FL#4Y|U `s"p !pc#eι|Wpݿ>7Lzff8@Pc*3٣c jjq*ĶYkT\P#ZuwF9"ck 'לS?=\-G*'NȵiY3ȑ98*Dž+q v樧A)mhEnkmau$$E8RCot"B"̨`/ʩE>wξ[i\n:v"մJo;ߐ6>yew\U lڄ^#47lD1-*ML^ԥ-gW$(Ҵ34s\1Nj1tqrC '$Pr*\&EHp!Dv0e7Z "` -xc*vt'q]Ibz*5W' oOADzj ;υD#Vbu[J<(qpgՉq#c2g)2=eAR{l=:5lN5y`RɁXI:_<4vfo:["̗5i:< nNԗEXƞ2lo R7nD7^"_<%^7k AL~Zmr^8յ]l5F)t{>`?M{>ke$:E vncݙ>K00tyw\/wfW9IsǶڞ}_>f" }~R;C=o1l\y+1iWGZ@-U9.BP*5HC@w Él{ _ɛ Fv d[7#޼kUW^0u΍jg#k0{hVR"oplXZwGSoK'ߟk{G%YZtO:݅OU8ӴlF(mk#5 %;ԑkK.ۇϵT';vgPB/z:Uߞ[m'u*wh#/*F^;  I?ק)ښsZ?ݟDz'Z8HG[k~vJҚ!VݒcOHzMMÃu4kL}w/MLH_ڀ4x/PY~9 wBˤ P a=8Csu*WؗRN:Ђ\e?+. HI\db~:.gocAԬ~j+ ]ƢB%Q9s+,o:1>r^8},P"e, +,5P>)ПosIvp#0!l詼OἓRؤ@#x];̶´ e7ˈg>adL +JԡX㣐w{_Z&1CZP^{0.9ԦĐTDpSQoZl}7T6X7u腌DuBY ԰E8tm)#v蟔I7]4$˃l]Ҡ/{R#:|(ny &E2`\[w;*.-?^#U*lX7*Gs`50C,RFvFx}3HSA4b;c7( J Qj|g%|@8sǕB~1+U^|Isű %\NyۅUlqd5sFU=eE2J+Uz7PJlEE%W."f\|XiM=@*H!!saGG)3 LuF{Y$5$@#`3dƏƒ^3UDBS| }vj}muw(u>p$6 aeܪP[ۣdؑGsss4]SfaYW4]ȺRHS]̓7j'bEuEC^[!T[u@e4w!t]FhE_61QIzvTc xU"rߍ[l!l8} F dˀbsK5$/3zoRpY\ y5IǷqoΐ70SQq6<04옂3 2ENÕ8BSz*viIB gGAZqa=חW!jt1c+[z]=ul_8pq>z y¹vc]/-p950fWQm}+-2uܚo,i]&*~"LxzNp`6 ;ʫ)HfKm[zFKHB;q`_yT2+<^@IMȏmyxjgS2ߐbQeHZ | ^Hj5*T©ՙ%JxSy_]?h۹n3?" N{IYqg9h|dHy8զ,4;<ms1U8U0|$Je.6->D%il#KT1?Au?Py>ɪpXuu_Z28cio<-e`\!YCZ?Ƨzcd6^btl ? ӭNNnPTeM/L~{37:(~10Ǻ6ܑM@q2klٓ,rucFߒ\Bjz9mz$N;`u.կkwRulZdI$ߑf3ik}}/oN߷~T[~Of-'Uƌ5b'6l=[`lvM|cn뭴1-_ zH-B['beHU7u']K|ZvX2Ϋ/ƎvYzo\ Lݠt-F] endstream endobj 181 0 obj << /Annots [182 0 R 185 0 R] /BleedBox [0 0 612 792] /Contents [187 0 R 186 0 R 183 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3789 184 0 R >> >> /Type /Page >> endobj 182 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 183 0 obj << /Length 18 >> stream q /Iabc3789 Do Q endstream endobj 184 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3804 20690 0 R /Gabc3806 20697 0 R >> /Font << /Fabc3820 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=knT-=%CO4yrCodE#2yk|Z ip3x|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dxQUh^@wP".*x P?E ۾{wb endstream endobj 185 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=20) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 186 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 187 0 obj << /Filter /FlateDecode /Length 1728 >> stream xڥXKk$7WhEV ̀dzsmC&;Jn`UR}Jџ rE7)ߗQ| 9iX 5&~8pv;sn `!(֦$ @[ A :u;^X/^ʝt+4UJu%$]p9Lh_ׁ42Y0\Fв>+'~[kl2hnP7Ł| _:TˁMpnH `{rR<q3>{hBMV;IXygYދMɄ%͝e&Kk3p}b#^EUs-Ǫb1%UCfPBZ"+Sx8FqD=yP>nξ;ۛ笯/$K~2*GBj]z.;p7MMOC)VK͑R=~n7y,k->$Dӗj!*w~* [3[jU*nIC\Н%z_z)1@gvVJ/"b6\CہBow\R-y.)/n49A}vr*/_:K[Hji_cڂ4P}nP,ͦ)D0T[m}]`3N3Z *cxg~Y{C&@h؍zec1Mk7k>WG(/줻zm1M,OmƷ4 ocdAYB'Z0BNN9p-"+lެ[tlI Xĝo1d`} elfI}M̒pl-o \K)G-4\h<8k"5 M,'f3ghҞR @7.w)R?|+ɧ7I;1B -I9Xl5O?xJd0|@ǚ EI;cY.o`[&J" YRmpi4*Z,U Q5uR_d*\B=WJ ULnkj^+3Ğ#6uTa4%={aOKTݕ~ee!B?uNyls' awmf8\Bя/io)#z̄NBoqUH:|Gm8):7Tш{8O^%`\+Ѥ6m=v#Ha7[7oXȯ uܪ6 ή=u? -m= endstream endobj 188 0 obj << /Annots 192 0 R /BleedBox [0 0 612 792] /Contents [261 0 R 256 0 R 20666 0 R 189 0 R 193 0 R 20666 0 R 257 0 R 20666 0 R 259 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc110 190 0 R /Iabc21483 258 0 R /Iabc23894 260 0 R /Iabc3974 194 0 R >> >> /Type /Page >> endobj 189 0 obj << /Length 17 >> stream q /Iabc110 Do Q endstream endobj 190 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc112 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 191 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 192 0 obj [191 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R 201 0 R 202 0 R 203 0 R 204 0 R 205 0 R 206 0 R 207 0 R 208 0 R 209 0 R 210 0 R 211 0 R 212 0 R 213 0 R 214 0 R 215 0 R 216 0 R 217 0 R 218 0 R 219 0 R 220 0 R 221 0 R 222 0 R 223 0 R 224 0 R 225 0 R 226 0 R 227 0 R 228 0 R 229 0 R 230 0 R 231 0 R 232 0 R 233 0 R 234 0 R 235 0 R 236 0 R 237 0 R 238 0 R 239 0 R 240 0 R 241 0 R 242 0 R 243 0 R 244 0 R 245 0 R 246 0 R 247 0 R 248 0 R 249 0 R 250 0 R 251 0 R 252 0 R 253 0 R 254 0 R 255 0 R 195 0 R] endobj 193 0 obj << /Length 18 >> stream q /Iabc3974 Do Q endstream endobj 194 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3984 20690 0 R /Gabc3995 20697 0 R >> /Font << /Fabc4005 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG$DS3RtriK[Zߖj?'=ʡ|~72ɢԑH5J\[-<8יq|mau㣪!-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w7 endstream endobj 195 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=21) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 196 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [93 524.8 115.6758 532.8] /Subtype /Link /Type /Annot >> endobj 197 0 obj << /A << /D (unique_56_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20210608081201-08'00') /Rect [249 524.8 328.4297 532.8] /Subtype /Link /Type /Annot >> endobj 198 0 obj << /A << /D (unique_56_Connect_42_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (Configuration) /M (D:20210608081201-08'00') /Rect [405 524.8 456.9727 532.8] /Subtype /Link /Type /Annot >> endobj 199 0 obj << /A << /D (unique_56_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20210608081201-08'00') /Rect [93 510.6 156.5547 518.6] /Subtype /Link /Type /Annot >> endobj 200 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [249 510.6 274.1406 518.6] /Subtype /Link /Type /Annot >> endobj 201 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [405 510.6 420.8711 518.6] /Subtype /Link /Type /Annot >> endobj 202 0 obj << /A << /D (unique_56_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20210608081201-08'00') /Rect [93 496.4 130.1211 504.4] /Subtype /Link /Type /Annot >> endobj 203 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [249 496.4 270.7461 504.4] /Subtype /Link /Type /Annot >> endobj 204 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [405 496.4 440.6172 504.4] /Subtype /Link /Type /Annot >> endobj 205 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [93 482.2 135.2383 490.2] /Subtype /Link /Type /Annot >> endobj 206 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [249 482.2 286.082 490.2] /Subtype /Link /Type /Annot >> endobj 207 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [405 482.2 429.8164 490.2] /Subtype /Link /Type /Annot >> endobj 208 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [93 468 139.2734 476] /Subtype /Link /Type /Annot >> endobj 209 0 obj << /A << /D (unique_56_Connect_42_lint_commands) /S /GoTo >> /Border [0 0 0] /Contents (Lint) /M (D:20210608081201-08'00') /Rect [249 468 263.0859 476] /Subtype /Link /Type /Annot >> endobj 210 0 obj << /A << /D (unique_56_Connect_42_memory_commands) /S /GoTo >> /Border [0 0 0] /Contents (Memory) /M (D:20210608081201-08'00') /Rect [405 468 436.4727 476] /Subtype /Link /Type /Annot >> endobj 211 0 obj << /A << /D (unique_56_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20210608081201-08'00') /Rect [93 453.8 143.1055 461.8] /Subtype /Link /Type /Annot >> endobj 212 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [249 453.8 273.3203 461.8] /Subtype /Link /Type /Annot >> endobj 213 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [405 453.8 429.4688 461.8] /Subtype /Link /Type /Annot >> endobj 214 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [93 439.6 125.3164 447.6] /Subtype /Link /Type /Annot >> endobj 215 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [249 439.6 294.0586 447.6] /Subtype /Link /Type /Annot >> endobj 216 0 obj << /A << /D (unique_56_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20210608081201-08'00') /Rect [405 439.6 437.6562 447.6] /Subtype /Link /Type /Annot >> endobj 217 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [93 425.4 116.707 433.4] /Subtype /Link /Type /Annot >> endobj 218 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [249 425.4 275.207 433.4] /Subtype /Link /Type /Annot >> endobj 219 0 obj << /A << /D (unique_56_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20210608081201-08'00') /Rect [405 425.4 435.8398 433.4] /Subtype /Link /Type /Annot >> endobj 220 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [93 411.2 180.3281 419.2] /Subtype /Link /Type /Annot >> endobj 221 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [249 411.2 274.4414 419.2] /Subtype /Link /Type /Annot >> endobj 222 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [405 411.2 420.2852 419.2] /Subtype /Link /Type /Annot >> endobj 223 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [93 397 133.1641 405] /Subtype /Link /Type /Annot >> endobj 224 0 obj << /A << /D (unique_56_Connect_42_sysgen_commands) /S /GoTo >> /Border [0 0 0] /Contents (SysGen) /M (D:20210608081201-08'00') /Rect [249 397 276.582 405] /Subtype /Link /Type /Annot >> endobj 225 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [405 397 430.9219 405] /Subtype /Link /Type /Annot >> endobj 226 0 obj << /A << /D (unique_56_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20210608081201-08'00') /Rect [93 382.8 136.2734 390.8] /Subtype /Link /Type /Annot >> endobj 227 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [249 382.8 268.75 390.8] /Subtype /Link /Type /Annot >> endobj 228 0 obj << /A << /D (unique_56_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20210608081201-08'00') /Rect [405 382.8 420.6445 390.8] /Subtype /Link /Type /Annot >> endobj 229 0 obj << /A << /D (unique_56_Connect_42_vivado_preferences_commands) /S /GoTo >> /Border [0 0 0] /Contents (vivado_preferences) /M (D:20210608081201-08'00') /Rect [93 368.6 165.5195 376.6] /Subtype /Link /Type /Annot >> endobj 230 0 obj << /A << /D (unique_56_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20210608081201-08'00') /Rect [249 368.6 274.793 376.6] /Subtype /Link /Type /Annot >> endobj 231 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [405 368.6 443.8047 376.6] /Subtype /Link /Type /Annot >> endobj 232 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [93 354.4 108.582 362.4] /Subtype /Link /Type /Annot >> endobj 233 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [249 354.4 296.6797 362.4] /Subtype /Link /Type /Annot >> endobj 234 0 obj << /A << /D (unique_57) /S /GoTo >> /Border [0 0 0] /Contents (apply_board_connection) /M (D:20210608081201-08'00') /Rect [93 234.8 184.1055 242.8] /Subtype /Link /Type /Annot >> endobj 235 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (current_board) /M (D:20210608081201-08'00') /Rect [249 234.8 302.3477 242.8] /Subtype /Link /Type /Annot >> endobj 236 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [405 234.8 477.2383 242.8] /Subtype /Link /Type /Annot >> endobj 237 0 obj << /A << /D (unique_60) /S /GoTo >> /Border [0 0 0] /Contents (get_board_bus_nets) /M (D:20210608081201-08'00') /Rect [93 220.6 167.4609 228.6] /Subtype /Link /Type /Annot >> endobj 238 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [249 220.6 312.3398 228.6] /Subtype /Link /Type /Annot >> endobj 239 0 obj << /A << /D (unique_62) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_interfaces) /M (D:20210608081201-08'00') /Rect [405 220.6 529.9336 228.6] /Subtype /Link /Type /Annot >> endobj 240 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_modes) /M (D:20210608081201-08'00') /Rect [93 206.4 206.3828 214.4] /Subtype /Link /Type /Annot >> endobj 241 0 obj << /A << /D (unique_64) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_pins) /M (D:20210608081201-08'00') /Rect [249 206.4 352.5586 214.4] /Subtype /Link /Type /Annot >> endobj 242 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20210608081201-08'00') /Rect [405 206.4 493.3398 214.4] /Subtype /Link /Type /Annot >> endobj 243 0 obj << /A << /D (unique_66) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20210608081201-08'00') /Rect [93 192.2 190.6758 200.2] /Subtype /Link /Type /Annot >> endobj 244 0 obj << /A << /D (unique_67) /S /GoTo >> /Border [0 0 0] /Contents (get_board_ip_preferences) /M (D:20210608081201-08'00') /Rect [249 192.2 345.3633 200.2] /Subtype /Link /Type /Annot >> endobj 245 0 obj << /A << /D (unique_68) /S /GoTo >> /Border [0 0 0] /Contents (get_board_jumpers) /M (D:20210608081201-08'00') /Rect [405 192.2 477.3555 200.2] /Subtype /Link /Type /Annot >> endobj 246 0 obj << /A << /D (unique_69) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parameters) /M (D:20210608081201-08'00') /Rect [93 178 177.9492 186] /Subtype /Link /Type /Annot >> endobj 247 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [249 178 346.3242 186] /Subtype /Link /Type /Annot >> endobj 248 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20210608081201-08'00') /Rect [405 178 480.9492 186] /Subtype /Link /Type /Annot >> endobj 249 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [93 163.8 153.7305 171.8] /Subtype /Link /Type /Annot >> endobj 250 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [249 163.8 290.8398 171.8] /Subtype /Link /Type /Annot >> endobj 251 0 obj << /A << /D (unique_74) /S /GoTo >> /Border [0 0 0] /Contents (validate_board_files) /M (D:20210608081201-08'00') /Rect [405 163.8 478.6836 171.8] /Subtype /Link /Type /Annot >> endobj 252 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20210608081201-08'00') /Rect [93 109.4 199.1836 117.4] /Subtype /Link /Type /Annot >> endobj 253 0 obj << /A << /D (unique_75) /S /GoTo >> /Border [0 0 0] /Contents (get_cluster_configurations) /M (D:20210608081201-08'00') /Rect [249 109.4 347.8711 117.4] /Subtype /Link /Type /Annot >> endobj 254 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (remove_cluster_configurations) /M (D:20210608081201-08'00') /Rect [405 109.4 520.1055 117.4] /Subtype /Link /Type /Annot >> endobj 255 0 obj << /A << /D (unique_77) /S /GoTo >> /Border [0 0 0] /Contents (validate_cluster_configurations) /M (D:20210608081201-08'00') /Rect [93 95.2 209.0352 103.2] /Subtype /Link /Type /Annot >> endobj 256 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 257 0 obj << /Length 19 >> stream q /Iabc21483 Do Q endstream endobj 258 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21484 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 259 0 obj << /Length 19 >> stream q /Iabc23894 Do Q endstream endobj 260 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23895 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 261 0 obj << /Filter /FlateDecode /Length 2619 >> stream x[KW@43=m<@O؆1`}O,>DIݒZ @vHQU"MQA9yI߾\'tJ_wWC%Ok*/&_ɖ&C\z4eV uX@6rv]KzX>`L"]r9!c+rQB`$q|3p^S؎ kxVn" ]V}C9N|I Q&ްa;XFG7J`g2tD! L%<+18$^}qyOī+MI$bmu>Ky﹚4r\$?gI@ӂZzť ;ӂ5K RNr,5cե8E1fv`;QM˙4f҆+SR~iu쯵Nqs^#[%>Fa{ߋݧ2|; _e<"=ʱ ؝+j߭M[}>;axt$:m]veimq6O͖[1R6̯[Z{15+wHFEC,vx(yݭ$CɫEr0|?H"XbZn%J&MVrɢiə֙loL6Y#rjHI"DźD9kI]DO89 $JIđ4o rJ6)KI '5:&KIK)4/iR浴+"yHd5S5P&h*WTuF+q+g&ӏ4G2@hzog,v8WGzS~0XUF>ThʶxҧnXS@ dZrɷ i>31\y  :Euc({tBogjާ?A[mC]SvfN_ӫ+AM9xdOi Eerwʭj30ۇ0m#s`Zp]8#ǰ14zLvQwMЖ{rĕ@u'ykXFYf[9'!sZ9XT]`:u? imrx)+'ʞ㑃_k'9_?q?< 0qy獃ӱc?d :H읷Hu~09L5Ruv4v[ gICg}9c\D[3>Ag ]gj3΅Ҹ%Z\4:K ,w#X+*4~B2Q Ig;~X1)i L;Kąc>؇̑%~<ႠaD΃t(@5&֑:`4 t;7ZSӕzSe+d:n4~2S,i*YT־AK#Jq&$>Xu76MZ\'җtQTLEF# xs$6b)rIBj %"L1*o FdB3v{􎥡)?#(% oQRܼ3\r쏏9ڟH$jc)HnRNiHȦא Z 3XM[8X,$HOܤ(粂!Q&L橙5^ ޳VѬ24&6gwRZI.-U|e%BG\𻟪OUY8yתpx`.le=NF(T'{c90M+>е ] \GxW=3\ûn4gF6e<N*k(AgVR6x<{,P|W#qH*Aȣ磢Ε%bq/.G3kNӉ#QSQ?!x6(Σ; endstream endobj 262 0 obj << /Annots 264 0 R /BleedBox [0 0 612 792] /Contents [350 0 R 349 0 R 265 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4162 266 0 R >> >> /Type /Page >> endobj 263 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 264 0 obj [263 0 R 268 0 R 269 0 R 270 0 R 271 0 R 272 0 R 273 0 R 274 0 R 275 0 R 276 0 R 277 0 R 278 0 R 279 0 R 280 0 R 281 0 R 282 0 R 283 0 R 284 0 R 285 0 R 286 0 R 287 0 R 288 0 R 289 0 R 290 0 R 291 0 R 292 0 R 293 0 R 294 0 R 295 0 R 296 0 R 297 0 R 298 0 R 299 0 R 300 0 R 301 0 R 302 0 R 303 0 R 304 0 R 305 0 R 306 0 R 307 0 R 308 0 R 309 0 R 310 0 R 311 0 R 312 0 R 313 0 R 314 0 R 315 0 R 316 0 R 317 0 R 318 0 R 319 0 R 320 0 R 321 0 R 322 0 R 323 0 R 324 0 R 325 0 R 326 0 R 327 0 R 328 0 R 329 0 R 330 0 R 331 0 R 332 0 R 333 0 R 334 0 R 335 0 R 336 0 R 337 0 R 338 0 R 339 0 R 340 0 R 341 0 R 342 0 R 343 0 R 344 0 R 345 0 R 346 0 R 347 0 R 348 0 R 267 0 R] endobj 265 0 obj << /Length 18 >> stream q /Iabc4162 Do Q endstream endobj 266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4172 20690 0 R /Gabc4188 20697 0 R >> /Font << /Fabc4195 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 268 0 obj << /A << /D (unique_78) /S /GoTo >> /Border [0 0 0] /Contents (config_implementation) /M (D:20210608081201-08'00') /Rect [93 675.2 179.7383 683.2] /Subtype /Link /Type /Annot >> endobj 269 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20210608081201-08'00') /Rect [93 620.8001 186.1719 628.8001] /Subtype /Link /Type /Annot >> endobj 270 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20210608081201-08'00') /Rect [249 620.8001 314.7109 628.8001] /Subtype /Link /Type /Annot >> endobj 271 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20210608081201-08'00') /Rect [405 620.8001 481.25 628.8001] /Subtype /Link /Type /Annot >> endobj 272 0 obj << /A << /D (unique_82) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20210608081201-08'00') /Rect [93 606.6 154.3008 614.6] /Subtype /Link /Type /Annot >> endobj 273 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (apply_hw_ila_trigger) /M (D:20210608081201-08'00') /Rect [93 552.2 169.1016 560.2] /Subtype /Link /Type /Annot >> endobj 274 0 obj << /A << /D (unique_84) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_cores) /M (D:20210608081201-08'00') /Rect [249 552.2 329.8594 560.2] /Subtype /Link /Type /Annot >> endobj 275 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [405 552.2 481.5625 560.2] /Subtype /Link /Type /Annot >> endobj 276 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [93 538 163.6836 546] /Subtype /Link /Type /Annot >> endobj 277 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [249 538 319.2188 546] /Subtype /Link /Type /Annot >> endobj 278 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (delete_debug_core) /M (D:20210608081201-08'00') /Rect [405 538 475.625 546] /Subtype /Link /Type /Annot >> endobj 279 0 obj << /A << /D (unique_89) /S /GoTo >> /Border [0 0 0] /Contents (delete_debug_port) /M (D:20210608081201-08'00') /Rect [93 523.8 163.1602 531.8] /Subtype /Link /Type /Annot >> endobj 280 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20210608081201-08'00') /Rect [249 523.8 336.3789 531.8] /Subtype /Link /Type /Annot >> endobj 281 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20210608081201-08'00') /Rect [405 523.8 468.3711 531.8] /Subtype /Link /Type /Annot >> endobj 282 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20210608081201-08'00') /Rect [93 509.6 155.9062 517.6] /Subtype /Link /Type /Annot >> endobj 283 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (implement_debug_core) /M (D:20210608081201-08'00') /Rect [249 509.6 337.082 517.6] /Subtype /Link /Type /Annot >> endobj 284 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (modify_debug_ports) /M (D:20210608081201-08'00') /Rect [405 509.6 481.7227 517.6] /Subtype /Link /Type /Annot >> endobj 285 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (report_debug_core) /M (D:20210608081201-08'00') /Rect [93 495.4 163.9102 503.4] /Subtype /Link /Type /Annot >> endobj 286 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (write_debug_probes) /M (D:20210608081201-08'00') /Rect [249 495.4 325.1094 503.4] /Subtype /Link /Type /Annot >> endobj 287 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20210608081201-08'00') /Rect [93 441 151.1406 449] /Subtype /Link /Type /Annot >> endobj 288 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [249 441 312.4414 449] /Subtype /Link /Type /Annot >> endobj 289 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [405 441 479.4023 449] /Subtype /Link /Type /Annot >> endobj 290 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20210608081201-08'00') /Rect [93 426.8 167.2812 434.8] /Subtype /Link /Type /Annot >> endobj 291 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [249 426.8 300.4727 434.8] /Subtype /Link /Type /Annot >> endobj 292 0 obj << /A << /D (unique_101) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_check) /M (D:20210608081201-08'00') /Rect [405 426.8 468.3828 434.8] /Subtype /Link /Type /Annot >> endobj 293 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [93 412.6 167.3438 420.6] /Subtype /Link /Type /Annot >> endobj 294 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [249 412.6 305.1289 420.6] /Subtype /Link /Type /Annot >> endobj 295 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20210608081201-08'00') /Rect [405 412.6 472.0898 420.6] /Subtype /Link /Type /Annot >> endobj 296 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [93 398.4 159.9688 406.4] /Subtype /Link /Type /Annot >> endobj 297 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (remove_drc_checks) /M (D:20210608081201-08'00') /Rect [249 398.4 321.3633 406.4] /Subtype /Link /Type /Annot >> endobj 298 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [405 398.4 443.9688 406.4] /Subtype /Link /Type /Annot >> endobj 299 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc) /M (D:20210608081201-08'00') /Rect [93 384.2 127.2461 392.2] /Subtype /Link /Type /Annot >> endobj 300 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20210608081201-08'00') /Rect [249 384.2 307.9453 392.2] /Subtype /Link /Type /Annot >> endobj 301 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (delete_qor_suggestions) /M (D:20210608081201-08'00') /Rect [93 329.8 181.582 337.8] /Subtype /Link /Type /Annot >> endobj 302 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20210608081201-08'00') /Rect [249 329.8 326.4961 337.8] /Subtype /Link /Type /Annot >> endobj 303 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20210608081201-08'00') /Rect [405 329.8 487.3242 337.8] /Subtype /Link /Type /Annot >> endobj 304 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20210608081201-08'00') /Rect [93 315.6 180.4883 323.6] /Subtype /Link /Type /Annot >> endobj 305 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [249 315.6 337.8672 323.6] /Subtype /Link /Type /Annot >> endobj 306 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20210608081201-08'00') /Rect [405 315.6 489.2305 323.6] /Subtype /Link /Type /Annot >> endobj 307 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (auto_detect_xpm) /M (D:20210608081201-08'00') /Rect [93 261.2 156.9297 269.2] /Subtype /Link /Type /Annot >> endobj 308 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (combine_hw_platforms) /M (D:20210608081201-08'00') /Rect [249 261.2 335.9844 269.2] /Subtype /Link /Type /Annot >> endobj 309 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (config_webtalk) /M (D:20210608081201-08'00') /Rect [405 261.2 461.0859 269.2] /Subtype /Link /Type /Annot >> endobj 310 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (create_port_on_reconfigurable_module) /M (D:20210608081201-08'00') /Rect [93 246.9999 239.3789 254.9999] /Subtype /Link /Type /Annot >> endobj 311 0 obj << /A << /D (unique_116) /S /GoTo >> /Border [0 0 0] /Contents (decrypt_bitstream) /M (D:20210608081201-08'00') /Rect [249 246.9999 317.0508 254.9999] /Subtype /Link /Type /Annot >> endobj 312 0 obj << /A << /D (unique_117) /S /GoTo >> /Border [0 0 0] /Contents (encrypt) /M (D:20210608081201-08'00') /Rect [405 246.9999 433.4883 254.9999] /Subtype /Link /Type /Annot >> endobj 313 0 obj << /A << /D (unique_118) /S /GoTo >> /Border [0 0 0] /Contents (generate_base_platform) /M (D:20210608081201-08'00') /Rect [93 232.8 184.0742 240.8] /Subtype /Link /Type /Annot >> endobj 314 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (generate_mem_files) /M (D:20210608081201-08'00') /Rect [249 232.8 324.2773 240.8] /Subtype /Link /Type /Annot >> endobj 315 0 obj << /A << /D (unique_120) /S /GoTo >> /Border [0 0 0] /Contents (generate_pblock) /M (D:20210608081201-08'00') /Rect [405 232.8 467.1523 240.8] /Subtype /Link /Type /Annot >> endobj 316 0 obj << /A << /D (unique_121) /S /GoTo >> /Border [0 0 0] /Contents (generate_rl_platform) /M (D:20210608081201-08'00') /Rect [93 218.6 171.6875 226.6] /Subtype /Link /Type /Annot >> endobj 317 0 obj << /A << /D (unique_122) /S /GoTo >> /Border [0 0 0] /Contents (generate_shx_platform) /M (D:20210608081201-08'00') /Rect [249 218.6 335.3281 226.6] /Subtype /Link /Type /Annot >> endobj 318 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (infer_diff_pairs) /M (D:20210608081201-08'00') /Rect [405 218.6 460.2578 226.6] /Subtype /Link /Type /Annot >> endobj 319 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20210608081201-08'00') /Rect [93 204.4 162.7695 212.4] /Subtype /Link /Type /Annot >> endobj 320 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (pr_recombine) /M (D:20210608081201-08'00') /Rect [249 204.4 300.8555 212.4] /Subtype /Link /Type /Annot >> endobj 321 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (pr_subdivide) /M (D:20210608081201-08'00') /Rect [405 204.4 452.7578 212.4] /Subtype /Link /Type /Annot >> endobj 322 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (pr_verify) /M (D:20210608081201-08'00') /Rect [93 190.2 125.2891 198.2] /Subtype /Link /Type /Annot >> endobj 323 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (read_bd) /M (D:20210608081201-08'00') /Rect [249 190.2 279.2812 198.2] /Subtype /Link /Type /Annot >> endobj 324 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [405 190.2 466.5039 198.2] /Subtype /Link /Type /Annot >> endobj 325 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (read_csv) /M (D:20210608081201-08'00') /Rect [93 176 125.1719 184] /Subtype /Link /Type /Annot >> endobj 326 0 obj << /A << /D (unique_131) /S /GoTo >> /Border [0 0 0] /Contents (read_edif) /M (D:20210608081201-08'00') /Rect [249 176 283.6875 184] /Subtype /Link /Type /Annot >> endobj 327 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20210608081201-08'00') /Rect [405 176 432.4219 184] /Subtype /Link /Type /Annot >> endobj 328 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (read_mem) /M (D:20210608081201-08'00') /Rect [93 161.8 132.9102 169.8] /Subtype /Link /Type /Annot >> endobj 329 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20210608081201-08'00') /Rect [249 161.8 331.3242 169.8] /Subtype /Link /Type /Annot >> endobj 330 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20210608081201-08'00') /Rect [405 161.8 438.5742 169.8] /Subtype /Link /Type /Annot >> endobj 331 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (read_schematic) /M (D:20210608081201-08'00') /Rect [93 147.6 151.3242 155.6] /Subtype /Link /Type /Annot >> endobj 332 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (read_twx) /M (D:20210608081201-08'00') /Rect [249 147.6 282.8438 155.6] /Subtype /Link /Type /Annot >> endobj 333 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (read_verilog) /M (D:20210608081201-08'00') /Rect [405 147.6 451.2031 155.6] /Subtype /Link /Type /Annot >> endobj 334 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (read_vhdl) /M (D:20210608081201-08'00') /Rect [93 133.4 129.4297 141.4] /Subtype /Link /Type /Annot >> endobj 335 0 obj << /A << /D (unique_139) /S /GoTo >> /Border [0 0 0] /Contents (read_xdc) /M (D:20210608081201-08'00') /Rect [249 133.4 282.3516 141.4] /Subtype /Link /Type /Annot >> endobj 336 0 obj << /A << /D (unique_140) /S /GoTo >> /Border [0 0 0] /Contents (refresh_meminit) /M (D:20210608081201-08'00') /Rect [405 133.4 466.7266 141.4] /Subtype /Link /Type /Annot >> endobj 337 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (write_abstract_shell) /M (D:20210608081201-08'00') /Rect [93 119.2 166.6172 127.2] /Subtype /Link /Type /Annot >> endobj 338 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_layout) /M (D:20210608081201-08'00') /Rect [249 119.2 307.7773 127.2] /Subtype /Link /Type /Annot >> endobj 339 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [405 119.2 463.6406 127.2] /Subtype /Link /Type /Annot >> endobj 340 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (write_bmm) /M (D:20210608081201-08'00') /Rect [93 105 135.2266 113] /Subtype /Link /Type /Annot >> endobj 341 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (write_bsdl) /M (D:20210608081201-08'00') /Rect [249 105 287.082 113] /Subtype /Link /Type /Annot >> endobj 342 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20210608081201-08'00') /Rect [405 105 458.332 113] /Subtype /Link /Type /Annot >> endobj 343 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [93 90.8 156.4102 98.8] /Subtype /Link /Type /Annot >> endobj 344 0 obj << /A << /D (unique_148) /S /GoTo >> /Border [0 0 0] /Contents (write_csv) /M (D:20210608081201-08'00') /Rect [249 90.8 283.0781 98.8] /Subtype /Link /Type /Annot >> endobj 345 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (write_debug_probes) /M (D:20210608081201-08'00') /Rect [405 90.8 481.1094 98.8] /Subtype /Link /Type /Annot >> endobj 346 0 obj << /A << /D (unique_149) /S /GoTo >> /Border [0 0 0] /Contents (write_device_image) /M (D:20210608081201-08'00') /Rect [93 76.6 165.9297 84.6] /Subtype /Link /Type /Annot >> endobj 347 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [249 76.6 285.5938 84.6] /Subtype /Link /Type /Annot >> endobj 348 0 obj << /A << /D (unique_151) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20210608081201-08'00') /Rect [405 76.6 474.6055 84.6] /Subtype /Link /Type /Annot >> endobj 349 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 350 0 obj << /Filter /FlateDecode /Length 2658 >> stream x\K6 W\޲3Coi@my@%'HJl/8ՊvU17r}߿*9}5*M˘Rҷ/'ƄaL 8c\C#`ƺg3}~x#4TVD4<}k`8u7dpIx~ekQ"pŊfR#5Ҕ#\淁~'vvx2K5=$ `)1å<\LF5hN<YPzӠy ~؇6Mn)l=3[uxݟ KrUhyRMhpsmeuVtMO+ͫh_7l"ޢQ'膫+Va IRUj,4*mnPCIFLtD)N} 抺,1*zl?aT5y)Kǡ1MНy >dLv̤ͦf/"ktL3_Ź. zlo096_7}W[{}p*y`kwYshKosC+ې1틅̈́Lh`֍/"-k7칐Imgwe"lL&Ily0S&:aQn6cQlȾ?3OIOe@и ,:㦄'[[ ܕ195NO@[j2lX\:Տ6mmy+]>nf9(RGFcypHzr82Rr RUYAJ!.*٦ tm BTm ,AJWFEsRbe/LBbcg;* gHwtzS|˵Cb/(pܬM-O<)"itbV J.> Z~*MЍW2Y"!TBW̝ZXʏx#/[dV S~KrWvǪѽC]ΙuEVlÕt~v&A&n\-sIAYDagv~Hb<"dXbfԽJTd ypJxo-5||Txm NP̸,݆Ҁ5 6>HEj<Y'?ڀ太%5i-?3SEXlbؐ% } xt.k7 '"sp\ [W]'BvRSbFG.aޑS K[x,xzZ6yCZwEQ~|N;_#; RF+A|2JzJ%iE?%hH=f:/L$zшGITaXxg Ǽ@V\/BκC`øv`V`al/p*2F*HXew1r:I{>S!zRJHjptьx(CX鱹.z»rW ws~޿¥Cy@ɽ )x * *en}\xkpc(dǛLRR\)>8THÅ!:‰ +SQeE(Z[ endstream endobj 351 0 obj << /Annots 353 0 R /BleedBox [0 0 612 792] /Contents [463 0 R 462 0 R 354 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4347 355 0 R >> >> /Type /Page >> endobj 352 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 353 0 obj [352 0 R 357 0 R 358 0 R 359 0 R 360 0 R 361 0 R 362 0 R 363 0 R 364 0 R 365 0 R 366 0 R 367 0 R 368 0 R 369 0 R 370 0 R 371 0 R 372 0 R 373 0 R 374 0 R 375 0 R 376 0 R 377 0 R 378 0 R 379 0 R 380 0 R 381 0 R 382 0 R 383 0 R 384 0 R 385 0 R 386 0 R 387 0 R 388 0 R 389 0 R 390 0 R 391 0 R 392 0 R 393 0 R 394 0 R 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R 401 0 R 402 0 R 403 0 R 404 0 R 405 0 R 406 0 R 407 0 R 408 0 R 409 0 R 410 0 R 411 0 R 412 0 R 413 0 R 414 0 R 415 0 R 416 0 R 417 0 R 418 0 R 419 0 R 420 0 R 421 0 R 422 0 R 423 0 R 424 0 R 425 0 R 426 0 R 427 0 R 428 0 R 429 0 R 430 0 R 431 0 R 432 0 R 433 0 R 434 0 R 435 0 R 436 0 R 437 0 R 438 0 R 439 0 R 440 0 R 441 0 R 442 0 R 443 0 R 444 0 R 445 0 R 446 0 R 447 0 R 448 0 R 449 0 R 450 0 R 451 0 R 452 0 R 453 0 R 454 0 R 455 0 R 456 0 R 457 0 R 458 0 R 459 0 R 460 0 R 461 0 R 356 0 R] endobj 354 0 obj << /Length 18 >> stream q /Iabc4347 Do Q endstream endobj 355 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4357 20690 0 R /Gabc4368 20697 0 R >> /Font << /Fabc4378 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=x|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dxQUh^@wP".*x P?E ۾{w9 endstream endobj 356 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=23) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 357 0 obj << /A << /D (unique_152) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform_metadata) /M (D:20210608081201-08'00') /Rect [93 700.4 202.0469 708.4] /Subtype /Link /Type /Annot >> endobj 358 0 obj << /A << /D (unique_153) /S /GoTo >> /Border [0 0 0] /Contents (write_ibis) /M (D:20210608081201-08'00') /Rect [249 700.4 284.2227 708.4] /Subtype /Link /Type /Annot >> endobj 359 0 obj << /A << /D (unique_154) /S /GoTo >> /Border [0 0 0] /Contents (write_inferred_xdc) /M (D:20210608081201-08'00') /Rect [405 700.4 473.7852 708.4] /Subtype /Link /Type /Annot >> endobj 360 0 obj << /A << /D (unique_155) /S /GoTo >> /Border [0 0 0] /Contents (write_mem_info) /M (D:20210608081201-08'00') /Rect [93 686.2 152.707 694.2] /Subtype /Link /Type /Annot >> endobj 361 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20210608081201-08'00') /Rect [249 686.2 333.2305 694.2] /Subtype /Link /Type /Annot >> endobj 362 0 obj << /A << /D (unique_156) /S /GoTo >> /Border [0 0 0] /Contents (write_schematic) /M (D:20210608081201-08'00') /Rect [405 686.2 465.2305 694.2] /Subtype /Link /Type /Annot >> endobj 363 0 obj << /A << /D (unique_157) /S /GoTo >> /Border [0 0 0] /Contents (write_sdf) /M (D:20210608081201-08'00') /Rect [93 672 126.8516 680] /Subtype /Link /Type /Annot >> endobj 364 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [249 672 297.1094 680] /Subtype /Link /Type /Annot >> endobj 365 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [405 672 443.3359 680] /Subtype /Link /Type /Annot >> endobj 366 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20210608081201-08'00') /Rect [93 657.8001 128.2578 665.8001] /Subtype /Link /Type /Annot >> endobj 367 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20210608081201-08'00') /Rect [93 603.4 166.0938 611.4] /Subtype /Link /Type /Annot >> endobj 368 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (add_to_power_rail) /M (D:20210608081201-08'00') /Rect [249 603.4 316.5547 611.4] /Subtype /Link /Type /Annot >> endobj 369 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20210608081201-08'00') /Rect [405 603.4 456.6133 611.4] /Subtype /Link /Type /Annot >> endobj 370 0 obj << /A << /D (unique_163) /S /GoTo >> /Border [0 0 0] /Contents (create_power_rail) /M (D:20210608081201-08'00') /Rect [93 589.2 158.6719 597.2] /Subtype /Link /Type /Annot >> endobj 371 0 obj << /A << /D (unique_164) /S /GoTo >> /Border [0 0 0] /Contents (delete_pblocks) /M (D:20210608081201-08'00') /Rect [249 589.2 304.3867 597.2] /Subtype /Link /Type /Annot >> endobj 372 0 obj << /A << /D (unique_165) /S /GoTo >> /Border [0 0 0] /Contents (delete_power_rails) /M (D:20210608081201-08'00') /Rect [405 589.2 474.4453 597.2] /Subtype /Link /Type /Annot >> endobj 373 0 obj << /A << /D (unique_166) /S /GoTo >> /Border [0 0 0] /Contents (delete_rpm) /M (D:20210608081201-08'00') /Rect [93 575 135.4023 583] /Subtype /Link /Type /Annot >> endobj 374 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20210608081201-08'00') /Rect [249 575 293.3008 583] /Subtype /Link /Type /Annot >> endobj 375 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (get_power_rails) /M (D:20210608081201-08'00') /Rect [405 575 463.3594 583] /Subtype /Link /Type /Annot >> endobj 376 0 obj << /A << /D (unique_169) /S /GoTo >> /Border [0 0 0] /Contents (place_cell) /M (D:20210608081201-08'00') /Rect [93 560.8001 128.5898 568.8001] /Subtype /Link /Type /Annot >> endobj 377 0 obj << /A << /D (unique_170) /S /GoTo >> /Border [0 0 0] /Contents (remove_cells_from_pblock) /M (D:20210608081201-08'00') /Rect [249 560.8001 346.8906 568.8001] /Subtype /Link /Type /Annot >> endobj 378 0 obj << /A << /D (unique_171) /S /GoTo >> /Border [0 0 0] /Contents (remove_from_power_rail) /M (D:20210608081201-08'00') /Rect [405 560.8001 497.3516 568.8001] /Subtype /Link /Type /Annot >> endobj 379 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20210608081201-08'00') /Rect [93 546.6 143.0547 554.6] /Subtype /Link /Type /Annot >> endobj 380 0 obj << /A << /D (unique_173) /S /GoTo >> /Border [0 0 0] /Contents (swap_locs) /M (D:20210608081201-08'00') /Rect [249 546.6 286.3945 554.6] /Subtype /Link /Type /Annot >> endobj 381 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (unplace_cell) /M (D:20210608081201-08'00') /Rect [405 546.6 450.4805 554.6] /Subtype /Link /Type /Annot >> endobj 382 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20210608081201-08'00') /Rect [93 492.2 204.082 500.2] /Subtype /Link /Type /Annot >> endobj 383 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20210608081201-08'00') /Rect [249 492.2 376.0469 500.2] /Subtype /Link /Type /Annot >> endobj 384 0 obj << /A << /D (unique_177) /S /GoTo >> /Border [0 0 0] /Contents (endgroup) /M (D:20210608081201-08'00') /Rect [405 492.2 442.2344 500.2] /Subtype /Link /Type /Annot >> endobj 385 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [93 478 212.7344 486] /Subtype /Link /Type /Annot >> endobj 386 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [249 478 352.7695 486] /Subtype /Link /Type /Annot >> endobj 387 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20210608081201-08'00') /Rect [405 478 493.9805 486] /Subtype /Link /Type /Annot >> endobj 388 0 obj << /A << /D (unique_181) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20210608081201-08'00') /Rect [93 463.8 167.6953 471.8] /Subtype /Link /Type /Annot >> endobj 389 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [249 463.8 325.8711 471.8] /Subtype /Link /Type /Annot >> endobj 390 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20210608081201-08'00') /Rect [405 463.8 468.9375 471.8] /Subtype /Link /Type /Annot >> endobj 391 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20210608081201-08'00') /Rect [93 449.6 142.7305 457.6] /Subtype /Link /Type /Annot >> endobj 392 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (redo) /M (D:20210608081201-08'00') /Rect [249 449.6 266.5 457.6] /Subtype /Link /Type /Annot >> endobj 393 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [405 449.6 540.9688 457.6] /Subtype /Link /Type /Annot >> endobj 394 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [93 435.4 213.0039 443.4] /Subtype /Link /Type /Annot >> endobj 395 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20210608081201-08'00') /Rect [249 435.4 300.8281 443.4] /Subtype /Link /Type /Annot >> endobj 396 0 obj << /A << /D (unique_189) /S /GoTo >> /Border [0 0 0] /Contents (show_objects) /M (D:20210608081201-08'00') /Rect [405 435.4 455.0117 443.4] /Subtype /Link /Type /Annot >> endobj 397 0 obj << /A << /D (unique_190) /S /GoTo >> /Border [0 0 0] /Contents (show_schematic) /M (D:20210608081201-08'00') /Rect [93 421.2 154.0039 429.2] /Subtype /Link /Type /Annot >> endobj 398 0 obj << /A << /D (unique_191) /S /GoTo >> /Border [0 0 0] /Contents (start_gui) /M (D:20210608081201-08'00') /Rect [249 421.2 281.6172 429.2] /Subtype /Link /Type /Annot >> endobj 399 0 obj << /A << /D (unique_192) /S /GoTo >> /Border [0 0 0] /Contents (startgroup) /M (D:20210608081201-08'00') /Rect [405 421.2 445.2539 429.2] /Subtype /Link /Type /Annot >> endobj 400 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (stop_gui) /M (D:20210608081201-08'00') /Rect [93 407 124.6992 415] /Subtype /Link /Type /Annot >> endobj 401 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (undo) /M (D:20210608081201-08'00') /Rect [249 407 268.6523 415] /Subtype /Link /Type /Annot >> endobj 402 0 obj << /A << /D (unique_195) /S /GoTo >> /Border [0 0 0] /Contents (unhighlight_objects) /M (D:20210608081201-08'00') /Rect [405 407 478.8281 415] /Subtype /Link /Type /Annot >> endobj 403 0 obj << /A << /D (unique_196) /S /GoTo >> /Border [0 0 0] /Contents (unmark_objects) /M (D:20210608081201-08'00') /Rect [93 392.8 152.6211 400.8] /Subtype /Link /Type /Annot >> endobj 404 0 obj << /A << /D (unique_197) /S /GoTo >> /Border [0 0 0] /Contents (unselect_objects) /M (D:20210608081201-08'00') /Rect [249 392.8 310.7188 400.8] /Subtype /Link /Type /Annot >> endobj 405 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [93 338.4 154.543 346.4] /Subtype /Link /Type /Annot >> endobj 406 0 obj << /A << /D (unique_199) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_probe_enum) /M (D:20210608081201-08'00') /Rect [249 338.4 328.7383 346.4] /Subtype /Link /Type /Annot >> endobj 407 0 obj << /A << /D (unique_200) /S /GoTo >> /Border [0 0 0] /Contents (boot_hw_device) /M (D:20210608081201-08'00') /Rect [405 338.4 464.1328 346.4] /Subtype /Link /Type /Annot >> endobj 408 0 obj << /A << /D (unique_201) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_manager) /M (D:20210608081201-08'00') /Rect [93 324.2 164.0391 332.2] /Subtype /Link /Type /Annot >> endobj 409 0 obj << /A << /D (unique_202) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_target) /M (D:20210608081201-08'00') /Rect [249 324.2 308.8594 332.2] /Subtype /Link /Type /Annot >> endobj 410 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [405 324.2 468.75 332.2] /Subtype /Link /Type /Annot >> endobj 411 0 obj << /A << /D (unique_204) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20210608081201-08'00') /Rect [93 310 153.8672 318] /Subtype /Link /Type /Annot >> endobj 412 0 obj << /A << /D (unique_205) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sio) /M (D:20210608081201-08'00') /Rect [249 310 306.1367 318] /Subtype /Link /Type /Annot >> endobj 413 0 obj << /A << /D (unique_206) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sysmon) /M (D:20210608081201-08'00') /Rect [405 310 480.4102 318] /Subtype /Link /Type /Annot >> endobj 414 0 obj << /A << /D (unique_207) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20210608081201-08'00') /Rect [93 295.8 150.3672 303.8] /Subtype /Link /Type /Annot >> endobj 415 0 obj << /A << /D (unique_208) /S /GoTo >> /Border [0 0 0] /Contents (config_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [249 295.8 315.8398 303.8] /Subtype /Link /Type /Annot >> endobj 416 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [405 295.8 476.1484 303.8] /Subtype /Link /Type /Annot >> endobj 417 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [93 281.6 160.4102 289.6] /Subtype /Link /Type /Annot >> endobj 418 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_bitstream) /M (D:20210608081201-08'00') /Rect [249 281.6 326.5742 289.6] /Subtype /Link /Type /Annot >> endobj 419 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [405 281.6 477.2656 289.6] /Subtype /Link /Type /Annot >> endobj 420 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20210608081201-08'00') /Rect [93 267.4 158.1094 275.4] /Subtype /Link /Type /Annot >> endobj 421 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_probe) /M (D:20210608081201-08'00') /Rect [249 267.4 312.6992 275.4] /Subtype /Link /Type /Annot >> endobj 422 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20210608081201-08'00') /Rect [405 267.4 473.6445 275.4] /Subtype /Link /Type /Annot >> endobj 423 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [93 253.2 184.5 261.2] /Subtype /Link /Type /Annot >> endobj 424 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [249 253.2 321.4062 261.2] /Subtype /Link /Type /Annot >> endobj 425 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [405 253.2 484.3672 261.2] /Subtype /Link /Type /Annot >> endobj 426 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [93 239 157.2383 247] /Subtype /Link /Type /Annot >> endobj 427 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [249 239 325.4609 247] /Subtype /Link /Type /Annot >> endobj 428 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [405 239 474.3047 247] /Subtype /Link /Type /Annot >> endobj 429 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [93 224.8 147.0859 232.8] /Subtype /Link /Type /Annot >> endobj 430 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20210608081201-08'00') /Rect [249 224.8 323.1602 232.8] /Subtype /Link /Type /Annot >> endobj 431 0 obj << /A << /D (unique_223) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20210608081201-08'00') /Rect [405 224.8 474 232.8] /Subtype /Link /Type /Annot >> endobj 432 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [93 210.6 161.4336 218.6] /Subtype /Link /Type /Annot >> endobj 433 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [249 210.6 316.3516 218.6] /Subtype /Link /Type /Annot >> endobj 434 0 obj << /A << /D (unique_226) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_bitstream) /M (D:20210608081201-08'00') /Rect [405 210.6 482.5156 218.6] /Subtype /Link /Type /Annot >> endobj 435 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [93 196.4 165.207 204.4] /Subtype /Link /Type /Annot >> endobj 436 0 obj << /A << /D (unique_228) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_probe) /M (D:20210608081201-08'00') /Rect [249 196.4 312.6406 204.4] /Subtype /Link /Type /Annot >> endobj 437 0 obj << /A << /D (unique_229) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_target) /M (D:20210608081201-08'00') /Rect [405 196.4 469.1797 204.4] /Subtype /Link /Type /Annot >> endobj 438 0 obj << /A << /D (unique_230) /S /GoTo >> /Border [0 0 0] /Contents (detect_hw_sio_links) /M (D:20210608081201-08'00') /Rect [93 182.2 165.5703 190.2] /Subtype /Link /Type /Annot >> endobj 439 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20210608081201-08'00') /Rect [249 182.2 330.9648 190.2] /Subtype /Link /Type /Annot >> endobj 440 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20210608081201-08'00') /Rect [405 182.2 477.8672 190.2] /Subtype /Link /Type /Annot >> endobj 441 0 obj << /A << /D (unique_233) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [93 168 168.3086 176] /Subtype /Link /Type /Annot >> endobj 442 0 obj << /A << /D (unique_234) /S /GoTo >> /Border [0 0 0] /Contents (execute_hw_svf) /M (D:20210608081201-08'00') /Rect [249 168 306.7422 176] /Subtype /Link /Type /Annot >> endobj 443 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20210608081201-08'00') /Rect [405 168 474.3203 176] /Subtype /Link /Type /Annot >> endobj 444 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20210608081201-08'00') /Rect [93 153.8 153.0977 161.8] /Subtype /Link /Type /Annot >> endobj 445 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [249 153.8 293.7461 161.8] /Subtype /Link /Type /Annot >> endobj 446 0 obj << /A << /D (unique_238) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20210608081201-08'00') /Rect [405 153.8 469.9531 161.8] /Subtype /Link /Type /Annot >> endobj 447 0 obj << /A << /D (unique_239) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ddrmcs) /M (D:20210608081201-08'00') /Rect [93 139.6 151.4336 147.6] /Subtype /Link /Type /Annot >> endobj 448 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [249 139.6 306.7969 147.6] /Subtype /Link /Type /Annot >> endobj 449 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [405 139.6 456.3125 147.6] /Subtype /Link /Type /Annot >> endobj 450 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [93 125.4 155.6523 133.4] /Subtype /Link /Type /Annot >> endobj 451 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [249 125.4 291.5781 133.4] /Subtype /Link /Type /Annot >> endobj 452 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20210608081201-08'00') /Rect [405 125.4 453.4297 133.4] /Subtype /Link /Type /Annot >> endobj 453 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20210608081201-08'00') /Rect [93 111.2 142.3008 119.2] /Subtype /Link /Type /Annot >> endobj 454 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [249 111.2 305.3867 119.2] /Subtype /Link /Type /Annot >> endobj 455 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [405 111.2 462.4922 119.2] /Subtype /Link /Type /Annot >> endobj 456 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [93 97 174.4141 105] /Subtype /Link /Type /Annot >> endobj 457 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gtgroups) /M (D:20210608081201-08'00') /Rect [249 97 327.6523 105] /Subtype /Link /Type /Annot >> endobj 458 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [405 97 460.7969 105] /Subtype /Link /Type /Annot >> endobj 459 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [93 82.8 158.6758 90.8] /Subtype /Link /Type /Annot >> endobj 460 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20210608081201-08'00') /Rect [249 82.8 333.1875 90.8] /Subtype /Link /Type /Annot >> endobj 461 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [405 82.8 466.332 90.8] /Subtype /Link /Type /Annot >> endobj 462 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 463 0 obj << /Filter /FlateDecode /Length 2923 >> stream x\K(+8^`lTReVao-՞j^U4`cmH㪕vz`  /)sу?TIsS+.LrوjQ%FFUR472UFÑhz8[wr} ӷ&:th4ko?S/Q\5\{vcp=Z(#]>6 A{LވG˕1P{!.~\dJ3 8cCL8{0uK3ioDh)!iy9–(Hr[c>Ya1 W;:M{CpZZQt1nY"_>cg~uU̅X}XݜtT0KdNLjᐔ 5;JN1L3ڄ^pfF40eRf*5}Ul0U0L-OF r+y6xhyvU+fȪz {s/J yx9 ;N[F iN0_#v(:>@tK0%re}^>f:SGxqOaR`RIy-`&ϣG2 <eTeIlyRI55=ι!G:t$a,aFgWkppc|xW pI/ں՗] +X%/J Ud]; +WݸJ2*ʟbha_ģWfm|}ʑ/.)ݺ|֕1Y#/Jj|:ce]8~H*FX,H,F%ZЍN5Osɝ1Uo>@spJqo=Qo7CSqt>鳯@0j@03.DiN)˕z{VEU.kUt俧 LȮ.2] @t- LȮ.2] .M.r,|ItTt2UΥ4 *[z'ß*HI<*$Q||n%55 R(gp0YpS"Rp[a(g( @{4IJ(dpWԎ4P7we (# Flөv9NXxB h> |X[GjP3BM7SxV쯁&ȺC7Lz 'vE0;'/aR1ƃ8%);KO?]#$rGU xh+=j>1-ӫg%a9g$Bp?e,NhW+1.]d) ..'=vL4TD4xkX^J~Xd'Nyc)u|$CԕrsV NsɎ*eKi챓͔x&0#n$'> [C5 AmC-Qz1 A>HnYYf ~Jie3iTP`N:e:b%tMH\?ns9 uKt> Y \D6v59QhIF0?R+,+qƕTOlYP g:d@j^&wn\q|p%Y!i> 9NgPq *R')ʠ:4ӅERn{QDk&}P#Hoe90I+Y?l%z|lVCz.\{`߬T}k}alQA *@x1ӟcT6B솏 o5@Fְ "VM}z\7L(@N"'SxzgBL&IE4R[I}OkEP z*IYW#Xm _(Q @m fԼDR3USQig?S쀤҇%u ZP/y7"]5675:B#|е2j@ `"+1@Q/0|NY?OC[wA36gËьܵ.H]`.ȶY>\`ڝr#c)e 'ٱeπY^7vŕZ=QLZy_B"(/j>g40#At ҄l,C W—}ܕJPq1`ao(#38LՊ!."6`D6 /Wl u»Sf'R}-}Mq֧~+47~e {vp?߈n 12+5qgvgكLM~Moi+{ ~'8;Z{h&i@7~7ws G]W | 7E=k\ydz%L'sm T RԷ_oڏ{<jM)dɸ_^a+(W~"28eN0lzW~ti:4H ht[lF g endstream endobj 464 0 obj << /Annots 466 0 R /BleedBox [0 0 612 792] /Contents [588 0 R 587 0 R 467 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4545 468 0 R >> >> /Type /Page >> endobj 465 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 466 0 obj [465 0 R 470 0 R 471 0 R 472 0 R 473 0 R 474 0 R 475 0 R 476 0 R 477 0 R 478 0 R 479 0 R 480 0 R 481 0 R 482 0 R 483 0 R 484 0 R 485 0 R 486 0 R 487 0 R 488 0 R 489 0 R 490 0 R 491 0 R 492 0 R 493 0 R 494 0 R 495 0 R 496 0 R 497 0 R 498 0 R 499 0 R 500 0 R 501 0 R 502 0 R 503 0 R 504 0 R 505 0 R 506 0 R 507 0 R 508 0 R 509 0 R 510 0 R 511 0 R 512 0 R 513 0 R 514 0 R 515 0 R 516 0 R 517 0 R 518 0 R 519 0 R 520 0 R 521 0 R 522 0 R 523 0 R 524 0 R 525 0 R 526 0 R 527 0 R 528 0 R 529 0 R 530 0 R 531 0 R 532 0 R 533 0 R 534 0 R 535 0 R 536 0 R 537 0 R 538 0 R 539 0 R 540 0 R 541 0 R 542 0 R 543 0 R 544 0 R 545 0 R 546 0 R 547 0 R 548 0 R 549 0 R 550 0 R 551 0 R 552 0 R 553 0 R 554 0 R 555 0 R 556 0 R 557 0 R 558 0 R 559 0 R 560 0 R 561 0 R 562 0 R 563 0 R 564 0 R 565 0 R 566 0 R 567 0 R 568 0 R 569 0 R 570 0 R 571 0 R 572 0 R 573 0 R 574 0 R 575 0 R 576 0 R 577 0 R 578 0 R 579 0 R 580 0 R 581 0 R 582 0 R 583 0 R 584 0 R 585 0 R 586 0 R 469 0 R] endobj 467 0 obj << /Length 18 >> stream q /Iabc4545 Do Q endstream endobj 468 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4553 20690 0 R /Gabc4572 20697 0 R >> /Font << /Fabc4574 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WI>lj)\Όl iT;/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/}([ endstream endobj 469 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=24) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 470 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [93 700.4 150.0352 708.4] /Subtype /Link /Type /Annot >> endobj 471 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [249 700.4 304.5234 708.4] /Subtype /Link /Type /Annot >> endobj 472 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [405 700.4 470.0938 708.4] /Subtype /Link /Type /Annot >> endobj 473 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [93 686.2 165.0547 694.2] /Subtype /Link /Type /Annot >> endobj 474 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [249 686.2 304.1055 694.2] /Subtype /Link /Type /Annot >> endobj 475 0 obj << /A << /D (unique_259) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_softmcs) /M (D:20210608081201-08'00') /Rect [405 686.2 464.5977 694.2] /Subtype /Link /Type /Annot >> endobj 476 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmon_reg) /M (D:20210608081201-08'00') /Rect [93 672 168.0898 680] /Subtype /Link /Type /Annot >> endobj 477 0 obj << /A << /D (unique_261) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20210608081201-08'00') /Rect [249 672 311.9727 680] /Subtype /Link /Type /Annot >> endobj 478 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [405 672 461.9258 680] /Subtype /Link /Type /Annot >> endobj 479 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [93 657.8001 137.9297 665.8001] /Subtype /Link /Type /Annot >> endobj 480 0 obj << /A << /D (unique_264) /S /GoTo >> /Border [0 0 0] /Contents (list_hw_samples) /M (D:20210608081201-08'00') /Rect [249 657.8001 308.7852 665.8001] /Subtype /Link /Type /Annot >> endobj 481 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_manager) /M (D:20210608081201-08'00') /Rect [405 657.8001 476.1719 665.8001] /Subtype /Link /Type /Annot >> endobj 482 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [93 643.6 152.9922 651.6] /Subtype /Link /Type /Annot >> endobj 483 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [249 643.6 331.9023 651.6] /Subtype /Link /Type /Annot >> endobj 484 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [405 643.6 486.9062 651.6] /Subtype /Link /Type /Annot >> endobj 485 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [93 629.4 171.582 637.4] /Subtype /Link /Type /Annot >> endobj 486 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_ila_data) /M (D:20210608081201-08'00') /Rect [249 629.4 312.6484 637.4] /Subtype /Link /Type /Annot >> endobj 487 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [405 629.4 471.0898 637.4] /Subtype /Link /Type /Annot >> endobj 488 0 obj << /A << /D (unique_272) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [93 615.2 166.0508 623.2] /Subtype /Link /Type /Annot >> endobj 489 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [249 615.2 332.4727 623.2] /Subtype /Link /Type /Annot >> endobj 490 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_device) /M (D:20210608081201-08'00') /Rect [405 615.2 481.3164 623.2] /Subtype /Link /Type /Annot >> endobj 491 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20210608081201-08'00') /Rect [93 601 148.6016 609] /Subtype /Link /Type /Annot >> endobj 492 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_ddrmc) /M (D:20210608081201-08'00') /Rect [249 601 318.2891 609] /Subtype /Link /Type /Annot >> endobj 493 0 obj << /A << /D (unique_277) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_device) /M (D:20210608081201-08'00') /Rect [405 601 473.6523 609] /Subtype /Link /Type /Annot >> endobj 494 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [93 586.8001 155.168 594.8001] /Subtype /Link /Type /Annot >> endobj 495 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20210608081201-08'00') /Rect [249 586.8001 308.2852 594.8001] /Subtype /Link /Type /Annot >> endobj 496 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_pcie) /M (D:20210608081201-08'00') /Rect [405 586.8001 465.1562 594.8001] /Subtype /Link /Type /Annot >> endobj 497 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20210608081201-08'00') /Rect [93 572.6 161.3477 580.6] /Subtype /Link /Type /Annot >> endobj 498 0 obj << /A << /D (unique_282) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sio) /M (D:20210608081201-08'00') /Rect [249 572.6 304.5547 580.6] /Subtype /Link /Type /Annot >> endobj 499 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_softmc) /M (D:20210608081201-08'00') /Rect [405 572.6 475.4531 580.6] /Subtype /Link /Type /Annot >> endobj 500 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sysmon) /M (D:20210608081201-08'00') /Rect [93 558.4 166.8281 566.4] /Subtype /Link /Type /Annot >> endobj 501 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_target) /M (D:20210608081201-08'00') /Rect [249 558.4 316.7812 566.4] /Subtype /Link /Type /Annot >> endobj 502 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20210608081201-08'00') /Rect [405 558.4 460.7852 566.4] /Subtype /Link /Type /Annot >> endobj 503 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [93 544.2001 168.7656 552.2001] /Subtype /Link /Type /Annot >> endobj 504 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_probe_enum) /M (D:20210608081201-08'00') /Rect [249 544.2001 342.9609 552.2001] /Subtype /Link /Type /Annot >> endobj 505 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20210608081201-08'00') /Rect [405 544.2001 478.7344 552.2001] /Subtype /Link /Type /Annot >> endobj 506 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [93 530.0001 189.5898 538.0001] /Subtype /Link /Type /Annot >> endobj 507 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [249 530.0001 326.4961 538.0001] /Subtype /Link /Type /Annot >> endobj 508 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [405 530.0001 489.457 538.0001] /Subtype /Link /Type /Annot >> endobj 509 0 obj << /A << /D (unique_293) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [93 515.8001 160.6367 523.8001] /Subtype /Link /Type /Annot >> endobj 510 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_ddrmc) /M (D:20210608081201-08'00') /Rect [249 515.8001 314.9727 523.8001] /Subtype /Link /Type /Annot >> endobj 511 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20210608081201-08'00') /Rect [405 515.8001 460.9688 523.8001] /Subtype /Link /Type /Annot >> endobj 512 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_pcie) /M (D:20210608081201-08'00') /Rect [93 501.6 149.8398 509.6] /Subtype /Link /Type /Annot >> endobj 513 0 obj << /A << /D (unique_297) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_softmc) /M (D:20210608081201-08'00') /Rect [249 501.6 316.1367 509.6] /Subtype /Link /Type /Annot >> endobj 514 0 obj << /A << /D (unique_298) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_targets) /M (D:20210608081201-08'00') /Rect [405 501.6 473.2969 509.6] /Subtype /Link /Type /Annot >> endobj 515 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20210608081201-08'00') /Rect [93 487.4 140.5625 495.4] /Subtype /Link /Type /Annot >> endobj 516 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_ila) /M (D:20210608081201-08'00') /Rect [249 487.4 294.3945 495.4] /Subtype /Link /Type /Annot >> endobj 517 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_pcie) /M (D:20210608081201-08'00') /Rect [405 487.4 457.1172 495.4] /Subtype /Link /Type /Annot >> endobj 518 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20210608081201-08'00') /Rect [93 473.2 170.4023 481.2] /Subtype /Link /Type /Annot >> endobj 519 0 obj << /A << /D (unique_303) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20210608081201-08'00') /Rect [249 473.2 329.293 481.2] /Subtype /Link /Type /Annot >> endobj 520 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [405 473.2 493.7109 481.2] /Subtype /Link /Type /Annot >> endobj 521 0 obj << /A << /D (unique_305) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_axi) /M (D:20210608081201-08'00') /Rect [93 459 134.7891 467] /Subtype /Link /Type /Annot >> endobj 522 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [249 459 322.3984 467] /Subtype /Link /Type /Annot >> endobj 523 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [405 459 444.6211 467] /Subtype /Link /Type /Annot >> endobj 524 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [93 444.8 155.1367 452.8] /Subtype /Link /Type /Annot >> endobj 525 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [249 444.8 318.0977 452.8] /Subtype /Link /Type /Annot >> endobj 526 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20210608081201-08'00') /Rect [405 444.8 472.2617 452.8] /Subtype /Link /Type /Annot >> endobj 527 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20210608081201-08'00') /Rect [93 430.6 152.4844 438.6] /Subtype /Link /Type /Annot >> endobj 528 0 obj << /A << /D (unique_312) /S /GoTo >> /Border [0 0 0] /Contents (scan_dr_hw_jtag) /M (D:20210608081201-08'00') /Rect [249 430.6 309.793 438.6] /Subtype /Link /Type /Annot >> endobj 529 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20210608081201-08'00') /Rect [405 430.6 462.9336 438.6] /Subtype /Link /Type /Annot >> endobj 530 0 obj << /A << /D (unique_314) /S /GoTo >> /Border [0 0 0] /Contents (set_hw_sysmon_reg) /M (D:20210608081201-08'00') /Rect [93 416.4 167 424.4] /Subtype /Link /Type /Annot >> endobj 531 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [249 416.4 325.6836 424.4] /Subtype /Link /Type /Annot >> endobj 532 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [405 416.4 470.4219 424.4] /Subtype /Link /Type /Annot >> endobj 533 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [93 402.2 165.3828 410.2] /Subtype /Link /Type /Annot >> endobj 534 0 obj << /A << /D (unique_318) /S /GoTo >> /Border [0 0 0] /Contents (update_hw_firmware) /M (D:20210608081201-08'00') /Rect [249 402.2 327.6055 410.2] /Subtype /Link /Type /Annot >> endobj 535 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (update_hw_gpio) /M (D:20210608081201-08'00') /Rect [405 402.2 466.2344 410.2] /Subtype /Link /Type /Annot >> endobj 536 0 obj << /A << /D (unique_320) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20210608081201-08'00') /Rect [93 388 165.6797 396] /Subtype /Link /Type /Annot >> endobj 537 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (verify_hw_devices) /M (D:20210608081201-08'00') /Rect [249 388 315.25 396] /Subtype /Link /Type /Annot >> endobj 538 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_ila) /M (D:20210608081201-08'00') /Rect [405 388 460.2266 396] /Subtype /Link /Type /Annot >> endobj 539 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [93 373.8 170.7422 381.8] /Subtype /Link /Type /Annot >> endobj 540 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [249 373.8 333.7031 381.8] /Subtype /Link /Type /Annot >> endobj 541 0 obj << /A << /D (unique_325) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20210608081201-08'00') /Rect [405 373.8 470.5547 381.8] /Subtype /Link /Type /Annot >> endobj 542 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [93 359.6 160.9961 367.6] /Subtype /Link /Type /Annot >> endobj 543 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [249 359.6 323.957 367.6] /Subtype /Link /Type /Annot >> endobj 544 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20210608081201-08'00') /Rect [405 359.6 452.5156 367.6] /Subtype /Link /Type /Annot >> endobj 545 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20210608081201-08'00') /Rect [93 305.1999 186.1719 313.1999] /Subtype /Link /Type /Annot >> endobj 546 0 obj << /A << /D (unique_329) /S /GoTo >> /Border [0 0 0] /Contents (compile_c) /M (D:20210608081201-08'00') /Rect [249 305.1999 285.8477 313.1999] /Subtype /Link /Type /Annot >> endobj 547 0 obj << /A << /D (unique_11) /S /GoTo >> /Border [0 0 0] /Contents (config_ip_cache) /M (D:20210608081201-08'00') /Rect [405 305.1999 463.5508 313.1999] /Subtype /Link /Type /Annot >> endobj 548 0 obj << /A << /D (unique_330) /S /GoTo >> /Border [0 0 0] /Contents (convert_ips) /M (D:20210608081201-08'00') /Rect [93 290.9999 135.4961 298.9999] /Subtype /Link /Type /Annot >> endobj 549 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (copy_ip) /M (D:20210608081201-08'00') /Rect [249 290.9999 276.875 298.9999] /Subtype /Link /Type /Annot >> endobj 550 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [405 290.9999 438.7383 298.9999] /Subtype /Link /Type /Annot >> endobj 551 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20210608081201-08'00') /Rect [93 276.7999 143.2227 284.7999] /Subtype /Link /Type /Annot >> endobj 552 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20210608081201-08'00') /Rect [249 276.7999 314.7109 284.7999] /Subtype /Link /Type /Annot >> endobj 553 0 obj << /A << /D (unique_334) /S /GoTo >> /Border [0 0 0] /Contents (delete_ip_run) /M (D:20210608081201-08'00') /Rect [405 276.7999 455.1641 284.7999] /Subtype /Link /Type /Annot >> endobj 554 0 obj << /A << /D (unique_335) /S /GoTo >> /Border [0 0 0] /Contents (extract_files) /M (D:20210608081201-08'00') /Rect [93 262.5999 137.5039 270.5999] /Subtype /Link /Type /Annot >> endobj 555 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20210608081201-08'00') /Rect [249 262.5999 325.25 270.5999] /Subtype /Link /Type /Annot >> endobj 556 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [405 262.5999 465.2539 270.5999] /Subtype /Link /Type /Annot >> endobj 557 0 obj << /A << /D (unique_337) /S /GoTo >> /Border [0 0 0] /Contents (get_ip_upgrade_results) /M (D:20210608081201-08'00') /Rect [93 248.3999 179.4062 256.3999] /Subtype /Link /Type /Annot >> endobj 558 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (get_ipdefs) /M (D:20210608081201-08'00') /Rect [249 248.3999 287.6133 256.3999] /Subtype /Link /Type /Annot >> endobj 559 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [405 248.3999 431.4258 256.3999] /Subtype /Link /Type /Annot >> endobj 560 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [93 234.1999 128.7695 242.1999] /Subtype /Link /Type /Annot >> endobj 561 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (open_example_project) /M (D:20210608081201-08'00') /Rect [249 234.1999 333.2148 242.1999] /Subtype /Link /Type /Annot >> endobj 562 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20210608081201-08'00') /Rect [405 234.1999 432.4219 242.1999] /Subtype /Link /Type /Annot >> endobj 563 0 obj << /A << /D (unique_342) /S /GoTo >> /Border [0 0 0] /Contents (report_ip_status) /M (D:20210608081201-08'00') /Rect [93 219.9999 153.125 227.9999] /Subtype /Link /Type /Annot >> endobj 564 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (reset_target) /M (D:20210608081201-08'00') /Rect [249 219.9999 294.2188 227.9999] /Subtype /Link /Type /Annot >> endobj 565 0 obj << /A << /D (unique_344) /S /GoTo >> /Border [0 0 0] /Contents (synth_ip) /M (D:20210608081201-08'00') /Rect [405 219.9999 435.9609 227.9999] /Subtype /Link /Type /Annot >> endobj 566 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (update_ip_catalog) /M (D:20210608081201-08'00') /Rect [93 205.7999 160.7656 213.7999] /Subtype /Link /Type /Annot >> endobj 567 0 obj << /A << /D (unique_346) /S /GoTo >> /Border [0 0 0] /Contents (update_module_reference) /M (D:20210608081201-08'00') /Rect [249 205.7999 347.0547 213.7999] /Subtype /Link /Type /Annot >> endobj 568 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20210608081201-08'00') /Rect [405 205.7999 447.2109 213.7999] /Subtype /Link /Type /Annot >> endobj 569 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (validate_ip) /M (D:20210608081201-08'00') /Rect [93 191.5999 132.7578 199.5999] /Subtype /Link /Type /Annot >> endobj 570 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (write_ip_tcl) /M (D:20210608081201-08'00') /Rect [249 191.5999 290.4062 199.5999] /Subtype /Link /Type /Annot >> endobj 571 0 obj << /A << /D (unique_82) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20210608081201-08'00') /Rect [405 191.5999 466.3008 199.5999] /Subtype /Link /Type /Annot >> endobj 572 0 obj << /A << /D (unique_350) /S /GoTo >> /Border [0 0 0] /Contents (apply_bd_automation) /M (D:20210608081201-08'00') /Rect [93 137.2 173.7578 145.2] /Subtype /Link /Type /Annot >> endobj 573 0 obj << /A << /D (unique_57) /S /GoTo >> /Border [0 0 0] /Contents (apply_board_connection) /M (D:20210608081201-08'00') /Rect [249 137.2 340.1055 145.2] /Subtype /Link /Type /Annot >> endobj 574 0 obj << /A << /D (unique_351) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20210608081201-08'00') /Rect [405 137.2 475.2383 145.2] /Subtype /Link /Type /Annot >> endobj 575 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20210608081201-08'00') /Rect [93 123 153.7031 131] /Subtype /Link /Type /Annot >> endobj 576 0 obj << /A << /D (unique_329) /S /GoTo >> /Border [0 0 0] /Contents (compile_c) /M (D:20210608081201-08'00') /Rect [249 123 285.8477 131] /Subtype /Link /Type /Annot >> endobj 577 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [405 123 479.5117 131] /Subtype /Link /Type /Annot >> endobj 578 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20210608081201-08'00') /Rect [93 108.8 151.5742 116.8] /Subtype /Link /Type /Annot >> endobj 579 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (copy_bd_objs) /M (D:20210608081201-08'00') /Rect [249 108.8 298.6797 116.8] /Subtype /Link /Type /Annot >> endobj 580 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [405 108.8 479.0781 116.8] /Subtype /Link /Type /Annot >> endobj 581 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [93 94.6 145.3633 102.6] /Subtype /Link /Type /Annot >> endobj 582 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [249 94.6 314.082 102.6] /Subtype /Link /Type /Annot >> endobj 583 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20210608081201-08'00') /Rect [405 94.6 473.168 102.6] /Subtype /Link /Type /Annot >> endobj 584 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20210608081201-08'00') /Rect [93 80.4 160.7539 88.4] /Subtype /Link /Type /Annot >> endobj 585 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [249 80.4 320.7773 88.4] /Subtype /Link /Type /Annot >> endobj 586 0 obj << /A << /D (unique_362) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_tlm_port) /M (D:20210608081201-08'00') /Rect [405 80.4 492.4961 88.4] /Subtype /Link /Type /Annot >> endobj 587 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 588 0 obj << /Filter /FlateDecode /Length 3162 >> stream x\͏W`04gJ#4Dn}!q۸gU +(r! 6?GΚ,piU.a KȪfYtXRhh7̊ YcF q@ϳ'1TBgJ/*:d#Y<:t2wt6@tO H՞ɗ\"iV@d(^_30m;>^`ڐOb&YW;%< 7O~*oZz՛vg |\πn'cnԘf7|56gW^xDHl-ݵ Z@rwSfakY{|*[hEu5 lx5֗N] od ז|YuIUģd5}c<|>enXEsY C4ͽѮX` ^pd/҆(=GZv~']뮂-N l:f},*Ũݎpnߎ4 ES҅ 5T&O`yP,`vO mA.>g=U98]p[9q6| LשKoK iZ8iz(d>ƘlMuMnthV^oī)xJ^L9V,hqлY=չNjnR bʭ Gݛm'oUsMhEnqn<*~ s8b4>2> ȞNEG9Ё@|:ȱt B GLu@hU HDrCT'# 9-P@4Lx Gh:IȖpFbFv05q@a7u 2z0d 5'%UaQl@{Z< TW3tP]ο*{&赬GGz-^+yt׶E/XK?X՟Kra xGfǖOm u2Xl͝7N_aMKXXn=T^rHS$\9ͅ.A]=6[v [8˷ݍLE2*:) i/ nܳ7 ñ; 1څJc`x€zHsdѶFZq%:vCpЉ1L P_HGnKSpN2H?rxFqI)g=23me ~&/:裦QGj aGN) T!hqQM 0^/k^S=/hܰ[18ݮ4ص:nֱ;qLxXg; 5{"4*mJȟW;y)3dg:Ñ. K! A[y6r]8ˌ\fw`,܌$]i +#*t"7nji`w "QZbǽ?-:/ ͻYk*ft?XL2e{o(DaǺ< 5QC՗E1=P3>9`,:6m[#vG∊iCDv9cvچ`aކme@~:=[F>  s\i .oh@ zIY h9+d ɿt:"xCi'(o_þbtTD`l( 񁘯/^ ؄+;uwdwebTٰne q QW`&I/$2Hj nR#WytÔGf*/3Rx;rKS7-r4E34| ֕4Ԭ- v Z[e9hQ*[XJv顃+,c;n\/iTu#9رBannMNG"euh"ЀLd$1V[K;&GA+nx2A1A/1i-UHhA=YNUhl@u\0ǔATvk@s)RRBjlHrœIE6%%ٙ9ci§?RJ TQ-yļ `Zz~A0Ȭcs @Vz{UN(;5ʶEREʶ{:ä 9djć) 2 M+z&uӣq}uz.uaAcýoؼ퓲rۘ`T/$4UX< cZd}J[= ePh*sqgC6>'ȌI=*! $xtG.FP+`bg-8Ņ!MJr5H_FIߔߋצg4 o~ l `'~tHZ؀$*p|M ?{O+5dH|~7騎W0/YlzAovDdS GbU?>5[_k +ygy(g*- ]?jШ /;"RJ^ endstream endobj 589 0 obj << /Annots 591 0 R /BleedBox [0 0 612 792] /Contents [683 0 R 682 0 R 592 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4733 593 0 R >> >> /Type /Page >> endobj 590 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 591 0 obj [590 0 R 595 0 R 596 0 R 597 0 R 598 0 R 599 0 R 600 0 R 601 0 R 602 0 R 603 0 R 604 0 R 605 0 R 606 0 R 607 0 R 608 0 R 609 0 R 610 0 R 611 0 R 612 0 R 613 0 R 614 0 R 615 0 R 616 0 R 617 0 R 618 0 R 619 0 R 620 0 R 621 0 R 622 0 R 623 0 R 624 0 R 625 0 R 626 0 R 627 0 R 628 0 R 629 0 R 630 0 R 631 0 R 632 0 R 633 0 R 634 0 R 635 0 R 636 0 R 637 0 R 638 0 R 639 0 R 640 0 R 641 0 R 642 0 R 643 0 R 644 0 R 645 0 R 646 0 R 647 0 R 648 0 R 649 0 R 650 0 R 651 0 R 652 0 R 653 0 R 654 0 R 655 0 R 656 0 R 657 0 R 658 0 R 659 0 R 660 0 R 661 0 R 662 0 R 663 0 R 664 0 R 665 0 R 666 0 R 667 0 R 668 0 R 669 0 R 670 0 R 671 0 R 672 0 R 673 0 R 674 0 R 675 0 R 676 0 R 677 0 R 678 0 R 679 0 R 680 0 R 681 0 R 594 0 R] endobj 592 0 obj << /Length 18 >> stream q /Iabc4733 Do Q endstream endobj 593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4748 20690 0 R /Gabc4756 20697 0 R >> /Font << /Fabc4771 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;7o77Z fڿc}Lhj[ߕ9p: 橷൫5eth_{8t C4i_rmA $査\=0'-1H[&##((BB1 }'Y~I)ɜfQ|sɨ\U#URԫ_q9 )SvP(y _ NЋm߽?8 endstream endobj 594 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=25) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 595 0 obj << /A << /D (unique_363) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20210608081201-08'00') /Rect [93 700.4 145.2305 708.4] /Subtype /Link /Type /Annot >> endobj 596 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20210608081201-08'00') /Rect [249 700.4 300.8164 708.4] /Subtype /Link /Type /Annot >> endobj 597 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [405 700.4 460.8398 708.4] /Subtype /Link /Type /Annot >> endobj 598 0 obj << /A << /D (unique_366) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_tlm_port) /M (D:20210608081201-08'00') /Rect [93 686.2 164.5586 694.2] /Subtype /Link /Type /Annot >> endobj 599 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [249 686.2 318.2773 694.2] /Subtype /Link /Type /Annot >> endobj 600 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_instance) /M (D:20210608081201-08'00') /Rect [405 686.2 480.5938 694.2] /Subtype /Link /Type /Annot >> endobj 601 0 obj << /A << /D (unique_369) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20210608081201-08'00') /Rect [93 672 148.4844 680] /Subtype /Link /Type /Annot >> endobj 602 0 obj << /A << /D (unique_370) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [249 672 334.3281 680] /Subtype /Link /Type /Annot >> endobj 603 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_bd_net) /M (D:20210608081201-08'00') /Rect [405 672 474.3906 680] /Subtype /Link /Type /Annot >> endobj 604 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [93 657.8001 172.4805 665.8001] /Subtype /Link /Type /Annot >> endobj 605 0 obj << /A << /D (unique_373) /S /GoTo >> /Border [0 0 0] /Contents (export_as_example_design) /M (D:20210608081201-08'00') /Rect [249 657.8001 349.1289 665.8001] /Subtype /Link /Type /Annot >> endobj 606 0 obj << /A << /D (unique_374) /S /GoTo >> /Border [0 0 0] /Contents (find_bd_objs) /M (D:20210608081201-08'00') /Rect [405 657.8001 451.7617 665.8001] /Subtype /Link /Type /Annot >> endobj 607 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [93 643.6 153.2539 651.6] /Subtype /Link /Type /Annot >> endobj 608 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20210608081201-08'00') /Rect [249 643.6 315.7656 651.6] /Subtype /Link /Type /Annot >> endobj 609 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20210608081201-08'00') /Rect [405 643.6 480.0938 651.6] /Subtype /Link /Type /Annot >> endobj 610 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [93 629.4 138.0508 637.4] /Subtype /Link /Type /Annot >> endobj 611 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20210608081201-08'00') /Rect [249 629.4 306.7695 637.4] /Subtype /Link /Type /Annot >> endobj 612 0 obj << /A << /D (unique_379) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_nets) /M (D:20210608081201-08'00') /Rect [405 629.4 465.8555 637.4] /Subtype /Link /Type /Annot >> endobj 613 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [93 615.2 153.4414 623.2] /Subtype /Link /Type /Annot >> endobj 614 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [249 615.2 313.4648 623.2] /Subtype /Link /Type /Annot >> endobj 615 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [405 615.2 449.918 623.2] /Subtype /Link /Type /Annot >> endobj 616 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [93 601 137.5039 609] /Subtype /Link /Type /Annot >> endobj 617 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20210608081201-08'00') /Rect [249 601 297.5273 609] /Subtype /Link /Type /Annot >> endobj 618 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_regs) /M (D:20210608081201-08'00') /Rect [405 601 450.2344 609] /Subtype /Link /Type /Annot >> endobj 619 0 obj << /A << /D (unique_386) /S /GoTo >> /Border [0 0 0] /Contents (get_example_designs) /M (D:20210608081201-08'00') /Rect [93 586.8001 173.0547 594.8001] /Subtype /Link /Type /Annot >> endobj 620 0 obj << /A << /D (unique_387) /S /GoTo >> /Border [0 0 0] /Contents (get_template_bd_designs) /M (D:20210608081201-08'00') /Rect [249 586.8001 343.8086 594.8001] /Subtype /Link /Type /Annot >> endobj 621 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (group_bd_cells) /M (D:20210608081201-08'00') /Rect [405 586.8001 460.5859 594.8001] /Subtype /Link /Type /Annot >> endobj 622 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [93 572.6 170.9023 580.6] /Subtype /Link /Type /Annot >> endobj 623 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (instantiate_example_design) /M (D:20210608081201-08'00') /Rect [249 572.6 352.8984 580.6] /Subtype /Link /Type /Annot >> endobj 624 0 obj << /A << /D (unique_391) /S /GoTo >> /Border [0 0 0] /Contents (instantiate_template_bd_design) /M (D:20210608081201-08'00') /Rect [405 572.6 523.6523 580.6] /Subtype /Link /Type /Annot >> endobj 625 0 obj << /A << /D (unique_392) /S /GoTo >> /Border [0 0 0] /Contents (make_bd_intf_pins_external) /M (D:20210608081201-08'00') /Rect [93 558.4 195.9492 566.4] /Subtype /Link /Type /Annot >> endobj 626 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (make_bd_pins_external) /M (D:20210608081201-08'00') /Rect [249 558.4 336.0117 566.4] /Subtype /Link /Type /Annot >> endobj 627 0 obj << /A << /D (unique_394) /S /GoTo >> /Border [0 0 0] /Contents (move_bd_cells) /M (D:20210608081201-08'00') /Rect [405 558.4 458.5469 566.4] /Subtype /Link /Type /Annot >> endobj 628 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [93 544.2001 153.8359 552.2001] /Subtype /Link /Type /Annot >> endobj 629 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (read_bd) /M (D:20210608081201-08'00') /Rect [249 544.2001 279.2812 552.2001] /Subtype /Link /Type /Annot >> endobj 630 0 obj << /A << /D (unique_396) /S /GoTo >> /Border [0 0 0] /Contents (regenerate_bd_layout) /M (D:20210608081201-08'00') /Rect [405 544.2001 486.4648 552.2001] /Subtype /Link /Type /Annot >> endobj 631 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (replace_bd_cell) /M (D:20210608081201-08'00') /Rect [93 530.0001 149.4609 538.0001] /Subtype /Link /Type /Annot >> endobj 632 0 obj << /A << /D (unique_398) /S /GoTo >> /Border [0 0 0] /Contents (report_bd_diffs) /M (D:20210608081201-08'00') /Rect [249 530.0001 305.4375 538.0001] /Subtype /Link /Type /Annot >> endobj 633 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20210608081201-08'00') /Rect [405 530.0001 463.5117 538.0001] /Subtype /Link /Type /Annot >> endobj 634 0 obj << /A << /D (unique_30) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design_as) /M (D:20210608081201-08'00') /Rect [93 515.8001 163.1211 523.8001] /Subtype /Link /Type /Annot >> endobj 635 0 obj << /A << /D (unique_400) /S /GoTo >> /Border [0 0 0] /Contents (ungroup_bd_cells) /M (D:20210608081201-08'00') /Rect [249 515.8001 314.4766 523.8001] /Subtype /Link /Type /Annot >> endobj 636 0 obj << /A << /D (unique_401) /S /GoTo >> /Border [0 0 0] /Contents (update_bd_boundaries) /M (D:20210608081201-08'00') /Rect [405 515.8001 490.8711 523.8001] /Subtype /Link /Type /Annot >> endobj 637 0 obj << /A << /D (unique_402) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_bd_cells) /M (D:20210608081201-08'00') /Rect [93 501.6 157.668 509.6] /Subtype /Link /Type /Annot >> endobj 638 0 obj << /A << /D (unique_31) /S /GoTo >> /Border [0 0 0] /Contents (validate_bd_design) /M (D:20210608081201-08'00') /Rect [249 501.6 320.1016 509.6] /Subtype /Link /Type /Annot >> endobj 639 0 obj << /A << /D (unique_403) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_tcl) /M (D:20210608081201-08'00') /Rect [405 501.6 449.2656 509.6] /Subtype /Link /Type /Annot >> endobj 640 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [93 447.2 144.4727 455.2] /Subtype /Link /Type /Annot >> endobj 641 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20210608081201-08'00') /Rect [93 392.8 175.1562 400.8] /Subtype /Link /Type /Annot >> endobj 642 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (implement_xphy_cores) /M (D:20210608081201-08'00') /Rect [249 392.8 334.8672 400.8] /Subtype /Link /Type /Annot >> endobj 643 0 obj << /A << /D (unique_140) /S /GoTo >> /Border [0 0 0] /Contents (refresh_meminit) /M (D:20210608081201-08'00') /Rect [405 392.8 466.7266 400.8] /Subtype /Link /Type /Annot >> endobj 644 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [93 338.4 144.4727 346.4] /Subtype /Link /Type /Annot >> endobj 645 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20210608081201-08'00') /Rect [249 338.4 343.4688 346.4] /Subtype /Link /Type /Annot >> endobj 646 0 obj << /A << /D (unique_405) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20210608081201-08'00') /Rect [405 338.4 510.3086 346.4] /Subtype /Link /Type /Annot >> endobj 647 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [93 324.2 170.3086 332.2] /Subtype /Link /Type /Annot >> endobj 648 0 obj << /A << /D (unique_406) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology) /M (D:20210608081201-08'00') /Rect [249 324.2 321.5859 332.2] /Subtype /Link /Type /Annot >> endobj 649 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology_check) /M (D:20210608081201-08'00') /Rect [405 324.2 502.2852 332.2] /Subtype /Link /Type /Annot >> endobj 650 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [93 269.8 138.4414 277.8] /Subtype /Link /Type /Annot >> endobj 651 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [249 269.8 288.2305 277.8] /Subtype /Link /Type /Annot >> endobj 652 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [405 269.8 444.0977 277.8] /Subtype /Link /Type /Annot >> endobj 653 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [93 255.6 131.6836 263.6] /Subtype /Link /Type /Annot >> endobj 654 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20210608081201-08'00') /Rect [249 255.6 305.2578 263.6] /Subtype /Link /Type /Annot >> endobj 655 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_net_delays) /M (D:20210608081201-08'00') /Rect [405 255.6 460.1367 263.6] /Subtype /Link /Type /Annot >> endobj 656 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20210608081201-08'00') /Rect [93 241.4 137.3203 249.4] /Subtype /Link /Type /Annot >> endobj 657 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20210608081201-08'00') /Rect [249 241.4 293.1875 249.4] /Subtype /Link /Type /Annot >> endobj 658 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (remove_pin) /M (D:20210608081201-08'00') /Rect [405 241.4 448.7734 249.4] /Subtype /Link /Type /Annot >> endobj 659 0 obj << /A << /D (unique_417) /S /GoTo >> /Border [0 0 0] /Contents (rename_cell) /M (D:20210608081201-08'00') /Rect [93 227.2 137.9297 235.2] /Subtype /Link /Type /Annot >> endobj 660 0 obj << /A << /D (unique_418) /S /GoTo >> /Border [0 0 0] /Contents (rename_net) /M (D:20210608081201-08'00') /Rect [249 227.2 293.7969 235.2] /Subtype /Link /Type /Annot >> endobj 661 0 obj << /A << /D (unique_419) /S /GoTo >> /Border [0 0 0] /Contents (rename_pin) /M (D:20210608081201-08'00') /Rect [405 227.2 449.3828 235.2] /Subtype /Link /Type /Annot >> endobj 662 0 obj << /A << /D (unique_420) /S /GoTo >> /Border [0 0 0] /Contents (rename_port) /M (D:20210608081201-08'00') /Rect [93 213 141.4062 221] /Subtype /Link /Type /Annot >> endobj 663 0 obj << /A << /D (unique_421) /S /GoTo >> /Border [0 0 0] /Contents (rename_ref) /M (D:20210608081201-08'00') /Rect [249 213 291.9453 221] /Subtype /Link /Type /Annot >> endobj 664 0 obj << /A << /D (unique_422) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20210608081201-08'00') /Rect [405 213 459.5273 221] /Subtype /Link /Type /Annot >> endobj 665 0 obj << /A << /D (unique_423) /S /GoTo >> /Border [0 0 0] /Contents (resize_pin_bus) /M (D:20210608081201-08'00') /Rect [93 198.8 147.1133 206.8] /Subtype /Link /Type /Annot >> endobj 666 0 obj << /A << /D (unique_424) /S /GoTo >> /Border [0 0 0] /Contents (tie_unused_pins) /M (D:20210608081201-08'00') /Rect [249 198.8 308.9023 206.8] /Subtype /Link /Type /Annot >> endobj 667 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20210608081201-08'00') /Rect [93 144.4 151.1406 152.4] /Subtype /Link /Type /Annot >> endobj 668 0 obj << /A << /D (unique_57) /S /GoTo >> /Border [0 0 0] /Contents (apply_board_connection) /M (D:20210608081201-08'00') /Rect [249 144.4 340.1055 152.4] /Subtype /Link /Type /Annot >> endobj 669 0 obj << /A << /D (unique_425) /S /GoTo >> /Border [0 0 0] /Contents (can_resolve_reference) /M (D:20210608081201-08'00') /Rect [405 144.4 487.9375 152.4] /Subtype /Link /Type /Annot >> endobj 670 0 obj << /A << /D (unique_11) /S /GoTo >> /Border [0 0 0] /Contents (config_ip_cache) /M (D:20210608081201-08'00') /Rect [93 130.2 151.5508 138.2] /Subtype /Link /Type /Annot >> endobj 671 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [249 130.2 312.4414 138.2] /Subtype /Link /Type /Annot >> endobj 672 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [405 130.2 479.4023 138.2] /Subtype /Link /Type /Annot >> endobj 673 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [93 116 167.6289 124] /Subtype /Link /Type /Annot >> endobj 674 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [249 116 338.0273 124] /Subtype /Link /Type /Annot >> endobj 675 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20210608081201-08'00') /Rect [405 116 494.9062 124] /Subtype /Link /Type /Annot >> endobj 676 0 obj << /A << /D (unique_429) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20210608081201-08'00') /Rect [93 101.8 170.0977 109.8] /Subtype /Link /Type /Annot >> endobj 677 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [249 101.8 300.4727 109.8] /Subtype /Link /Type /Annot >> endobj 678 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (current_board) /M (D:20210608081201-08'00') /Rect [405 101.8 458.3477 109.8] /Subtype /Link /Type /Annot >> endobj 679 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [93 87.6 165.2383 95.6] /Subtype /Link /Type /Annot >> endobj 680 0 obj << /A << /D (unique_430) /S /GoTo >> /Border [0 0 0] /Contents (current_pr_configuration) /M (D:20210608081201-08'00') /Rect [249 87.6 342.2227 95.6] /Subtype /Link /Type /Annot >> endobj 681 0 obj << /A << /D (unique_101) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_check) /M (D:20210608081201-08'00') /Rect [405 87.6 468.3828 95.6] /Subtype /Link /Type /Annot >> endobj 682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 683 0 obj << /Filter /FlateDecode /Length 2720 >> stream x\K W޲F]5Sh LdYGlvQcKO$EQw0;{1?pcXI3[+/#j$`y}B3}—S)2}S!r}Bw=~-Y:bPxTjJzŌB? *o+'~"<3OW?﷋'KS(n_sS\iu!q7*>͑YuPfJ\{ɷkDw{xePmoineEՂy({sF{:zsanX5q֝ݪS:~2<3N=|vl+J;P@f= ?!ԧ9f I;E"_t-w-PaGK^_4sH U*HNdͲ\ ]Vgvq:~VM~ )[ٟ!Zݽ]3Eb5>&?s{|d[T0]eelkY]G:Ҧmw<3=3znz-TpgC[GpF7.aYN'& v`Hrd i{ywa_Rhţ]x}ئlwfO{*"9tCŃ roM=[7LcLJ[awlF1KJuрaAO %h\' t ?hfJƙpgt$r2,kڃ 7kPU抂vph*X90\r -IjD{")-}D{O7OiS Seo=_Z1SSZt?bZ um%wzL sѓ^SO5s%s>Ι۳/Jfi`  6AX6 eGwUƤ8tu@ {ೇxt@~pC"j+Osեsw[W@tM&0 /+040́?h~TIzEb*]"sώ\}$[ךȖp:Gނ͂Iz-XvJ}{W\ )3H`D']y#:e;W&ˏgfm {kVPץem8Q̓b1p/nÔ/O1v.aֲh/ȉۀݧH' ^LP;t^:M;/5uBYgPCMR#]dp[Ρ΂G.{*Fe(a9JI(!Kb5'\)O?.t=459r3z5i0 9e8 Y)ss0 4<#3OxS:1+A:HO; 21 {.uv6~i">hEk?+,8EuQG}sr0$/e,4[>>(C+֌1yCD>;ZaWf[ԤNJfaU!_5 oX›/7 =&ҥB #Խ'#֏O(3\T| ˽%]hʞz9[%;Pb [%3ǩ2EY, .(5S{d SZwxV|A4uNEKȊKmCh|$ :uMOld-?SÅJ6+}.Te{OY_C/`k#ds黍MSz~ E^~+ =6Fo;:xsx} Wj{~*'4^j*_ðc"_bپG,:lQ'юwjsP*Pp*>c&bp5q`/G=qJ?|Γ endstream endobj 684 0 obj << /Annots 686 0 R /BleedBox [0 0 612 792] /Contents [822 0 R 821 0 R 687 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4955 688 0 R >> >> /Type /Page >> endobj 685 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 686 0 obj [685 0 R 690 0 R 691 0 R 692 0 R 693 0 R 694 0 R 695 0 R 696 0 R 697 0 R 698 0 R 699 0 R 700 0 R 701 0 R 702 0 R 703 0 R 704 0 R 705 0 R 706 0 R 707 0 R 708 0 R 709 0 R 710 0 R 711 0 R 712 0 R 713 0 R 714 0 R 715 0 R 716 0 R 717 0 R 718 0 R 719 0 R 720 0 R 721 0 R 722 0 R 723 0 R 724 0 R 725 0 R 726 0 R 727 0 R 728 0 R 729 0 R 730 0 R 731 0 R 732 0 R 733 0 R 734 0 R 735 0 R 736 0 R 737 0 R 738 0 R 739 0 R 740 0 R 741 0 R 742 0 R 743 0 R 744 0 R 745 0 R 746 0 R 747 0 R 748 0 R 749 0 R 750 0 R 751 0 R 752 0 R 753 0 R 754 0 R 755 0 R 756 0 R 757 0 R 758 0 R 759 0 R 760 0 R 761 0 R 762 0 R 763 0 R 764 0 R 765 0 R 766 0 R 767 0 R 768 0 R 769 0 R 770 0 R 771 0 R 772 0 R 773 0 R 774 0 R 775 0 R 776 0 R 777 0 R 778 0 R 779 0 R 780 0 R 781 0 R 782 0 R 783 0 R 784 0 R 785 0 R 786 0 R 787 0 R 788 0 R 789 0 R 790 0 R 791 0 R 792 0 R 793 0 R 794 0 R 795 0 R 796 0 R 797 0 R 798 0 R 799 0 R 800 0 R 801 0 R 802 0 R 803 0 R 804 0 R 805 0 R 806 0 R 807 0 R 808 0 R 809 0 R 810 0 R 811 0 R 812 0 R 813 0 R 814 0 R 815 0 R 816 0 R 817 0 R 818 0 R 819 0 R 820 0 R 689 0 R] endobj 687 0 obj << /Length 18 >> stream q /Iabc4955 Do Q endstream endobj 688 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4963 20690 0 R /Gabc4978 20697 0 R >> /Font << /Fabc4986 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج Yv0Lm)1:}ɓ#,J[㫤̵RLsV7㨖~C25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 690 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [93 700.4 167.3438 708.4] /Subtype /Link /Type /Annot >> endobj 691 0 obj << /A << /D (unique_226) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_bitstream) /M (D:20210608081201-08'00') /Rect [249 700.4 326.5156 708.4] /Subtype /Link /Type /Annot >> endobj 692 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (delete_qor_suggestions) /M (D:20210608081201-08'00') /Rect [405 700.4 493.582 708.4] /Subtype /Link /Type /Annot >> endobj 693 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20210608081201-08'00') /Rect [93 686.2 173.8711 694.2] /Subtype /Link /Type /Annot >> endobj 694 0 obj << /A << /D (unique_432) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20210608081201-08'00') /Rect [249 686.2 304.2461 694.2] /Subtype /Link /Type /Annot >> endobj 695 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [405 686.2 422.582 694.2] /Subtype /Link /Type /Annot >> endobj 696 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (find_routing_path) /M (D:20210608081201-08'00') /Rect [93 672 159.332 680] /Subtype /Link /Type /Annot >> endobj 697 0 obj << /A << /D (unique_434) /S /GoTo >> /Border [0 0 0] /Contents (generate_reports) /M (D:20210608081201-08'00') /Rect [249 672 313.8164 680] /Subtype /Link /Type /Annot >> endobj 698 0 obj << /A << /D (unique_435) /S /GoTo >> /Border [0 0 0] /Contents (get_bel_pins) /M (D:20210608081201-08'00') /Rect [405 672 451.1562 680] /Subtype /Link /Type /Annot >> endobj 699 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20210608081201-08'00') /Rect [93 657.8001 123.9375 665.8001] /Subtype /Link /Type /Annot >> endobj 700 0 obj << /A << /D (unique_60) /S /GoTo >> /Border [0 0 0] /Contents (get_board_bus_nets) /M (D:20210608081201-08'00') /Rect [249 657.8001 323.4609 665.8001] /Subtype /Link /Type /Annot >> endobj 701 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [405 657.8001 468.3398 665.8001] /Subtype /Link /Type /Annot >> endobj 702 0 obj << /A << /D (unique_62) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_interfaces) /M (D:20210608081201-08'00') /Rect [93 643.6 217.9336 651.6] /Subtype /Link /Type /Annot >> endobj 703 0 obj << /A << /D (unique_63) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_modes) /M (D:20210608081201-08'00') /Rect [249 643.6 362.3828 651.6] /Subtype /Link /Type /Annot >> endobj 704 0 obj << /A << /D (unique_64) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_pins) /M (D:20210608081201-08'00') /Rect [405 643.6 508.5586 651.6] /Subtype /Link /Type /Annot >> endobj 705 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20210608081201-08'00') /Rect [93 629.4 181.3398 637.4] /Subtype /Link /Type /Annot >> endobj 706 0 obj << /A << /D (unique_66) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20210608081201-08'00') /Rect [249 629.4 346.6758 637.4] /Subtype /Link /Type /Annot >> endobj 707 0 obj << /A << /D (unique_67) /S /GoTo >> /Border [0 0 0] /Contents (get_board_ip_preferences) /M (D:20210608081201-08'00') /Rect [405 629.4 501.3633 637.4] /Subtype /Link /Type /Annot >> endobj 708 0 obj << /A << /D (unique_68) /S /GoTo >> /Border [0 0 0] /Contents (get_board_jumpers) /M (D:20210608081201-08'00') /Rect [93 615.2 165.3555 623.2] /Subtype /Link /Type /Annot >> endobj 709 0 obj << /A << /D (unique_69) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parameters) /M (D:20210608081201-08'00') /Rect [249 615.2 333.9492 623.2] /Subtype /Link /Type /Annot >> endobj 710 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [405 615.2 502.3242 623.2] /Subtype /Link /Type /Annot >> endobj 711 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20210608081201-08'00') /Rect [93 601 168.9492 609] /Subtype /Link /Type /Annot >> endobj 712 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [249 601 309.7305 609] /Subtype /Link /Type /Annot >> endobj 713 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [405 601 446.8398 609] /Subtype /Link /Type /Annot >> endobj 714 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_cdc_violations) /M (D:20210608081201-08'00') /Rect [93 586.8001 160.582 594.8001] /Subtype /Link /Type /Annot >> endobj 715 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [249 586.8001 280.918 594.8001] /Subtype /Link /Type /Annot >> endobj 716 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20210608081201-08'00') /Rect [405 586.8001 474.3203 594.8001] /Subtype /Link /Type /Annot >> endobj 717 0 obj << /A << /D (unique_438) /S /GoTo >> /Border [0 0 0] /Contents (get_clock_regions) /M (D:20210608081201-08'00') /Rect [93 572.6 159.0938 580.6] /Subtype /Link /Type /Annot >> endobj 718 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [249 572.6 287.2969 580.6] /Subtype /Link /Type /Annot >> endobj 719 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (get_constant_paths) /M (D:20210608081201-08'00') /Rect [405 572.6 477.6367 580.6] /Subtype /Link /Type /Annot >> endobj 720 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [93 558.4 182.9688 566.4] /Subtype /Link /Type /Annot >> endobj 721 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20210608081201-08'00') /Rect [249 558.4 312.3711 566.4] /Subtype /Link /Type /Annot >> endobj 722 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20210608081201-08'00') /Rect [405 558.4 467.9062 566.4] /Subtype /Link /Type /Annot >> endobj 723 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (get_designs) /M (D:20210608081201-08'00') /Rect [93 544.2001 137.6367 552.2001] /Subtype /Link /Type /Annot >> endobj 724 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [249 544.2001 305.1289 552.2001] /Subtype /Link /Type /Annot >> endobj 725 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20210608081201-08'00') /Rect [405 544.2001 472.0898 552.2001] /Subtype /Link /Type /Annot >> endobj 726 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [93 530.0001 159.9688 538.0001] /Subtype /Link /Type /Annot >> endobj 727 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [249 530.0001 279.832 538.0001] /Subtype /Link /Type /Annot >> endobj 728 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20210608081201-08'00') /Rect [405 530.0001 447.0625 538.0001] /Subtype /Link /Type /Annot >> endobj 729 0 obj << /A << /D (unique_444) /S /GoTo >> /Border [0 0 0] /Contents (get_generated_clocks) /M (D:20210608081201-08'00') /Rect [93 515.8001 173.5117 523.8001] /Subtype /Link /Type /Annot >> endobj 730 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20210608081201-08'00') /Rect [249 515.8001 337.9805 523.8001] /Subtype /Link /Type /Annot >> endobj 731 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20210608081201-08'00') /Rect [405 515.8001 465.0977 523.8001] /Subtype /Link /Type /Annot >> endobj 732 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [93 501.6 137.7461 509.6] /Subtype /Link /Type /Annot >> endobj 733 0 obj << /A << /D (unique_238) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20210608081201-08'00') /Rect [249 501.6 313.9531 509.6] /Subtype /Link /Type /Annot >> endobj 734 0 obj << /A << /D (unique_239) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ddrmcs) /M (D:20210608081201-08'00') /Rect [405 501.6 463.4336 509.6] /Subtype /Link /Type /Annot >> endobj 735 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [93 487.4 150.7969 495.4] /Subtype /Link /Type /Annot >> endobj 736 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [249 487.4 300.3125 495.4] /Subtype /Link /Type /Annot >> endobj 737 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [405 487.4 467.6523 495.4] /Subtype /Link /Type /Annot >> endobj 738 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [93 473.2 135.5781 481.2] /Subtype /Link /Type /Annot >> endobj 739 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20210608081201-08'00') /Rect [249 473.2 297.4297 481.2] /Subtype /Link /Type /Annot >> endobj 740 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20210608081201-08'00') /Rect [405 473.2 454.3008 481.2] /Subtype /Link /Type /Annot >> endobj 741 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [93 459 149.3867 467] /Subtype /Link /Type /Annot >> endobj 742 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [249 459 306.4922 467] /Subtype /Link /Type /Annot >> endobj 743 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [405 459 486.4141 467] /Subtype /Link /Type /Annot >> endobj 744 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gtgroups) /M (D:20210608081201-08'00') /Rect [93 444.8 171.6523 452.8] /Subtype /Link /Type /Annot >> endobj 745 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [249 444.8 304.7969 452.8] /Subtype /Link /Type /Annot >> endobj 746 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [405 444.8 470.6758 452.8] /Subtype /Link /Type /Annot >> endobj 747 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20210608081201-08'00') /Rect [93 430.6 177.1875 438.6] /Subtype /Link /Type /Annot >> endobj 748 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [249 430.6 310.332 438.6] /Subtype /Link /Type /Annot >> endobj 749 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [405 430.6 462.0352 438.6] /Subtype /Link /Type /Annot >> endobj 750 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [93 416.4 148.5234 424.4] /Subtype /Link /Type /Annot >> endobj 751 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [249 416.4 314.0938 424.4] /Subtype /Link /Type /Annot >> endobj 752 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [405 416.4 477.0547 424.4] /Subtype /Link /Type /Annot >> endobj 753 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [93 402.2 148.1055 410.2] /Subtype /Link /Type /Annot >> endobj 754 0 obj << /A << /D (unique_259) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_softmcs) /M (D:20210608081201-08'00') /Rect [249 402.2 308.5977 410.2] /Subtype /Link /Type /Annot >> endobj 755 0 obj << /A << /D (unique_261) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20210608081201-08'00') /Rect [405 402.2 467.9727 410.2] /Subtype /Link /Type /Annot >> endobj 756 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [93 388 149.9258 396] /Subtype /Link /Type /Annot >> endobj 757 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [249 388 293.9297 396] /Subtype /Link /Type /Annot >> endobj 758 0 obj << /A << /D (unique_445) /S /GoTo >> /Border [0 0 0] /Contents (get_interfaces) /M (D:20210608081201-08'00') /Rect [405 388 457.7461 396] /Subtype /Link /Type /Annot >> endobj 759 0 obj << /A << /D (unique_446) /S /GoTo >> /Border [0 0 0] /Contents (get_io_standards) /M (D:20210608081201-08'00') /Rect [93 373.8 156.3438 381.8] /Subtype /Link /Type /Annot >> endobj 760 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20210608081201-08'00') /Rect [249 373.8 293.9727 381.8] /Subtype /Link /Type /Annot >> endobj 761 0 obj << /A << /D (unique_337) /S /GoTo >> /Border [0 0 0] /Contents (get_ip_upgrade_results) /M (D:20210608081201-08'00') /Rect [405 373.8 491.4062 381.8] /Subtype /Link /Type /Annot >> endobj 762 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (get_ipdefs) /M (D:20210608081201-08'00') /Rect [93 359.6 131.6133 367.6] /Subtype /Link /Type /Annot >> endobj 763 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [249 359.6 275.4258 367.6] /Subtype /Link /Type /Annot >> endobj 764 0 obj << /A << /D (unique_448) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20210608081201-08'00') /Rect [405 359.6 449.2539 367.6] /Subtype /Link /Type /Annot >> endobj 765 0 obj << /A << /D (unique_449) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_pins) /M (D:20210608081201-08'00') /Rect [93 345.4 136.707 353.4] /Subtype /Link /Type /Annot >> endobj 766 0 obj << /A << /D (unique_450) /S /GoTo >> /Border [0 0 0] /Contents (get_libs) /M (D:20210608081201-08'00') /Rect [249 345.4 277.4883 353.4] /Subtype /Link /Type /Annot >> endobj 767 0 obj << /A << /D (unique_451) /S /GoTo >> /Border [0 0 0] /Contents (get_macros) /M (D:20210608081201-08'00') /Rect [405 345.4 448.3164 353.4] /Subtype /Link /Type /Annot >> endobj 768 0 obj << /A << /D (unique_181) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20210608081201-08'00') /Rect [93 331.2 167.6953 339.2] /Subtype /Link /Type /Annot >> endobj 769 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20210608081201-08'00') /Rect [249 331.2 343.4688 339.2] /Subtype /Link /Type /Annot >> endobj 770 0 obj << /A << /D (unique_405) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20210608081201-08'00') /Rect [405 331.2 510.3086 339.2] /Subtype /Link /Type /Annot >> endobj 771 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_net_delays) /M (D:20210608081201-08'00') /Rect [93 316.9999 148.1367 324.9999] /Subtype /Link /Type /Annot >> endobj 772 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [249 316.9999 280.7852 324.9999] /Subtype /Link /Type /Annot >> endobj 773 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20210608081201-08'00') /Rect [405 316.9999 443.6602 324.9999] /Subtype /Link /Type /Annot >> endobj 774 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20210608081201-08'00') /Rect [93 302.7999 159.1055 310.7999] /Subtype /Link /Type /Annot >> endobj 775 0 obj << /A << /D (unique_455) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20210608081201-08'00') /Rect [249 302.7999 316.3164 310.7999] /Subtype /Link /Type /Annot >> endobj 776 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20210608081201-08'00') /Rect [405 302.7999 440.043 310.7999] /Subtype /Link /Type /Annot >> endobj 777 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20210608081201-08'00') /Rect [93 288.5999 155.8281 296.5999] /Subtype /Link /Type /Annot >> endobj 778 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20210608081201-08'00') /Rect [249 288.5999 293.3008 296.5999] /Subtype /Link /Type /Annot >> endobj 779 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [405 288.5999 436.3711 296.5999] /Subtype /Link /Type /Annot >> endobj 780 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20210608081201-08'00') /Rect [93 274.3999 124.3477 282.3999] /Subtype /Link /Type /Annot >> endobj 781 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20210608081201-08'00') /Rect [249 274.3999 336.9531 282.3999] /Subtype /Link /Type /Annot >> endobj 782 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20210608081201-08'00') /Rect [405 274.3999 477.2031 282.3999] /Subtype /Link /Type /Annot >> endobj 783 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [93 260.1999 128.3945 268.1999] /Subtype /Link /Type /Annot >> endobj 784 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20210608081201-08'00') /Rect [249 260.1999 330.7148 268.1999] /Subtype /Link /Type /Annot >> endobj 785 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (get_primitives) /M (D:20210608081201-08'00') /Rect [405 260.1999 457.7969 268.1999] /Subtype /Link /Type /Annot >> endobj 786 0 obj << /A << /D (unique_465) /S /GoTo >> /Border [0 0 0] /Contents (get_projects) /M (D:20210608081201-08'00') /Rect [93 245.9999 138.7305 253.9999] /Subtype /Link /Type /Annot >> endobj 787 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [249 245.9999 297.3008 253.9999] /Subtype /Link /Type /Annot >> endobj 788 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20210608081201-08'00') /Rect [405 245.9999 482.4961 253.9999] /Subtype /Link /Type /Annot >> endobj 789 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20210608081201-08'00') /Rect [93 231.7999 175.5938 239.7999] /Subtype /Link /Type /Annot >> endobj 790 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20210608081201-08'00') /Rect [249 231.7999 318.7852 239.7999] /Subtype /Link /Type /Annot >> endobj 791 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20210608081201-08'00') /Rect [405 231.7999 437.6367 239.7999] /Subtype /Link /Type /Annot >> endobj 792 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [93 217.5998 169.8711 225.5998] /Subtype /Link /Type /Annot >> endobj 793 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20210608081201-08'00') /Rect [249 217.5998 296.9531 225.5998] /Subtype /Link /Type /Annot >> endobj 794 0 obj << /A << /D (unique_471) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pips) /M (D:20210608081201-08'00') /Rect [405 217.5998 452.9297 225.5998] /Subtype /Link /Type /Annot >> endobj 795 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [93 203.3998 125.7344 211.3998] /Subtype /Link /Type /Annot >> endobj 796 0 obj << /A << /D (unique_473) /S /GoTo >> /Border [0 0 0] /Contents (get_slrs) /M (D:20210608081201-08'00') /Rect [249 203.3998 277.6406 211.3998] /Subtype /Link /Type /Annot >> endobj 797 0 obj << /A << /D (unique_474) /S /GoTo >> /Border [0 0 0] /Contents (get_speed_models) /M (D:20210608081201-08'00') /Rect [405 203.3998 474.2461 211.3998] /Subtype /Link /Type /Annot >> endobj 798 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20210608081201-08'00') /Rect [93 189.1998 123.9648 197.1998] /Subtype /Link /Type /Annot >> endobj 799 0 obj << /A << /D (unique_476) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_arcs) /M (D:20210608081201-08'00') /Rect [249 189.1998 307.6445 197.1998] /Subtype /Link /Type /Annot >> endobj 800 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20210608081201-08'00') /Rect [405 189.1998 469.332 197.1998] /Subtype /Link /Type /Annot >> endobj 801 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20210608081201-08'00') /Rect [93 174.9998 137.1602 182.9998] /Subtype /Link /Type /Annot >> endobj 802 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20210608081201-08'00') /Rect [249 174.9998 284.5312 182.9998] /Subtype /Link /Type /Annot >> endobj 803 0 obj << /A << /D (unique_264) /S /GoTo >> /Border [0 0 0] /Contents (list_hw_samples) /M (D:20210608081201-08'00') /Rect [405 174.9998 464.7852 182.9998] /Subtype /Link /Type /Annot >> endobj 804 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [93 160.7998 139.8242 168.7998] /Subtype /Link /Type /Annot >> endobj 805 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20210608081201-08'00') /Rect [249 160.7998 319.1836 168.7998] /Subtype /Link /Type /Annot >> endobj 806 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (remove_drc_checks) /M (D:20210608081201-08'00') /Rect [405 160.7998 477.3633 168.7998] /Subtype /Link /Type /Annot >> endobj 807 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [93 146.5998 152.6719 154.5998] /Subtype /Link /Type /Annot >> endobj 808 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [249 146.5998 337.8672 154.5998] /Subtype /Link /Type /Annot >> endobj 809 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20210608081201-08'00') /Rect [405 146.5998 460.5312 154.5998] /Subtype /Link /Type /Annot >> endobj 810 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20210608081201-08'00') /Rect [93 132.3998 151.9453 140.3998] /Subtype /Link /Type /Annot >> endobj 811 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology_check) /M (D:20210608081201-08'00') /Rect [249 132.3998 346.2852 140.3998] /Subtype /Link /Type /Annot >> endobj 812 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20210608081201-08'00') /Rect [405 132.3998 459.9492 140.3998] /Subtype /Link /Type /Annot >> endobj 813 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20210608081201-08'00') /Rect [93 118.1998 160.2617 126.1998] /Subtype /Link /Type /Annot >> endobj 814 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20210608081201-08'00') /Rect [249 118.1998 308.4844 126.1998] /Subtype /Link /Type /Annot >> endobj 815 0 obj << /A << /D (unique_312) /S /GoTo >> /Border [0 0 0] /Contents (scan_dr_hw_jtag) /M (D:20210608081201-08'00') /Rect [405 118.1998 465.793 126.1998] /Subtype /Link /Type /Annot >> endobj 816 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20210608081201-08'00') /Rect [93 103.9998 150.9336 111.9998] /Subtype /Link /Type /Annot >> endobj 817 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [249 103.9998 296.2109 111.9998] /Subtype /Link /Type /Annot >> endobj 818 0 obj << /A << /D (unique_74) /S /GoTo >> /Border [0 0 0] /Contents (validate_board_files) /M (D:20210608081201-08'00') /Rect [405 103.9998 478.6836 111.9998] /Subtype /Link /Type /Annot >> endobj 819 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (write_ip_tcl) /M (D:20210608081201-08'00') /Rect [93 89.7998 134.4062 97.7998] /Subtype /Link /Type /Annot >> endobj 820 0 obj << /A << /D (unique_486) /S /GoTo >> /Border [0 0 0] /Contents (write_waivers) /M (D:20210608081201-08'00') /Rect [249 89.7998 299.8945 97.7998] /Subtype /Link /Type /Annot >> endobj 821 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 822 0 obj << /Filter /FlateDecode /Length 3345 >> stream x]K(+8^cRIYUTV{yV+MCP3$#"Q/h _ԗ:;4F#S$Ki" sfnlxiN]38"řЌIIs f,09i~CeoD&w:ȄLT Eg)rNGO4+=CWR96i=OgӈMivKAv& bdT<ꥣ'ه 4+=C'W0,# {`XN m[02GWyی@NQÀ #= 뀜@0dfIbR;z 3MJ^s`jRڵst{ɓAK'هQ5i:@cRإ#庣 K(6I$lU~&JӅI/z4w=s:n7d1 A]CXԥLgZtd{ELvgwd{LgZpd{yLvgwd{LgZpd{yLvgwd{LgZpd{yLvgwd{LgZpd{yLvgwd{LgZpd{LgZtϤ5ǟ#}{ EG߿=Awf7-t~τxUM> @_ɿ?Gy|g})Ч (CF`v5#Lў#|Uu^ALу' Y5C]'j1"&\d9uPm dϲPQur22` ԆtzM. UY5TTH.8&Һ:A+mM*KaLPb9 )|-f ,ׅfFc3r+paZs|Oq\-ܸq |y hK-TiT!SiDω?HO8:5iVNlc,„-J2BF\C rA〣YL|l,#h۰W$+ Ƴqg853 vdjTڋ55x{-F Cvd?R,3հ/rشBs}댖MH%CaMP +$v6 6KCvvͳO+P}Uemj嬒,@ʭrq|[]+v쳱Y ;m;;k65myw*ƒ,7ΐ؋-N*% l>ei(i!̊40 +ˏRP/md sk֜ AΥꃨΣBו }JOPi\C֧IάvX˻}vGhY.Mc1n!oGS|9͍$KUk$' ٴ}0z5n}Ʋ BCƚ1=T llRG԰F=)V٨8w~:ېofE V<${IDW Vk ;qZ⎿vX Ǯt\pv0=&zZ'5\9h@hǶvƠ%iz*撐} '1;x:OGim9L]Zt=3ǁ<*y'G|k#ELc-݆\ښx}Aᑃ;6n>bHCgy=Yuck1+wHmBh)U`0#L;^*nm ~Yt6 M!~=hAV'kv  ‰{_nxЇv])_Bi f9E%B.~=`bMdaWV~_N62=`5/ڋ붮:%p8ϩݣSKu="{CAGtBG\$E2.! ?/0 ?/05/0􉫿.*(]_iH?~<)'эfDT!vT× x10'R@.lϩLLāа!| ?1w6]T s tR>kd2m $YRh-SNs3X̑/~<)SՖ5 LŲ@{pɌaLö.,wE=2?`Ѫ|Puڥaj,^ow& epEAv/`W:]nNA[J-j DP!,nPRڥkԚb~b@CjH (W%#Tш/DʚRBeSLօ 4}SѬ'>u۴' ^HΩ;W !_jȎC6bMh:<=Sr}S?Ughm~chJtf%} Ä|擻]gᙱ2mP4 N CqI[A:e >a> i;ӌ/>o& p[RlPT&̶x(g/ F^̮ z6PMs4 dx6 hN endstream endobj 823 0 obj << /Annots 825 0 R /BleedBox [0 0 612 792] /Contents [919 0 R 918 0 R 826 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5152 827 0 R >> >> /Type /Page >> endobj 824 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 825 0 obj [824 0 R 829 0 R 830 0 R 831 0 R 832 0 R 833 0 R 834 0 R 835 0 R 836 0 R 837 0 R 838 0 R 839 0 R 840 0 R 841 0 R 842 0 R 843 0 R 844 0 R 845 0 R 846 0 R 847 0 R 848 0 R 849 0 R 850 0 R 851 0 R 852 0 R 853 0 R 854 0 R 855 0 R 856 0 R 857 0 R 858 0 R 859 0 R 860 0 R 861 0 R 862 0 R 863 0 R 864 0 R 865 0 R 866 0 R 867 0 R 868 0 R 869 0 R 870 0 R 871 0 R 872 0 R 873 0 R 874 0 R 875 0 R 876 0 R 877 0 R 878 0 R 879 0 R 880 0 R 881 0 R 882 0 R 883 0 R 884 0 R 885 0 R 886 0 R 887 0 R 888 0 R 889 0 R 890 0 R 891 0 R 892 0 R 893 0 R 894 0 R 895 0 R 896 0 R 897 0 R 898 0 R 899 0 R 900 0 R 901 0 R 902 0 R 903 0 R 904 0 R 905 0 R 906 0 R 907 0 R 908 0 R 909 0 R 910 0 R 911 0 R 912 0 R 913 0 R 914 0 R 915 0 R 916 0 R 917 0 R 828 0 R] endobj 826 0 obj << /Length 18 >> stream q /Iabc5152 Do Q endstream endobj 827 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5167 20690 0 R /Gabc5177 20697 0 R >> /Font << /Fabc5185 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nH w/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 829 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [93 675.2 167.6289 683.2] /Subtype /Link /Type /Annot >> endobj 830 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [249 675.2 338.0273 683.2] /Subtype /Link /Type /Annot >> endobj 831 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20210608081201-08'00') /Rect [405 675.2 494.9062 683.2] /Subtype /Link /Type /Annot >> endobj 832 0 obj << /A << /D (unique_430) /S /GoTo >> /Border [0 0 0] /Contents (current_pr_configuration) /M (D:20210608081201-08'00') /Rect [93 661 186.2227 669] /Subtype /Link /Type /Annot >> endobj 833 0 obj << /A << /D (unique_487) /S /GoTo >> /Border [0 0 0] /Contents (delete_partition_defs) /M (D:20210608081201-08'00') /Rect [249 661 327.4023 669] /Subtype /Link /Type /Annot >> endobj 834 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20210608081201-08'00') /Rect [405 661 497.8008 669] /Subtype /Link /Type /Annot >> endobj 835 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (delete_reconfig_modules) /M (D:20210608081201-08'00') /Rect [93 646.8 186.6797 654.8] /Subtype /Link /Type /Annot >> endobj 836 0 obj << /A << /D (unique_455) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20210608081201-08'00') /Rect [249 646.8 316.3164 654.8] /Subtype /Link /Type /Annot >> endobj 837 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20210608081201-08'00') /Rect [405 646.8 486.7148 654.8] /Subtype /Link /Type /Annot >> endobj 838 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20210608081201-08'00') /Rect [93 632.6 175.5938 640.6] /Subtype /Link /Type /Annot >> endobj 839 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20210608081201-08'00') /Rect [249 632.6 339.4922 640.6] /Subtype /Link /Type /Annot >> endobj 840 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [93 578.2 153.0586 586.2] /Subtype /Link /Type /Annot >> endobj 841 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [249 578.2 291.707 586.2] /Subtype /Link /Type /Annot >> endobj 842 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (delete_interface) /M (D:20210608081201-08'00') /Rect [405 578.2 465 586.2] /Subtype /Link /Type /Annot >> endobj 843 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [93 564 170.5977 572] /Subtype /Link /Type /Annot >> endobj 844 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20210608081201-08'00') /Rect [249 564 291.8984 572] /Subtype /Link /Type /Annot >> endobj 845 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20210608081201-08'00') /Rect [405 564 452.7969 572] /Subtype /Link /Type /Annot >> endobj 846 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20210608081201-08'00') /Rect [93 549.8 151.1367 557.8] /Subtype /Link /Type /Annot >> endobj 847 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (set_package_pin_val) /M (D:20210608081201-08'00') /Rect [249 549.8 324.0859 557.8] /Subtype /Link /Type /Annot >> endobj 848 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (split_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [405 549.8 477.6875 557.8] /Subtype /Link /Type /Annot >> endobj 849 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (combine_hw_platforms) /M (D:20210608081201-08'00') /Rect [93 495.4 179.9844 503.4] /Subtype /Link /Type /Annot >> endobj 850 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20210608081201-08'00') /Rect [249 495.4 318.7695 503.4] /Subtype /Link /Type /Annot >> endobj 851 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20210608081201-08'00') /Rect [405 495.4 485.0352 503.4] /Subtype /Link /Type /Annot >> endobj 852 0 obj << /A << /D (unique_151) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20210608081201-08'00') /Rect [93 481.2 162.6055 489.2] /Subtype /Link /Type /Annot >> endobj 853 0 obj << /A << /D (unique_152) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform_metadata) /M (D:20210608081201-08'00') /Rect [249 481.2 358.0469 489.2] /Subtype /Link /Type /Annot >> endobj 854 0 obj << /A << /D (unique_501) /S /GoTo >> /Border [0 0 0] /Contents (delete_power_results) /M (D:20210608081201-08'00') /Rect [93 426.8 172.0703 434.8] /Subtype /Link /Type /Annot >> endobj 855 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [249 426.8 317.2109 434.8] /Subtype /Link /Type /Annot >> endobj 856 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20210608081201-08'00') /Rect [405 426.8 438.5742 434.8] /Subtype /Link /Type /Annot >> endobj 857 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [93 412.6 143.7695 420.6] /Subtype /Link /Type /Annot >> endobj 858 0 obj << /A << /D (unique_504) /S /GoTo >> /Border [0 0 0] /Contents (report_power_opt) /M (D:20210608081201-08'00') /Rect [249 412.6 315.707 420.6] /Subtype /Link /Type /Annot >> endobj 859 0 obj << /A << /D (unique_505) /S /GoTo >> /Border [0 0 0] /Contents (reset_operating_conditions) /M (D:20210608081201-08'00') /Rect [405 412.6 506.5273 420.6] /Subtype /Link /Type /Annot >> endobj 860 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20210608081201-08'00') /Rect [93 398.4 180.6992 406.4] /Subtype /Link /Type /Annot >> endobj 861 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20210608081201-08'00') /Rect [249 398.4 342.7891 406.4] /Subtype /Link /Type /Annot >> endobj 862 0 obj << /A << /D (unique_508) /S /GoTo >> /Border [0 0 0] /Contents (set_power_opt) /M (D:20210608081201-08'00') /Rect [405 398.4 459.2461 406.4] /Subtype /Link /Type /Annot >> endobj 863 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20210608081201-08'00') /Rect [93 384.2 172.9609 392.2] /Subtype /Link /Type /Annot >> endobj 864 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [93 329.8 125.8438 337.8] /Subtype /Link /Type /Annot >> endobj 865 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20210608081201-08'00') /Rect [249 329.8 342.1719 337.8] /Subtype /Link /Type /Annot >> endobj 866 0 obj << /A << /D (unique_57) /S /GoTo >> /Border [0 0 0] /Contents (apply_board_connection) /M (D:20210608081201-08'00') /Rect [405 329.8 496.1055 337.8] /Subtype /Link /Type /Annot >> endobj 867 0 obj << /A << /D (unique_511) /S /GoTo >> /Border [0 0 0] /Contents (archive_project) /M (D:20210608081201-08'00') /Rect [93 315.6 149.7148 323.6] /Subtype /Link /Type /Annot >> endobj 868 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (auto_detect_xpm) /M (D:20210608081201-08'00') /Rect [249 315.6 312.9297 323.6] /Subtype /Link /Type /Annot >> endobj 869 0 obj << /A << /D (unique_425) /S /GoTo >> /Border [0 0 0] /Contents (can_resolve_reference) /M (D:20210608081201-08'00') /Rect [405 315.6 487.9375 323.6] /Subtype /Link /Type /Annot >> endobj 870 0 obj << /A << /D (unique_512) /S /GoTo >> /Border [0 0 0] /Contents (check_syntax) /M (D:20210608081201-08'00') /Rect [93 301.4 142.1602 309.4] /Subtype /Link /Type /Annot >> endobj 871 0 obj << /A << /D (unique_513) /S /GoTo >> /Border [0 0 0] /Contents (close_design) /M (D:20210608081201-08'00') /Rect [249 301.4 296.5703 309.4] /Subtype /Link /Type /Annot >> endobj 872 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20210608081201-08'00') /Rect [405 301.4 453.6641 309.4] /Subtype /Link /Type /Annot >> endobj 873 0 obj << /A << /D (unique_329) /S /GoTo >> /Border [0 0 0] /Contents (compile_c) /M (D:20210608081201-08'00') /Rect [93 287.2 129.8477 295.2] /Subtype /Link /Type /Annot >> endobj 874 0 obj << /A << /D (unique_2) /S /GoTo >> /Border [0 0 0] /Contents (copy_constraints) /M (D:20210608081201-08'00') /Rect [249 287.2 311.6758 295.2] /Subtype /Link /Type /Annot >> endobj 875 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (copy_ip) /M (D:20210608081201-08'00') /Rect [405 287.2 432.875 295.2] /Subtype /Link /Type /Annot >> endobj 876 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (create_dashboard_gadget) /M (D:20210608081201-08'00') /Rect [93 273 190.2812 281] /Subtype /Link /Type /Annot >> endobj 877 0 obj << /A << /D (unique_516) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20210608081201-08'00') /Rect [249 273 298.375 281] /Subtype /Link /Type /Annot >> endobj 878 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20210608081201-08'00') /Rect [405 273 455.2227 281] /Subtype /Link /Type /Annot >> endobj 879 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20210608081201-08'00') /Rect [93 258.8 158.7109 266.8] /Subtype /Link /Type /Annot >> endobj 880 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [249 258.8 302.043 266.8] /Subtype /Link /Type /Annot >> endobj 881 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [405 258.8 444.9492 266.8] /Subtype /Link /Type /Annot >> endobj 882 0 obj << /A << /D (unique_3) /S /GoTo >> /Border [0 0 0] /Contents (create_single_pass_run) /M (D:20210608081201-08'00') /Rect [93 244.6 178.9375 252.6] /Subtype /Link /Type /Annot >> endobj 883 0 obj << /A << /D (unique_519) /S /GoTo >> /Border [0 0 0] /Contents (create_xps) /M (D:20210608081201-08'00') /Rect [249 244.6 288.7383 252.6] /Subtype /Link /Type /Annot >> endobj 884 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [405 244.6 477.2383 252.6] /Subtype /Link /Type /Annot >> endobj 885 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [93 230.4 146.5703 238.4] /Subtype /Link /Type /Annot >> endobj 886 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [249 230.4 306.2383 238.4] /Subtype /Link /Type /Annot >> endobj 887 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20210608081201-08'00') /Rect [405 230.4 449.1445 238.4] /Subtype /Link /Type /Annot >> endobj 888 0 obj << /A << /D (unique_523) /S /GoTo >> /Border [0 0 0] /Contents (delete_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [93 216.2 194.0547 224.2] /Subtype /Link /Type /Annot >> endobj 889 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (delete_fileset) /M (D:20210608081201-08'00') /Rect [249 216.2 298.3164 224.2] /Subtype /Link /Type /Annot >> endobj 890 0 obj << /A << /D (unique_334) /S /GoTo >> /Border [0 0 0] /Contents (delete_ip_run) /M (D:20210608081201-08'00') /Rect [405 216.2 455.1641 224.2] /Subtype /Link /Type /Annot >> endobj 891 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (delete_runs) /M (D:20210608081201-08'00') /Rect [93 202 136.7227 210] /Subtype /Link /Type /Annot >> endobj 892 0 obj << /A << /D (unique_526) /S /GoTo >> /Border [0 0 0] /Contents (find_top) /M (D:20210608081201-08'00') /Rect [249 202 279.6211 210] /Subtype /Link /Type /Annot >> endobj 893 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20210608081201-08'00') /Rect [405 202 481.25 210] /Subtype /Link /Type /Annot >> endobj 894 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [93 187.8 153.2539 195.8] /Subtype /Link /Type /Annot >> endobj 895 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [249 187.8 309.7305 195.8] /Subtype /Link /Type /Annot >> endobj 896 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [405 187.8 446.8398 195.8] /Subtype /Link /Type /Annot >> endobj 897 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [93 173.6 182.9688 181.6] /Subtype /Link /Type /Annot >> endobj 898 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [249 173.6 279.832 181.6] /Subtype /Link /Type /Annot >> endobj 899 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20210608081201-08'00') /Rect [405 173.6 447.0625 181.6] /Subtype /Link /Type /Annot >> endobj 900 0 obj << /A << /D (unique_337) /S /GoTo >> /Border [0 0 0] /Contents (get_ip_upgrade_results) /M (D:20210608081201-08'00') /Rect [93 159.4 179.4062 167.4] /Subtype /Link /Type /Annot >> endobj 901 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [249 159.4 275.4258 167.4] /Subtype /Link /Type /Annot >> endobj 902 0 obj << /A << /D (unique_465) /S /GoTo >> /Border [0 0 0] /Contents (get_projects) /M (D:20210608081201-08'00') /Rect [405 159.4 450.7305 167.4] /Subtype /Link /Type /Annot >> endobj 903 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20210608081201-08'00') /Rect [93 145.2 125.6367 153.2] /Subtype /Link /Type /Annot >> endobj 904 0 obj << /A << /D (unique_527) /S /GoTo >> /Border [0 0 0] /Contents (help) /M (D:20210608081201-08'00') /Rect [249 145.2 265.4414 153.2] /Subtype /Link /Type /Annot >> endobj 905 0 obj << /A << /D (unique_528) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20210608081201-08'00') /Rect [405 145.2 449.0078 153.2] /Subtype /Link /Type /Annot >> endobj 906 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [93 131 128.7695 139] /Subtype /Link /Type /Annot >> endobj 907 0 obj << /A << /D (unique_529) /S /GoTo >> /Border [0 0 0] /Contents (import_synplify) /M (D:20210608081201-08'00') /Rect [249 131 306.5195 139] /Subtype /Link /Type /Annot >> endobj 908 0 obj << /A << /D (unique_530) /S /GoTo >> /Border [0 0 0] /Contents (import_xise) /M (D:20210608081201-08'00') /Rect [405 131 448.4219 139] /Subtype /Link /Type /Annot >> endobj 909 0 obj << /A << /D (unique_531) /S /GoTo >> /Border [0 0 0] /Contents (import_xst) /M (D:20210608081201-08'00') /Rect [93 116.8 132.7344 124.8] /Subtype /Link /Type /Annot >> endobj 910 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [249 116.8 294.543 124.8] /Subtype /Link /Type /Annot >> endobj 911 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20210608081201-08'00') /Rect [405 116.8 445.9258 124.8] /Subtype /Link /Type /Annot >> endobj 912 0 obj << /A << /D (unique_534) /S /GoTo >> /Border [0 0 0] /Contents (lock_design) /M (D:20210608081201-08'00') /Rect [93 102.6 136.5 110.6] /Subtype /Link /Type /Annot >> endobj 913 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (make_wrapper) /M (D:20210608081201-08'00') /Rect [249 102.6 304.6289 110.6] /Subtype /Link /Type /Annot >> endobj 914 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (move_dashboard_gadget) /M (D:20210608081201-08'00') /Rect [405 102.6 499.6328 110.6] /Subtype /Link /Type /Annot >> endobj 915 0 obj << /A << /D (unique_537) /S /GoTo >> /Border [0 0 0] /Contents (move_files) /M (D:20210608081201-08'00') /Rect [93 88.4 132.3281 96.4] /Subtype /Link /Type /Annot >> endobj 916 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20210608081201-08'00') /Rect [249 88.4 312.5742 96.4] /Subtype /Link /Type /Annot >> endobj 917 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (open_example_project) /M (D:20210608081201-08'00') /Rect [405 88.4 489.2148 96.4] /Subtype /Link /Type /Annot >> endobj 918 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 919 0 obj << /Filter /FlateDecode /Length 2869 >> stream x\K W=-h U=umrrn eR ˒eIEr~QЏ4qboN~WskzTcr dL)ƴ[-ٍ _; Cʞ !PU\UbTVCQQ%i^0y4;TIcghIT- o )纇uLChC^<`'uJ_h FJ.VGP"zא{v6n8<[$ c<%Pחz<=ILDI$\L~h;.׈' ?O-ʣ99U!}K|6>d̐#>c>n%E[13n0x}D㞲q!λedgI)s} T JȮt9$?=ԭϫ/ 8k4y/ 4Ro{pJl^<NjEꋣg 5 R_WpLinHi)ܤp?/4nD˥s^i&ܤpAMs&>a#)~ѣY+HO is <vE8 >>t6ucޮj *0z98 @A(6E,ڜkWvLX{!ǥd1m,dQe98mX/ Rӽ-x'UX:y8J+27y$..LVQ>^Li&J;.YȢ6-ƙâw2Uﯙ5I&36B戀U~{muFkи8Hs0At>@N.lK'Ns=~Vgz?V>PyM 7+˛L`;mYO_]} Q7OԎ|byU;S*tCB&46f*=Inck&Lӂ҉N Ϊؓb/Vk0ܱC'S6߰\me!n)4;Md![ 9ILYv2ޞ=b$rkq"2S$T%T24`mQ:C0q%ٿ/?Hq_MKIW$UtE@ `"egc؟ K84\+W[RУ+%p)wZ 悲m+}%+O%?2>R4af#}jྤ(_BLbn .GYC>3, NEy +K %8Pt^fRq4\^g䛟.2.>ȦQIg;FgĤµt[2KeI ]w= O0=3ZD>Qn)^Sŷ2ofqig5Lޣ￸U`'UJ7Lc[l&^pol*Ma) Ng >_q__cxˢa޿G/{o~C>7UDwKx}1a^ODD=~I(WԳ\Y2b+:?jߩI4lwpz4H 4s]4 TKL endstream endobj 920 0 obj << /Annots 922 0 R /BleedBox [0 0 612 792] /Contents [1030 0 R 1029 0 R 923 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5349 924 0 R >> >> /Type /Page >> endobj 921 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 922 0 obj [921 0 R 926 0 R 927 0 R 928 0 R 929 0 R 930 0 R 931 0 R 932 0 R 933 0 R 934 0 R 935 0 R 936 0 R 937 0 R 938 0 R 939 0 R 940 0 R 941 0 R 942 0 R 943 0 R 944 0 R 945 0 R 946 0 R 947 0 R 948 0 R 949 0 R 950 0 R 951 0 R 952 0 R 953 0 R 954 0 R 955 0 R 956 0 R 957 0 R 958 0 R 959 0 R 960 0 R 961 0 R 962 0 R 963 0 R 964 0 R 965 0 R 966 0 R 967 0 R 968 0 R 969 0 R 970 0 R 971 0 R 972 0 R 973 0 R 974 0 R 975 0 R 976 0 R 977 0 R 978 0 R 979 0 R 980 0 R 981 0 R 982 0 R 983 0 R 984 0 R 985 0 R 986 0 R 987 0 R 988 0 R 989 0 R 990 0 R 991 0 R 992 0 R 993 0 R 994 0 R 995 0 R 996 0 R 997 0 R 998 0 R 999 0 R 1000 0 R 1001 0 R 1002 0 R 1003 0 R 1004 0 R 1005 0 R 1006 0 R 1007 0 R 1008 0 R 1009 0 R 1010 0 R 1011 0 R 1012 0 R 1013 0 R 1014 0 R 1015 0 R 1016 0 R 1017 0 R 1018 0 R 1019 0 R 1020 0 R 1021 0 R 1022 0 R 1023 0 R 1024 0 R 1025 0 R 1026 0 R 1027 0 R 1028 0 R 925 0 R] endobj 923 0 obj << /Length 18 >> stream q /Iabc5349 Do Q endstream endobj 924 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5358 20690 0 R /Gabc5374 20697 0 R >> /Font << /Fabc5376 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQMޙG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}. endstream endobj 925 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=28) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 926 0 obj << /A << /D (unique_539) /S /GoTo >> /Border [0 0 0] /Contents (open_io_design) /M (D:20210608081201-08'00') /Rect [93 700.4 150.8945 708.4] /Subtype /Link /Type /Annot >> endobj 927 0 obj << /A << /D (unique_540) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20210608081201-08'00') /Rect [249 700.4 297.7969 708.4] /Subtype /Link /Type /Annot >> endobj 928 0 obj << /A << /D (unique_541) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20210608081201-08'00') /Rect [405 700.4 440.7031 708.4] /Subtype /Link /Type /Annot >> endobj 929 0 obj << /A << /D (unique_542) /S /GoTo >> /Border [0 0 0] /Contents (refresh_design) /M (D:20210608081201-08'00') /Rect [93 686.2 148.4922 694.2] /Subtype /Link /Type /Annot >> endobj 930 0 obj << /A << /D (unique_140) /S /GoTo >> /Border [0 0 0] /Contents (refresh_meminit) /M (D:20210608081201-08'00') /Rect [249 686.2 310.7266 694.2] /Subtype /Link /Type /Annot >> endobj 931 0 obj << /A << /D (unique_543) /S /GoTo >> /Border [0 0 0] /Contents (reimport_files) /M (D:20210608081201-08'00') /Rect [405 686.2 456.7461 694.2] /Subtype /Link /Type /Annot >> endobj 932 0 obj << /A << /D (unique_544) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20210608081201-08'00') /Rect [93 672 140.0664 680] /Subtype /Link /Type /Annot >> endobj 933 0 obj << /A << /D (unique_545) /S /GoTo >> /Border [0 0 0] /Contents (reorder_files) /M (D:20210608081201-08'00') /Rect [249 672 296.0547 680] /Subtype /Link /Type /Annot >> endobj 934 0 obj << /A << /D (unique_546) /S /GoTo >> /Border [0 0 0] /Contents (report_compile_order) /M (D:20210608081201-08'00') /Rect [405 672 485.793 680] /Subtype /Link /Type /Annot >> endobj 935 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (reset_project) /M (D:20210608081201-08'00') /Rect [93 657.8001 141.5469 665.8001] /Subtype /Link /Type /Annot >> endobj 936 0 obj << /A << /D (unique_548) /S /GoTo >> /Border [0 0 0] /Contents (reset_runs) /M (D:20210608081201-08'00') /Rect [249 657.8001 288.2852 665.8001] /Subtype /Link /Type /Annot >> endobj 937 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (reset_target) /M (D:20210608081201-08'00') /Rect [405 657.8001 450.2188 665.8001] /Subtype /Link /Type /Annot >> endobj 938 0 obj << /A << /D (unique_549) /S /GoTo >> /Border [0 0 0] /Contents (save_constraints) /M (D:20210608081201-08'00') /Rect [93 643.6 154.9688 651.6] /Subtype /Link /Type /Annot >> endobj 939 0 obj << /A << /D (unique_550) /S /GoTo >> /Border [0 0 0] /Contents (save_constraints_as) /M (D:20210608081201-08'00') /Rect [249 643.6 322.5781 651.6] /Subtype /Link /Type /Annot >> endobj 940 0 obj << /A << /D (unique_551) /S /GoTo >> /Border [0 0 0] /Contents (save_project_as) /M (D:20210608081201-08'00') /Rect [405 643.6 463.082 651.6] /Subtype /Link /Type /Annot >> endobj 941 0 obj << /A << /D (unique_552) /S /GoTo >> /Border [0 0 0] /Contents (set_part) /M (D:20210608081201-08'00') /Rect [93 629.4 123.1211 637.4] /Subtype /Link /Type /Annot >> endobj 942 0 obj << /A << /D (unique_553) /S /GoTo >> /Border [0 0 0] /Contents (set_speed_grade) /M (D:20210608081201-08'00') /Rect [249 629.4 311.5781 637.4] /Subtype /Link /Type /Annot >> endobj 943 0 obj << /A << /D (unique_344) /S /GoTo >> /Border [0 0 0] /Contents (synth_ip) /M (D:20210608081201-08'00') /Rect [405 629.4 435.9609 637.4] /Subtype /Link /Type /Annot >> endobj 944 0 obj << /A << /D (unique_554) /S /GoTo >> /Border [0 0 0] /Contents (update_compile_order) /M (D:20210608081201-08'00') /Rect [93 615.2 176.7773 623.2] /Subtype /Link /Type /Annot >> endobj 945 0 obj << /A << /D (unique_555) /S /GoTo >> /Border [0 0 0] /Contents (update_design) /M (D:20210608081201-08'00') /Rect [249 615.2 304.1602 623.2] /Subtype /Link /Type /Annot >> endobj 946 0 obj << /A << /D (unique_556) /S /GoTo >> /Border [0 0 0] /Contents (update_files) /M (D:20210608081201-08'00') /Rect [405 615.2 450.1875 623.2] /Subtype /Link /Type /Annot >> endobj 947 0 obj << /A << /D (unique_557) /S /GoTo >> /Border [0 0 0] /Contents (update_sw_parameters) /M (D:20210608081201-08'00') /Rect [93 601 180.0273 609] /Subtype /Link /Type /Annot >> endobj 948 0 obj << /A << /D (unique_7) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_project) /M (D:20210608081201-08'00') /Rect [249 601 310.5156 609] /Subtype /Link /Type /Annot >> endobj 949 0 obj << /A << /D (unique_74) /S /GoTo >> /Border [0 0 0] /Contents (validate_board_files) /M (D:20210608081201-08'00') /Rect [405 601 478.6836 609] /Subtype /Link /Type /Annot >> endobj 950 0 obj << /A << /D (unique_558) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_run) /M (D:20210608081201-08'00') /Rect [93 586.8001 138.2852 594.8001] /Subtype /Link /Type /Annot >> endobj 951 0 obj << /A << /D (unique_559) /S /GoTo >> /Border [0 0 0] /Contents (write_hwdef) /M (D:20210608081201-08'00') /Rect [249 586.8001 294.7656 594.8001] /Subtype /Link /Type /Annot >> endobj 952 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (write_ip_tcl) /M (D:20210608081201-08'00') /Rect [405 586.8001 446.4062 594.8001] /Subtype /Link /Type /Annot >> endobj 953 0 obj << /A << /D (unique_82) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20210608081201-08'00') /Rect [93 572.6 154.3008 580.6] /Subtype /Link /Type /Annot >> endobj 954 0 obj << /A << /D (unique_560) /S /GoTo >> /Border [0 0 0] /Contents (convert_ngc) /M (D:20210608081201-08'00') /Rect [93 518.2 138.3867 526.2] /Subtype /Link /Type /Annot >> endobj 955 0 obj << /A << /D (unique_561) /S /GoTo >> /Border [0 0 0] /Contents (copy_run) /M (D:20210608081201-08'00') /Rect [249 518.2 283.0859 526.2] /Subtype /Link /Type /Annot >> endobj 956 0 obj << /A << /D (unique_562) /S /GoTo >> /Border [0 0 0] /Contents (export_bd_synth) /M (D:20210608081201-08'00') /Rect [405 518.2 466.7266 526.2] /Subtype /Link /Type /Annot >> endobj 957 0 obj << /A << /D (unique_563) /S /GoTo >> /Border [0 0 0] /Contents (write_project_tcl) /M (D:20210608081201-08'00') /Rect [93 504 153.7109 512] /Subtype /Link /Type /Annot >> endobj 958 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20210608081201-08'00') /Rect [93 449.6 152.4453 457.6] /Subtype /Link /Type /Annot >> endobj 959 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [249 449.6 266.582 457.6] /Subtype /Link /Type /Annot >> endobj 960 0 obj << /A << /D (unique_565) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20210608081201-08'00') /Rect [405 449.6 445.2148 457.6] /Subtype /Link /Type /Annot >> endobj 961 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [93 435.3999 141.3008 443.3999] /Subtype /Link /Type /Annot >> endobj 962 0 obj << /A << /D (unique_566) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20210608081201-08'00') /Rect [249 435.3999 287.7383 443.3999] /Subtype /Link /Type /Annot >> endobj 963 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [405 435.3999 451.8242 443.3999] /Subtype /Link /Type /Annot >> endobj 964 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20210608081201-08'00') /Rect [93 421.2 163.1836 429.2] /Subtype /Link /Type /Annot >> endobj 965 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20210608081201-08'00') /Rect [249 421.2 300.5859 429.2] /Subtype /Link /Type /Annot >> endobj 966 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [405 421.2 464.6719 429.2] /Subtype /Link /Type /Annot >> endobj 967 0 obj << /A << /D (unique_568) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20210608081201-08'00') /Rect [93 406.9999 139.8633 414.9999] /Subtype /Link /Type /Annot >> endobj 968 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20210608081201-08'00') /Rect [249 406.9999 303.9492 414.9999] /Subtype /Link /Type /Annot >> endobj 969 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20210608081201-08'00') /Rect [405 406.9999 444.125 414.9999] /Subtype /Link /Type /Annot >> endobj 970 0 obj << /A << /D (unique_552) /S /GoTo >> /Border [0 0 0] /Contents (set_part) /M (D:20210608081201-08'00') /Rect [93 392.8 123.1211 400.8] /Subtype /Link /Type /Annot >> endobj 971 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [249 392.8 296.2109 400.8] /Subtype /Link /Type /Annot >> endobj 972 0 obj << /A << /D (unique_570) /S /GoTo >> /Border [0 0 0] /Contents (calc_config_time) /M (D:20210608081201-08'00') /Rect [93 338.3999 154.1133 346.3999] /Subtype /Link /Type /Annot >> endobj 973 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20210608081201-08'00') /Rect [249 338.3999 298.0586 346.3999] /Subtype /Link /Type /Annot >> endobj 974 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20210608081201-08'00') /Rect [405 338.3999 479.2812 346.3999] /Subtype /Link /Type /Annot >> endobj 975 0 obj << /A << /D (unique_429) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20210608081201-08'00') /Rect [93 324.1999 170.0977 332.1999] /Subtype /Link /Type /Annot >> endobj 976 0 obj << /A << /D (unique_14) /S /GoTo >> /Border [0 0 0] /Contents (create_slack_histogram) /M (D:20210608081201-08'00') /Rect [249 324.1999 336.2227 332.1999] /Subtype /Link /Type /Annot >> endobj 977 0 obj << /A << /D (unique_572) /S /GoTo >> /Border [0 0 0] /Contents (delete_clock_networks_results) /M (D:20210608081201-08'00') /Rect [405 324.1999 517.3086 332.1999] /Subtype /Link /Type /Annot >> endobj 978 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20210608081201-08'00') /Rect [93 309.9999 173.8711 317.9999] /Subtype /Link /Type /Annot >> endobj 979 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20210608081201-08'00') /Rect [249 309.9999 328.6406 317.9999] /Subtype /Link /Type /Annot >> endobj 980 0 obj << /A << /D (unique_574) /S /GoTo >> /Border [0 0 0] /Contents (delete_utilization_results) /M (D:20210608081201-08'00') /Rect [405 309.9999 497.2852 317.9999] /Subtype /Link /Type /Annot >> endobj 981 0 obj << /A << /D (unique_434) /S /GoTo >> /Border [0 0 0] /Contents (generate_reports) /M (D:20210608081201-08'00') /Rect [93 295.7999 157.8164 303.7999] /Subtype /Link /Type /Annot >> endobj 982 0 obj << /A << /D (unique_575) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20210608081201-08'00') /Rect [249 295.7999 307.4961 303.7999] /Subtype /Link /Type /Annot >> endobj 983 0 obj << /A << /D (unique_576) /S /GoTo >> /Border [0 0 0] /Contents (get_pplocs) /M (D:20210608081201-08'00') /Rect [405 295.7999 445.0273 303.7999] /Subtype /Link /Type /Annot >> endobj 984 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20210608081201-08'00') /Rect [93 281.6 162.7852 289.6] /Subtype /Link /Type /Annot >> endobj 985 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20210608081201-08'00') /Rect [249 281.6 295.1992 289.6] /Subtype /Link /Type /Annot >> endobj 986 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20210608081201-08'00') /Rect [405 281.6 467.7188 289.6] /Subtype /Link /Type /Annot >> endobj 987 0 obj << /A << /D (unique_579) /S /GoTo >> /Border [0 0 0] /Contents (report_carry_chains) /M (D:20210608081201-08'00') /Rect [93 267.3999 166.3984 275.3999] /Subtype /Link /Type /Annot >> endobj 988 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [249 267.3999 288.582 275.3999] /Subtype /Link /Type /Annot >> endobj 989 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20210608081201-08'00') /Rect [405 267.3999 494.8203 275.3999] /Subtype /Link /Type /Annot >> endobj 990 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20210608081201-08'00') /Rect [93 253.1999 177.0078 261.1999] /Subtype /Link /Type /Annot >> endobj 991 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_utilization) /M (D:20210608081201-08'00') /Rect [249 253.1999 335.1289 261.1999] /Subtype /Link /Type /Annot >> endobj 992 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20210608081201-08'00') /Rect [405 253.1999 454.668 261.1999] /Subtype /Link /Type /Annot >> endobj 993 0 obj << /A << /D (unique_584) /S /GoTo >> /Border [0 0 0] /Contents (report_config_implementation) /M (D:20210608081201-08'00') /Rect [93 238.9999 206.7188 246.9999] /Subtype /Link /Type /Annot >> endobj 994 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (report_config_timing) /M (D:20210608081201-08'00') /Rect [249 238.9999 326.9922 246.9999] /Subtype /Link /Type /Annot >> endobj 995 0 obj << /A << /D (unique_5) /S /GoTo >> /Border [0 0 0] /Contents (report_constant_paths) /M (D:20210608081201-08'00') /Rect [405 238.9999 489.0078 246.9999] /Subtype /Link /Type /Annot >> endobj 996 0 obj << /A << /D (unique_586) /S /GoTo >> /Border [0 0 0] /Contents (report_control_sets) /M (D:20210608081201-08'00') /Rect [93 224.7999 164.9727 232.7999] /Subtype /Link /Type /Annot >> endobj 997 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20210608081201-08'00') /Rect [249 224.7999 313.4531 232.7999] /Subtype /Link /Type /Annot >> endobj 998 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (report_debug_core) /M (D:20210608081201-08'00') /Rect [405 224.7999 475.9102 232.7999] /Subtype /Link /Type /Annot >> endobj 999 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20210608081201-08'00') /Rect [93 210.6 178.2539 218.6] /Subtype /Link /Type /Annot >> endobj 1000 0 obj << /A << /D (unique_588) /S /GoTo >> /Border [0 0 0] /Contents (report_disable_timing) /M (D:20210608081201-08'00') /Rect [249 210.6 330.4297 218.6] /Subtype /Link /Type /Annot >> endobj 1001 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [405 210.6 443.9688 218.6] /Subtype /Link /Type /Annot >> endobj 1002 0 obj << /A << /D (unique_589) /S /GoTo >> /Border [0 0 0] /Contents (report_environment) /M (D:20210608081201-08'00') /Rect [93 196.4 168.3984 204.4] /Subtype /Link /Type /Annot >> endobj 1003 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (report_exceptions) /M (D:20210608081201-08'00') /Rect [249 196.4 316.4062 204.4] /Subtype /Link /Type /Annot >> endobj 1004 0 obj << /A << /D (unique_590) /S /GoTo >> /Border [0 0 0] /Contents (report_high_fanout_nets) /M (D:20210608081201-08'00') /Rect [405 196.4 496.4688 204.4] /Subtype /Link /Type /Annot >> endobj 1005 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_ddrmc) /M (D:20210608081201-08'00') /Rect [93 182.2 158.9727 190.2] /Subtype /Link /Type /Annot >> endobj 1006 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20210608081201-08'00') /Rect [249 182.2 304.9688 190.2] /Subtype /Link /Type /Annot >> endobj 1007 0 obj << /A << /D (unique_297) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_softmc) /M (D:20210608081201-08'00') /Rect [405 182.2 472.1367 190.2] /Subtype /Link /Type /Annot >> endobj 1008 0 obj << /A << /D (unique_591) /S /GoTo >> /Border [0 0 0] /Contents (report_incremental_reuse) /M (D:20210608081201-08'00') /Rect [93 168 189.2578 176] /Subtype /Link /Type /Annot >> endobj 1009 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_io) /M (D:20210608081201-08'00') /Rect [249 168 282.8828 176] /Subtype /Link /Type /Annot >> endobj 1010 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [405 168 482.3086 176] /Subtype /Link /Type /Annot >> endobj 1011 0 obj << /A << /D (unique_593) /S /GoTo >> /Border [0 0 0] /Contents (report_operating_conditions) /M (D:20210608081201-08'00') /Rect [93 153.8 199.25 161.8] /Subtype /Link /Type /Annot >> endobj 1012 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20210608081201-08'00') /Rect [249 153.8 300.5859 161.8] /Subtype /Link /Type /Annot >> endobj 1013 0 obj << /A << /D (unique_594) /S /GoTo >> /Border [0 0 0] /Contents (report_phys_opt) /M (D:20210608081201-08'00') /Rect [405 153.8 465.6953 161.8] /Subtype /Link /Type /Annot >> endobj 1014 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [93 139.6 143.7695 147.6] /Subtype /Link /Type /Annot >> endobj 1015 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_pr_configuration_analysis) /M (D:20210608081201-08'00') /Rect [249 139.6 371.332 147.6] /Subtype /Link /Type /Annot >> endobj 1016 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [405 139.6 464.6719 147.6] /Subtype /Link /Type /Annot >> endobj 1017 0 obj << /A << /D (unique_596) /S /GoTo >> /Border [0 0 0] /Contents (report_pulse_width) /M (D:20210608081201-08'00') /Rect [93 125.4 164.6484 133.4] /Subtype /Link /Type /Annot >> endobj 1018 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20210608081201-08'00') /Rect [249 125.4 336.4883 133.4] /Subtype /Link /Type /Annot >> endobj 1019 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [405 125.4 493.8672 133.4] /Subtype /Link /Type /Annot >> endobj 1020 0 obj << /A << /D (unique_597) /S /GoTo >> /Border [0 0 0] /Contents (report_ram_utilization) /M (D:20210608081201-08'00') /Rect [93 111.2 175.4688 119.2] /Subtype /Link /Type /Annot >> endobj 1021 0 obj << /A << /D (unique_598) /S /GoTo >> /Border [0 0 0] /Contents (report_route_status) /M (D:20210608081201-08'00') /Rect [249 111.2 322.5508 119.2] /Subtype /Link /Type /Annot >> endobj 1022 0 obj << /A << /D (unique_599) /S /GoTo >> /Border [0 0 0] /Contents (report_sim_device) /M (D:20210608081201-08'00') /Rect [405 111.2 472.4766 119.2] /Subtype /Link /Type /Annot >> endobj 1023 0 obj << /A << /D (unique_6) /S /GoTo >> /Border [0 0 0] /Contents (report_sim_version) /M (D:20210608081201-08'00') /Rect [93 97 164.2031 105] /Subtype /Link /Type /Annot >> endobj 1024 0 obj << /A << /D (unique_600) /S /GoTo >> /Border [0 0 0] /Contents (report_ssn) /M (D:20210608081201-08'00') /Rect [249 97 288.5898 105] /Subtype /Link /Type /Annot >> endobj 1025 0 obj << /A << /D (unique_601) /S /GoTo >> /Border [0 0 0] /Contents (report_switching_activity) /M (D:20210608081201-08'00') /Rect [405 97 497.4219 105] /Subtype /Link /Type /Annot >> endobj 1026 0 obj << /A << /D (unique_602) /S /GoTo >> /Border [0 0 0] /Contents (report_synchronizer_mtbf) /M (D:20210608081201-08'00') /Rect [93 82.8 189.6055 90.8] /Subtype /Link /Type /Annot >> endobj 1027 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [249 82.8 300.3398 90.8] /Subtype /Link /Type /Annot >> endobj 1028 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [405 82.8 495.2383 90.8] /Subtype /Link /Type /Annot >> endobj 1029 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1030 0 obj << /Filter /FlateDecode /Length 3100 >> stream xnίy Nn `N NQ8n82)UŒwL1OF߾aGxwR_l襼c3դ /M8$|P4RfC bk~;Ҿ3N o&2!2NdT%2OdT'2LdT'2.l{.?7Wԉ"`!OO+y߯o+wO~~6kVĿ,jBd\`rz]D9(GTaּu'ȼȎ }5-/4b*Ϥs% 5ܒB;%%dtza{h9ݹ%2+L,_$=ԧ1I 3`z[-hmiZ`3 `s0SatH@J!69߱ť>0=D7Qyþ$x61qX5ۃ㒗+^o6lSز܃Bz\Mvee/fPQ?K9wv3ƚ5ZjFӔĤo>$t}駊JCb~:@IZP9Lֆ&AIBJ\{G0C~*tlT>SwzU_ A:]@˺jNТ.uJ]X7 rzbsJ,%fmu U:@&եnCWOrmU0Owu-&#R&I?00 'I,h)+Ʊt4CEE1bM1ǍltUDw-J旚u8RJCLb\dМl8g'?L;0x żehŤGjꨄZ_d+} G8jԻUegAIJGiҒ}"3gqZ '~ZWUYa(81@wK7s.JHPm4t4EI(PIsҕ;k}ew;Qey-}>RP|/!R$~A[o; y5rR@Ok[=@":0doK4'9$nD‡XWdpo&0&{ ^jo1 ~Zآ4E`90ۈŜgq"AՊlH'l&JX |B䞬7ޜ~ !OͥjG$MQ2~FkcC5hb7Ah|YRNN]6WbC :|2 aC=?Qڑ$9>wʼU, \<"ia(1Rr] wb?z̡T>kGv6dzl%䓹2 ${-R gdǬ ?s0)`OqVn /<,&s#G|nP?#PT >4/7A7&V endstream endobj 1031 0 obj << /Annots 1033 0 R /BleedBox [0 0 612 792] /Contents [1152 0 R 1151 0 R 1034 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5544 1035 0 R >> >> /Type /Page >> endobj 1032 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1033 0 obj [1032 0 R 1037 0 R 1038 0 R 1039 0 R 1040 0 R 1041 0 R 1042 0 R 1043 0 R 1044 0 R 1045 0 R 1046 0 R 1047 0 R 1048 0 R 1049 0 R 1050 0 R 1051 0 R 1052 0 R 1053 0 R 1054 0 R 1055 0 R 1056 0 R 1057 0 R 1058 0 R 1059 0 R 1060 0 R 1061 0 R 1062 0 R 1063 0 R 1064 0 R 1065 0 R 1066 0 R 1067 0 R 1068 0 R 1069 0 R 1070 0 R 1071 0 R 1072 0 R 1073 0 R 1074 0 R 1075 0 R 1076 0 R 1077 0 R 1078 0 R 1079 0 R 1080 0 R 1081 0 R 1082 0 R 1083 0 R 1084 0 R 1085 0 R 1086 0 R 1087 0 R 1088 0 R 1089 0 R 1090 0 R 1091 0 R 1092 0 R 1093 0 R 1094 0 R 1095 0 R 1096 0 R 1097 0 R 1098 0 R 1099 0 R 1100 0 R 1101 0 R 1102 0 R 1103 0 R 1104 0 R 1105 0 R 1106 0 R 1107 0 R 1108 0 R 1109 0 R 1110 0 R 1111 0 R 1112 0 R 1113 0 R 1114 0 R 1115 0 R 1116 0 R 1117 0 R 1118 0 R 1119 0 R 1120 0 R 1121 0 R 1122 0 R 1123 0 R 1124 0 R 1125 0 R 1126 0 R 1127 0 R 1128 0 R 1129 0 R 1130 0 R 1131 0 R 1132 0 R 1133 0 R 1134 0 R 1135 0 R 1136 0 R 1137 0 R 1138 0 R 1139 0 R 1140 0 R 1141 0 R 1142 0 R 1143 0 R 1144 0 R 1145 0 R 1146 0 R 1147 0 R 1148 0 R 1149 0 R 1150 0 R 1036 0 R] endobj 1034 0 obj << /Length 18 >> stream q /Iabc5544 Do Q endstream endobj 1035 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5552 20690 0 R /Gabc5568 20697 0 R >> /Font << /Fabc5578 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7w/gx(R\T1Br4n~AG/cXLB.FPQEq¨9 }' LDԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ? 5Ժ@A꣔xJ9iK[Zߖj?ɞӡ'<ʡ|~72THL_%ee8>϶Gul#x?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!ëiWm_yݝCR\vhw%‰ph#bwoMe endstream endobj 1036 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=29) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1037 0 obj << /A << /D (unique_605) /S /GoTo >> /Border [0 0 0] /Contents (report_transformed_primitives) /M (D:20210608081201-08'00') /Rect [93 700.4 207.6484 708.4] /Subtype /Link /Type /Annot >> endobj 1038 0 obj << /A << /D (unique_606) /S /GoTo >> /Border [0 0 0] /Contents (report_utilization) /M (D:20210608081201-08'00') /Rect [249 700.4 312.9844 708.4] /Subtype /Link /Type /Annot >> endobj 1039 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20210608081201-08'00') /Rect [405 700.4 460.5312 708.4] /Subtype /Link /Type /Annot >> endobj 1040 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc) /M (D:20210608081201-08'00') /Rect [93 686.2 127.2461 694.2] /Subtype /Link /Type /Annot >> endobj 1041 0 obj << /A << /D (unique_406) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology) /M (D:20210608081201-08'00') /Rect [249 686.2 321.5859 694.2] /Subtype /Link /Type /Annot >> endobj 1042 0 obj << /A << /D (unique_607) /S /GoTo >> /Border [0 0 0] /Contents (reset_msg_config) /M (D:20210608081201-08'00') /Rect [405 686.2 470.1445 694.2] /Subtype /Link /Type /Annot >> endobj 1043 0 obj << /A << /D (unique_608) /S /GoTo >> /Border [0 0 0] /Contents (reset_msg_count) /M (D:20210608081201-08'00') /Rect [93 672 156.2383 680] /Subtype /Link /Type /Annot >> endobj 1044 0 obj << /A << /D (unique_609) /S /GoTo >> /Border [0 0 0] /Contents (reset_ssn) /M (D:20210608081201-08'00') /Rect [249 672 283.8672 680] /Subtype /Link /Type /Annot >> endobj 1045 0 obj << /A << /D (unique_610) /S /GoTo >> /Border [0 0 0] /Contents (reset_timing) /M (D:20210608081201-08'00') /Rect [405 672 451.6172 680] /Subtype /Link /Type /Annot >> endobj 1046 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [93 657.8001 150.4062 665.8001] /Subtype /Link /Type /Annot >> endobj 1047 0 obj << /A << /D (unique_612) /S /GoTo >> /Border [0 0 0] /Contents (version) /M (D:20210608081201-08'00') /Rect [249 657.8001 276.5586 665.8001] /Subtype /Link /Type /Annot >> endobj 1048 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [93 603.4 127.5898 611.4] /Subtype /Link /Type /Annot >> endobj 1049 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20210608081201-08'00') /Rect [249 603.4 284.4961 611.4] /Subtype /Link /Type /Annot >> endobj 1050 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (all_outputs) /M (D:20210608081201-08'00') /Rect [405 603.4 446.1602 611.4] /Subtype /Link /Type /Annot >> endobj 1051 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20210608081201-08'00') /Rect [93 589.2 137.9922 597.2] /Subtype /Link /Type /Annot >> endobj 1052 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [249 589.2 294.6094 597.2] /Subtype /Link /Type /Annot >> endobj 1053 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [405 589.2 492.8242 597.2] /Subtype /Link /Type /Annot >> endobj 1054 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [93 575 149.1445 583] /Subtype /Link /Type /Annot >> endobj 1055 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [249 575 311.4609 583] /Subtype /Link /Type /Annot >> endobj 1056 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [405 575 436.918 583] /Subtype /Link /Type /Annot >> endobj 1057 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [93 560.8001 131.2969 568.8001] /Subtype /Link /Type /Annot >> endobj 1058 0 obj << /A << /D (unique_621) /S /GoTo >> /Border [0 0 0] /Contents (get_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [249 560.8001 339.7227 568.8001] /Subtype /Link /Type /Annot >> endobj 1059 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [405 560.8001 436.7852 568.8001] /Subtype /Link /Type /Annot >> endobj 1060 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [93 546.6 124.3711 554.6] /Subtype /Link /Type /Annot >> endobj 1061 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [249 546.6 284.3945 554.6] /Subtype /Link /Type /Annot >> endobj 1062 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20210608081201-08'00') /Rect [405 546.6 448.3867 554.6] /Subtype /Link /Type /Annot >> endobj 1063 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_case_analysis) /M (D:20210608081201-08'00') /Rect [93 532.4 157.2695 540.4] /Subtype /Link /Type /Annot >> endobj 1064 0 obj << /A << /D (unique_624) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20210608081201-08'00') /Rect [249 532.4 312.3516 540.4] /Subtype /Link /Type /Annot >> endobj 1065 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20210608081201-08'00') /Rect [405 532.4 468.4766 540.4] /Subtype /Link /Type /Annot >> endobj 1066 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_sense) /M (D:20210608081201-08'00') /Rect [93 518.2 151.2969 526.2] /Subtype /Link /Type /Annot >> endobj 1067 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20210608081201-08'00') /Rect [249 518.2 328.5586 526.2] /Subtype /Link /Type /Annot >> endobj 1068 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (set_data_check) /M (D:20210608081201-08'00') /Rect [405 518.2 461.0039 526.2] /Subtype /Link /Type /Annot >> endobj 1069 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (set_disable_timing) /M (D:20210608081201-08'00') /Rect [93 504 161.9688 512] /Subtype /Link /Type /Annot >> endobj 1070 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [249 504 301.6992 512] /Subtype /Link /Type /Annot >> endobj 1071 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [405 504 494.6328 512] /Subtype /Link /Type /Annot >> endobj 1072 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [93 489.8 150.6328 497.8] /Subtype /Link /Type /Annot >> endobj 1073 0 obj << /A << /D (unique_633) /S /GoTo >> /Border [0 0 0] /Contents (set_load) /M (D:20210608081201-08'00') /Rect [249 489.8 279.832 497.8] /Subtype /Link /Type /Annot >> endobj 1074 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_dc) /M (D:20210608081201-08'00') /Rect [405 489.8 449.2969 497.8] /Subtype /Link /Type /Annot >> endobj 1075 0 obj << /A << /D (unique_635) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20210608081201-08'00') /Rect [93 475.6 142.832 483.6] /Subtype /Link /Type /Annot >> endobj 1076 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20210608081201-08'00') /Rect [249 475.6 300.875 483.6] /Subtype /Link /Type /Annot >> endobj 1077 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [405 475.6 459.0703 483.6] /Subtype /Link /Type /Annot >> endobj 1078 0 obj << /A << /D (unique_638) /S /GoTo >> /Border [0 0 0] /Contents (set_max_time_borrow) /M (D:20210608081201-08'00') /Rect [93 461.4 174.582 469.4] /Subtype /Link /Type /Annot >> endobj 1079 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20210608081201-08'00') /Rect [249 461.4 301.3594 469.4] /Subtype /Link /Type /Annot >> endobj 1080 0 obj << /A << /D (unique_640) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20210608081201-08'00') /Rect [405 461.4 477.8203 469.4] /Subtype /Link /Type /Annot >> endobj 1081 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20210608081201-08'00') /Rect [93 447.2 186.7891 455.2] /Subtype /Link /Type /Annot >> endobj 1082 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [249 447.2 312.2969 455.2] /Subtype /Link /Type /Annot >> endobj 1083 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20210608081201-08'00') /Rect [405 447.2 485.793 455.2] /Subtype /Link /Type /Annot >> endobj 1084 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_units) /M (D:20210608081201-08'00') /Rect [93 433 126.1914 441] /Subtype /Link /Type /Annot >> endobj 1085 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (add_bp) /M (D:20210608081201-08'00') /Rect [93 378.6 120.4648 386.6] /Subtype /Link /Type /Annot >> endobj 1086 0 obj << /A << /D (unique_645) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20210608081201-08'00') /Rect [249 378.6 301.9648 386.6] /Subtype /Link /Type /Annot >> endobj 1087 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [405 378.6 437.8438 386.6] /Subtype /Link /Type /Annot >> endobj 1088 0 obj << /A << /D (unique_646) /S /GoTo >> /Border [0 0 0] /Contents (add_force) /M (D:20210608081201-08'00') /Rect [93 364.4 129.793 372.4] /Subtype /Link /Type /Annot >> endobj 1089 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20210608081201-08'00') /Rect [249 364.4 306.1797 372.4] /Subtype /Link /Type /Annot >> endobj 1090 0 obj << /A << /D (unique_648) /S /GoTo >> /Border [0 0 0] /Contents (close_saif) /M (D:20210608081201-08'00') /Rect [405 364.4 440.5117 372.4] /Subtype /Link /Type /Annot >> endobj 1091 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (close_sim) /M (D:20210608081201-08'00') /Rect [93 350.2 128.75 358.2] /Subtype /Link /Type /Annot >> endobj 1092 0 obj << /A << /D (unique_650) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20210608081201-08'00') /Rect [249 350.2 284.1992 358.2] /Subtype /Link /Type /Annot >> endobj 1093 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20210608081201-08'00') /Rect [405 350.2 460.4297 358.2] /Subtype /Link /Type /Annot >> endobj 1094 0 obj << /A << /D (unique_651) /S /GoTo >> /Border [0 0 0] /Contents (config_compile_simlib) /M (D:20210608081201-08'00') /Rect [93 336 175.082 344] /Subtype /Link /Type /Annot >> endobj 1095 0 obj << /A << /D (unique_516) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20210608081201-08'00') /Rect [249 336 298.375 344] /Subtype /Link /Type /Annot >> endobj 1096 0 obj << /A << /D (unique_652) /S /GoTo >> /Border [0 0 0] /Contents (current_frame) /M (D:20210608081201-08'00') /Rect [405 336 458.4102 344] /Subtype /Link /Type /Annot >> endobj 1097 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [93 321.8 145.8945 329.8] /Subtype /Link /Type /Annot >> endobj 1098 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20210608081201-08'00') /Rect [249 321.8 293.3242 329.8] /Subtype /Link /Type /Annot >> endobj 1099 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20210608081201-08'00') /Rect [405 321.8 452.8906 329.8] /Subtype /Link /Type /Annot >> endobj 1100 0 obj << /A << /D (unique_656) /S /GoTo >> /Border [0 0 0] /Contents (current_vcd) /M (D:20210608081201-08'00') /Rect [93 307.6 136.7734 315.6] /Subtype /Link /Type /Annot >> endobj 1101 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (delete_fileset) /M (D:20210608081201-08'00') /Rect [249 307.6 298.3164 315.6] /Subtype /Link /Type /Annot >> endobj 1102 0 obj << /A << /D (unique_657) /S /GoTo >> /Border [0 0 0] /Contents (describe) /M (D:20210608081201-08'00') /Rect [405 307.6 436.9062 315.6] /Subtype /Link /Type /Annot >> endobj 1103 0 obj << /A << /D (unique_658) /S /GoTo >> /Border [0 0 0] /Contents (export_ip_user_files) /M (D:20210608081201-08'00') /Rect [93 293.4 166.2852 301.4] /Subtype /Link /Type /Annot >> endobj 1104 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (export_simulation) /M (D:20210608081201-08'00') /Rect [249 293.4 316.5117 301.4] /Subtype /Link /Type /Annot >> endobj 1105 0 obj << /A << /D (unique_659) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20210608081201-08'00') /Rect [405 293.4 439.6523 301.4] /Subtype /Link /Type /Annot >> endobj 1106 0 obj << /A << /D (unique_660) /S /GoTo >> /Border [0 0 0] /Contents (generate_hier_access) /M (D:20210608081201-08'00') /Rect [93 279.2 172.75 287.2] /Subtype /Link /Type /Annot >> endobj 1107 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (generate_mem_files) /M (D:20210608081201-08'00') /Rect [249 279.2 324.2773 287.2] /Subtype /Link /Type /Annot >> endobj 1108 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [405 279.2 447.5039 287.2] /Subtype /Link /Type /Annot >> endobj 1109 0 obj << /A << /D (unique_662) /S /GoTo >> /Border [0 0 0] /Contents (get_scopes) /M (D:20210608081201-08'00') /Rect [93 265 134.3867 273] /Subtype /Link /Type /Annot >> endobj 1110 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (get_simulators) /M (D:20210608081201-08'00') /Rect [249 265 304.3438 273] /Subtype /Link /Type /Annot >> endobj 1111 0 obj << /A << /D (unique_664) /S /GoTo >> /Border [0 0 0] /Contents (get_stacks) /M (D:20210608081201-08'00') /Rect [405 265 443.7617 273] /Subtype /Link /Type /Annot >> endobj 1112 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (get_value) /M (D:20210608081201-08'00') /Rect [93 250.8 128.6797 258.8] /Subtype /Link /Type /Annot >> endobj 1113 0 obj << /A << /D (unique_528) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20210608081201-08'00') /Rect [249 250.8 293.0078 258.8] /Subtype /Link /Type /Annot >> endobj 1114 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [405 250.8 473.1211 258.8] /Subtype /Link /Type /Annot >> endobj 1115 0 obj << /A << /D (unique_667) /S /GoTo >> /Border [0 0 0] /Contents (limit_vcd) /M (D:20210608081201-08'00') /Rect [93 236.6 125.668 244.6] /Subtype /Link /Type /Annot >> endobj 1116 0 obj << /A << /D (unique_668) /S /GoTo >> /Border [0 0 0] /Contents (log_saif) /M (D:20210608081201-08'00') /Rect [249 236.6 277.25 244.6] /Subtype /Link /Type /Annot >> endobj 1117 0 obj << /A << /D (unique_669) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20210608081201-08'00') /Rect [405 236.6 432.9375 244.6] /Subtype /Link /Type /Annot >> endobj 1118 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (log_wave) /M (D:20210608081201-08'00') /Rect [93 222.4 127.4648 230.4] /Subtype /Link /Type /Annot >> endobj 1119 0 obj << /A << /D (unique_671) /S /GoTo >> /Border [0 0 0] /Contents (ltrace) /M (D:20210608081201-08'00') /Rect [249 222.4 270.0156 230.4] /Subtype /Link /Type /Annot >> endobj 1120 0 obj << /A << /D (unique_537) /S /GoTo >> /Border [0 0 0] /Contents (move_files) /M (D:20210608081201-08'00') /Rect [405 222.4 444.3281 230.4] /Subtype /Link /Type /Annot >> endobj 1121 0 obj << /A << /D (unique_672) /S /GoTo >> /Border [0 0 0] /Contents (open_saif) /M (D:20210608081201-08'00') /Rect [93 208.2 128.6445 216.2] /Subtype /Link /Type /Annot >> endobj 1122 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [249 208.2 284.332 216.2] /Subtype /Link /Type /Annot >> endobj 1123 0 obj << /A << /D (unique_674) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_database) /M (D:20210608081201-08'00') /Rect [405 208.2 484.6875 216.2] /Subtype /Link /Type /Annot >> endobj 1124 0 obj << /A << /D (unique_675) /S /GoTo >> /Border [0 0 0] /Contents (ptrace) /M (D:20210608081201-08'00') /Rect [93 194 116.875 202] /Subtype /Link /Type /Annot >> endobj 1125 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20210608081201-08'00') /Rect [249 194 282.5742 202] /Subtype /Link /Type /Annot >> endobj 1126 0 obj << /A << /D (unique_676) /S /GoTo >> /Border [0 0 0] /Contents (relaunch_sim) /M (D:20210608081201-08'00') /Rect [405 194 454.6289 202] /Subtype /Link /Type /Annot >> endobj 1127 0 obj << /A << /D (unique_677) /S /GoTo >> /Border [0 0 0] /Contents (remove_bps) /M (D:20210608081201-08'00') /Rect [93 179.8 138.5195 187.8] /Subtype /Link /Type /Annot >> endobj 1128 0 obj << /A << /D (unique_678) /S /GoTo >> /Border [0 0 0] /Contents (remove_conditions) /M (D:20210608081201-08'00') /Rect [249 179.8 320.0195 187.8] /Subtype /Link /Type /Annot >> endobj 1129 0 obj << /A << /D (unique_544) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20210608081201-08'00') /Rect [405 179.8 452.0664 187.8] /Subtype /Link /Type /Annot >> endobj 1130 0 obj << /A << /D (unique_679) /S /GoTo >> /Border [0 0 0] /Contents (remove_forces) /M (D:20210608081201-08'00') /Rect [93 165.6 147.8477 173.6] /Subtype /Link /Type /Annot >> endobj 1131 0 obj << /A << /D (unique_680) /S /GoTo >> /Border [0 0 0] /Contents (report_bps) /M (D:20210608081201-08'00') /Rect [249 165.6 289.6562 173.6] /Subtype /Link /Type /Annot >> endobj 1132 0 obj << /A << /D (unique_681) /S /GoTo >> /Border [0 0 0] /Contents (report_conditions) /M (D:20210608081201-08'00') /Rect [405 165.6 471.1562 173.6] /Subtype /Link /Type /Annot >> endobj 1133 0 obj << /A << /D (unique_682) /S /GoTo >> /Border [0 0 0] /Contents (report_drivers) /M (D:20210608081201-08'00') /Rect [93 151.4 145.9805 159.4] /Subtype /Link /Type /Annot >> endobj 1134 0 obj << /A << /D (unique_683) /S /GoTo >> /Border [0 0 0] /Contents (report_frames) /M (D:20210608081201-08'00') /Rect [249 151.4 302.2734 159.4] /Subtype /Link /Type /Annot >> endobj 1135 0 obj << /A << /D (unique_684) /S /GoTo >> /Border [0 0 0] /Contents (report_objects) /M (D:20210608081201-08'00') /Rect [405 151.4 458.875 159.4] /Subtype /Link /Type /Annot >> endobj 1136 0 obj << /A << /D (unique_685) /S /GoTo >> /Border [0 0 0] /Contents (report_scopes) /M (D:20210608081201-08'00') /Rect [93 137.2 145.7578 145.2] /Subtype /Link /Type /Annot >> endobj 1137 0 obj << /A << /D (unique_686) /S /GoTo >> /Border [0 0 0] /Contents (report_simlib_info) /M (D:20210608081201-08'00') /Rect [249 137.2 316.293 145.2] /Subtype /Link /Type /Annot >> endobj 1138 0 obj << /A << /D (unique_687) /S /GoTo >> /Border [0 0 0] /Contents (report_stacks) /M (D:20210608081201-08'00') /Rect [405 137.2 455.1328 145.2] /Subtype /Link /Type /Annot >> endobj 1139 0 obj << /A << /D (unique_688) /S /GoTo >> /Border [0 0 0] /Contents (report_values) /M (D:20210608081201-08'00') /Rect [93 123 143.8828 131] /Subtype /Link /Type /Annot >> endobj 1140 0 obj << /A << /D (unique_689) /S /GoTo >> /Border [0 0 0] /Contents (reset_simulation) /M (D:20210608081201-08'00') /Rect [249 123 310.8633 131] /Subtype /Link /Type /Annot >> endobj 1141 0 obj << /A << /D (unique_690) /S /GoTo >> /Border [0 0 0] /Contents (restart) /M (D:20210608081201-08'00') /Rect [405 123 430.1367 131] /Subtype /Link /Type /Annot >> endobj 1142 0 obj << /A << /D (unique_691) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20210608081201-08'00') /Rect [93 108.8001 106.1953 116.8001] /Subtype /Link /Type /Annot >> endobj 1143 0 obj << /A << /D (unique_692) /S /GoTo >> /Border [0 0 0] /Contents (set_value) /M (D:20210608081201-08'00') /Rect [249 108.8001 283.5898 116.8001] /Subtype /Link /Type /Annot >> endobj 1144 0 obj << /A << /D (unique_693) /S /GoTo >> /Border [0 0 0] /Contents (setup_ip_static_library) /M (D:20210608081201-08'00') /Rect [405 108.8001 487.0898 116.8001] /Subtype /Link /Type /Annot >> endobj 1145 0 obj << /A << /D (unique_694) /S /GoTo >> /Border [0 0 0] /Contents (start_vcd) /M (D:20210608081201-08'00') /Rect [93 94.6 126.5117 102.6] /Subtype /Link /Type /Annot >> endobj 1146 0 obj << /A << /D (unique_695) /S /GoTo >> /Border [0 0 0] /Contents (step) /M (D:20210608081201-08'00') /Rect [249 94.6 265.1523 102.6] /Subtype /Link /Type /Annot >> endobj 1147 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20210608081201-08'00') /Rect [405 94.6 421.4805 102.6] /Subtype /Link /Type /Annot >> endobj 1148 0 obj << /A << /D (unique_697) /S /GoTo >> /Border [0 0 0] /Contents (stop_vcd) /M (D:20210608081201-08'00') /Rect [93 80.4 125.5938 88.4] /Subtype /Link /Type /Annot >> endobj 1149 0 obj << /A << /D (unique_157) /S /GoTo >> /Border [0 0 0] /Contents (write_sdf) /M (D:20210608081201-08'00') /Rect [249 80.4 282.8516 88.4] /Subtype /Link /Type /Annot >> endobj 1150 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [405 80.4 453.1094 88.4] /Subtype /Link /Type /Annot >> endobj 1151 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1152 0 obj << /Filter /FlateDecode /Length 3112 >> stream xIίVH]5ӇFj)((}xYęxos?0ً>ڮGsqmD#T.Q Zv 6(dAˡraxx/H Sbm|4E3\A4 &n_<_l*N^uś_G>C0({&WOY-@ ?>*Y2p`0G7<S>{(89zm׺?Wzeo&]B**V^#tOѳ8йn0'?wS@<>*.v3FC]bJuzDkS%D w^y{ X$ hRqM~qGkND/]̖5 *VG%$dc;~sDS_s-p$AgyVSk;Jrq% 6LdP t*2J4]F^wѮ4zũ*=+U$hU+].]Jy<^ 9ҘێV0C-74CNgA tJ<ЉsE'F#9/#K8Qg1N! 1j#CDgDہNDk<^>"XXD`HP,dS3XVXH!woWX,?V}l)Leʡ%ְ+P@ؘF+^ ]Vڼ:.Og^z1{YAt4/+t zo$TkI5Q[ɦ0UuQ??J]k^/Ykt]Kƺ1&LBB6RCY딴ʢy9QnGN)/`[Zg-"^( (smj-J 7:xH=iϽO^>'[³-]&$ٲx;G.z)53$MSmOX oW9-оdR>w:ho6WFTBU)QTYHhz= t]\a'; W.y|=\FK=Ϙ`Y(vdUӑWvCPUB?PϜ6BnOx%1Dl.~9mݞ «c{ڐ^5K :nTtZ2\{ir3~q~j\]N7`[Bm[rN5g {l/wSLa#رG~l{XHߪ|slbTjhϏ<*B5Vf o&QOsKb.TCmu-k+HճvgjSs swV.L L0uP7JdIA17$QY#]PLV= Cɑ$UgcU(1;7(~bЇj`f'| Ϭ5"+ iyjSa4sT Y-!͐gxx7$XwNô:ᣱ[>0+L}۷ɮå59s5j{6V؇ Ŧۜx A$Í$A37wxB$;ߝz,`0 eӍv3{fA()(Vɀ6U2(:n2X \h#AAP1FZ$T5Mym7bO܇E#*խD6vIᑜOESTs*>SQʩ@ @i)H7^wPܗ[I)@UL̾Ip`=Wjq@&Ӿe͕CcÍr(Nx 3ڵAn @JV2{޶zϵ>|4uhccɯ o)q Q=#>CشŠCȴ!#0,Y(2mq5YxE`0ӈ-]c.nn}Z3ixB&ߡlޞT27F" H'_Y1f#zlڏ Q+i`jsAY1a\Ob\g\Oغ6< z!n> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5742 1157 0 R >> >> /Type /Page >> endobj 1154 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1155 0 obj [1154 0 R 1159 0 R 1160 0 R 1161 0 R 1162 0 R 1163 0 R 1164 0 R 1165 0 R 1166 0 R 1167 0 R 1168 0 R 1169 0 R 1170 0 R 1171 0 R 1172 0 R 1173 0 R 1174 0 R 1175 0 R 1176 0 R 1177 0 R 1178 0 R 1179 0 R 1180 0 R 1181 0 R 1182 0 R 1183 0 R 1184 0 R 1185 0 R 1186 0 R 1187 0 R 1188 0 R 1189 0 R 1190 0 R 1191 0 R 1192 0 R 1193 0 R 1194 0 R 1195 0 R 1196 0 R 1197 0 R 1198 0 R 1199 0 R 1200 0 R 1201 0 R 1202 0 R 1203 0 R 1204 0 R 1205 0 R 1206 0 R 1207 0 R 1208 0 R 1209 0 R 1210 0 R 1211 0 R 1212 0 R 1213 0 R 1214 0 R 1215 0 R 1216 0 R 1217 0 R 1218 0 R 1219 0 R 1220 0 R 1221 0 R 1222 0 R 1223 0 R 1224 0 R 1225 0 R 1226 0 R 1227 0 R 1228 0 R 1229 0 R 1230 0 R 1231 0 R 1232 0 R 1158 0 R] endobj 1156 0 obj << /Length 18 >> stream q /Iabc5742 Do Q endstream endobj 1157 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5758 20690 0 R /Gabc5760 20697 0 R >> /Font << /Fabc5769 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ݐ4th_{8t C4i_rƠd2n~A$V-l!`aL4GCIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)^G+QO TϬ>Jج$Vaz֦b/mk: s[({L̇h*dKRG"+yk|\[-<8יq|mau3l?k!H5h}'d("G )xp´!d5Y2pj'o.9cD9 ?^zv+QOs(R]@p%4CZNZu^lM5 endstream endobj 1158 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=30) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1159 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [93 700.4 131.3359 708.4] /Subtype /Link /Type /Annot >> endobj 1160 0 obj << /A << /D (unique_8) /S /GoTo >> /Border [0 0 0] /Contents (write_xsim_coverage) /M (D:20210608081201-08'00') /Rect [249 700.4 326.5625 708.4] /Subtype /Link /Type /Annot >> endobj 1161 0 obj << /A << /D (unique_34) /S /GoTo >> /Border [0 0 0] /Contents (xsim) /M (D:20210608081201-08'00') /Rect [405 700.4 422.6055 708.4] /Subtype /Link /Type /Annot >> endobj 1162 0 obj << /A << /D (unique_698) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20210608081201-08'00') /Rect [93 646 145.875 654] /Subtype /Link /Type /Annot >> endobj 1163 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (make_wrapper) /M (D:20210608081201-08'00') /Rect [249 646 304.6289 654] /Subtype /Link /Type /Annot >> endobj 1164 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20210608081201-08'00') /Rect [93 591.6 142.0586 599.6] /Subtype /Link /Type /Annot >> endobj 1165 0 obj << /A << /D (unique_699) /S /GoTo >> /Border [0 0 0] /Contents (config_design_analysis) /M (D:20210608081201-08'00') /Rect [249 591.6 333.9258 599.6] /Subtype /Link /Type /Annot >> endobj 1166 0 obj << /A << /D (unique_700) /S /GoTo >> /Border [0 0 0] /Contents (config_timing_analysis) /M (D:20210608081201-08'00') /Rect [405 591.6 489.0898 599.6] /Subtype /Link /Type /Annot >> endobj 1167 0 obj << /A << /D (unique_701) /S /GoTo >> /Border [0 0 0] /Contents (config_timing_corners) /M (D:20210608081201-08'00') /Rect [93 577.4 175.8789 585.4] /Subtype /Link /Type /Annot >> endobj 1168 0 obj << /A << /D (unique_14) /S /GoTo >> /Border [0 0 0] /Contents (create_slack_histogram) /M (D:20210608081201-08'00') /Rect [249 577.4 336.2227 585.4] /Subtype /Link /Type /Annot >> endobj 1169 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (delete_qor_suggestions) /M (D:20210608081201-08'00') /Rect [405 577.4 493.582 585.4] /Subtype /Link /Type /Annot >> endobj 1170 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20210608081201-08'00') /Rect [93 563.2 172.6406 571.2] /Subtype /Link /Type /Annot >> endobj 1171 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (get_constant_paths) /M (D:20210608081201-08'00') /Rect [249 563.2 321.6367 571.2] /Subtype /Link /Type /Annot >> endobj 1172 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_net_delays) /M (D:20210608081201-08'00') /Rect [405 563.2 460.1367 571.2] /Subtype /Link /Type /Annot >> endobj 1173 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20210608081201-08'00') /Rect [93 549.0001 170.4961 557.0001] /Subtype /Link /Type /Annot >> endobj 1174 0 obj << /A << /D (unique_476) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_arcs) /M (D:20210608081201-08'00') /Rect [249 549.0001 307.6445 557.0001] /Subtype /Link /Type /Annot >> endobj 1175 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20210608081201-08'00') /Rect [405 549.0001 469.332 557.0001] /Subtype /Link /Type /Annot >> endobj 1176 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20210608081201-08'00') /Rect [93 534.8001 175.3242 542.8001] /Subtype /Link /Type /Annot >> endobj 1177 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20210608081201-08'00') /Rect [249 534.8001 311.7188 542.8001] /Subtype /Link /Type /Annot >> endobj 1178 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [405 534.8001 444.582 542.8001] /Subtype /Link /Type /Annot >> endobj 1179 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20210608081201-08'00') /Rect [93 520.6 182.8203 528.6] /Subtype /Link /Type /Annot >> endobj 1180 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20210608081201-08'00') /Rect [249 520.6 333.0078 528.6] /Subtype /Link /Type /Annot >> endobj 1181 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_utilization) /M (D:20210608081201-08'00') /Rect [405 520.6 491.1289 528.6] /Subtype /Link /Type /Annot >> endobj 1182 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20210608081201-08'00') /Rect [93 506.4 142.668 514.4] /Subtype /Link /Type /Annot >> endobj 1183 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (report_config_timing) /M (D:20210608081201-08'00') /Rect [249 506.4 326.9922 514.4] /Subtype /Link /Type /Annot >> endobj 1184 0 obj << /A << /D (unique_5) /S /GoTo >> /Border [0 0 0] /Contents (report_constant_paths) /M (D:20210608081201-08'00') /Rect [405 506.4 489.0078 514.4] /Subtype /Link /Type /Annot >> endobj 1185 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20210608081201-08'00') /Rect [93 492.2 157.4531 500.2] /Subtype /Link /Type /Annot >> endobj 1186 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20210608081201-08'00') /Rect [249 492.2 334.2539 500.2] /Subtype /Link /Type /Annot >> endobj 1187 0 obj << /A << /D (unique_588) /S /GoTo >> /Border [0 0 0] /Contents (report_disable_timing) /M (D:20210608081201-08'00') /Rect [405 492.2 486.4297 500.2] /Subtype /Link /Type /Annot >> endobj 1188 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [93 478 131.9688 486] /Subtype /Link /Type /Annot >> endobj 1189 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (report_exceptions) /M (D:20210608081201-08'00') /Rect [249 478 316.4062 486] /Subtype /Link /Type /Annot >> endobj 1190 0 obj << /A << /D (unique_590) /S /GoTo >> /Border [0 0 0] /Contents (report_high_fanout_nets) /M (D:20210608081201-08'00') /Rect [405 478 496.4688 486] /Subtype /Link /Type /Annot >> endobj 1191 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [93 463.8 170.3086 471.8] /Subtype /Link /Type /Annot >> endobj 1192 0 obj << /A << /D (unique_596) /S /GoTo >> /Border [0 0 0] /Contents (report_pulse_width) /M (D:20210608081201-08'00') /Rect [249 463.8 320.6484 471.8] /Subtype /Link /Type /Annot >> endobj 1193 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20210608081201-08'00') /Rect [405 463.8 492.4883 471.8] /Subtype /Link /Type /Annot >> endobj 1194 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [93 449.6 181.8672 457.6] /Subtype /Link /Type /Annot >> endobj 1195 0 obj << /A << /D (unique_602) /S /GoTo >> /Border [0 0 0] /Contents (report_synchronizer_mtbf) /M (D:20210608081201-08'00') /Rect [249 449.6 345.6055 457.6] /Subtype /Link /Type /Annot >> endobj 1196 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [405 449.6 456.3398 457.6] /Subtype /Link /Type /Annot >> endobj 1197 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [93 435.4 183.2383 443.4] /Subtype /Link /Type /Annot >> endobj 1198 0 obj << /A << /D (unique_610) /S /GoTo >> /Border [0 0 0] /Contents (reset_timing) /M (D:20210608081201-08'00') /Rect [249 435.4 295.6172 443.4] /Subtype /Link /Type /Annot >> endobj 1199 0 obj << /A << /D (unique_702) /S /GoTo >> /Border [0 0 0] /Contents (set_delay_model) /M (D:20210608081201-08'00') /Rect [405 435.4 466.6875 443.4] /Subtype /Link /Type /Annot >> endobj 1200 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (set_disable_timing) /M (D:20210608081201-08'00') /Rect [93 421.2 161.9688 429.2] /Subtype /Link /Type /Annot >> endobj 1201 0 obj << /A << /D (unique_703) /S /GoTo >> /Border [0 0 0] /Contents (set_external_delay) /M (D:20210608081201-08'00') /Rect [249 421.2 317.7344 429.2] /Subtype /Link /Type /Annot >> endobj 1202 0 obj << /A << /D (unique_704) /S /GoTo >> /Border [0 0 0] /Contents (update_timing) /M (D:20210608081201-08'00') /Rect [405 421.2 459.3242 429.2] /Subtype /Link /Type /Annot >> endobj 1203 0 obj << /A << /D (unique_154) /S /GoTo >> /Border [0 0 0] /Contents (write_inferred_xdc) /M (D:20210608081201-08'00') /Rect [93 407 161.7852 415] /Subtype /Link /Type /Annot >> endobj 1204 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20210608081201-08'00') /Rect [249 407 333.2305 415] /Subtype /Link /Type /Annot >> endobj 1205 0 obj << /A << /D (unique_157) /S /GoTo >> /Border [0 0 0] /Contents (write_sdf) /M (D:20210608081201-08'00') /Rect [405 407 438.8516 415] /Subtype /Link /Type /Annot >> endobj 1206 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20210608081201-08'00') /Rect [93 392.8 128.2578 400.8] /Subtype /Link /Type /Annot >> endobj 1207 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (get_simulators) /M (D:20210608081201-08'00') /Rect [93 338.4 148.3438 346.4] /Subtype /Link /Type /Annot >> endobj 1208 0 obj << /A << /D (unique_705) /S /GoTo >> /Border [0 0 0] /Contents (launch_chipscope_analyzer) /M (D:20210608081201-08'00') /Rect [249 338.4 350.1602 346.4] /Subtype /Link /Type /Annot >> endobj 1209 0 obj << /A << /D (unique_706) /S /GoTo >> /Border [0 0 0] /Contents (launch_impact) /M (D:20210608081201-08'00') /Rect [405 338.4 459.1953 346.4] /Subtype /Link /Type /Annot >> endobj 1210 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [93 324.2 161.1211 332.2] /Subtype /Link /Type /Annot >> endobj 1211 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (iphys_opt_design) /M (D:20210608081201-08'00') /Rect [93 269.8 157.2617 277.8] /Subtype /Link /Type /Annot >> endobj 1212 0 obj << /A << /D (unique_707) /S /GoTo >> /Border [0 0 0] /Contents (link_design) /M (D:20210608081201-08'00') /Rect [249 269.8 290.8281 277.8] /Subtype /Link /Type /Annot >> endobj 1213 0 obj << /A << /D (unique_708) /S /GoTo >> /Border [0 0 0] /Contents (list_features) /M (D:20210608081201-08'00') /Rect [405 269.8 450.2891 277.8] /Subtype /Link /Type /Annot >> endobj 1214 0 obj << /A << /D (unique_709) /S /GoTo >> /Border [0 0 0] /Contents (load_features) /M (D:20210608081201-08'00') /Rect [93 255.6 143.7578 263.6] /Subtype /Link /Type /Annot >> endobj 1215 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [249 255.6 290.1328 263.6] /Subtype /Link /Type /Annot >> endobj 1216 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [405 255.6 467.1992 263.6] /Subtype /Link /Type /Annot >> endobj 1217 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [93 241.4 141.3086 249.4] /Subtype /Link /Type /Annot >> endobj 1218 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [249 241.4 317.293 249.4] /Subtype /Link /Type /Annot >> endobj 1219 0 obj << /A << /D (unique_712) /S /GoTo >> /Border [0 0 0] /Contents (register_proc) /M (D:20210608081201-08'00') /Rect [405 241.4 454.375 249.4] /Subtype /Link /Type /Annot >> endobj 1220 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (report_pipeline_analysis) /M (D:20210608081201-08'00') /Rect [93 227.2 183.0586 235.2] /Subtype /Link /Type /Annot >> endobj 1221 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [249 227.2 297.8945 235.2] /Subtype /Link /Type /Annot >> endobj 1222 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20210608081201-08'00') /Rect [405 227.2 454.1719 235.2] /Subtype /Link /Type /Annot >> endobj 1223 0 obj << /A << /D (unique_716) /S /GoTo >> /Border [0 0 0] /Contents (unregister_proc) /M (D:20210608081201-08'00') /Rect [93 213 152.2656 221] /Subtype /Link /Type /Annot >> endobj 1224 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (update_clock_routing) /M (D:20210608081201-08'00') /Rect [249 213 328.9375 221] /Subtype /Link /Type /Annot >> endobj 1225 0 obj << /A << /D (unique_718) /S /GoTo >> /Border [0 0 0] /Contents (update_noc_qos) /M (D:20210608081201-08'00') /Rect [405 213 465.4727 221] /Subtype /Link /Type /Annot >> endobj 1226 0 obj << /A << /D (unique_719) /S /GoTo >> /Border [0 0 0] /Contents (write_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [93 198.8 163.1992 206.8] /Subtype /Link /Type /Annot >> endobj 1227 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (combine_hw_platforms) /M (D:20210608081201-08'00') /Rect [93 144.4 179.9844 152.4] /Subtype /Link /Type /Annot >> endobj 1228 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20210608081201-08'00') /Rect [249 144.4 318.7695 152.4] /Subtype /Link /Type /Annot >> endobj 1229 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20210608081201-08'00') /Rect [405 144.4 485.0352 152.4] /Subtype /Link /Type /Annot >> endobj 1230 0 obj << /A << /D (unique_151) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20210608081201-08'00') /Rect [93 130.2001 162.6055 138.2001] /Subtype /Link /Type /Annot >> endobj 1231 0 obj << /A << /D (unique_152) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform_metadata) /M (D:20210608081201-08'00') /Rect [249 130.2001 358.0469 138.2001] /Subtype /Link /Type /Annot >> endobj 1232 0 obj << /A << /D (unique_720) /S /GoTo >> /Border [0 0 0] /Contents (current_vivado_preferences) /M (D:20210608081201-08'00') /Rect [93 75.8001 196.4688 83.8001] /Subtype /Link /Type /Annot >> endobj 1233 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1234 0 obj << /Filter /FlateDecode /Length 2731 >> stream xˎ9ICn4CS' PӲ\W E_(R;Q48UZ@0h@Z?EZ[4б7lP~ƘzbLjjٍW9~}8W~CпP:Ih+q!} TX"@J8~$TepqLяJjfH:.)S螱J3m7?x rQehzQ($>E8QBALD\H-ޭ:gA[oyl?KO5_P4YWz_/])Ǻw_9lo'{1ts@&-t0AJtD& ë.50:gڱ |UwO)ս $S @$|0dbUw+ELݽ dOdfO==CDD=Şj'R=Ǟƞ-ܻ@t` `[Mx!{cJjh۾e-RJXZ5B@ZZ.9[.=6]8| ;C ruG$GI`4;V$C?dsMX@a-^cY=@}}I4M &1"*3uDV #4:kBydJm:gkY?6Cqo]pw)*~KBG۟Օ0hq!x=DK-͉v-|ߡy~[,5Nz\g 'gw[g_ٻ{80u2xɸkB[ >E:79GT RYgKUax6ȯ~F;CHv;lpac1ErhD_9+4M[$,AMɿبio"ǧn7KpWmٶ)r~7s2/@sVI۟A8P, }YT%T0mL%kN vGl%2'k&bM-Y ? y7 m\8n YΔƹdtzARɻT̘p]&V D+am(z<ߊjHɶ;9N)owI4.87h z 1VPw>Us4{IHby|Ǟ7!֤'r:}C _G&ɶɕh멒-Ҟ%tLEࡹ=3"jGƃ&K"iSodtQ'}q/|ͺCΝn äp|@IXCȷ|:?Cc5dYQs26Y0яH7Fz$D U쿰QDE'3*aפQ(ǞY7m:zyzיTv@4u-Vӏb9hf,?Ae?*`:Q.zFA/lA=`eģ5H"⎭e ('S.KH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5932 1239 0 R >> >> /Type /Page >> endobj 1236 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1237 0 obj [1236 0 R 1241 0 R 1242 0 R 1243 0 R 1244 0 R 1245 0 R 1246 0 R 1247 0 R 1248 0 R 1249 0 R 1250 0 R 1251 0 R 1252 0 R 1253 0 R 1254 0 R 1255 0 R 1256 0 R 1257 0 R 1258 0 R 1259 0 R 1260 0 R 1261 0 R 1262 0 R 1263 0 R 1264 0 R 1265 0 R 1266 0 R 1267 0 R 1268 0 R 1269 0 R 1270 0 R 1271 0 R 1272 0 R 1273 0 R 1274 0 R 1275 0 R 1276 0 R 1277 0 R 1278 0 R 1279 0 R 1280 0 R 1281 0 R 1282 0 R 1283 0 R 1284 0 R 1285 0 R 1286 0 R 1287 0 R 1288 0 R 1289 0 R 1290 0 R 1291 0 R 1292 0 R 1293 0 R 1294 0 R 1295 0 R 1296 0 R 1297 0 R 1298 0 R 1299 0 R 1300 0 R 1301 0 R 1302 0 R 1303 0 R 1304 0 R 1305 0 R 1306 0 R 1307 0 R 1308 0 R 1309 0 R 1310 0 R 1311 0 R 1312 0 R 1313 0 R 1314 0 R 1315 0 R 1316 0 R 1317 0 R 1318 0 R 1319 0 R 1320 0 R 1321 0 R 1322 0 R 1323 0 R 1324 0 R 1325 0 R 1326 0 R 1327 0 R 1328 0 R 1329 0 R 1330 0 R 1331 0 R 1332 0 R 1333 0 R 1334 0 R 1335 0 R 1336 0 R 1337 0 R 1338 0 R 1339 0 R 1340 0 R 1341 0 R 1342 0 R 1343 0 R 1344 0 R 1345 0 R 1346 0 R 1347 0 R 1348 0 R 1349 0 R 1350 0 R 1240 0 R] endobj 1238 0 obj << /Length 18 >> stream q /Iabc5932 Do Q endstream endobj 1239 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5940 20690 0 R /Gabc5955 20697 0 R >> /Font << /Fabc5964 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7§C;e١c85 e!Ui P> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1241 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [93 675.2 144.4727 683.2] /Subtype /Link /Type /Annot >> endobj 1242 0 obj << /A << /D (unique_432) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20210608081201-08'00') /Rect [249 675.2 304.2461 683.2] /Subtype /Link /Type /Annot >> endobj 1243 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20210608081201-08'00') /Rect [405 675.2 449.1602 683.2] /Subtype /Link /Type /Annot >> endobj 1244 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20210608081201-08'00') /Rect [93 661 148.5312 669] /Subtype /Link /Type /Annot >> endobj 1245 0 obj << /A << /D (unique_486) /S /GoTo >> /Border [0 0 0] /Contents (write_waivers) /M (D:20210608081201-08'00') /Rect [249 661 299.8945 669] /Subtype /Link /Type /Annot >> endobj 1246 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20210608081201-08'00') /Rect [93 606.6 129.9727 614.6] /Subtype /Link /Type /Annot >> endobj 1247 0 obj << /A << /D (unique_722) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20210608081201-08'00') /Rect [249 606.6 315.1094 614.6] /Subtype /Link /Type /Annot >> endobj 1248 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20210608081201-08'00') /Rect [405 606.6 468.1172 614.6] /Subtype /Link /Type /Annot >> endobj 1249 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20210608081201-08'00') /Rect [93 592.4 160.5469 600.4] /Subtype /Link /Type /Annot >> endobj 1250 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20210608081201-08'00') /Rect [249 592.4 330.0625 600.4] /Subtype /Link /Type /Annot >> endobj 1251 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (close_wave_config) /M (D:20210608081201-08'00') /Rect [405 592.4 473.3789 600.4] /Subtype /Link /Type /Annot >> endobj 1252 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20210608081201-08'00') /Rect [93 578.2 165.7578 586.2] /Subtype /Link /Type /Annot >> endobj 1253 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20210608081201-08'00') /Rect [249 578.2 325.9531 586.2] /Subtype /Link /Type /Annot >> endobj 1254 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20210608081201-08'00') /Rect [405 578.2 470.4453 586.2] /Subtype /Link /Type /Annot >> endobj 1255 0 obj << /A << /D (unique_730) /S /GoTo >> /Border [0 0 0] /Contents (get_waves) /M (D:20210608081201-08'00') /Rect [93 564.0001 131.793 572.0001] /Subtype /Link /Type /Annot >> endobj 1256 0 obj << /A << /D (unique_731) /S /GoTo >> /Border [0 0 0] /Contents (move_wave) /M (D:20210608081201-08'00') /Rect [249 564.0001 292.457 572.0001] /Subtype /Link /Type /Annot >> endobj 1257 0 obj << /A << /D (unique_732) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20210608081201-08'00') /Rect [405 564.0001 473.5117 572.0001] /Subtype /Link /Type /Annot >> endobj 1258 0 obj << /A << /D (unique_733) /S /GoTo >> /Border [0 0 0] /Contents (remove_wave) /M (D:20210608081201-08'00') /Rect [93 549.8001 144.1953 557.8001] /Subtype /Link /Type /Annot >> endobj 1259 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20210608081201-08'00') /Rect [249 549.8001 315.1875 557.8001] /Subtype /Link /Type /Annot >> endobj 1260 0 obj << /A << /D (unique_735) /S /GoTo >> /Border [0 0 0] /Contents (select_wave_objects) /M (D:20210608081201-08'00') /Rect [405 549.8001 479.4688 557.8001] /Subtype /Link /Type /Annot >> endobj 1261 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20210608081201-08'00') /Rect [93 495.4 166.0938 503.4] /Subtype /Link /Type /Annot >> endobj 1262 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (add_to_power_rail) /M (D:20210608081201-08'00') /Rect [249 495.4 316.5547 503.4] /Subtype /Link /Type /Annot >> endobj 1263 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [405 495.4 439.5898 503.4] /Subtype /Link /Type /Annot >> endobj 1264 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (all_cpus) /M (D:20210608081201-08'00') /Rect [93 481.2 122.4414 489.2] /Subtype /Link /Type /Annot >> endobj 1265 0 obj << /A << /D (unique_737) /S /GoTo >> /Border [0 0 0] /Contents (all_dsps) /M (D:20210608081201-08'00') /Rect [249 481.2 278.4102 489.2] /Subtype /Link /Type /Annot >> endobj 1266 0 obj << /A << /D (unique_738) /S /GoTo >> /Border [0 0 0] /Contents (all_fanin) /M (D:20210608081201-08'00') /Rect [405 481.2 436.0977 489.2] /Subtype /Link /Type /Annot >> endobj 1267 0 obj << /A << /D (unique_739) /S /GoTo >> /Border [0 0 0] /Contents (all_fanout) /M (D:20210608081201-08'00') /Rect [93 467 129.7617 475] /Subtype /Link /Type /Annot >> endobj 1268 0 obj << /A << /D (unique_740) /S /GoTo >> /Border [0 0 0] /Contents (all_ffs) /M (D:20210608081201-08'00') /Rect [249 467 270.2422 475] /Subtype /Link /Type /Annot >> endobj 1269 0 obj << /A << /D (unique_741) /S /GoTo >> /Border [0 0 0] /Contents (all_hsios) /M (D:20210608081201-08'00') /Rect [405 467 436.4141 475] /Subtype /Link /Type /Annot >> endobj 1270 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20210608081201-08'00') /Rect [93 452.8 128.4961 460.8] /Subtype /Link /Type /Annot >> endobj 1271 0 obj << /A << /D (unique_742) /S /GoTo >> /Border [0 0 0] /Contents (all_latches) /M (D:20210608081201-08'00') /Rect [249 452.8 287.4688 460.8] /Subtype /Link /Type /Annot >> endobj 1272 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (all_outputs) /M (D:20210608081201-08'00') /Rect [405 452.8 446.1602 460.8] /Subtype /Link /Type /Annot >> endobj 1273 0 obj << /A << /D (unique_743) /S /GoTo >> /Border [0 0 0] /Contents (all_rams) /M (D:20210608081201-08'00') /Rect [93 438.6 123.9297 446.6] /Subtype /Link /Type /Annot >> endobj 1274 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20210608081201-08'00') /Rect [249 438.6 293.9922 446.6] /Subtype /Link /Type /Annot >> endobj 1275 0 obj << /A << /D (unique_84) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_cores) /M (D:20210608081201-08'00') /Rect [405 438.6 485.8594 446.6] /Subtype /Link /Type /Annot >> endobj 1276 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [93 424.4 169.5625 432.4] /Subtype /Link /Type /Annot >> endobj 1277 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [249 424.4 294.6094 432.4] /Subtype /Link /Type /Annot >> endobj 1278 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [405 424.4 475.6836 432.4] /Subtype /Link /Type /Annot >> endobj 1279 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [93 410.2 163.2188 418.2] /Subtype /Link /Type /Annot >> endobj 1280 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [249 410.2 336.8242 418.2] /Subtype /Link /Type /Annot >> endobj 1281 0 obj << /A << /D (unique_744) /S /GoTo >> /Border [0 0 0] /Contents (create_macro) /M (D:20210608081201-08'00') /Rect [405 410.2 455.6289 418.2] /Subtype /Link /Type /Annot >> endobj 1282 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20210608081201-08'00') /Rect [93 396 144.6133 404] /Subtype /Link /Type /Annot >> endobj 1283 0 obj << /A << /D (unique_163) /S /GoTo >> /Border [0 0 0] /Contents (create_power_rail) /M (D:20210608081201-08'00') /Rect [249 396 314.6719 404] /Subtype /Link /Type /Annot >> endobj 1284 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20210608081201-08'00') /Rect [405 396 464.4453 404] /Subtype /Link /Type /Annot >> endobj 1285 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [93 381.8 144.4727 389.8] /Subtype /Link /Type /Annot >> endobj 1286 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [249 381.8 305.1445 389.8] /Subtype /Link /Type /Annot >> endobj 1287 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [405 381.8 467.4609 389.8] /Subtype /Link /Type /Annot >> endobj 1288 0 obj << /A << /D (unique_745) /S /GoTo >> /Border [0 0 0] /Contents (delete_macros) /M (D:20210608081201-08'00') /Rect [93 367.6 147.4023 375.6] /Subtype /Link /Type /Annot >> endobj 1289 0 obj << /A << /D (unique_164) /S /GoTo >> /Border [0 0 0] /Contents (delete_pblocks) /M (D:20210608081201-08'00') /Rect [249 367.6 304.3867 375.6] /Subtype /Link /Type /Annot >> endobj 1290 0 obj << /A << /D (unique_165) /S /GoTo >> /Border [0 0 0] /Contents (delete_power_rails) /M (D:20210608081201-08'00') /Rect [405 367.6 474.4453 375.6] /Subtype /Link /Type /Annot >> endobj 1291 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [93 353.4 110.582 361.4] /Subtype /Link /Type /Annot >> endobj 1292 0 obj << /A << /D (unique_435) /S /GoTo >> /Border [0 0 0] /Contents (get_bel_pins) /M (D:20210608081201-08'00') /Rect [249 353.4 295.1562 361.4] /Subtype /Link /Type /Annot >> endobj 1293 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20210608081201-08'00') /Rect [405 353.4 435.9375 361.4] /Subtype /Link /Type /Annot >> endobj 1294 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [93 339.2 124.918 347.2] /Subtype /Link /Type /Annot >> endobj 1295 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [249 339.2 287.2969 347.2] /Subtype /Link /Type /Annot >> endobj 1296 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20210608081201-08'00') /Rect [405 339.2 468.3711 347.2] /Subtype /Link /Type /Annot >> endobj 1297 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20210608081201-08'00') /Rect [93 325 155.9062 333] /Subtype /Link /Type /Annot >> endobj 1298 0 obj << /A << /D (unique_444) /S /GoTo >> /Border [0 0 0] /Contents (get_generated_clocks) /M (D:20210608081201-08'00') /Rect [249 325 329.5117 333] /Subtype /Link /Type /Annot >> endobj 1299 0 obj << /A << /D (unique_621) /S /GoTo >> /Border [0 0 0] /Contents (get_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [405 325 495.7227 333] /Subtype /Link /Type /Annot >> endobj 1300 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20210608081201-08'00') /Rect [93 310.8 137.9727 318.8] /Subtype /Link /Type /Annot >> endobj 1301 0 obj << /A << /D (unique_451) /S /GoTo >> /Border [0 0 0] /Contents (get_macros) /M (D:20210608081201-08'00') /Rect [249 310.8 292.3164 318.8] /Subtype /Link /Type /Annot >> endobj 1302 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [405 310.8 436.7852 318.8] /Subtype /Link /Type /Annot >> endobj 1303 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20210608081201-08'00') /Rect [93 296.6 131.6602 304.6] /Subtype /Link /Type /Annot >> endobj 1304 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20210608081201-08'00') /Rect [249 296.6 315.1055 304.6] /Subtype /Link /Type /Annot >> endobj 1305 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20210608081201-08'00') /Rect [405 296.6 467.8281 304.6] /Subtype /Link /Type /Annot >> endobj 1306 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20210608081201-08'00') /Rect [93 282.4 137.3008 290.4] /Subtype /Link /Type /Annot >> endobj 1307 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [249 282.4 280.3711 290.4] /Subtype /Link /Type /Annot >> endobj 1308 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20210608081201-08'00') /Rect [405 282.4 436.3477 290.4] /Subtype /Link /Type /Annot >> endobj 1309 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20210608081201-08'00') /Rect [93 268.2 180.9531 276.2] /Subtype /Link /Type /Annot >> endobj 1310 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20210608081201-08'00') /Rect [249 268.2 321.2031 276.2] /Subtype /Link /Type /Annot >> endobj 1311 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [405 268.2 440.3945 276.2] /Subtype /Link /Type /Annot >> endobj 1312 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (get_power_rails) /M (D:20210608081201-08'00') /Rect [93 254 151.3594 262] /Subtype /Link /Type /Annot >> endobj 1313 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [249 254 297.3008 262] /Subtype /Link /Type /Annot >> endobj 1314 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20210608081201-08'00') /Rect [405 254 452.9531 262] /Subtype /Link /Type /Annot >> endobj 1315 0 obj << /A << /D (unique_471) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pips) /M (D:20210608081201-08'00') /Rect [93 239.8 140.9297 247.8] /Subtype /Link /Type /Annot >> endobj 1316 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [249 239.8 281.7344 247.8] /Subtype /Link /Type /Annot >> endobj 1317 0 obj << /A << /D (unique_473) /S /GoTo >> /Border [0 0 0] /Contents (get_slrs) /M (D:20210608081201-08'00') /Rect [405 239.8 433.6406 247.8] /Subtype /Link /Type /Annot >> endobj 1318 0 obj << /A << /D (unique_474) /S /GoTo >> /Border [0 0 0] /Contents (get_speed_models) /M (D:20210608081201-08'00') /Rect [93 225.6 162.2461 233.6] /Subtype /Link /Type /Annot >> endobj 1319 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20210608081201-08'00') /Rect [249 225.6 279.9648 233.6] /Subtype /Link /Type /Annot >> endobj 1320 0 obj << /A << /D (unique_476) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_arcs) /M (D:20210608081201-08'00') /Rect [405 225.6 463.6445 233.6] /Subtype /Link /Type /Annot >> endobj 1321 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20210608081201-08'00') /Rect [93 211.4 128.5312 219.4] /Subtype /Link /Type /Annot >> endobj 1322 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20210608081201-08'00') /Rect [249 211.4 292.3867 219.4] /Subtype /Link /Type /Annot >> endobj 1323 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [405 211.4 482.5977 219.4] /Subtype /Link /Type /Annot >> endobj 1324 0 obj << /A << /D (unique_170) /S /GoTo >> /Border [0 0 0] /Contents (remove_cells_from_pblock) /M (D:20210608081201-08'00') /Rect [93 197.2 190.8906 205.2] /Subtype /Link /Type /Annot >> endobj 1325 0 obj << /A << /D (unique_171) /S /GoTo >> /Border [0 0 0] /Contents (remove_from_power_rail) /M (D:20210608081201-08'00') /Rect [249 197.2 341.3516 205.2] /Subtype /Link /Type /Annot >> endobj 1326 0 obj << /A << /D (unique_505) /S /GoTo >> /Border [0 0 0] /Contents (reset_operating_conditions) /M (D:20210608081201-08'00') /Rect [405 197.2 506.5273 205.2] /Subtype /Link /Type /Annot >> endobj 1327 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20210608081201-08'00') /Rect [93 183 180.6992 191] /Subtype /Link /Type /Annot >> endobj 1328 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20210608081201-08'00') /Rect [249 183 299.0547 191] /Subtype /Link /Type /Annot >> endobj 1329 0 obj << /A << /D (unique_746) /S /GoTo >> /Border [0 0 0] /Contents (set_bus_skew) /M (D:20210608081201-08'00') /Rect [405 183 455.2578 191] /Subtype /Link /Type /Annot >> endobj 1330 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_case_analysis) /M (D:20210608081201-08'00') /Rect [93 168.8 157.2695 176.8] /Subtype /Link /Type /Annot >> endobj 1331 0 obj << /A << /D (unique_624) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20210608081201-08'00') /Rect [249 168.8 312.3516 176.8] /Subtype /Link /Type /Annot >> endobj 1332 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20210608081201-08'00') /Rect [405 168.8 468.4766 176.8] /Subtype /Link /Type /Annot >> endobj 1333 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_sense) /M (D:20210608081201-08'00') /Rect [93 154.6 151.2969 162.6] /Subtype /Link /Type /Annot >> endobj 1334 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20210608081201-08'00') /Rect [249 154.6 328.5586 162.6] /Subtype /Link /Type /Annot >> endobj 1335 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (set_data_check) /M (D:20210608081201-08'00') /Rect [405 154.6 461.0039 162.6] /Subtype /Link /Type /Annot >> endobj 1336 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (set_disable_timing) /M (D:20210608081201-08'00') /Rect [93 140.4 161.9688 148.4] /Subtype /Link /Type /Annot >> endobj 1337 0 obj << /A << /D (unique_703) /S /GoTo >> /Border [0 0 0] /Contents (set_external_delay) /M (D:20210608081201-08'00') /Rect [249 140.4 317.7344 148.4] /Subtype /Link /Type /Annot >> endobj 1338 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [405 140.4 457.6992 148.4] /Subtype /Link /Type /Annot >> endobj 1339 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [93 126.2 182.6328 134.2] /Subtype /Link /Type /Annot >> endobj 1340 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [249 126.2 306.6328 134.2] /Subtype /Link /Type /Annot >> endobj 1341 0 obj << /A << /D (unique_747) /S /GoTo >> /Border [0 0 0] /Contents (set_input_jitter) /M (D:20210608081201-08'00') /Rect [405 126.2 460.2852 134.2] /Subtype /Link /Type /Annot >> endobj 1342 0 obj << /A << /D (unique_633) /S /GoTo >> /Border [0 0 0] /Contents (set_load) /M (D:20210608081201-08'00') /Rect [93 112 123.832 120] /Subtype /Link /Type /Annot >> endobj 1343 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_dc) /M (D:20210608081201-08'00') /Rect [249 112 293.2969 120] /Subtype /Link /Type /Annot >> endobj 1344 0 obj << /A << /D (unique_635) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20210608081201-08'00') /Rect [405 112 454.832 120] /Subtype /Link /Type /Annot >> endobj 1345 0 obj << /A << /D (unique_748) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_unconnected) /M (D:20210608081201-08'00') /Rect [93 97.7999 177.668 105.7999] /Subtype /Link /Type /Annot >> endobj 1346 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20210608081201-08'00') /Rect [249 97.7999 300.875 105.7999] /Subtype /Link /Type /Annot >> endobj 1347 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [405 97.7999 459.0703 105.7999] /Subtype /Link /Type /Annot >> endobj 1348 0 obj << /A << /D (unique_638) /S /GoTo >> /Border [0 0 0] /Contents (set_max_time_borrow) /M (D:20210608081201-08'00') /Rect [93 83.5999 174.582 91.5999] /Subtype /Link /Type /Annot >> endobj 1349 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20210608081201-08'00') /Rect [249 83.5999 301.3594 91.5999] /Subtype /Link /Type /Annot >> endobj 1350 0 obj << /A << /D (unique_640) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20210608081201-08'00') /Rect [405 83.5999 477.8203 91.5999] /Subtype /Link /Type /Annot >> endobj 1351 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1352 0 obj << /Filter /FlateDecode /Length 3222 >> stream x\K(+8d/`*2갷JjN5;ucmVVڑ8" SeA?@TIsKbN&)Nuצw/@y~\H ;cjFA^IT$ȜI$\ Tt:uJ{'2x}g/p\jIc}^[yG?*PgL!c[R5I*>Q#|eœSel!N4wcR t&~iVB~&D LPе)Vf3#GVg$sK"eSyч(=d>ld;qYeh{Jݮ5g|rB?6EC,9ZU(Y,LkJt.9v~6Tf &NY^bf t=6t]ϋGTH e&|~TJar\ =`tH{EWnjnV近>\dyYw}V%-xt92 ;YqPcbν@!`r/%ؤ\:NDZDria@ H"]Dri @e@$."9ta@e@$'D8 @$}+tL#7]$o0wם}y#Y^(pu='+/I,d[!wvI@Fvfr PWq=dVc9$?^s>!Jɚ}.^_y*I=7T3U'ݫ7xq1/pߒv:gՏ&fBtmY_]Ssw,f}u1lώ!di$@iVMk nYf)3&y?_8"tQHww/1«y@z{Vra9bWBE:ªˣoy4IwDWN{13է+Qy}>$fq\~kMQj=ْFׇ=;i:v^dύG3ttR I7q/Uҍz,xv.խ{ps{"6Z^m*yz5⣝*dxeŇj̤*t `X@QI}Q'-ΫӵB-aE8pVx.nv(wNg3 t6&$gUWS%󽏋λ$Kp,%hBgƏV41ʂ1 }BcxyOj<Yz&ŭaf|ƭ}.r1'aD"n_!W"%Nds=ĩcvb\8߳vlqқTl?M6ڬo?v#`cIo`Io`c(ٽpDl9Ml>MlY+w7wq7wf# Wz)F$DJiD?p(pEoc3(cM>Zᢁ4dR{#H'erT-$ZK3ӟ"T0L57 h ?W#=38ơu3V2kjD9 L㒓LE“۔DVy qɨ 'aSL f|~npfAƲl`\>u 0\*) !Hn7C ʤ8pv^d-R̲#K-b ؛";m>nhqn>NjkoP-Вâ]^6@iC%*T6LIێBK) VICTٚUbJtRf:kSR*Ox<j(})˄\J;|=}lݸw+| Mq6:wj|tt1{Ya\xQ4 ۠2TyAZ!Y endstream endobj 1353 0 obj << /Annots 1355 0 R /BleedBox [0 0 612 792] /Contents [1378 0 R 1377 0 R 1356 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6150 1357 0 R >> >> /Type /Page >> endobj 1354 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1355 0 obj [1354 0 R 1359 0 R 1360 0 R 1361 0 R 1362 0 R 1363 0 R 1364 0 R 1365 0 R 1366 0 R 1367 0 R 1368 0 R 1369 0 R 1370 0 R 1371 0 R 1372 0 R 1373 0 R 1374 0 R 1375 0 R 1376 0 R 1358 0 R] endobj 1356 0 obj << /Length 18 >> stream q /Iabc6150 Do Q endstream endobj 1357 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6158 20690 0 R /Gabc6173 20697 0 R >> /Font << /Fabc6181 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nȤw/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1359 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20210608081201-08'00') /Rect [93 700.4 186.7891 708.4] /Subtype /Link /Type /Annot >> endobj 1360 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [249 700.4 312.2969 708.4] /Subtype /Link /Type /Annot >> endobj 1361 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (set_package_pin_val) /M (D:20210608081201-08'00') /Rect [405 700.4 480.0859 708.4] /Subtype /Link /Type /Annot >> endobj 1362 0 obj << /A << /D (unique_508) /S /GoTo >> /Border [0 0 0] /Contents (set_power_opt) /M (D:20210608081201-08'00') /Rect [93 686.2 147.2461 694.2] /Subtype /Link /Type /Annot >> endobj 1363 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20210608081201-08'00') /Rect [249 686.2 329.793 694.2] /Subtype /Link /Type /Annot >> endobj 1364 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [405 686.2 452.2109 694.2] /Subtype /Link /Type /Annot >> endobj 1365 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20210608081201-08'00') /Rect [93 672 172.9609 680] /Subtype /Link /Type /Annot >> endobj 1366 0 obj << /A << /D (unique_749) /S /GoTo >> /Border [0 0 0] /Contents (set_system_jitter) /M (D:20210608081201-08'00') /Rect [249 672 311.1445 680] /Subtype /Link /Type /Annot >> endobj 1367 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_units) /M (D:20210608081201-08'00') /Rect [405 672 438.1914 680] /Subtype /Link /Type /Annot >> endobj 1368 0 obj << /A << /D (unique_750) /S /GoTo >> /Border [0 0 0] /Contents (update_macro) /M (D:20210608081201-08'00') /Rect [93 657.8001 146.8398 665.8001] /Subtype /Link /Type /Annot >> endobj 1369 0 obj << /A << /D (unique_560) /S /GoTo >> /Border [0 0 0] /Contents (convert_ngc) /M (D:20210608081201-08'00') /Rect [93 603.4 138.3867 611.4] /Subtype /Link /Type /Annot >> endobj 1370 0 obj << /A << /D (unique_561) /S /GoTo >> /Border [0 0 0] /Contents (copy_run) /M (D:20210608081201-08'00') /Rect [249 603.4 283.0859 611.4] /Subtype /Link /Type /Annot >> endobj 1371 0 obj << /A << /D (unique_562) /S /GoTo >> /Border [0 0 0] /Contents (export_bd_synth) /M (D:20210608081201-08'00') /Rect [405 603.4 466.7266 611.4] /Subtype /Link /Type /Annot >> endobj 1372 0 obj << /A << /D (unique_658) /S /GoTo >> /Border [0 0 0] /Contents (export_ip_user_files) /M (D:20210608081201-08'00') /Rect [93 589.2 166.2852 597.2] /Subtype /Link /Type /Annot >> endobj 1373 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (export_simulation) /M (D:20210608081201-08'00') /Rect [249 589.2 316.5117 597.2] /Subtype /Link /Type /Annot >> endobj 1374 0 obj << /A << /D (unique_660) /S /GoTo >> /Border [0 0 0] /Contents (generate_hier_access) /M (D:20210608081201-08'00') /Rect [405 589.2 484.75 597.2] /Subtype /Link /Type /Annot >> endobj 1375 0 obj << /A << /D (unique_693) /S /GoTo >> /Border [0 0 0] /Contents (setup_ip_static_library) /M (D:20210608081201-08'00') /Rect [93 575 175.0898 583] /Subtype /Link /Type /Annot >> endobj 1376 0 obj << /A << /D (unique_563) /S /GoTo >> /Border [0 0 0] /Contents (write_project_tcl) /M (D:20210608081201-08'00') /Rect [249 575 309.7109 583] /Subtype /Link /Type /Annot >> endobj 1377 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1378 0 obj << /Filter /FlateDecode /Length 1584 >> stream xڭYOo\) )8X>@FL:Ui=ͮJ?fK2IZMyc TFj;&f+e32xLnhxe{"&/UJt:::~#'$OONNf V_wZYksvh`?@k'f?Wkaމ$*\cBH4" a'N?zSqX{id53ULic^uh1eG!~Izk-QUS*ϖ`*~+dkL7{]a%;QV8l{?WwW߯Fe6z#7;pNy\Gw`%KU?xuYJ=qr;'-8vrk$_\uY'^A %/m91L0}/ l^Mpl pr`-x[6}}ޒD{7EBivH%j 6χV84d84oK/VWrs;D4.{GEHBFl[l.9K6X5u}FM&3CM3+@&>Nb%r[v = \ i㄃9-bZ-Q o.]LHMz(tOIfV&i6_埿O1`r DgS ;Vv!9*|LymUC2< ͬcʀҫ`*4V"YFea%uQ ~T 2 "ZfD]m&* ,5rf/t]huBUޔq4we94v0< H>t =+h'cThNh$ˆᤕatsJdr,㊂Fq+ϸ'1b#mG}W-hد)ިF qK>B ߳7O4B*(c6 ΢upARږ0Et8eSE#U~F>R/V+)k^׈# ^1 >ghfF4U#IXY1,60J<_QXbE2,A\DL<,#j*ZF&Cc=LM*[5#񈖒ˈ^@cK9ӸHZBX;Ҥ؎~6G7TCK1 Sey eY=@:60aK/'[s &JX\:7>y,lY^*Mȕ#ׁ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc143 1381 0 R /Iabc21487 1390 0 R /Iabc23898 1392 0 R /Iabc6354 1385 0 R >> >> /Type /Page >> endobj 1380 0 obj << /Length 17 >> stream q /Iabc143 Do Q endstream endobj 1381 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc151 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 1382 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1383 0 obj [1382 0 R 1387 0 R 1386 0 R] endobj 1384 0 obj << /Length 18 >> stream q /Iabc6354 Do Q endstream endobj 1385 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6362 20690 0 R /Gabc6377 20697 0 R >> /Font << /Fabc6385 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nȢ w/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1387 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 203.525 140.952 214.525] /Subtype /Link /Type /Annot >> endobj 1388 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1389 0 obj << /Length 19 >> stream q /Iabc21487 Do Q endstream endobj 1390 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21488 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 1391 0 obj << /Length 19 >> stream q /Iabc23898 Do Q endstream endobj 1392 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23899 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 1393 0 obj << /Filter /FlateDecode /Length 3511 >> stream xڽ[K WyLOO؛n/Kz{r(>RJ4';}y*LO Iӟo%LVO/ĥɔ%Ik'x=oZCć狝lFv]vN/\zfvϕf[mJt74ZKk8wik~rZ-F;U]?[^Iq+XKzcz&cuh}Msԕh 3mpuh'v HԎ!x<擧OjղPOM뵒7pDM?v6e]B%WXcOK)ό8 vDɒbKMrU6[={%w%CUgE>?fj @Fa96R'qRtfu x0i`slqbD- 13>oԞl ͏ i&N~~blH *Aƴk0/3=]y&Z ;!@Zv.g`c=G&zahE[(_"њ '~:#>?1-Q= ۣ, dFT 8Fb K# DNKv)fUxsZ!'fc}a .l1wc%XɁ4DaJֆu @`jWDϧ>4Sd<@0ͦd%.P^ezWד1=X2璛?[i.5*hz`DpӆäSg \|9,-I`4^UAHmJ 5,ݥ=Liȏhw=I Ixtؘ jXle#[u 1ץ"1vΕքyGeXު[CGDnq v5;GĮa=P cg0Pւ&~*PWNAJ9-gd#wp_XsVkY~bF^G>{FFBt8wT򒭏|m?l!Bw$ZZZ!?3M0l+>I}]a;g;SאAua1gg*j}BKT<6Bj@_WTwjMԎ]0bRnkws؄0{ڂoG+)YZ.d"]iVNrS:;S^7qX͠J :ı\1*͠L_zgX6kFOv#Adz_1;9𥔭?V[E:/(yOQ@FFE5a]RGzGmGMq>u!oE7-h;Jw5lMM_e4#=&XWKZB@GGNu+(8th]jPwiɅY2ld8W(ke-fQ#M  ҫx|\aPQTBHZ / CO]Sߙ)$pK5/͂F-^{K^DU|PCk֊Mi>\EՄzj̢OCbk T*lgH!Lv,x\"s?>*WK&`qNQݧq\MNOF)q{&JRq8;^t<ݞ=p/N͙}q\V[+ ԾC#e~F.O<򧋡; O_W[WwOXGvG(ҊKKB A:{J‰աv Igs ~oGmN^/+0;!}_Bŕ 6c8DENi= endstream endobj 1394 0 obj << /Annots 1396 0 R /BleedBox [0 0 612 792] /Contents [1403 0 R 1402 0 R 1397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6553 1398 0 R >> >> /Type /Page >> endobj 1395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1396 0 obj [1395 0 R 1400 0 R 1401 0 R 1399 0 R] endobj 1397 0 obj << /Length 18 >> stream q /Iabc6553 Do Q endstream endobj 1398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6563 20690 0 R /Gabc6571 20697 0 R >> /Font << /Fabc6586 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ݠ)|:S֯޽\:H9,hƐ d査=0'/cX"#(j!`aL NwҜHr$sBDQ@?$u$4W̵R̃sV7l?k!K4>S~Y2cy / ̧9 ?ɒ F08` j2*WLj$sx2U|ݝCJHO;Ρ\NZu^lM6 endstream endobj 1399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=34) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1400 0 obj << /A << /D (unique_677) /S /GoTo >> /Border [0 0 0] /Contents (remove_bps) /M (D:20210608081201-08'00') /Rect [104.1732 205.0297 162.3577 216.0297] /Subtype /Link /Type /Annot >> endobj 1401 0 obj << /A << /D (unique_680) /S /GoTo >> /Border [0 0 0] /Contents (report_bps) /M (D:20210608081201-08'00') /Rect [104.1732 188.8297 156.2472 199.8297] /Subtype /Link /Type /Annot >> endobj 1402 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1403 0 obj << /Filter /FlateDecode /Length 4115 >> stream xڵ[Io,W9@w/@F 99)q@/}WUܺgF[&X EoG*vy>dC]~^cT7ѫ}Ik{qoI50qFY))K=VCybMijy[I&Ud~Â0f f@-_c1nxM;IO,"wSh Ē†1p뻅$6HOq#frAnM[tLtaf۷fcط  R٣*DxDK+~VZ;e41FCݿ+aӰv 32-DX/ֺ\Ol#Eۯ:w\50d|I0JZ#]mv˹nn _ A`N&y`Fni[#:gCjF;rS'Sw!LL-V1p';\[Ē?!d:,lwanwԾ!(ee:?(݂$4.;2Bgռ,b7]skn A%OTtbbR*p4~?,逌٢/6cv !>H a~),be-|DR]YK ]G6(I000^Ƚf0OKJF}3'A[!v c͖x¦>'XCjk4 v Mn ڤǹQOo<_%FPY'񲚰Y܅se&ҘVϖ@9Y9^إ0ut@.^FWGj ΂wN,)^vG~]~^)0 G4Ns!.[kIzst tcAUjZHtߍ ԉS:Ґ@iL[&&Ҫǡ=M;ڤ!M0:t?-?N/7i]pN?y?zM%V$AD2y8ÏB=Qeˎd\'d7<2B*ؐEi7)K--% ݦ# )PIa h:G$S O{ nhZĵ PL%Ⱥ8F:Fv=RN$ RDmq4(NUu?%#emA%c"Y۳0P %sJ סM)ܗ1SL1 C^ox:+!S+o[i~4aX]FzQNLeW\ˠw0w4._zV [\w(3a ޼/-Vբ22 P:\_cR[YMhZIf`{|w-tf:kx~l=U;iI,q 2ƟI` N |j)AL9}r*Hj~i}D ~ %ʧi;H)yDx.&Q .WbxrJ6J(]a*Z(qQBѽ=f&x0g6Lw%v+_dnN)U^]ZʶY8+Q˼n]j5ES# d4x(͞MyEu!(;ޱW]1vh{c]2=ݍSFsi1,$gfx`ą$YP4 QTux"AH{i"skJ+dW,Epjn8|SF/}ڻN.!q8zͣWMw rQ{<̶fZ,/qg6o VR[PKL3+wdZ8?3~\J±PiZ?Cu+ L|D<]1X-% ]2 󓓇u`^R!pnMQ8ES_ ɝja320yǣP"|av;yML+1ɖ͐r㊈|`tZ2pa[oq|vbZ¸0Mlfvw氍M'P=zY܇D76os.Mj7Y2-隁xKڙ@]A?{;Mb!BY)` %(Ts `sTysE$4L. iI{O|G㥗 7&Lo DϘ[V8=gHCՇ+ ջ>'%0i7A)IVB@cLӽHswSU4g)織]](e\$a?~LJ:saM@v ~]A_G b:i7~r/hp{nG!d rjb$٭]D N^vZ&L(-2 A@`,wrKpqK _bs %̣v^ Lr3mWPl!Zfwc-[GlF@:qwo`JC| е3.q6ЊA-Z4uID|03epWoR]-[ r?0MPi6Z *pyX{;n|aQUۆf[56T3+12u|˷< ]_~GA3;QKj.fmx5O 5O.vH*rs @Jܬ}jVq,u3qn' <{Ug#CN g1z2˩{IK{H%v2z뚱v~$,/*n*"/k32r }sS |*LQt)rm]e4d4)ۊ6VڔhhzgV}|k:5sk4UTW Sj_tҵMk~ _aAey4Qk,d~91GѴv,>̭(i)[3lri״m(&TCJ-bk[.3^lVBggٮ;B ӷ8ԐUqKnYMV N"e[QvAd2s6R+#dz^x]1t}{CCdW؇+Wm:vѓo#Ʃ e@~~ 7R}ںsNaz$ߟ qJ3<*!(OihmIS endstream endobj 1404 0 obj << /Annots 1406 0 R /BleedBox [0 0 612 792] /Contents [1413 0 R 1412 0 R 1407 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6760 1408 0 R >> >> /Type /Page >> endobj 1405 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1406 0 obj [1405 0 R 1410 0 R 1411 0 R 1409 0 R] endobj 1407 0 obj << /Length 18 >> stream q /Iabc6760 Do Q endstream endobj 1408 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6762 20690 0 R /Gabc6770 20697 0 R >> /Font << /Fabc6789 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nȪ>)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gy8_5ŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwoD endstream endobj 1409 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=35) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1410 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [90 335.625 135.562 346.625] /Subtype /Link /Type /Annot >> endobj 1411 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [140.875 335.625 163.7275 346.625] /Subtype /Link /Type /Annot >> endobj 1412 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1413 0 obj << /Filter /FlateDecode /Length 4117 >> stream xn$ί0| ƀok/C:vHv/ԃьVI ^vM"Y\Ui˫uF/*M!??F~}J>&'??i_~$` SIj θOkh? +Y`VOO'On 2,*P-<ͽD#O^8O\O4@$;3̅x//*F@a3|2<S~F$m*o-z?e@1V D x Cz;E?Ϟߟ]PG}1DK `2M*NTpq ?GOz>?V-vY DȾ :{xP Ą1O`\X@*7p`b3X[>+:1ܹgY?ވl+nO32/_!T#9x3Jr1>M?wd3M*&h\t saSc,׉ުW;Uql ^^9#)vl AVtgUjj^ g(FTF ]\iARcCk4G=: ЃEic(cY< z+]+Yˆ u4\ UpKAf(%zgYfό ,e} +ULlq\'&,Ro5Ca=su#q( `=b > xV恖úijF*`oF ) ڽ|fQ?6acgdxmwcOw+ B@OgD9>sak8CPoKfmI9b34m *Kzݑۣ+zb6Ȟ1ۿvDO[]gVN tRtJ}J]T(Vw\c 77ǟwb,@>pXi./3E~ FthVl,RwhW#8v9Jcۭ9K{yv,#8fh[ 5 е^) })o$xC,K1 ;Ewi6=φ*{f;,o6L z [Аމ>>Euk՗W>8"A%foI`n?Jʦ]WhP_' xWs|0a@ mCEF< eo}_hc(KT*bq %J=J hPS?3?\a `&mc3 On\ `[Ļ5q&eRkjX^ZW&TY-!aE9JB$JF WF-J/DV[TZ>`MhtZw7RS3qաX1$ q􏤢Qiߨ{ciݥ,X&ObөXEW)y)&viCYen`X~Wn-^50qOաe9c,VU>[5;[3ze׬nuoZlY=:f-囬"2کztJVkjV]Vc8j.V ==j}=j&{2;zWuYߋ|fA?)FA%CXPQxk#OP v7jA6LSxP>gØŸsGW-Ywx`` hxR 1pv ]Vaopu3$Գݴ2Vn$Uq>fw/yxo 9k$fab6[zK0->A,>MK2Fmؠ`Q{,ੑT ͸ 4΍Gh]%q]8M Vcl,qn^RN<U[9z/-nQ=1}E4ȱrEImɁO}' Z]X܋txػʀԘ:#8=x!f@֌Hq.#;cƻۡpX?Q$aGUS{AH+53GZS"G kDY9B ȯ'&lГ̧uO0 b>aws0 }םy .ivhJ*[:kTV̗r%sZ0^L~`wfo)8xT EK>5z>T |pN>@ΈZ̺ T,ؾ-=KLAFrEtdɃ.suL=C T 5j|\%X8Ԯ4^ZG .-c1:%Jʤxї.C\~X_/+ZX f7qі ^LS%V85h&kZU(J]yU^cEJǨRtˎnG)@ =OW|GE.δZt")Z"W> |Iqc9chQ*-el/[ƋWբ%ba`-k | t# U<^ =Šk6Xi.]{ rw'-.O 7=Y۲aLT&iqg,Bc_S)-fj5ƽ3X茙Pє+CvAU 5Ǫ*uYqPMQK<@Joq E&e'N;!],:Ni7Ii>s\l1Wc>k;Vz]\:c,,Уx>L#PN x# q P(T UP?@+X{RcMn@sƍV~hf2u*[T8f,fi%DJ74`J$N`̐RAuyy[#BaueX1a.=@]-QVr'P>qu7آLte_P]L&YSS~9:Sz^BN.h >r6%"/E+#qV!p(2lxq+cq(h0raa-ʧy ;ʥ22Z sN߻G)T]ΰ4d,E)i5Kw/":U RǬPT^]%zQBheaGsɭG *nxoT![/|)O%bO|n+:a? sZ2R>JO+K"gۿ W? luG,K{-SɦˠtT2 i;Ty-X1̑SH!$RW xQB<ӱQc~%m#YnؚoZ??+[ m:4x"0plvP}i4*T{c+s'P-z].3ز{L&pNBoQ,2*$r endstream endobj 1414 0 obj << /Annots 1416 0 R /BleedBox [0 0 612 792] /Contents [1424 0 R 1423 0 R 1417 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6953 1418 0 R >> >> /Type /Page >> endobj 1415 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1416 0 obj [1415 0 R 1420 0 R 1421 0 R 1422 0 R 1419 0 R] endobj 1417 0 obj << /Length 18 >> stream q /Iabc6953 Do Q endstream endobj 1418 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6961 20690 0 R /Gabc6980 20697 0 R >> /Font << /Fabc6982 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nȦ>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N tweBC (cμs_|۾{wH endstream endobj 1419 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=36) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1420 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20210608081201-08'00') /Rect [104.1732 251.1116 161.3292 262.1116] /Subtype /Link /Type /Annot >> endobj 1421 0 obj << /A << /D (unique_170) /S /GoTo >> /Border [0 0 0] /Contents (remove_cells_from_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 234.9116 231.2012 245.9116] /Subtype /Link /Type /Annot >> endobj 1422 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 218.7116 168.8862 229.7116] /Subtype /Link /Type /Annot >> endobj 1423 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1424 0 obj << /Filter /FlateDecode /Length 3247 >> stream xڵnί9@W/ @vrrr 92q.-eF,7U[m ru7欎ASE=mulN`FIW1pr?P3[? /DzJ]x~;xD<0So%XaUz0~q>lɍ ~.Z룪D<7Hߗgqp]V5s>;^9׹$O' L,-9:'.3`T39 _ؑ@f$;"M6<V%YUl}睍Q;'ݰrŖƦ!o)da2-'Lry/C#eY|fitegk4b&"_3˃b^SF\&GX1, ^MMQ,&Ã4!„ttxs@0wB8Z[*hhUM65cnfJ)Fu5վQcP6CJQ{1b(!n 𞔒riw2xq#t @%͛NEt)t ce+ad H+>+-^bJ=i 'V}ē1 /-t/:0Ժsep :u̧CmlM2p6h׃l 7j/xY ؔep(ncyǸ7_bj77es-ήK\:|S{?sL3lr2(Ob]jTݘ&~ =9U8'$fޑ CVG| H8fxŘs@~H(YAG[ bra2YB=|icvQc1~Rbԋ4Y</ǮKYW u=ĩͻp~П8?g zNP.Km8O?؋UۢOyC3+ nʀJ쒶k)=َkԉ! B P/lﭨjf]ݼ+&2piB{3eZ8 Z@ɴ`+`5<ՑSht$t~HbU";<^W8% ɍVǦ]ݔ q{f8{LLJ2WWw]Jn:oN^ l^tֹ)uoӪ=CG V [f1O՞mYTѺy4]U^NǛXkߵGim{p`\_"u#?U6[̌z.J:ozjoƩaP%Ev"]q,s2.z>K<%@P+q=͂Nrs,ⱁNgn]< u"lHFkc0mNCtɦ͍mMvr:w$<ȷ`hxn}rс?'_agOsЪe0;GrdbxY;*>٪xYpÅtjR^u~jL_W_Մ q1PE`\ߍ |A^@W w&ۺWaw{j Ph37QmOw~A7`CO: Xm=\jo;5,ci9ư|}V+EC7m^NU |m! Z;Q ju kIF3,95 >};3I66^ԘtĸQrH0yR#dQRze0iZ5UfM"GR7%-YUt4-e76gM2覡(cxy 힀}f'='yT`4Dܫ?mFt49ԲWZHEFiXڈ^S%ٙ_S?1Tl=A ǹ፬_3ec"M8f5) VN q ]{ d) &f&KK룗 E'1YBɋ9NRCW<AJh?eU$/C}]msKoO #Yzjc/KMZ#liu&!Q% "ҳ3̗^}-?<_K? Qp'hy*z^۹Lr`=#Z,dd03\童/p':!FES]|~+x 8zI> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7144 1429 0 R >> >> /Type /Page >> endobj 1426 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1427 0 obj [1426 0 R 1431 0 R 1430 0 R] endobj 1428 0 obj << /Length 18 >> stream q /Iabc7144 Do Q endstream endobj 1429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7154 20690 0 R /Gabc7165 20697 0 R >> /Font << /Fabc7175 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nP >)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gy8`^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$sx2W.s(R.Q8!h|=B/}, endstream endobj 1430 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=37) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1431 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 273.925 140.952 284.925] /Subtype /Link /Type /Annot >> endobj 1432 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1433 0 obj << /Filter /FlateDecode /Length 4120 >> stream xڵ\I7W9@)hwܜa !E-TU_w`?WI%QG$˷E/ ,_^1K?b/^:]vR-Qwop'<>)F砗_~f_h|"L¢gL9eT}g=)e#<3OuW~*=܉я϶L9C3 <-b̀mRaaRZ)$8{pҹ%N\d%Vd X+>tU@>X*. H*-i.Pրa2%`24~'>OOz~gWV`0 MTV26Bqb+`` 'HhĞj,&P\{=: 6]+!xT{J~@":C%Uq cHh«M`,Vh_ m*ZtQ(0 ?^6UBvAhaЙj 'bB0"u< Q'h L6 I $j,R7tְ 0dlȰ("°aY(x`t5fhnL\FU@#X@ 1J,`ˇsƺIfCyb`s[2zGe9Kx8P//w&W8_hW)6ގexįZnXUxR|ʀ }2,:@+],JKY: Ӧ-EAȢ92l2/Pl6|\ yY!MpKK/$sp9Ho Wi}(Gf`3aNy)@WL6 = ᇚuL{c#:4GZi薛EBÀިU 1L82UآqUΏ©#S21}rF:1oUUW|M=Ͷ7ili, U>L!lFUKyk\N1CTJPWWʟ(+w(~+Լ Vi{+ bLM&ZrE^eSYf,kݒ݀,nȄNZ`͚wzkƮ5MlB-ԧy%=`йBD!]cӺ,U jmYtbib.=1˝T+g: vRoQ`^,?_~Z5@\|@x)G_oG_n(ti"-7AS-,E~tW$3edJL\:Zl3Ք%YIJ㐫*3553^b+j-jkY .| .eG9$/Us%ZPk5,Pl)&0['DjuVXsШ##BVGR%crUIYͥ|`|w3z),׹]Uun&M\}{TTi_'qcݩ5,H&OfTl"=괔Yɨ<2cBdeg$ nKlZ(N 䨲#5U le F^eKyh_,f uϻC͍ s5kyz5k sy-ԌȯW@-ꫡP/oZz jqY/9>E/-nUh{c7pq>oIn17Z+8o1ڳ%`6qusy(pwbz0L:_Mi'6% Nk`.Ho[:UJ  IXdEi˄*V&|_H4 "|=열yg]Wh 刭*sG5LYx|J}8kV?-^^9ɒeH(39h ]̴tŵ -ok7]ٸR ![ IXSqgU +p?GZ(֠!k5D~ݲ)lrm&.Xb?΀Xc&RSWW cVU:,-kBS-)9 *"oL:!Ba>4RSbSPr@+t7g( hi:JE[!ǜ=՜sbrTJ1Yԉ,IZG쮍c`݌D)hIX36{ ?CCrO1zZmSyZh(R@INJ0au-X-Mԑ>2*6Q'bQ*/^Ad50:Lz&8Ōk2[. Li!;XBN&1h#cJ}fOirbF?1!S6ӕzbYc.A]xs[WtmkBRs^ͷJl|它2[,vǓ/wB90cգ+AxK3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7337 1437 0 R >> >> /Type /Page >> endobj 1435 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1436 0 obj << /Length 18 >> stream q /Iabc7337 Do Q endstream endobj 1437 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7352 20690 0 R /Gabc7360 20697 0 R >> /Font << /Fabc7376 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nP>)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gy83ۏ}bH&}%l)lQΡddN9 &s.Ay)Q8F$!ë㥨Wm'uݝCr\vhwe™piE[z7}$ endstream endobj 1438 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=38) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1439 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1440 0 obj << /Filter /FlateDecode /Length 4592 >> stream xɎ+ί/0Hr0rz~~[ZfГME-?WHzR%*|$o/ˏW(|5~,Jc/-VJ^+||V`jF|X( 9ib&?Bt~Y@!j/%"%Y;2eZ)Q?]9hCeIGқ G_ :a @Vt3KYg8QwD„Ta>S Ļ :,-S6Y>S3!*WyWS$ؗ,A[1 sRhܥZ1h3h|e콄6h<`#52ƂIu}Rtl-pd%hcLb0cVfط_"PQ4H0zBkU]*Qf[s!M{L1B]xw*ݓ2r ,+ZF:i|t>32x\?1QTA'pNIrG:HHJ,-cC*&za1JDwDO%;jSѭ2n/%_RX.9swoڙ'"[ 6Cj*XV& 3-k,ޮ/]~Mϱϖ'վyASja+oyU$M/ =ps,i3$k<3v|2]&VV׷Y]궔wp4uęn͐ıXP ƨƖTIvABJ3;ToJp51Av . ?vѬ3[RV*{sdJYP. \gR&י׾qNYhaeV)^(nAϗ'iN1rN?9lZiiV픕.sU fv(B;YTٔ-.햲M5WH[gB#Vɰ[y/wխJK-x6~NwMAeVgj^cdm+{^ze~Ea}Wl%trzPʇfՈd&JiEplPS^5I9'=&YZʶ습bmvSs /fO {ImZMX t}-j́HdkLrӐ(6\GO~m֥ aSx& \-jB&3L|_ i$7bA HC\dࠔ1(&R$\X;KǦ'̑S$BY3c{g v0pGѳX-O|T:I+їD䀊EZ/EǴ5P2?T:T 8y$֖xXh8[~ LqHk)sP^żfBĹیš#LU/H J2z/Lfdd{WTfWT#]"ڎu IZUZ&v#,'RtS'{#eto":=NVǬ5T< F:27E/&hfry֭G D'5lH&4ll{V~ c~k͟ۉ~hy̥d$D7'*$8dġu PM R#_cz%*VoKLy0?R`L6?lQi]1q4_G}%pe2stg5ފr@FJN3|v$>~'o]X<_J{N|2o{>+tsB0\B)mJSC9{SϦ*k_ZXk>/ G!F&q $V@kkXżh( endstream endobj 1441 0 obj << /Annots 1443 0 R /BleedBox [0 0 612 792] /Contents [1452 0 R 1451 0 R 1444 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7541 1445 0 R >> >> /Type /Page >> endobj 1442 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1443 0 obj [1442 0 R 1447 0 R 1448 0 R 1449 0 R 1450 0 R 1446 0 R] endobj 1444 0 obj << /Length 18 >> stream q /Iabc7541 Do Q endstream endobj 1445 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7557 20690 0 R /Gabc7572 20697 0 R >> /Font << /Fabc7579 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=϶F_5ŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9O_'ŶEq endstream endobj 1446 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=39) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1447 0 obj << /A << /D (unique_646) /S /GoTo >> /Border [0 0 0] /Contents (add_force) /M (D:20210608081201-08'00') /Rect [104.1732 521.2076 151.6602 532.2076] /Subtype /Link /Type /Annot >> endobj 1448 0 obj << /A << /D (unique_678) /S /GoTo >> /Border [0 0 0] /Contents (remove_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 505.0076 195.5117 516.0076] /Subtype /Link /Type /Annot >> endobj 1449 0 obj << /A << /D (unique_681) /S /GoTo >> /Border [0 0 0] /Contents (report_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 488.8076 189.4012 499.8076] /Subtype /Link /Type /Annot >> endobj 1450 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20210608081201-08'00') /Rect [104.1732 472.6076 125.2547 483.6076] /Subtype /Link /Type /Annot >> endobj 1451 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1452 0 obj << /Filter /FlateDecode /Length 2194 >> stream xڵYKoW)FM` If`|HR= YꫧdU[m;-?6gu z4e7u|zm~ҏ4Y3G˫ۓ1.t=^IΘ &|A'-Ϙ?/ZI3Tv[%ʝ^;~eOJ;⺦z?{?N}d< XYU#/.&n;tn+D0P5iOLN]ěWo.Fm <57.U a^æ9HOiY5eNa1A;U&('ęH렏F f l{f@Hj M$daflW6+xdzcb M<(:'˰,E;+P݅:s>7ak4e;iJ ߵ_~ӛvk4I~%!ՓU>FК@;T`3]SKvAϢhR<`}}M|*8{l%1,>/%mQ&)ESU#9"HcRa' vOb(LJ~ @5NQ @whFiJgKCY,%~/G|gJ"89Ղ _~˥p@GU0y;䊋mtp>V|+e*0s[2TMmh6,]C+3T63ߦZp]f"gWOB`4Snj{齇02Rʣ` Qy.Y)%/&l+/A9ڪ0-(.@ikkثJIv~0:HoU&U},SO` 6W.gOBq"ufoQ@)edNUuƩ^327uCʈm}&dڕb}cԍvO`˻=rGj^xeC Z҂wjD'HnN{,O8ߨ8}e٧qqc[ijJqhd0lG g8-xMKm%=H~πLNQrjC*eFm@oh)K=l e9%v`U0+)nt9b܍I" E!n|dayjT5z^f 8r$_ŏɽlf7ajsVN_YUHgCS`ϲ#tĖ:"{, Od E⵮ * Hd%¾MyOF:F|LU-U<̚Y VP6fy ]"7 ܖF ugLg\O!ox"qԑQÕ(W8| ];:ŎVa"K4.j*.nݚvVj?)]%VbZFj d|PR}쀌\QK/)k/Ϳb魾d8Omqԫ.QFzog}d2Sf?$w{ !ك7jTc};3Ċ19Wh,W-mJ}%@W`3}:t9^O. x~ϸr*$},_bz֯bohGWk<57zoC_$XqMx-M{kU~BivPE;4y~0^v*.NϾȪ@K68VS<]k endstream endobj 1453 0 obj << /Annots 1455 0 R /BleedBox [0 0 612 792] /Contents [1462 0 R 1461 0 R 1456 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7737 1457 0 R >> >> /Type /Page >> endobj 1454 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1455 0 obj [1454 0 R 1459 0 R 1460 0 R 1458 0 R] endobj 1456 0 obj << /Length 18 >> stream q /Iabc7737 Do Q endstream endobj 1457 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7752 20690 0 R /Gabc7759 20697 0 R >> /Font << /Fabc7769 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nPMw/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@_>Y#rU (QMwĘ (R%4 <~UW<'!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1459 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 296.525 112.528 307.525] /Subtype /Link /Type /Annot >> endobj 1460 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 296.525 150.346 307.525] /Subtype /Link /Type /Annot >> endobj 1461 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1462 0 obj << /Filter /FlateDecode /Length 4253 >> stream xn#ί d-C$A`SU,[R܂YG"Y}R IOާR&gJҿ?~ǯӟ.vj[s>')}ZSX=D~r~>Sh}-O?M_^D qm-|KC/}$gi UjBOaƯӗ3;)' ]a4?I𥞧m\,;:K.㳏_|<:= aYoz1IC>{mwi` c9-_9Yо}2~RRa²~R4KRDl# J  L:A8u$Da8%?GG%+!dfJ+{3 yς'ȳόCZ 1hrv ZR2 Zvz-VEr}u z_PKc#[[q& ҶEi (wEs)`<1Ѕg۱pqf wgh|B<Oy;^ײ/ybɞL@YfKvaƊêF9\'ZVY;$uJYA*kU%·%1v͕ @tV)X-r4wy^]{bw,*n;Uq0J!#@:-<^O+i>e)nyOn[: \麥ta aΜYzҥͰA.܎lvd8 a6#y=1x̦xX$qaòΰ/wֵSRgX@5bB`#SeȞS i{ Y1 xè"KؒfcHR ә)Y/zefR+‹`YEXTWEsإ褰a4ݡG>DFkd27lj`zi;ཡ(Rt34=dkzW5Y|UK{gݡMYoFoVϋ΁1wguip9t n)l`>hXl~Pn|4b^:#K Q_㈂#ӂy ^X;3Mj#5SX j?OO5+N_85*؆@_qtT=R4Dt"/}C(4Pʫ%}6QS;W4$,^ h+PdPvB9f-S Np'N#ȁ;BT'qxُSeT\]jMvV%;+3gnR'0a 䝱bY-!CaprK6 a[RK ~QM~wxbUFFUUيUj&v7l X{׷HܬIE{+u Ҿ^zko; "E֡AƣTK_,"ݪ򺔋kSK,,kE7²]t|h;>v$kG-nT6k%vJYluX-֬߁bu7q.hz[ӣ*ty#ZJ/% -B_6 ęn4&gcA '/ `_L +ݕҍe9UI+ S{Ҍ'؀%o(kޟ+Gy!7s| pgAEZTU;j(!#ڧ:u{7DmF3)8Riɢ`-59I ()1s1]x0l&G8 XF4Qk iM`b.48f&PlZ [Y'#fSFi=)Tŗ{JR9{0GGzb" 9(3NEiŊ0Tؕ˾FzގiTb|VDUi,+qٝXH\0{0R]:'ň1 "EDrD,|SQ-r>OwA KnTe=),!^0j;\3PTe9ӊ#ԝgt fy2O9fcq*De]Us//+GDHvǥx , g2?޵Ma6hKN+xru NJyk*t|1(+# 0@MlԸ#\LsˁxZ<@,B6R\잕ITO '&P nkCY?=Pn'L ƥgh|>@a1f]"I6)LK֪ΨeԤ|tTYOypotD㊉Lw~飒Pƨs9sf<;4}t&WTtj*|\Y^#s؜SI+ Ypٿo3+A:sӞB2kuAN'j뫅˙L9л}:cZQ#57roھ `"i6wRC[dQexhbzTӖ_|Wg;-9;p5,RqNQ*8 5Y'3 .M1vUw^Eo9ko]4y v6Rt6z|y"l"d=@g<ѳʅm 9#7*݀ +eE-]Jׁui7=yD~p7*,#6L#=Мq!xN  hHkS8Kn6F}y[s6!Ӭ 뺃t9 ;Sa2;ߦfBe:I+pAiƌ_<g: pNQL RZbIVdgg<)I HةUfY_0vn,-@3Z-GPGT|Meg(=~7T$kꚥ-?J701 @W 496?x&D"޳,X-r68R~pঘe<8Vך809TeRzR&TP1vd{W#SqHW_/0BfDO፞|=5qf7a<\VkJlۭ`TaJ ZCigɯ%ZQZ; `Y2·\xrcHpN4R62ڣdnWޫAPG\ 6VLE|OPpSZ.-D4;:Ӎ!ԝԌ)\/ՙ~"ݯ>`FJ'N狘ү{a]n+~xwLwW/*.̙x_X0ivH'rpOx9§|{`ISN`> #6vEb4EdՕKz12N*މ̩ME{].U6&ޞM5X+Jiv$`4- endstream endobj 1463 0 obj << /Annots [1464 0 R 1465 0 R 1466 0 R 1469 0 R] /BleedBox [0 0 612 792] /Contents [1471 0 R 1470 0 R 1467 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7933 1468 0 R >> >> /Type /Page >> endobj 1464 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 403.1193 381.3955 414.1193] /Subtype /Link /Type /Annot >> endobj 1465 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 350.4501 396.03 360.4501] /Subtype /Link /Type /Annot >> endobj 1466 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1467 0 obj << /Length 18 >> stream q /Iabc7933 Do Q endstream endobj 1468 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7939 20690 0 R /Gabc7954 20697 0 R >> /Font << /Fabc7961 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1470 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1471 0 obj << /Filter /FlateDecode /Length 4525 >> stream x<ɊHw}63 N@xxan sMPy-L/Q0C.ɖgoﳞ;័ӳsxKѿ?>]_/J]8o}VJ _ࣕnyo\ޕ [x.~m27z1/MS4e6ᔼO^fz޳쁓%x0LaueG;2oUƌ~IQ+W.OtqO~5l+`ߦob̚w`b$h8^ l\XFRO.&i˒:e0%JpjZ'k"jZƜqU9~g> AvH#ueW=[ p2nXەqڝb5KL=)da2]0UefLjY@Λg޸]_>o?W2 Tt"3NKP)%vq>O; D3DJ|O /EsU ]O>ʭک.>3]uNoX;3:A #;+tJ2hc[$Oj@u{;oӚ}c:(l*f,y#wT`RyW%csTw/8XQUm4qQ i.;5b=^e[TTe|!4Eh^P?sb7E ȓVF%@`Y\MTDes6!Y<#D q}vko2cYx0b;Mg}!P{ ^n4#]4 9 $1Zɺ(dIGQ1U/%"i E KBIDi`)zlп)q^EJd`vc]afA'cTE'[ש_;<:jO=bU- Rdx5ws# q Md=5n#-~)ԇ`RrDXlݢ$َNy!yq]B:@QH\ r/q+ 9%L# >Og 3Ok$])/9Nt|v +eL()rQomв#}:z\ š\ô5u,4*=snn 汧j`v~'GD]r$Dp {ě4@٫<3ص'cl58y-g~Dy>,BQ&M[S JsHӦ.>Y4>6uWs1x֘Q3zF_;$yT ܔ D":~BF i b›(MsQ%/*lX( -WHl Wv e;xEO!X% ̒ciC]rkhxlEIz̑h@<9( Ѥlnˎؤn2,^ɨ0K_2r,M>{4` KS(Ƃ35!6]E"5P؄7(~ܕ8mϮ9+C| Ӻ{I IS TeC)>TړI2EySsw'EXCgmu*(0r| VPeLgzȝνlUjAy+ˑZv5,Im3 _ֵgiB0IPF2L!KBJ~N_NcU A8t1&;`AԌi,RM{-w[h> k-UKǔƤ'T\T0FXeqf@1rjy;bXH%j`=+MVJENMjRV:,ܶ5.R>Sf%B[\I%Jt\N\NUhtcte;kppdC5,D KX|.!U\g躅P)%`r;'IMu 6B%sȂ+IbMo~5-f (0lJdh0+<#xl<ֱfbP d>挲f3`%kwʦ(iS9[kWe~6k&`YZqop_42g4*v ϊHlKؼحuF[ s`oY%vnI0Ȫ6c= "JaY?Jž(}k$/fvұj&LDX\ })LDZt\)[Fi`5䫔Ȍ(a{bonfǮp8[LZgBvTg.Alzk⦡) }`NrKAp_HiIVob=J3/c7E IQc⩲t=T1ɭz9^>R4XIcx\ FښڼsQ$nLpnĭ锖0|SWSZ3$VlF+ـR/MH[u\,Ԕn4.wNbJu"Æ)C!8-ͩLPN)a#:Q-VzO*&vSWUo*&`7-9s'k91ѯwrU\QQxeŌe7?u>,Fhb{Z"OϬ4(+F ^?ɽ>dPqܖ8Od~rFF! r)'>ᅧTӧ=JG s:bNzóNrOq 2O[el+{Lœ,SϧR3kִߝ?%&G>[x zǡ_C로Z]at-wl[_l|lJ>RD۩\r֔`5%zM뺀N{JzrL)oSyzRY 5[~w=`_3:jVXdS/n~t:+WTwc`K2 KBcn Kb8.`cc hh~̿ο fvDRץ'Z-0Q.WNjcuB>m!\.?_G)t m k{F.J3ajtJ}a+rK@LKxu/MI( @x/gYI3Zsib#t_G!a8Էп҂_i_?W ,?`ڛg>vo=sb;M%Jc+-ǀom?uh<~d\:9s0fDTj 䑚! S|> /)_yͿ`<u=7c0D"߹akGl%6ʪQҜ~$;@b^,FK̑ 5I$T@\t]G#(h w endstream endobj 1472 0 obj << /Annots [1473 0 R 1476 0 R] /BleedBox [0 0 612 792] /Contents [1478 0 R 1477 0 R 1474 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8134 1475 0 R >> >> /Type /Page >> endobj 1473 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1474 0 obj << /Length 18 >> stream q /Iabc8134 Do Q endstream endobj 1475 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8141 20690 0 R /Gabc8151 20697 0 R >> /Font << /Fabc8166 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ROvջCp<)k.@Br!9h7r ģ1H[&!#((`aԜiJSo"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]dߟ}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>?d*u$R&o2VK2 ufg[X݌@/d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dxUWb^@wP"]@ p94C-Zݛ endstream endobj 1476 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=42) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1477 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1478 0 obj << /Filter /FlateDecode /Length 4038 >> stream x[K#Wl@ d#id 7`:0ԃV5kم&YUAJ.X7Yoiqx9;i|ڻZo"/u p5x:3/b)G|Sҳ6./@aڬb^ܗ- ,8LZ$vc^latNE}!"mcE2HWvlJ9r_"X5{ qɝR`'ߩ*VTUD;+3ݬcʢmиEbۋ=o"u)9Tfgr Kn?$QzV/>twoy,|isA0ާec^7ϟS\16oahM5@QmǸ fxʀ5@Z(ra;$Z` fvR}GݟoRqK ="[.vB$4p?φ`!,l5䐅ZT~\٩B \#f]H 7ZV1n2eI1 큪4ԩR\qO=X9Ѹ+AW3~%3K;& =(wK1o7c SyjzoH*cXePísJPf*XT2 yJ RF[ѣe<2M*;md˺c9>ILα'6c81ߏ \{O1{Fo'*l)B`4LTI{CP$,Ы͘m%?x~C:kj M d'ݍ\$Z^O07Av?:c&h*{ 4sUZ'88:ƥ֏W.oyڶ֘/҅ SWc!HLC2˃2*ے=[%ajBo@gl=lƎJIRFCq Cɷ5MIR&aBbC1KqB XdDruVUk1{_&{sWFU|MBtjX.`tV#š)Қ]Nte÷+.>DsL klZf  k'}\Mn- y9'5.$Uti ͛FѢlkTTڃ`͋0kX+mTcՆ|䣋t=7};dܠUUE]cB beN47*!6?ǹs:{(N=9uM:3?5v.W-OD9U,,Mxp{ !o0Ckw*oD+= Y,PELtElLfVnLTʾ؜8:&b&o#_$*&81;݋YzSGeE(@iޘV#[ƄJ]8=lmzJ=mFq)e81gܖ1c>;]a.P--Jmu4%#]Y`y>u9cݥ{-L|ZH?eymTv .Ơ q:AGF \A/*/jdl-&ٮ6eD|Ÿ:$j. q1,֍ @EG&@VK\Y ɼ6ӕ=q{<ڄiU.~ dF?cue$GˣjK8e.b[7iӕEjH@ye o? ێ*¿4C'sviı~V;V<{}+[K垸wfG\o0$%aV=H"Ju^D|@pSWI</3]NH>~괎7#Aw2%.s˥>xb+S!vr|cGo <5 [3P (q@6 9y045XNyY~xNV\Ѱ5st;bX2N!nuG.yʷ^SKv?іc|$|' \o>vn3Zz|%K6 +,fzkj <m$є`ٱDJCw;aV@9}K)ӒߤW/i2ܐ2)0/_97GN@ e4mhACAuں>p^J\>L8DX/?A<M3^ T>AR=&:9n ?J:5rkG}PCB1-MP(B.MALNec3!͌4 [1anxO MipQ$. h${ީ,S#9|ҥ g|S[ 3ZIVy,~?|gT1}iJ$Ȣ )iU^/eWiSC:.ȅEI+_uWeʗV.QOɍ]yywuDkxT LQj_d.n}3*cR*3S I?ѡu$H,RZ.qX'2ڢ;GKdt1:e٦ ʖ|[.h[ˢ0Ok1p㣭[a.*l u2M0X.Ő,n7{'1<12:\0SAqN[;BJ_+ώS9aDq?Lm=+O$pKY Y/(`KU[y +ts%*N |=z-‘SH!okG@"B=}8FΘ/p=^_&`r =bR'XO.?D 4 C}pnj8ypg-XbJⲫbd?ܕr 69\I6D/)Gaz+Hp&y]*"/0 endstream endobj 1479 0 obj << /Annots 1481 0 R /BleedBox [0 0 612 792] /Contents [1496 0 R 1495 0 R 1482 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8320 1483 0 R >> >> /Type /Page >> endobj 1480 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1481 0 obj [1480 0 R 1485 0 R 1486 0 R 1487 0 R 1488 0 R 1489 0 R 1490 0 R 1491 0 R 1492 0 R 1493 0 R 1494 0 R 1484 0 R] endobj 1482 0 obj << /Length 18 >> stream q /Iabc8320 Do Q endstream endobj 1483 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8335 20690 0 R /Gabc8337 20697 0 R >> /Font << /Fabc8353 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n(" w/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1485 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 620.4 187.5312 631.4] /Subtype /Link /Type /Annot >> endobj 1486 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [104.1732 604.2 200.8412 615.2] /Subtype /Link /Type /Annot >> endobj 1487 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 588 177.6092 599] /Subtype /Link /Type /Annot >> endobj 1488 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20210608081201-08'00') /Rect [104.1732 571.8 190.9192 582.8] /Subtype /Link /Type /Annot >> endobj 1489 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 555.6 164.9372 566.6] /Subtype /Link /Type /Annot >> endobj 1490 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (remove_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 539.4 198.3112 550.4] /Subtype /Link /Type /Annot >> endobj 1491 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 523.1999 154.3497 534.1999] /Subtype /Link /Type /Annot >> endobj 1492 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 506.9999 180.9312 517.9999] /Subtype /Link /Type /Annot >> endobj 1493 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 490.7999 181.5637 501.7999] /Subtype /Link /Type /Annot >> endobj 1494 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 474.5999 165.4707 485.5999] /Subtype /Link /Type /Annot >> endobj 1495 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1496 0 obj << /Filter /FlateDecode /Length 1690 >> stream xڭXKk$7W^`Ym@!''Ɓ|UqzcӣRS$UK~Z*OlÓl<-IKpVj-/PfUW^^owJ?@{I g;K ' :$ ^8*M@ocyb(<˥*2ѣ/XV2Ҋk8mn КMjbɒ7y'}+x<m1򳼿/RyMMpqm~FDqD )(s_A#SԘ^|C)4# Kh~*(A O,N\G<ے293u٨)M=`#uBΧK)~4ij}٧k6@0лB7@S&tMO˶܏6 i,~8anxfSzaɔI8x|ov+Y3f6)2Z{([ +VRNEFT=-vuaBH!]VY%O$%?]:㲩r[]HAUQm; kdK>=~aī:!SN6钇c4Z6|[ iC of3Q/ysFb=ߪ}^ٚV:m PwHi+:zFgĶ: z3VbMRduU=CIW/Uuu4_8sٛRсMs)^ |8TB,ƒ쪘#ׇs6UN9sWͬ !2(=DJ4h~Dn: "/j.k.߬y>(/nPHNw׋y/b!w;5 1AJD-L3_aXH9 zzSBmJVl,VNjv8K7b-~ʿhW i|u `8(@Ƽm2%SDfzM;\/rXbBk|lI9*&# ]=o}WrV;,R4e]F$!cbTd3ֶv2h؊b-aԼWlN- P^e$ȮjIU ekβ++.;JQm,r%T!ڕLh"͵E4U5r.lܦ}PJMDR\z&>Ѝf{2"= Z*3b2}N.-G\1j^Io1Z5StyMej?;T<{Ɓ4mYhUM,1('ĭˁ[kKvar}nW +b kE,OQR}$`#|pfݲR=k8{c")fnE./wtO|A">TXfuDK5 |P.*σREg(2(ݱ;?IUO竎U_H/^JJ59Łց屺b91{B_GݥԾbls |]䯍$@Ki= Ǟ endstream endobj 1497 0 obj << /Annots 1499 0 R /BleedBox [0 0 612 792] /Contents [1506 0 R 1505 0 R 1500 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8505 1501 0 R >> >> /Type /Page >> endobj 1498 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1499 0 obj [1498 0 R 1503 0 R 1504 0 R 1502 0 R] endobj 1500 0 obj << /Length 18 >> stream q /Iabc8505 Do Q endstream endobj 1501 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8512 20690 0 R /Gabc8522 20697 0 R >> /Font << /Fabc8537 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7eOvջCp<)k.@Br!9h7r ģ1H[&!#((`aԜiJSo"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]dߟ}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>?d*u$R&o2VK2 ufg[X݌*~k~C2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$sx2> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1503 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 253.925 124.1605 264.925] /Subtype /Link /Type /Annot >> endobj 1504 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [129.4735 253.925 180.4255 264.925] /Subtype /Link /Type /Annot >> endobj 1505 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1506 0 obj << /Filter /FlateDecode /Length 4248 >> stream xɎ,*k_|{>>]᢭,90R$ER(Q=}$oƯu*ujrv $}SOvjz%X:NJkYK| Gk]֝S,OOO?OOцDzzAo ߒP$"4Ee|k~I?fj&qKJ!ɥ%HW, Z')#|w?( ܁:¸w2}Σ3֘"w¬XP07t\nWZ/QB4 3#J8/"-kcI'fP,d/oCd00a 1Cyɵ`J(ҋ@1H> {'OS^  ʱ̱,6\>2|`>rps_b %48|~.#ND2 X"mLAg u.gv&Zb07310i}J#rĞ"L$]U:2: p d&ҍZ_ 6Ԭ4M% b)&I`;fh`l)lz&f]n>X\tP`TM^d-=Z|N)|| ,g| =qv7E Ar(n7Ɏ!ذ2XCy}<Sa[z>[W̻N^vͣJZU֎nqT݀Ʈ FZMF$j2w>SBĦ3%_W EIUeݟԯ~QEY hͅVzr4g:˵j,&;\:dsFų9hL^pFM沧qn鸢J+"UCL`ɭ-H(9#/.1KmYՐ31<10)1#c: f-*;9uN>ǐӤqyA`.6dU[$0N"bM~ls 78{wp{Uԭhn3}Z_}ms%rn};/ѯMVYVZYz{w Sݐl}ng\}QcX"v|2oOybfDv6̪vaoB{!^ڤ!>,@*k1 X7ke ڋ%LR^[cmFwoX=֑vk_B-r]6XxMZxߊ2!l  5H~iP:}^u#xS8:gE`n R"Nm' @9aP)_,WtZY%V7x 9T0ю+媔{$3j/f2Kx̰XDMKB&w. Rڱ7nK2SeT\]j Ku-%;[Wܕ,N`EygXUFVˠHX~%\\`%S# Tr-͕V*ؓң[BXuPU!uURR)DoԬ?JM*k_Ok^{c٤,XV2^KA%"Q\d^[K;Xf,[ v^A\s1׮kGTژǵՍZY=}b3X-ŗw*K-k]cÙբ|EsņV_Z|iU HjM4 9ِ{Zǂ\Vicj=Wk..ڂ\ۿz~ }}\*6b_ˎ|ATTl*n9k!` ev Kkl %5,ֹE .:9k xkԒ5l\FO*toX"hl'F 4.˖wbm o-~kt6wZb_&ˤыLĜQvILNF?|P31Ur&y?.(rr?\t(K֦[ 4OH(S9seF'VͧۛoLȓCIeZ'Ϗ| %07ПZ{3TWUUjs'c@5GDm}Gɷv 񵺞߫lw %Hy}nQr$dv%EdlCpO|WqN3/f䓎+(U)̖_/rG3iZ Rd2 yRŃ|0aIݝB(O)fMˬX Pq{-`Mntb6G.դZ2{یpF>3 "}0|Iޭ}g*#cUW1{$Xyz3x\g3scb#ݱ3|eW4D NőX"9H;װ!J]xf1`}oO?r~we:\j4]K^y5Ɠ&ߝ;bhߪë@zYC"g_w@7Rc2Awըֵ o2AEc_qiԬWH/)&-\vi=g$ؘ] ūP{4cJ`̣Yk>alvXNs\8 7'J{ -jܳOBKt^B)*s*_K˟EQ.yշ@W!M3t.140%Ƙ ٢a?hc~wZ@ OG Wa@){ʧ)[wϽdGuYXG ΅1LpZ@9}Jb3 \4ʵ #jq8}y["fls&$IYf< |3I< 6=AȌnYV+t&e &1+,[*O! 2ty[#:Z+wdFs G0:{ېU7]Q>VkC*6^a&Z2>L1ke?~EuQdM]Y_a.u*G?:2@.hp# ]-@P > k\ bWjQraMe Dյ2s&#J oK.@c7l 2f*|%N+`d4ėxF& ݹdD O%D.5K{G6VDUX'R+*+ц̯%ZQTd=E2af᲋K[ Ή6TVLF{4l{95Ͷ?Z}_'Jvu9X.m\Vq &=Cޗ7moq+YaJ%G<dEW|@wΏIb >.W0';lh=452]oD}Eu2A ccʼނ)WX׺򚊝CN~,iybR 'q E0Nف${ endstream endobj 1507 0 obj << /Annots [1508 0 R 1511 0 R] /BleedBox [0 0 612 792] /Contents [1513 0 R 1512 0 R 1509 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8693 1510 0 R >> >> /Type /Page >> endobj 1508 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1509 0 obj << /Length 18 >> stream q /Iabc8693 Do Q endstream endobj 1510 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8703 20690 0 R /Gabc8718 20697 0 R >> /Font << /Fabc8720 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x77 fc}Lh < ӄ9pZ [nB mw/g)RIEBsu4_` j*"$TT Mw1)'JIx5s剢xy"ZĀ0r2dv"`IP_g_5kDN 9]%iO#Ƭ.PBl(Y}ɾ_ 5Ժ@AuC5ypf Rkm-־0ZOĴ⩔L$u$Ϙ֧µբ\gy83ۏ}ÐL$3j4>S~3c e/ C`<30́s@`K 7bN51" ^//rvX9 )evP(y P_E ۾{w>- endstream endobj 1511 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=45) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1512 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1513 0 obj << /Filter /FlateDecode /Length 6360 >> stream x<Ɏ,7rw~E(4ed=çɀ~-̬7R*d0{s}ӛ.Z޼ے.Em7i}u(b`UP86|Ҷ׋Qr*sҊ /uuۛL/ۮ;!wfqQSA0 ;GPBU>pS0@w(#oVCf~~>ک֖xt9h4[ع_%rG\ %xDSG\x.6,;l+ OmfQԀ]~I[+Dh_fK; ; iLLDx("ХHi뢰_FUƁݬzי:FpuR/ *P(d@e*e, Sm=kzc^QF`IiSmҺ x&I5C=< ,`ӢWuP.b)>Ngь"uiH1:#c> H4k߫#!փ=<3> .M;Ql\DlVWND)ìg{0@zfC`y:Eo= P l_.=&ͬP= t8P%Na2@JD3 ,sB%w䑲BfO+ p脊`Zq̒ .0Atf7౨ @G8uTm@B6ns΁HA_Hĵ`IeTSGW1Pp Pny?`?{|fv44QC4y0[bOVhRاeԢ!MU?G5bY&nB*+X~ Z|(3N1^kW ߖ~ ߢll`QSwx;ső;S5#Ff3q jz)ZG7Fw' v5nf %in0`MQ`3I֜JJ:\Bڼx"KC9M%MFFnqA7ioڼ60lMJa&0]sJ I]݂8P_L'ɩG=<{b4˚KmfI06Ո^ HN$skmբ63vtQtyv;:[fNSqc>ij%vN#Da$RX-2>~LN㔄Vvm~$S2#LJ!L#a<9p @_œӔ&`t9cr -<'\ž "; :E#uv d3:@6'|(6M M&BK!x]*18 G9]C F37*v Iw$ | <>s vUj EHKXN`mcFx @݋(Omx\z0;E06c P7'Q|r'p+/ FuA.S#Eejp@"EڅSG``y٤6Y30mi'yjԤ22K $-\00X:FF>p(4-DH}$رʼnN !f@%[d߰'{apwt͋D$݁u =(.GG](REs"z[V؀;=;2?o=H7pKųȅνH9ة|oGݣ)g4d0hAQhOa;(;#u,{(.~+俊u%޴E*1:8KF:)Aa Lيf 4 ]**GHFx]`TKS۰_uTwM))5gEZ9XjX,UYb=ZS-puZj13za0ާXSzS}i9J0c<͙[ُYH<TY/K685N?,iS9n@:67VU[ T\pR즜ɦzI# LZʑ0LSJ*)}F A%ab.ؗ(-;;*ҀVҀ5dq=]Ҁl]}nAY \e NKݾe ]ty 3 Z85TTeBG7I$%pKt OCo/wq:mqׄ[ O@hj%<~biF1=)]jL㠘v=g!z_)1_cxsg#9r>a^>)r'l/ؾ !)ue*znYCX?y0^U q^ϗU[i".Oíވ\bMiJ<ڤzC%b_7r6i%?"eGcB"-:K[tvJȷFįtzҮ5QjT"Vg$noD䀸!2^q!;HH;zn_Д*`nY:U [SRX4[lRm`Gvӵ˦#Ϙ>]%0⋳Vi]r z Ľ!<01*v^D%W]K䭊S 'bI@,%<OҭR4+F84_(xX*rD掐AͰw?-qQ4~TMͦ/hֹ>C{GyaBk ׊AM1\]=~(ݹ01T ? ?t j_$E4ہ,ѫfڙ crh$v}43]CD2ulBuK@^koV)} ۿdxkL+eeNp.78MYzIHVOw|3xNr!=[/jJv yYn=&>oq 1vtRҁMt 7FM ^/-IG%}Ц0dkvi>x.X_vWM"!1N M`b\]fiN6sT)InS 2wkO#kr|r>X߾|& sO{i z kǤU9^Z|YM8XCNw6ꛀ,o 骇l=eB{&P&QV^ݱ&YKWvۂVu=nyE-Q'*;$j}\Ɂ)Sau迭gwM&2፣LvbܫȵLe}T{?n2p[ґm,kqUZ_V(vwdp*ybMgMC˩/֧/x{&u:yU}TXP[JV\.hQr7$FY4.TΌOxi3Zť$_p/Q!_k}QAĶ|R]:F#eBOj0_>jƊ6fK4iZ{i#gX>=ƌŨyZKA2u>y}{݊i`jzd C/[-$;&$1ZvLnv=LV gF7%l{tҊn|bP',+%XuXaKUb4G@ϩ!-/; sLf=M*W<Uy1oSxoE580W!gL7#Ьcwt׭1OYiu}{W WkO맩|e06V4#/z4{(p,"!^S/W.]ڬ͙9n+ d+RDuK ^_{"S,+J endstream endobj 1514 0 obj << /Annots [1515 0 R 1518 0 R] /BleedBox [0 0 612 792] /Contents [1520 0 R 1519 0 R 1516 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8890 1517 0 R >> >> /Type /Page >> endobj 1515 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1516 0 obj << /Length 18 >> stream q /Iabc8890 Do Q endstream endobj 1517 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8909 20690 0 R /Gabc8911 20697 0 R >> /Font << /Fabc8930 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶GUwf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ-  endstream endobj 1518 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=46) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1519 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1520 0 obj << /Filter /FlateDecode /Length 4093 >> stream xˊ$yd4tWu/ŧg1\z+^=j s%=%$߿zOqgyTxKo7W5^HiI߾>H壔^'TUgb㣣g4䥒i|V4uAD Qo|>L_f&YWG%Aky$m0[=+ UeaKK @ |7^& t?>3; Jwebyq^򩼌+xVӱR V ^IXR6GC^# }  ,-U#rK :gdL܋?+ q@.+F+\E4MZeU*!!|cb{ZAwFUK@ٔdʥ8 ȨwX- +lr)U ꂣ")D VcJ֢R\U"i`SWD1-[@e^Sx*B0ɧ Vy۞\Ԏ$=&FxE B*"^'V5JCxF؈ɼ +|d;|lT> L^e"vf0.L2;f;۩^#MdU9{JwlueID$`TEOE58  |`e; H7ì,"&??Dbm,MmPl=Aaѥ lOG]*\FYPz#RB?[p(X-BX 2iP~SVr˔f#t5+0hrW85])ê`Iߋ+yߋ˸nY֢w=-+/-&&S7k.h<BvἆL|{-Rqv6|;Jc7p[qt" WBƲKmy %'KXJX$<&6 wb"@dUکA.(O`EE%Cx/fHG?t!9dJkmBk-1%U' Ttǵ"T{\5(/tՍR@d nXhV0qӪNFȹTvJ}e!UK|ŗavQ/dhWO;3$ҷ׫ҵEڋ =.A/l߿MzB22:ItM!k-I 4}lLygϺn~yt`߁:oC~ 4&`T)Ͽß59  ;`ݿ!w4!?|ݐg$7G; Hcr2=:NrTYxÂڎ2<׊r̸#_nqΠE''ŌGvclrM>sْ+ڰ&m,GYx\gpk5r=!bB1^uW7&WKn3rɷmV %qvQBM*.v]V,vSq0tI`:i>8eMBU1*jOHaM1܏;;?wW~cSMaMxN5O k}qtUKXDt^KA(sZXҐCW8Oh +sR~-WʴζSg N Թ1Rl8Jl8 >/[5ŏ;Aqg*V^?X>uF9Z4(gݟu=*G}1C0R+Cd(b|)4EM҇Lq֨3"& D*cyvCXvOV'DۇhˇXvR3e  =J-, 4Lwz%*r9WʇͶzƛjz ă_{zڌ7$'| )֐8,!+29` h4NCq<C bk1&SBtr$246D48+ILf\ip0 }/`v!biMM@`hF@ WAHrsŜߌ|BO gwv[P@*0Z@Mxh o TDlWGg¾*æWbla5w!"vޅi inDJWf{`w9haCeࠔ1|7 HaH oH©zBF8iq`kȚw:#]3IQ+j,9WKrxMS3Cʛc{v0tpey\XH["lXlO0'6KdmlEW4Ad%gG[1α, l]Xh nxE7n'&3`vל5;S!axI_-@nm|ȅ,J~UKAI_1D,QtE;]dY"Z!#k Qx#^ 1c; H œϯGϯX|Ip}O>'.jE,]| WGpzNk#(^G>v;9?a%.BW<WVF+ц%(ۅI) }z~Ezn?iK endstream endobj 1521 0 obj << /Annots 1523 0 R /BleedBox [0 0 612 792] /Contents [1535 0 R 1534 0 R 1524 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9089 1525 0 R >> >> /Type /Page >> endobj 1522 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1523 0 obj [1522 0 R 1527 0 R 1528 0 R 1529 0 R 1530 0 R 1531 0 R 1532 0 R 1533 0 R 1526 0 R] endobj 1524 0 obj << /Length 18 >> stream q /Iabc9089 Do Q endstream endobj 1525 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9091 20690 0 R /Gabc9102 20697 0 R >> /Font << /Fabc9117 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻(0|>So>:H9,*!&o>;` E^2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hGͼOѯꊏI٧j gVwlVbVM^RuTkcb:txzɓ#,J[㫤̵RLsVW㨒5ŐL$E l_ ) 2̼dN) M 193`FcU$!ËEmGyݞB.;;p94B-v^m]  endstream endobj 1526 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=47) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1527 0 obj << /A << /D (unique_698) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20210608081201-08'00') /Rect [104.1732 458.0615 171.7957 469.0615] /Subtype /Link /Type /Annot >> endobj 1528 0 obj << /A << /D (unique_528) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20210608081201-08'00') /Rect [104.1732 441.8615 160.7242 452.8615] /Subtype /Link /Type /Annot >> endobj 1529 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 425.6615 150.0652 436.6615] /Subtype /Link /Type /Annot >> endobj 1530 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20210608081201-08'00') /Rect [104.1732 409.4615 139.2412 420.4615] /Subtype /Link /Type /Annot >> endobj 1531 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (read_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 393.2615 162.9352 404.2615] /Subtype /Link /Type /Annot >> endobj 1532 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (read_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 377.0615 151.0057 388.0615] /Subtype /Link /Type /Annot >> endobj 1533 0 obj << /A << /D (unique_139) /S /GoTo >> /Border [0 0 0] /Contents (read_xdc) /M (D:20210608081201-08'00') /Rect [104.1732 360.8614 147.1062 371.8614] /Subtype /Link /Type /Annot >> endobj 1534 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1535 0 obj << /Filter /FlateDecode /Length 2386 >> stream xڽYIo%Wli m9>`tOUt5#M&VU6/H  RY>#`A|wy,uQi ZóAk돲;a쬡ף4:]З |äxEW^p>JOЄx:ٺM(9t:hPFW*4BSŠL߰ZtaQl mkȨ*Y'G|(5R;W7Ҥ3uRJ;f :7*cr)SA(C%S`AȮgU VkM6~Ex{_Vx']VQb_%?$?Y*cL0hݭV9v*%$}Q.߃6w t3 +k[MZ_#1׹yvq :hX1 Q<@URLVg"|x+Jmp }!~Z1@24! x)WЏbؔR^YAWb#BCV5t., \ FQ?za!o=.!6(oݟ *t|M= axY5lf @8M BO XwY%4{b/-0땷=FV;+p:bSNj";UڢWU23vx0tXO\LՊXL mE06l#W Y!rD48ȌhXj"- ٲ&Y=I?ML l͞XQJ32^ȑK-㸚2kɢ2~& 2~IfogUҜHv}#$eB$3:J),42lU 3mɇwBY[ rAM{HљYd2p4Phs/xq`.TƁ>S"Q4,k)hF=dciIQ(9: 0&T`S!- 3&1Mbk24EK?Ĕj3ca Rr0}߻MXq$$2aJ > cTBop?LSDѩ'v G@k^ ԡ7W_j`3)Hd$ өJfC.@JOCvU}j3ޠbDj4xE2j '>K `x*SIB0<ϐw)&K*AȠi:iAF&n0M5rn3x/|'Zh*o;44}PDk>jKAOxcMG6Q% 1*a(Ķڊd.Ziţ^TvU>?ZܮDk rX(P[Zhל>F@o= ؿ>1Nn{]fe] }Ob 9Bش$We<xg#%k;sϞabԭl-3P8Ґ'Ah^ hj5>SWHUN 755/ `fI @u`&z}-o£:6ʖ KhsaTpԨmcܫ'n-S3-%LGҔ#W_=Z)Ty yfr}4i^֮i/{q#=Du02(؉qRez>-VMۖF1Lp1[Ƙ:heZZJNXQh NW *9' '2}_v oB]cfd^wxW4 N$ <8].n/ ^C $U1|^FX7?ὄc endstream endobj 1536 0 obj << /Annots 1538 0 R /BleedBox [0 0 612 792] /Contents [1544 0 R 1543 0 R 1539 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9272 1540 0 R >> >> /Type /Page >> endobj 1537 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1538 0 obj [1537 0 R 1542 0 R 1541 0 R] endobj 1539 0 obj << /Length 18 >> stream q /Iabc9272 Do Q endstream endobj 1540 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9287 20690 0 R /Gabc9289 20697 0 R >> /Font << /Fabc9304 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶*A3ۏ}bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶_ѼΡDHI]@ U8Ρ\j|=B/}N endstream endobj 1541 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=48) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1542 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 301.525 140.952 312.525] /Subtype /Link /Type /Annot >> endobj 1543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1544 0 obj << /Filter /FlateDecode /Length 4559 >> stream x\I丑W܀d Px̗|+s*Oa3P=-\l ~ FP7̤ߌTuuf ~47u6'ko:$NEkjKwGc[]yR|Z]ilwi۟o'Lv1PI/dxKpD3N_?l/w s3 'r+sqB?sش0(?: aL`mKA WAIJg7if$:q,Ż˾@:Q#n7ѝ2Uh|`[>W& ;Xpl=8(,˻;R -DS{OO]C%1D\^f@vH\^f"6Y%>CGߐ,HPL}3D^^ģXb^DT]1u(  uy,8Ј2OhEBKA3j:Z[\}ŞfHqI%#TJLo[4"/7z&78@QDpzS0Ui^ikX?~}}*Qvu[AZXF6MEի3R[ɛ! [>GYz'kQ#t| Auŭh!`]C~zo|L;%=i9 @|y?Zf/)7-o ;Uvh9fbхuh/;>FӼȣ~z bf]6VA~Vɼ^$71#K kƝo iz/W $Wt2 a k76; Zȓm]pP ;b8^42}1@'UNnhq3yRJB!B?(?`N ]SQ4!8~ű#7/X/ n9dTl(&庮xRZz`AުX9&DAjUY-AaiprKڟ23b!N6Z+-*!q]wzbS>֝FiL=L&zf%>= FS} :]ʊuh%㕄db^ץ\MdmenJ -dnl4]5}8ZOr>+RXݫT}[- ֽ PKA>jGV_ÿl^? z\[Q{$#ҫԧlFdQ4"8\mXO"Bs\|=dkJo9 c+?|tt/HGVVVOX u13ݘb53Ngʭj~YP]&FN ѩp%tPq;9νl37 JGX/Y}owo5d7pEni5̡8R>eٓ.*I>Id1 HL˛~B.y|,=Ƽe̻XTN92\_ >dnSeVb;&-f6dUOcs}c&z%,O4V#Jc]M * ;ƺg &>{psQGmЕi Vlb)A1@N$_T$›40W%hK{]H;%db&gΒ7l 1hZׅ4d#?g;oCs7g.,C] ސK#cjRP߱o q/v}wtu.w3DNs2f-~k-)`3Z̘7'hl l6t/ƫ= E-=`0J1x?H!-6qn-iQ A6eM:,2Z"J_𔈤mx?j]3vߡϭ]U\z`Wu0}hPH@BŸf1JZ9p(e700c@oۡ(!LR{dh Q8@&1ҋ D_kiiJ1lШD0>.äib(( j.uY>/ۚAbGU,$C@|Էq@yjOkSoTS(_[ 9]]PtH5ɚԿ\ I_!Ti,bQ ̷ nY D,K ?e8ǩfyp Ε"՞*b69 4^K?4\Kg*|'D7p) wLM>ZKBx`״4wdPyޱ݋HvF>Ι3vsɘ^riUuۙQh&,ʫ,.hrr*l'HѸAL~mk ) bRe[ܞOu ǃyG).6hL*@Wfnu/$ǯ^O9gVj'7l= ^:#|o?4 NPYv<0`FI;ӽx? 8$o>ӱeq;#%Nnh_55?KHbxJ:E> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9473 1548 0 R >> >> /Type /Page >> endobj 1546 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1547 0 obj << /Length 18 >> stream q /Iabc9473 Do Q endstream endobj 1548 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9475 20690 0 R /Gabc9484 20697 0 R >> /Font << /Fabc9494 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vX"|>So>:H/ Ơ(査ț.~AG-1H[&##((BB1 }'Y~I)ɔf'!& gV#ج$VwRu&[)1:}Iw9F&[:YɭUrZ ip.3jU^_ D>xyۯs"rԠsh*/S9pw !ɜ3FPs^(:c dT.*I"dx)ED]@P&ΠQ8N!h W۾{wS endstream endobj 1549 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=49) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1550 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1551 0 obj << /Filter /FlateDecode /Length 4442 >> stream xڵˎm@\%dtQt5moQS_^l58șȖioRT&5Iw?aϩ\SSTxIҿW3)5}=j,%\n9(oUJ ^Eu/>&9 -a9M=H 0/04{̮]av~\ބ%xivƳtͨ))?(C8 ǰ0UR:=B!z)ݯTЛ>}E$0SJ >AM -=8~!&CR%poOsK'~G\cTdz؞KC\sKLURR$]2AЧ+ >xJ-8k4=yW6}'x |m JJL2P eЍ^{LC6F<}i&Tk11vQX9zAVNؔʛ:6ɀ~:jTS)VPR@g޴"0mMo"K͆6i$pR/TDp`:\ſ;,m s47cz@PTѤ$k5@XEExaʾ'9'y2mibd+ B@U^, T՚bfoU~1HO<,YtȤޫ,-9A7!)6^\gpst~fHdRr$ۙYG+*!n%I4ucDd`xLV`VRW!h`AXbv ~*,KBB0F"\{,DT @r./)B{k,?h&V;kH!Z'.z"LG0%Ӻ 0=DnG*Φy@4RUX=T_7G'g"U6u/( lPvd3,&ǵ'/KUM{dMbV`fR{$9GHOux ;*ExGf6.rhhyjqs>#X>umZqvD|\_Kt pҧ]Gٛ;r}SfE[yJppӓAui Ev2|v؀)36e&lC.p,۔K|;8*CRٗ~v^E]YOز`3!E] 2G7l*"۩X ~+**sQ^lԯM)`J0[/Zcֆh-|sh :s@ɋ,L2)i.YxAfy5[i`U-S)w2EA9XKfHZr^LČ:*sV)z"F*zv~9n"]6LVQ6a97w_$ʆRfƌL={㋟}| K='ISQxع+BD_UdNr'hB9P^oC׺ sdVn[/ k.Ifdz.agDIpwS VBU( z&vm;Z¬(AMj/hU #)*1!ګF˕K(`DB9D"l%n,f{Hʶvxb :Xgt%uB*Ee(R>8˸DX'zo K<4Nߡ3kS{;= _`n`4('ռWίZԬ}x/m7j:n6oote9yif*w< )[s6Ʊ7SvGO.PhYj]5~v: &%U$7䄻z &5T8j)[{[ WFh@ò)?{/mLmWO txb7,։ 6 ݳq+QF>tUfǠSUK6)!As M'KUpؼ~?MM`AtY݀;@%q%J*ʖ t;Dm9 n[ f%!NJNvFNh0¬m\,-J<3k Zh.}*b.֘Oc΁wȋ,p7]fȑCpu(= C xG_А(ױώKSnG&Ɨu@%d$!veAMسf:N0?Tt ;pq4e4Ή'H{\$ࠔ1|E&޲X| vRw". #kƯ4Gbk iRg"}j&c tGijזTl%"E_(.*_&^P$ @WȅY5t5S$ `ޑ7G 2 VL<"MX2 9T%kAIFÕF?(-T0e{WL/T#]}pÖ w[MsOɝZdz0yU?38?݆.X'بaVU:_ B :>2 E}Y Y2 JOoyq8'R6d2WdlW]D_Y7in#&EFc.E97(?p‚5k1,럘XApk!l# &K;tv=>v'04X*’N?@?`ӏ:XQ<r{q}?O," eCzޗsy\3u!C] G:CGU3 .GN3|>UQt=}Ou^%ꅢg>.;o'cEVB ,$MDBxE螭 #MƒG *<&.E,f`A_\xzƾ&D HO B?mW>V endstream endobj 1552 0 obj << /Annots [1553 0 R 1556 0 R] /BleedBox [0 0 612 792] /Contents [1558 0 R 1557 0 R 1554 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9653 1555 0 R >> >> /Type /Page >> endobj 1553 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1554 0 obj << /Length 18 >> stream q /Iabc9653 Do Q endstream endobj 1555 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9660 20690 0 R /Gabc9670 20697 0 R >> /Font << /Fabc9680 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n>)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gy8%xg5ŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwo@ endstream endobj 1556 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=50) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1557 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1558 0 obj << /Filter /FlateDecode /Length 4692 >> stream xڵˎ$stO99mbno%QU3=z{(IE'J..m,/^?_◗ǓA&٥%6O˯t1t_M,p??hm/Zb,  8p" v=!$\)<.R3_jL Y} `E:Wp.Fq)2~>Vdӣ.=sm\<]_Oބ }Q1ѽX26M4QO^_{z b].dj1JߝJ| ]'v0h@O ͫ jϏ)iA#CNH_+‚xm*GEj$ 7*&~ù1̱ \xCP_"9myKk 0HK4]ZxMЫ`wx %-Gef٪<@4j$}YPL?E77J"LbA m)*y۳L,\k";CTmxK5DיC*HIiԫcZӚe5ߣ1HjJAdh^>%v''r̨8Z|R=~y&ɯD*^&\ëkP_ظpD;1W'p0c"1vƶcvd %܄g(ڧ"Dd!%4uͮ 5ׅH8uG^4u$[6TOzx\B5!F$7e4pJ5֒XGM.Ja#0Gd#r8Ir[&f'LLI3U`kwc cpgzlcx 'י ,I^㲔e6*?L4PQՂ]`t/ #Qa+[SGbHB=+#X `e. ~W6ykImQg"G_ e50 Δ\Fh횰Uk[& +sf&:!]),Fe۶ zs)a7J; = Z jC.(hB}Ynݣ@r#MC`VS9^nI&m rm)d١8= fen 6+k[2 %o@@@F~kV7&l~ Y%"H?yP5v<:9,d>r,M).j7:%ɋY-[+e*jШwڣ}|JA{.[cOc-? N8+\{íT9O&{FW0ARaWQl]ee&A.K> 5{w\kdfql0rN GTy"XJVZᴺӫ1 O>V4 <awsrXu^Sɲijx).-zH,uR[ a_|u: ḉi1H_1qM.`0q_ 䀆B.<5!8pф| ! U$<^|+吐;]ܦm;0r ^NL)Sp.u!d8˂i5iF姣p:A4`<ۺ`[`\,:XB#;q(9!_M3ěmMt+Y$h_c9i3/lmԘIހQ&Ș4I+I<5=bҪ_93 ʀ2Z,ii* L(J׈5ګFέ@X TĒ6e=(ljFߪL֖ J冴@k=y¦ є[hHp\v0''-=d1M;jy5{_x9e0zLY]#VאW>p} V0Ҟ#ʜڝ.1% (&4cfcd4vU17؏QLuY;2Mʎ28g@hb5Yk2_xLĭ1^8ƭuSFb_].n`UL'[8Pbp1%f ^3>)o+H\1eU Du2G-6oʁ9~sEPhxeo{!v44H3rs #ͮ({;cZ 6g[yo ҎmFrMߟin216q&I쩦8-Q:Q-525D Z",98YTU$bW5kEZ]f:,#5֡ 6S_YJ`xTףRbV"ٶ֎~N h]䎺⚗)g=^fZҟŶ]y !f+[`[읛UjLxOZَBz{rWZ4ްz ~j囻$ⵎmؔ{˯t1^m,7{nFQۃ\QL2L0M'/`= R4R´"aY+}? rZ?T+@RJ ($@LK1[^-X!.Rq 9o fϞN Tׂuh qw$E W81AgqX>ڑ1FMn}VL]c cO`us]:UX`-߭@/'y Q 2`uz V!чL\<+ kP= nNy|KCB7/4N(e2%b VKEZhGzYam&Q&jr7"|zW^-Mz (Sh-|a鹬m4^zVR٬]vB؞<8&['zq;x֭T7w*9, YoD߀e(3vw9է* }7H][,u̩67x vgQ Ԧ@\+N04L;8:&۠O]2 R𘵀%"X;D?,tk ? ڄ?5:5 8<igWuRh[ܯ@(SG.Y'+$SkQ#LM/T]n/<U4b14*4LWt菀Nxdi?kin G.1yl Kׅ>2ca~z x[&|Pb40þ "ex>ȑKcb:o64At\)^_:xsĸVd2O'lw0ƐY7G(&I;`љ0>G}typjYx';h n9Ԛs%a9ICg<OWCo>]Ӿ?'Mb 3a2?ׯ <`> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9833 1563 0 R >> >> /Type /Page >> endobj 1560 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1561 0 obj [1560 0 R 1565 0 R 1566 0 R 1567 0 R 1564 0 R] endobj 1562 0 obj << /Length 18 >> stream q /Iabc9833 Do Q endstream endobj 1563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9841 20690 0 R /Gabc9860 20697 0 R >> /Font << /Fabc9862 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n0>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N tweBC (cZ@9T/I NЋm߽?H endstream endobj 1564 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=51) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1565 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20210608081201-08'00') /Rect [104.1732 561.2654 165.8337 572.2654] /Subtype /Link /Type /Annot >> endobj 1566 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 545.0653 159.3712 556.0653] /Subtype /Link /Type /Annot >> endobj 1567 0 obj << /A << /D (unique_679) /S /GoTo >> /Border [0 0 0] /Contents (remove_forces) /M (D:20210608081201-08'00') /Rect [104.1732 528.8654 174.6832 539.8654] /Subtype /Link /Type /Annot >> endobj 1568 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1569 0 obj << /Filter /FlateDecode /Length 2061 >> stream xڭXK#7ׯ9@i~Y4EN; 3HJ%dz)"K}UV_鑫SoY* rzQ^Y^>ic0jt4Qq*񊹓 jBq)+X.tiNGEH1ɾ4'>JU88ϟ JN|kiM׳Fھ`,6u@QVsrGy\1lي&̝MǨ]ӘQy1AN!o6c3U[޷G}> IB fiWM8cM6u荛l0l1Bne!^gkK;@:Gk鼻")|t'!@Bc3xˑAZ1'f|UF-=RWBp4_'J'S'}UMN9UOQ7oʩoqꏃ3, 3 C<rOѨIo: kxvhNrHো8=?Ot Yޱ>KA2Wy:I ^e+)0aJBIvI4}vӕluAT]!dl:feɶ&K x1Z#mnJ]] TYCF4Ai W,^n n i(ӵrS_P=R" H X/:Ktޝ9n3%"_#W|~~2O.hvypnfcv{L$ߨutǢek>r:K}[x# #D r˴ℷŮ7۲(fP#EcD0;eٱ󇸡 YUM[ϓpnˈ &~d=fnI̻0q2CQt(P q|ޑowŽ`"Čbq!n۹5u6]v01ٷ٦^qK:Y)Ay\JϻБ >.wxJm8n+z7jȨc@)DT1K|uv5h3}'^Swҁp灟A!2w%q8.g c},Vυf]i}_IĀ0zm#l&=t=OhMx'r{$})0+~;G$'\@SOs4>m? px.l>AsuuGϜ};Pin(t}0^v.vk6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10013 1575 0 R >> >> /Type /Page >> endobj 1571 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=hbm;v=latest;d=pg276-axi-hbm.pdf) >> /Border [0 0 0] /Contents (PG276) /M (D:20210608081201-08'00') /Rect [289.1165 301.125 322.8975 312.125] /Subtype /Link /Type /Annot >> endobj 1572 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1573 0 obj [1571 0 R 1572 0 R 1577 0 R 1576 0 R] endobj 1574 0 obj << /Length 19 >> stream q /Iabc10013 Do Q endstream endobj 1575 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10028 20690 0 R /Gabc10035 20697 0 R >> /Font << /Fabc10045 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nDӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪw`^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w$ endstream endobj 1576 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=52) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1577 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 396.525 137.278 407.525] /Subtype /Link /Type /Annot >> endobj 1578 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1579 0 obj << /Filter /FlateDecode /Length 5363 >> stream xڵ}Qh.oKо5 q ֔h~UvO)0~_>3Mbf'/I!<3:5||zTBcg:p  H{l~W}j-@D ΖN;BOK~/ՙ+ꍡn4SM~\LC n(u3jakrDA l$]-I횑-jBS@HX<.rqڠDBiaf&mS'~D>J3y jWXAjoND# Lct@#Phf? 5l91q8c^Uv8ɤ@ԤNb]K6F=ie?\QٟrD`jCW1ZX0@ (``HhZi->|DCK_?z64esZk0q50|>џ 66w(\OD`,錱u(`kF6jUC+„a grlW֦X_aV?A%R]#;·v:1>8WsfE4ӌ C:&Ɨ+HTU*[, l"udJz[\q8R,שުWͶW rg05AܫL HUUCӡs!9tܛ3;UAi |d#hWV1#̒=y0046X.cY4"haPU ҈ ixv/!`/_2dD2[A11,t!T 4+蔈fyEا=3M  ^I͖ LX7 eYKZrUPڈRX4WʾTKHwrL8yͽNPט}V g Gj{bKS fEa-Q?~/C>6ht"d3:~"?w'gpö/O.DO 7=|io ̝ĉDiHo2rp,gt}>[RX3`87\h+4-3ڳ^L-5PK(^B+LԔ0!༚*3e2Ĭ(Z}ը p^n%]+U :y^UA2nLS_k NNU4TUjbںޱ6a=//0H,QDG铺QI({ԝѝˊeh2{J_wڵsEwbp:t?a#UqL\GܝJ5@ۧqku yCNֽ@IIAjK!|R{nR~RwJMRVD>'841&zLPހF0%w&C5T!A5IcTiP`3 7Jz,+>, jrmW51P74laad`z*CEg#z~ e#6 oduoxp{avŭ҂K)!{Ov{KGEkEs['SlxᦪuIu;ݲ|ܫxZgpºsN|XON!5&ջ! O(O#M?W>9c~?ՇSo|e4Fs;e܃Dj1s;mnuɎ'-W0/9FJӣjX A:v-6x" y.YXo}awb36{2\ 2yx s-9oAZλ۟4T[։igWg/ STB?+ fr.d0zXly_혛N[Ƶp0u*UDObLhVEaLMRz7qgX'gvP"Rzs1̙4RN$ =t37'ÜDsJp}`44-q1FꋾF_5H֔.S!l)`KT9P!Ruauf7:A&mC͜1^6%F_=jw;iYNV s뫞9WV0qd}/<;}IFh<ݛأ%E+|n2|= %%۱[ 9nܠ+-># vb9nQG!9Fdk7Y U>S1岉))oAULݨqɑbbD w=Zڊ-ڪݠMk)8c)kZ0(OlM:rd#p`[Iz=E45:E淗sOs."SC"D[+I|&Ier݀n DPnRW.qFq`L4oyfTMQ#XdN._RCB*,oI*N*0Xc:'mc[a$aC&yyl͌ صdk5=^Ru,v+gVfH)+5y5LkLkY {h4c4xƊѾf7[JF5`,q9h &"X$D^ve̞wmb}5-t[Q?0N>.Ps{I&4LGAܮ3kɕm7Sk5x+1fu PM GS^z-b@ U-9s"H .a :G5*!0!CiB wAf/ hkPuVx MO@YCԄh"& TLC`nۃƄWy %B 8Td=gWK0{3v) U ~kVSS!u19xf {,`ג(U) pVJD [  SMnꨃġl^ [%28"LY5#jԪAyފmp)ll E߀ /\rS@cQzZě/64ehj2uX;&B}4LD_JWf0İx0_}^o*R( 0T ){SRyoSaz+dƚqsIMg+un}[nz ;UƛW*M,pJ\Wk52_ u|i\Iځ\Xk+/."`}uGZ <$Sf2[Nd_p.-Z**a1Tx%J/:. ͧ:χMR%w-[;<mѪZ~m5}ڻQj(uZ ekEͭ׵XrUS&hJ|8qAvd2l7ڛUaHa C#콘<'=tդT\rn :P >]]L?;&:A<8][a˿Q~!7j6Bų]i^~S^ǝUgBm Og~~9ߧzOtOS]unK; U;?YEyÏcSZDxoG%E4<Tŵ9}w#wdWgH0Dӹ b0*WG/ endstream endobj 1580 0 obj << /Annots 1582 0 R /BleedBox [0 0 612 792] /Contents [1597 0 R 1596 0 R 1583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10207 1584 0 R >> >> /Type /Page >> endobj 1581 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1582 0 obj [1581 0 R 1586 0 R 1587 0 R 1588 0 R 1589 0 R 1590 0 R 1591 0 R 1592 0 R 1593 0 R 1594 0 R 1595 0 R 1585 0 R] endobj 1583 0 obj << /Length 19 >> stream q /Iabc10207 Do Q endstream endobj 1584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10209 20690 0 R /Gabc10228 20697 0 R >> /Font << /Fabc10230 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1586 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 221.0538 186.4367 232.0538] /Subtype /Link /Type /Annot >> endobj 1587 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 204.8538 195.1267 215.8538] /Subtype /Link /Type /Annot >> endobj 1588 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 188.6538 192.6352 199.6538] /Subtype /Link /Type /Annot >> endobj 1589 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [104.1732 172.4539 170.4757 183.4539] /Subtype /Link /Type /Annot >> endobj 1590 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 156.2539 210.6422 167.2539] /Subtype /Link /Type /Annot >> endobj 1591 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 140.054 184.2422 151.054] /Subtype /Link /Type /Annot >> endobj 1592 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 123.854 202.8817 134.854] /Subtype /Link /Type /Annot >> endobj 1593 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 107.654 217.6437 118.654] /Subtype /Link /Type /Annot >> endobj 1594 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 91.4541 198.5807 102.4541] /Subtype /Link /Type /Annot >> endobj 1595 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 75.2542 203.3877 86.2542] /Subtype /Link /Type /Annot >> endobj 1596 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1597 0 obj << /Filter /FlateDecode /Length 3145 >> stream xɊ$y e $ UUiaS3T/~Ȭel)/R];moy}N.K?֞p]a;iDV-brr 2`hm}:4^3@?Cr1@'+,JvQޤf5cwxmC5iS!_9qw+w&k1**Z* Hx<<ǰbJLXR88(8:X9^bz ^ȑy_>h跣7U\*vHQs5#;w %i',_ԽPp<ա|!ylwc99WPcjX2>37<7NԱT#>`zg7.,Q_PB.ֵ'A Њ@'vo0&sfg+yypWw6n=@1܇ 3nzpS_pvׇ+`V`@:=ndh9^-M k Ã5q2 zZS,ۥH=Aj.#1v&5=UVHe \XH2PѧFc#eLRں t'r}/jYHiڣLeI"4={֚YSpĞ-a hG=~FrMj@CY!>á9}!VuԴ2" +:K> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10403 1601 0 R >> >> /Type /Page >> endobj 1599 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1600 0 obj << /Length 19 >> stream q /Iabc10403 Do Q endstream endobj 1601 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10405 20690 0 R /Gabc10420 20697 0 R >> /Font << /Fabc10426 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4ɭ~7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUŪHB>W!׋ʎ>E;!%]:p94C|=B/} endstream endobj 1602 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=54) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1603 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1604 0 obj << /Filter /FlateDecode /Length 1046 >> stream xڥVj,7+Rz$0";@!+'72Jj!CtJSOZk 8tU $q~!{s&IǢ7)2kuP e^Z[C̞ʛz&d+c:+`JDP>i "\ö8R+Yq^su KrFTSdjo0%SUaۍ<6zV$8*7CQ-*ܤO,թfթS`ƭ{pTO_kKYsj6Y̶%NU5ڲA |Y_αL5>g,ۧUoi5RvFtjk*b7smo%½]{ -6%Y ^9Sz PR$1SD"964es\ Y7K:m{h3,7Xɻ183K0d/t]6g7#׊_3iM t-k%LamPFx1im݊ԝh퐾l'LK?-e8Q| }}oTXo/ԬDa'E'a1$㛁shІl?oi}$TGՇXW9?1so=cݧ]_^F>/:-2qÖ9qD'<_ƂqxN$&޺~qr>ŝh[ xn|!6/>ڦ[Zわ+b8O֜׽/k;eA,d-7/7Ɨ9?<_7 \?n endstream endobj 1605 0 obj << /Annots 1607 0 R /BleedBox [0 0 612 792] /Contents [1613 0 R 1612 0 R 1608 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10584 1609 0 R >> >> /Type /Page >> endobj 1606 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1607 0 obj [1606 0 R 1611 0 R 1610 0 R] endobj 1608 0 obj << /Length 19 >> stream q /Iabc10584 Do Q endstream endobj 1609 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10599 20690 0 R /Gabc10609 20697 0 R >> /Font << /Fabc10624 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy4ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}  endstream endobj 1610 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=55) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1611 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.425 137.278 383.425] /Subtype /Link /Type /Annot >> endobj 1612 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1613 0 obj << /Filter /FlateDecode /Length 5048 >> stream x}aڹ,i\J,n eN:TjZgwG'.K>p} 7 9N>yC}ӣr>;Rx g0}!it,d9 !l׿/oJj)/  zx2hH, '%Q)/ѧ~Ym4a??~5idi;Sz j @@ ;Z~yDi֡M)1's/4;7T@'FD>?32]9澱.2FXuW`o`L{ߘP[Hqy.zhk=@^F. -~4sًa @"`:npcfаE9!Rm@ʊQT梑հ&zaYqP uꕭ!,$f]wث. ġ5v{) i jh:T~ث4: jE /?7 (h`^G? 3=9 Ѓ^:c\f#Y\:Cz\˜exoQ+,p57DA0ZXrk f;QЬq('Št . =ճ'.5~s'Y>-DaAS_Z:`{"7v?gHT`X ;|la#@NZd93١~z wL{!x&_I-"#`DZ/~ GAUr޹|NNV%aK5!koHZ5C5Q;~v5͆-$܏1X٥}}7aaѡ2Bҝkss=.8NJȷ++Sik/s~O̬#ŞI2Ԅ0Qш[~_i᝛8 (upsB;s;'B^zrn8/Fw%BCW̸f\O1qm7qex|@ TwF{?d`88;JX0lDas肵!]pDZOx`'pP SR’~9`}RfQ#`630$)%Xs}:RX>-~qu4pr5E.*D#%BFrÓnD\6"sϽ(U cɡCͯrP A3G`:A[Zt`_9Ss6,2T$(֮JN&jdV kk`lDr)KYxYUIݬlǨlrK%Q8?LF%9lmxT$Qi{M-͹/Q!hYY*-Gm,Ϯ̍zv!J:D"rcw*Umb&Vei*¤@?{`}꺍׹̼la_B_S?itM!" ը3:^7uaM{XU]aj@(E0umJpmhؔw {v!m-۷[gAkhc ;&by ez+iȮ-rA,T'8L>o}9cg"l`bLKV/)ش?&viwſځ+c nj\qƋ|9Vwe"V1L%:R\iݰc%R,W痳9[ܜ8E.%k0efz-lhf1LaƬ ia0M(~<8lkj5o (s,E7XjB rM*D1: #9n¼~GUΖ`*3vih5lJua)'ϳfv.hԪ@Z0EI*蔆+"0GWXT0vp@8JlAT:H4gO-8{+(sI qҕ K:`c7`hNjut.`B*_- ,Z((_HbY +jYa-3tZvTC0*͂!TĂmCfiUۧ<̰PY2LТhP:* 5 *Pc^֑HB]H3 G/f}NQ1Q-2x z%G:TFtP RXMC$x&5$$?: 2N`E6nt@#(ĝzx]W2/XRBtMȋŠrfQ]jGQ-b n d"^ ˱q 6gZ qGHmY69hL+?Zу^&`tdC`~CۊMC-r5j-~M_Y6}ҞjRƀd^mZY8EOLT2͙=J~Ͻi/N)w~+aH @l֮'qkڂ͕!N6Jp9(ʻMPTn];!fwiv)pjbwǸpyK12Hy" ;ѳmΆ C|p}ەuޘ!RR0HZaz=om@#.hzJ"l$ <,tP_ֻu`ߑk&>բ0zc%ߵ8$6\\m1|@^><*2@;=T a@;_ |Sr+cx >vrUbm fbߢи&C_G4ړPcM3%ۉ p0@ [Р4/R(ܞu3?mpSoUv*WȚ5%7~Qa7>^"blF*M,0|Eo_(.FԔ7Zg'&gbF* *\-h E 9D&yW,'\IġCr 2lxq)cq4ɾ\8ޤs_\rK%,Jʯx}tXz}0Xo/uZ eBytK.j2[ZUDq.5qAvd2l7ڛAQÐ,jG|/2#/>lXGL*W㜊+CQPK|dbL鐵/:Y>q%Wm}au<ܖtcڞvʭę.WxxE_$gI}'|mXV&YDb+  ɰ/n9EyÇS8ܿZSbB;yN]X~R W-IRw{Ƙk@"자 A FE6 endstream endobj 1614 0 obj << /Annots [1615 0 R 1618 0 R] /BleedBox [0 0 612 792] /Contents [1620 0 R 1619 0 R 1616 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10794 1617 0 R >> >> /Type /Page >> endobj 1615 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1616 0 obj << /Length 19 >> stream q /Iabc10794 Do Q endstream endobj 1617 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10805 20690 0 R /Gabc10815 20697 0 R >> /Font << /Fabc10823 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nPHӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3*#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}q endstream endobj 1618 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=56) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1619 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1620 0 obj << /Filter /FlateDecode /Length 5307 >> stream x\Ko$7WyZU-y=,]` /`U%}ՙd`0$E=R/K3KK6T^-co?.Z5忞^w?wz~Y}#-p5phk^~ht_Q+ھg_jqu'@OJpMs+ y˳2/ =.E 1h=|>m:H>/2ϪZ# &@KNEc=jks3fEӣh AfC-¥6vN@3+\ mg$;em~&MX`*RA4 qY)U+{>1~ %؆#4t<7U~wҎ+ŖF:(U5QxDq_:42WjgLCLMR/a/K;Ur`E,4U8DbA/BN:emTkn2fEUM̓jLZu93[Z~iғ @.M;Tgr1ԑJC@V5*ղ_-aXhY%uG Vo(y?R W&Ԑ+ges(Nǒbug1q2ӊ|&j0gj[= kS&X֭sZ\o%Ù#හZfT[ KԯCxFPpT](BAo}=Zy7P*2`ENje`d n6 []w^]Y!`Uv> `݄A߈HL-o0{EFNlKkBu|AʳV*◸b2CTX1|I1Ȁ v8]  vѤFbn!gKK]Z MLmPԓ```V#ךFBC<<=}X2QFq( RhkքY^U e-‹n!jB @9&SbHsǓ!1oӺ`ޗX) %59TETf2:Hc:|f2QߩSpQMkd86:RVΉ9Z/d_f~Yh T)Pa N+m09G5ZÓNu)S .㻱p@NFhfQ[BBG(@5HIT][ P #fG7)"x|CuWq=!SEA/HGF=4sltF]Շ3XZ0' %%{d5#Yɲ͵T0b!Wwڧ ק"aɘ%֢gHL TI* [?r U'"] wk`)ĞM=$pK㪓)+w2Jx^I5ΒHR{0IMW[h2MaŪz4T+A#({!ǿmx /X&TwLQZR<8ĩռ[RxA IS0)@z}V2cI@4Z/A-b^߉"}'Gfz"z=S"3`7k@F 5uJĔӨʞ= _I;LSN]Jw]jT\p1_X6fޖrHloĵWÿY .7S3(@Ƴ.hӥ5SUC q#/竘Q쁊0b.{hyQلG2 $ Ԏb}G$tm.e$Q=VǗ[nr|eA~ 6N~/BS3_y_֨QGQHUo5DTy#v9X!nJi-aE0ף_v6[^9QA!ӔchRu]RpKtIow#YAv)\>rOɾ HSjڐ``.mM~5=ԏ5s!#: 'M8YFݲ][3kW8Gxk'u6J^nD;I&ui9, bwoXzE٪߰yK~JSn+kO#$vW4u/I V1ej[V5/q6wt}hwħ?F$mr[M8Ll}UZ9 .o'E\'eI)}:dK[ohN҅z!'!֣8oȓnw~R+x@nD'woPMhPٽ=nMXA)n?n!91٣8oO4b*wBmó ½jg0%:lTG-㍡2ɮ&>vd0xq>4u*|1$o,82]/Al^r #58{! }HnD(W_q'ϩd>hဏqRvͥ#>ᅽXY'.Y\CM{L>δI235>mh~L+ƂR 9^6=NA 7aCF؜ڛ[AYGVlG(Mf:ַXg [VG-g3q#m,S3X9h.=tsquf5RMqF:p}k qf`ᲉÜXp٭(8D_a&>)lϽ$h#^5Uy>&kBrNhz(GdNF5:@pp7uOb 8qfNzq.SN[l'N[!L#%ú6H&S )2ztD#F U$:ٹh](QVz':ַ h.XgBo ͱԣc,d݉iv⾵qc׮żIL \24S$ŝd(9ƀ#[p+9}F>nֈ]iq ]ĸUKUX4-rߺ7sg;Uؐo&_GlGf-GNG@vlƸ0b#7 @:a|^/Z ;RT{R!pYCޖi^_ {UtՋ rhCגHx_$|g,}\]x$"&4|䍋RO<@u&>]&9q{A26 (Wì-}h~?=Sc||ݻ2lm$y%%:sTyMM'+ʃ !Ac˷\#K @e pGG6Z8p9_hx ~7W](^Tg7X¹"Ԗ0[/&/=ZҩijBh `5;VS|-G?"XځLxt#A^MBeYL#"|y5am9j篯vMݜ "/r1kl:W8DY Ccg6 xmcq_=_kE/PP,Q6 (fn)gv)l.5Dc[ToIh_ 7޴k 43I;GW )XijS [/c 2C®P.f(7ЊAFv+nG71Wט/|A^_JOTؖ܄>@0<{@kš&&h/H.ƭ5#r}vz[)RJaJMa;W|rJvl~\ &oz_]~ ҃ij^nl7UX?ekFtJvte0jԴbtej|5%u4p!UvzkL}-O}{㍤]eif0 D_gRkM몿՗S3ҕ%:-0:5Z: LZy])߸k5zyW ,/zu/u:d-Sk6<54bq4\P'}fBs@.:Q1Ek`\ლJ^CY`BY\riUl|؆&$Kkn29^T+2ƫ0yW00}Džvqێ|se4_ٓ 5Ωz ϼ2Ar{5DSuS,S_uJG8pl= iCI3^$dj?Oq%Fe=`ߝvO@O: 3U˫?r_{~/$/ O)o`<ӖH :xQV$|?fBŦGSJH9w~FV^zL!EՕ5`?B endstream endobj 1621 0 obj << /Annots 1623 0 R /BleedBox [0 0 612 792] /Contents [1636 0 R 1635 0 R 1624 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10989 1625 0 R >> >> /Type /Page >> endobj 1622 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1623 0 obj [1622 0 R 1627 0 R 1628 0 R 1629 0 R 1630 0 R 1631 0 R 1632 0 R 1633 0 R 1634 0 R 1626 0 R] endobj 1624 0 obj << /Length 19 >> stream q /Iabc10989 Do Q endstream endobj 1625 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10991 20690 0 R /Gabc11006 20697 0 R >> /Font << /Fabc11012 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy4oۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 1626 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=57) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1627 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 345.8 195.1267 356.8] /Subtype /Link /Type /Annot >> endobj 1628 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 329.6 174.7052 340.6] /Subtype /Link /Type /Annot >> endobj 1629 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 313.4 180.2547 324.4] /Subtype /Link /Type /Annot >> endobj 1630 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 297.2 159.8332 308.2] /Subtype /Link /Type /Annot >> endobj 1631 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 280.9999 177.2792 291.9999] /Subtype /Link /Type /Annot >> endobj 1632 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 264.7999 163.6832 275.7999] /Subtype /Link /Type /Annot >> endobj 1633 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_probe_enum) /M (D:20210608081201-08'00') /Rect [104.1732 248.5999 225.3932 259.5999] /Subtype /Link /Type /Annot >> endobj 1634 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 232.3999 180.9312 243.3999] /Subtype /Link /Type /Annot >> endobj 1635 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1636 0 obj << /Filter /FlateDecode /Length 2402 >> stream x[IoW<8x6@n!əоO-DɯݓѰ Y"E>~\D/H RY<#`ߗA|vyr :ȇg;pw{ p?'O M+cAhoR%;uS6WmXH{#+DJN;u5Cݜ Õ"+e(0K嚖XT( mRnawoU.3&P9,tn G]UE`WhbC+; U-4_~IUD˯OSEIi ZYU)HX_ˀ2_/c埠lOtD` 3n>[·>5/ 8@90tt }( 3p`܁.|o YʙٲwMoW})Kɽy4;\;Xp T2(`[CU*2PLR ʣ@񪝳j1R@R+g%~كAhȝ^aVٌw%@v$Xc XqNy3g78lr͝E{ߕ<#g_#O*;y;y4.@L-xn.Wd5h6 cjL=sүȾF t?ίh:v=ݲw?SԻYU`|o]3;ŗjDqa 8T28F8)HHUpl`PkԸEZP MY EYJh! yʹdqk! 3  hzǚ\#QW)xKȧTi?4Ou j~cm3QIc1n$TsKˀS%`QO`[5Nw7_zM}׵lD-Kfmj!wB.bu5J=7̷cwfr-vxPhh>hb#r;Aҕչ lkKԑH!)oqlB }@_ mţb=}!>%kQoǮfC=_ j|@|=o>5/۱ͳAVCf3] 03sZesjXx vt5uXGv"{K~;GAGrfwW `5ɅzW/VhBA * CGM7$t[:Mpt']ͯ4.nl|+Ah?[*

SP~LU1~@q*|Uy?3n=8XC e`3c&=}:&GrTQm;iؓCh0;m-pޟpH {T>LZߟ 5= x/;vc[Cw*܏þ׀7Ga{ƎQ[޻4dA7 bglH_"G5j+Wz]!PЋ8 z endstream endobj 1637 0 obj << /Annots 1639 0 R /BleedBox [0 0 612 792] /Contents [1647 0 R 1646 0 R 1640 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11175 1641 0 R >> >> /Type /Page >> endobj 1638 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1639 0 obj [1638 0 R 1643 0 R 1644 0 R 1645 0 R 1642 0 R] endobj 1640 0 obj << /Length 19 >> stream q /Iabc11175 Do Q endstream endobj 1641 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11195 20690 0 R /Gabc11197 20697 0 R >> /Font << /Fabc11216 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1643 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 377.425 124.1605 388.425] /Subtype /Link /Type /Annot >> endobj 1644 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 377.425 162.6715 388.425] /Subtype /Link /Type /Annot >> endobj 1645 0 obj << /A << /D (unique_56_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20210608081201-08'00') /Rect [167.9845 377.425 248.774 388.425] /Subtype /Link /Type /Annot >> endobj 1646 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1647 0 obj << /Filter /FlateDecode /Length 4208 >> stream xn#^_gԾ 6&C 0s-)5% ŮիW+-H9WHz:8DU~.ߞ[?5XNJk.NJ:gEnPֹ:OV{,u4mާ U~[RA=ο.?-Q Ium*[?,I([B/0 _/ƭ ť5HW ÷B:AW>^pbR܆/qΠx݋lRLX6^(i^ⴀ;!G'=!+kNᗷ}}1~QRa-P(r$qtr^m:?z{jCi1 !sREh*مT1r'yR ZrD!bl :2 03|peހn-.V6<&gx֍")9q[hWbSDxR+hY`γ6j0TV J=|V7V.jT\:z\[6bWY;z [H-Nt]~AR"NsJ=D'ѲDb?}$I'A"UO(J*HRoXg,5dAnm;ŴZs9F_TT }#YS$"&tP&ܮ|Ȱ]S:]Mh/|>@vp0N,܎]<"̧@ \56̷«8iܩYRłN`–v0\ tǧyBuPp~X O[ [% o:`:/Va7ϝNL(:[Y+JD XAF1?.x"E}5ѐ_/@tB_`a4' XS67"`NHR[^,+3VbZW_4$IvRC TԎ$;aډXDw. R{D$d?OQRv5/ڋQL-QKv(QVfM)(YJìP;cPY,"fpk/Y3|"&cu+W5eUqJ?]]&^s N[FUUيUb&vmgEo OoIܪID{+u ^zk#.;L" */nU y˅m`MB2A2{I2:|[ shߦA kG-nT6kDF֭-ܧI-.I|b˟AjIϐZ|ٿbT&/I-nwI-vK{0$6%4;bV%:0[r]o"$v7}uӧ]r=…!hT~9Bk1:A0, ܮˈcp*Bq!f@&7D/-A|05a#MX`Oa* t=hYBюii@ؽ[V Ofxsk~0nw K9]&b$LI>'Fp6<߻\L/6ií:260){>LVU"DYIlV*WJHnnXzrO,,銈NoKB}Mwv[i*ԈIG[zqW1,qrEWz]FJ/m(Bѩ6pc΂fR}Tn1nqCwn0$yE 2Ib nmҋ+[fim!ڶ|P`)(Gϵ)^~$4xqސ𶄃Q1 !O/d,S7Dח&@ 6$ii7sLueCSbALʽ-ΛǓ9l>;ico;x*dLNg1!jgO9pq8džm\~)B 6Q 4Hk|g74]|[Br:RП۴ʈa WUv)BZ 您 18&,fǁ"+/Cc]i,^l@wSG-[=l0R\Um%n{bF~Kv7¦*,#6LV{8$Il r-{VWCY_F\47MFr\mԤk֨609_Bf:Iঅ+pAia  0<sZ(e F1),K?6SȈ;l"jD1*WȚ5 cƒZH?`S,BnBEoyGĭזTlb's %UEj5ueCSy$CC+r!cGNG@qj4gAbmxH-\8< Dke, F0Ul_p- PJ/ Tݲ+T3S+QHW&:_dB&w.k=’<d寵xicd8nE^& DWjbJ犜!dB+:YkKM,2ŹRGs-E$M&d^:6]ulD_ė1Le|_G .sx wA:w˝pW< R ػ|k-k].˛l:_l.]PBC6,CHő|N>.w!; Wn:<۟տ uxAQ5r xq&K~n(K1a5 '*Q}?꽐v:vHo ^I 6_Hť`1W^X endstream endobj 1648 0 obj << /Annots 1650 0 R /BleedBox [0 0 612 792] /Contents [1658 0 R 1657 0 R 1651 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11365 1652 0 R >> >> /Type /Page >> endobj 1649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1650 0 obj [1649 0 R 1654 0 R 1655 0 R 1656 0 R 1653 0 R] endobj 1651 0 obj << /Length 19 >> stream q /Iabc11365 Do Q endstream endobj 1652 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11371 20690 0 R /Gabc11386 20697 0 R >> /Font << /Fabc11393 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n ЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_Mߠ TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XLj(//d"ר`O6OaePD%% 2 'LKB.ns#Xr>q|s(dWLj$x2UPc9ΡLH9vhwe™pv҂bwo endstream endobj 1653 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=59) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1654 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 251.35 187.9602 262.35] /Subtype /Link /Type /Annot >> endobj 1655 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 235.15 200.4232 246.15] /Subtype /Link /Type /Annot >> endobj 1656 0 obj << /A << /D (unique_82) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 218.95 182.9387 229.95] /Subtype /Link /Type /Annot >> endobj 1657 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1658 0 obj << /Filter /FlateDecode /Length 3171 >> stream xn_gCiuhx/ԫp͞ bDvU][m/:nsVǠ)C_碭ѯhu]Rԯ_/mt77nU 3Kjq? K 9=pplG !b@, [^pi0sP N]lHsm%'`g. Kxk{x/L8 * <6&;; ѻƎQFjڐN,x(shp^@g [>/8m[Jj$*_B Wb Ø#0Mh/I,KsF#| L C 9bua lֺ)p<煋2@M]vp0T D'3(`F) u0ȦsQZӄ C`9Լx$]`Sm"D"[zNH= 4PN2 |f^lXqd?\I"1N|0Ii,mאL6}ݎ k& D?FZZ?Y(t`x/ÐbHw!>nl=3Q 86R&& TϺGL$F֭^Zfp^3y=S怂t$t꾍}p\k"AꗇffZ?2˦Xtdzdop[bf1"dF.Hs¹aAE|StJdtN8NdbvC1qwZE)1>\Y7GᮙQjjYN;-u dKPjlبvyWJ}a˜*o|; Gk ĉ{ךB=jT.~$\%/&`Ot9?6P|DyJ h KkCu; :ʼnz9dLA=2@-éؾt-T(+)ݦ.Z5"Hv >α%AB 1)i B_ʗWj.%kmƶ7TFtSI,fjR(1tqH< n̑4ӎ$3H%=rO%瘥I\>̖h>R$xzJ]ζr8Iәē5jNDoL[m*v)5 ߄,r>#tkS[ Ywzt$sz/$Wa{/wXb%&v°UޥCwn ;r^ȋH箃?Mqַbj-{։~GWڀ|}d? && Zv8.0l2fŌɠ]]Rr>80sGT%Es*{H~n;K:R[w2{a&a8KR#;l\u Sxn$9J0TD6.%O Ŷ1y"}A4/Ғ HC -KgH\Enale.-'hvpi)|n6 P̞$}U1W;!Zo'!s%ҟ?2n0ؤpPz%zPA|2BK @ﲴ-NuPAHO_fp r~%;%;WdR}{ $*Æ2 ≞X2'ӻTzN@V"vrZ13Ó^Ń<*N( "O &(,x&4,mD۔pI0qJ'KVtJy8 Cpqn 0" z{@,7d4<ac('BG>mwE&da㏅[GoMe@r+Ob n X?^qz wRW+a]VF=nۈ6WQ"_ YzdzkW  uvqCK݌<T|_sFu}! >YGkωt*fҐ3]|_:aO^ 5y>B?i3 ~YN=")e 8~/}^P6}OQvd񙟅.0)[iԠX2^/ڣϝBA ' K!Dž ~44XaEҤ E0r6 endstream endobj 1659 0 obj << /Annots 1661 0 R /BleedBox [0 0 612 792] /Contents [1668 0 R 1667 0 R 1662 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11568 1663 0 R >> >> /Type /Page >> endobj 1660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1661 0 obj [1660 0 R 1665 0 R 1666 0 R 1664 0 R] endobj 1662 0 obj << /Length 19 >> stream q /Iabc11568 Do Q endstream endobj 1663 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11583 20690 0 R /Gabc11590 20697 0 R >> /Font << /Fabc11600 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy8)o#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo endstream endobj 1664 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=60) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1665 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 415.825 112.8525 426.825] /Subtype /Link /Type /Annot >> endobj 1666 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [118.1655 415.825 163.7275 426.825] /Subtype /Link /Type /Annot >> endobj 1667 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1668 0 obj << /Filter /FlateDecode /Length 2630 >> stream xڵnί@wl!@3,7o!Y{hȗ~&# vdY,6Gh MJg]qVǠEI[?Շ9%ytlLwzr[ubSr;~m5J/37Vʝ,ǵuO'B:Vކf^J.3o}e_v_fcLI:9X hK0Ξm*ۤ,q>V^M >ҡUSCmv-P؜!c ƅc-svx c+ I㈘.061NQ  ~[ܴQLP}4 nTEߪU}*'_NUQ^vP-qs KtAe o%EdFfcY.s&#nKIĶIˁv7ҺӼ8RZ=2׽\Sd6$*S%&V\g2ȱ}Mn72{v8iVFv˧j=xpRޘudեLL S][j˗qKjy[ ? ,;9yAr`}8[eK!΅hP.>0Z%pq?_t7DHH(0P(m'{vR Q͍98^v#[`m(oޙgXtVG9mq>'EE>Qݵ|SHENZCx}NWWG/|23yߗ"1Dv.j<0o-^\["J {z=]Q; F ]FQİ cx^&-l#Hi%Bۢ[Ջ)v}KsRG"m^Ie'*ݚ,۪M(⮞S+R6V:cɌl'r[Wz(}O~s˥{$ r雜rQ޾ztGaQ_HVJm% xw۱1`L YLp:HNxMX}r݁^Yb (@;n=}_> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11758 1672 0 R >> >> /Type /Page >> endobj 1670 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1671 0 obj << /Length 19 >> stream q /Iabc11758 Do Q endstream endobj 1672 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11773 20690 0 R /Gabc11775 20697 0 R >> /Font << /Fabc11791 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫@ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%NS~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1674 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1675 0 obj << /Filter /FlateDecode /Length 4075 >> stream xˎί@+|0`z|[{YN%(Q$9nbw~/2ôNNA]?˿9ij.s` _dzjQM~I~߉#d`7镫?tv_OR <%5(moQ˟F;8~fOya* QF~ka(Fh6{NJ1%aIF3|4|J ?Ly_ ߥVzn]~!OøU;y 19#00Wh9 1hMB;lG1G/gvp!_}/ 7p8`-_GlrZa6h8F&(b`4pf ׂ%ּ> !>]g '@&m Bm"d8݃yj3x.OSXM3*qcn_7#&[ Z^$wpWuK:pt ݄zL'7sȆ>$cy};Ũwew" _$lP/pip{FȪ ɂ0`Zh\5,e}dP觔N=1z)i$%b3L6zʄ[L'J'b#$26\b} t̖Te8|+ YE*ttvKء Ky`5?@~ >&vB81E oHwc7U>7b2ifEw}Uǯ|z&Z,EwH{..cÎEv,wر̎Y;رKTzO7y9$XH`&X0rjЇtS#Iu| uԑ.N$ҁ`w@N*zxK|Lmx(ӑ~Gxu 5`! SyĪ9en\#7%)t^3 (%Z~ffc)q:zK:X;4_ 啾E;YFvM975Gxr@\bd77iuz`\3[,s`b5ç4.Rc(|Vi!K3YYX KoZR.CdG#wb#O54ϸ31?-J{m$ݼ}z4¨8:v1wi;Ʒp;frv<l՛)MG?+уjVIDa׸CƣAm!(Ŷݼ~h.P'>#;yE][&w-q\ rB} bnME M kej7y5jFP[g[ާOЪ-]VF/SEVٝX#8%kqV.=oDz/봈-sOtல墽ۜn :Ç8ֻd)':ysWi[4 1E>)S)2Yو+UL)0rh$== Ec}Wc|b^LA䲥D|`myeB39dyRi)EpnqѾ,t79fͶu˗<ŗ&V5ӃIoɂU82>W[Vב'm{d/9Bv~D-Qi%SEK{W,w|~`7 H?Ɖ. "O#U?(zt/ ;=B@#4B&4D<[b8'**46CdJ}';dɃf, iLM!)H[lq gw3 A(`iιR!ˠ_"-@Ar:EG"ҥ@Tnb,0AIF7['2hR(3S ,D5g3ᰉ.%_qP\5+ܴwQxOb8uP@p]O&G4 k+`LY_qd}ovZ U, 5:n4̰RXͬPm-Yokڹc(2kq)c"F3pscy]TCӓ#`lIɥzV #76,P0l}K QNc8dcvm]Q&k2kQ˳fld{@y_ħ.kջE-ZlZ^%jqBԭU='D) I?!$aI[tHQ,.9.fHzq.GOǑkBqdG8~Kn-nŵrK yn^#O.IɓW$;d?yޒ'[7޾.,Prŵ%pm{H7.n)]{ݭ-EwoN,ᾷuyMreQBiSmsYxG撰4o. &pö7[y\f/N !pqo&߱]0t1-̂ԍp`| WC-Vx[CB[Y=31¨[Y`PM0kΕS r,=W`][  0eW29V=c~7 aj<1CROƩq5 Gewifo&48A7bpDGO6&5DRnj„ﻋed)yfAF-Fsk3>b͹9G0/+]z\xxa5iS} ;PMK\psU>_=DnX-n:;tǺ{0;Fq~){^@^l0,ՙG .Dsh~7Ӗ8nfqQ[\]|]HTXnG/[BИSF䞢To[789n>'rأ otE'0隺F?ӝX!!UJ!cxH$0>~ B6+jH)Rdlҥ)#{c9~MiKe ?޷h/]aD >+|(}3|n`"L^V7/p{!'x<Ɔc*Y0RRi|9>uN|G⻿hAĊ/6Ꜯ[ 7-SGv.Cd.=ؙ[ B?$u]{R0:t! endstream endobj 1676 0 obj << /Annots 1678 0 R /BleedBox [0 0 612 792] /Contents [1684 0 R 1683 0 R 1679 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11951 1680 0 R >> >> /Type /Page >> endobj 1677 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1678 0 obj [1677 0 R 1682 0 R 1681 0 R] endobj 1679 0 obj << /Length 19 >> stream q /Iabc11951 Do Q endstream endobj 1680 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11958 20690 0 R /Gabc11968 20697 0 R >> /Font << /Fabc11983 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n ЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nYG#~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?C endstream endobj 1681 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=62) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1682 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 675.15 140.4735 686.15] /Subtype /Link /Type /Annot >> endobj 1683 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1684 0 obj << /Filter /FlateDecode /Length 3735 >> stream xIk,^ρ)׾C0搛ACI!R[WH=1꯾}˯^;%feAQI? sJ]Γ|B*,Poݕ;4Q` m!lFtJ!1K YF&Ga$ 7I TS،Hb-xĶ~S|+kx]Q2DŽl\%=\JK\k.[*Gp\~aebBPt='F$uY|J0Q%+ќ@$* GCb\ذ,'GxBNh|J+s}t(S[AwdD,$FM~q2(А"QCp5u`t~q/@.z.ٚlG1dfi|?;UqaBpZq.|g,iBufczcf8,j6&mcE~-<ҽ-}#cR&sZԴ`X''uի^Xrڷdn0b'Voc 1&+sI]e}̦pιP7fsҵ'#ތ .sk>Wݲ7#TBY c=((*oUI߮]8h;2 s˗B&U+(~d+~MP5Ȏ[R%mՓ17IzK".Md^Il`N # djo]I8THqW$WRmv&epQ.lܘOa;f 0 ڙ23[=6CtX+Uq Κ &; j+=6YO[F(:oA?c A O;fI )S;˪d ҤQuyE89Bޙ[)T!TC:c>3/%g͙Ws4S‡fW]E6rxnz =0-LTZ>8VwܳCSl N^d y/Y?ߓO}P,i$Lg tIW) }q7 ]uwڍY{AxTKA8 C^5oy9YY6Cc7$<jG#q W5|њ>uQ_h)uʬ6%8t|%%g cmJ݂Vk6Nw̔wrwyOw8l*b%ǢI~}dJ'a# 6gffئFԗBqa)Hr+a^ڴQ@RFmME)^$A1"׀j"Bx2 Ud=IJxݵSz$nja-<6a55k*o]Gy'48--g>t*XLTP/r3뽗V1G`9=b!C WC{Rp@:`rub y~PT*ͥT6˘\J`O0-j _'icwS'm2ۉŅX^r=A^wI1% FahZywo&_<<[;=`3DЗz]{HnW/JoHt?A~M+Ց҃GVjV`RF Nm᎗F0S"HT:T NYkA.ɼ7/Q>\l2:6* =O-sct&V0v2Εqsq2^>nh 4666.qO=eܝ:lG qg=ٸshmR&eeE:AxSiVy;&9%3.^7kvVZ ?3yo_p(+ާ}hAX4aIZ6Mmf=zp2Y;Nm0>0j.H=mV)n]GЃMZ/)wG;D@;7[kYJ#v|Oَb,'Q[|xh8Md@-4jJR4~_?W`1Ik\*YUKT]2)OhW};b^F:4c;%Ž,NfHexYpD|kĄu4 {TصuRA-˻13^j]uR@*0qǽҗ5^2y0P%Un zGkiv_bؔ(B젤 Z f*,e7,+7ns@F갺_ 2O2C  zAb0FT(B ܓ7t_L98݄>Hx-o(W:IkE X"r@Ţ -KQ]tyL,g9g| MD*P>k˭ HRw %-ğɍCyXf#Cc oCA#L55^J LW.Looߥ$zx"C<_J6f K@Ei?'KF׽`y1:fن21xΏ-{SBhbzh[3s/Mn8TV\F\{Vu~=DߋƜKDz 1 )~,T~<O\S 5&yKKb'UeB9)*}Dw,­|wh;2gYs yycę OsL9 c N3 'h3\O#bB0D󥽂Ab2_jb ν2ñiWsM`@9E~чulūX*;— _K\HJv*H?dM endstream endobj 1685 0 obj << /Annots 1689 0 R /BleedBox [0 0 612 792] /Contents [1698 0 R 1697 0 R 1690 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12148 1691 0 R >> >> /Type /Page >> endobj 1686 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [375.0265 669.1576 537.5845 680.1576] /Subtype /Link /Type /Annot >> endobj 1687 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 616.7577 396.03 626.7577] /Subtype /Link /Type /Annot >> endobj 1688 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1689 0 obj [1686 0 R 1687 0 R 1688 0 R 1693 0 R 1694 0 R 1695 0 R 1696 0 R 1692 0 R] endobj 1690 0 obj << /Length 19 >> stream q /Iabc12148 Do Q endstream endobj 1691 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12150 20690 0 R /Gabc12166 20697 0 R >> /Font << /Fabc12168 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=hx&$߄|j.P3YuG\YZ)㻶-f_Kimf)1:}Iw9F&Y:)[㫤j)\Όl iVGۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"KvPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1693 0 obj << /A << /D (unique_722) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20210608081201-08'00') /Rect [104.1732 207.05 190.5177 218.05] /Subtype /Link /Type /Annot >> endobj 1694 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20210608081201-08'00') /Rect [104.1732 190.8501 185.4632 201.8501] /Subtype /Link /Type /Annot >> endobj 1695 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20210608081201-08'00') /Rect [104.1732 174.6501 191.0347 185.6501] /Subtype /Link /Type /Annot >> endobj 1696 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20210608081201-08'00') /Rect [104.1732 158.4501 209.9932 169.4501] /Subtype /Link /Type /Annot >> endobj 1697 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1698 0 obj << /Filter /FlateDecode /Length 3811 >> stream xڭɎίѵ/@KjA99cAOſFQg!z8web՗>3*x >oUx5Ψ_ZW2z :ׯGmbj>BWc~>@h2y娵i2-^eM,O 7 Vģ.Exlj/'/if75 qKmm =.pSŮ!mt:kݷ_mXu 7as~2V]#LБd|N҂B8![Àk2AL!(/UBb C|㬥l1ֲj}?AICGy-sɄmwdWqwr; \&L []T_l0ty3yi ~?mEH_@d4 ṃXMj|MvBTC y.Tu\lcf݄Iԝf9jSNi6u FAy>󒁙2# Zs }FV`5o7k#}RO eOr]cюZ4<w'hkME4'a]/w5Z*O,ív]GN}s/n*i' CDj[.8!C)MX?7Ujo=rSש?[בe,%gԣv危.y5Cw`܊9Ynn>! &(ެ!T{d֭%ҺŖ83[gɎ/K_S(CTL5#fbǬvz'A#{t  Frm7uj!˾Pۮ^dHtQ7fsJ@>-tKx;Qmi{5;{JHWyMX|+D儑nӐ8x4 E&b35^'Sڐ!2GL1f9=7shZlXkv__J*.ɮQ>XA<3~*!5Z!N1gLIF'DM!M9qý^{#Z`#fKюढ़нxiw!uxH5z'pa/I_QcVf| S='k&_;ũB<7Lte.$W[‡*f8!Sw-}dV0s?Bzn،.CW|0x>ߔʁA9 gۿկ?aG\Mz>/Xzt_~ݬO ~ULٖV?U|v,bX@Do()RH` 3<RkmC;Ԕ!~yl6>͞8{A%( ȂjGkfXD"TX_j|IʥIT㲁Nׯy ӈ;xΡePS  ڢ娱$^w1 P\ZzP1uHޡS~@yHgwaq`N.| q:,zF٘`i4gnRZ?N{kK%`흌_ (95fņ94Zm>Vc0v?)c3=eM% ߝX2Gm7( n߉QGiq:*PXmGP5{* SǶ#Y 1pƒ_!\xngso+8ᘿd^j2|}6`KětRj3տL5.N P f4*qv+f9y|{Y"TeT?&˱wIFks_WtԺV?O ))(оdƭ)`)ۛR]uIz ȧ%ٱ,e܎[Lv-Ijs3`Nį iySBzJ p$l_B `O4 R8Qa}xSbb|>!lW߁<˻\glZ=@P1܇j"\C0yc#n&Sc_@~7vC Ƭ Ã^0eX ɹeLc,3EA]Wl~]&pwA;'֔eLHm \1IF$gK/Y7Ofe~+(1?tQKJ7yR#G%台&==M]fMU.ni=kQ, ] Ǥ4 3+_UN@7 ,Ȋ-1=2By x*[PDuQ?k,ApLy4ܫ6.M6N0햁xB5,d3(z !bץz}_"˜,k~A'pN?̟p/Fs|tyypw \+UXH9w"?lSɗ E3%raXt $VmG @,`Bof endstream endobj 1699 0 obj << /Annots 1701 0 R /BleedBox [0 0 612 792] /Contents [1707 0 R 1706 0 R 1702 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12330 1703 0 R >> >> /Type /Page >> endobj 1700 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1701 0 obj [1700 0 R 1705 0 R 1704 0 R] endobj 1702 0 obj << /Length 19 >> stream q /Iabc12330 Do Q endstream endobj 1703 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12345 20690 0 R /Gabc12352 20697 0 R >> /Font << /Fabc12362 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n ethǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XLm`^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aZ@90I NЋm߽?8 endstream endobj 1704 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=64) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1705 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 259.725 140.4735 270.725] /Subtype /Link /Type /Annot >> endobj 1706 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1707 0 obj << /Filter /FlateDecode /Length 3864 >> stream xn$ί@U- q \y UrfzH,rW?>b7Y`Zz'F?~o'Z7G?rQNF[Č@'FX)'( 2?h Dx|d(23qہajAm:~Mh]pvSG팣d|lގI6n=c8O^!3b`T c0¶w ͧiؙD-ܱ;*ח@ ~T wc7W]i¢4^wy(qu{CHg]fmVܮNn)4Hwf49i\ޜ YYث+r΃˾W KHToQ DK8 AӅ$?r@}@#}!6¥D=9`9QQLHiWD7v[FYKsRGt@32mJ@63֩}?\V鈍p/foPvPƥrCV@N\8L[T.j a{GX[ӖXȽ,DCf)us:xLQ-\3`m%Fa!6sUZ+f cPFqJO.Mbu&b@r޸ 6pn:gr*?A^8@D5+ ƃ;b1PV.!uڥD22{2C6ɖ[ zўףakk)׎ʵ[۶k5敪>;Klʮg݇ˆfK㱲Eew(=)-:$+e_ڲ;lqIVeKʖ+[\lye>Qa ҡRuGA#b !Yz°/B8_-\98!jLpX?}ƍJ0,=n\@4,|:Vb -| F-fu m+5ns:Q*|#QBn;yÀc&͌0@q7Za^YB?>WOGʔ-ɜНIyش#Ƥ}Tfo CHiV L[V,nMPPDl2K\ʼnBL;V ]Z5˅A+@+GH= JIf661ۨhq:[謆1Ṛ֬h]S2gXر418CBM=&<t奵˘g15I/ MERxC7[L9b| $0Bc{q=i{JWBjp~RYTQ XB[ڧόN[`!B Ƥ>Btšmԡ%L¯bzUohDSyVE]? BS@8vƧ-:/l&.l=5f)ڼ*X|ۆ)sz[9ZU-RIdk;9aKrr ^ܨ5,6"M nGD\1.$j5 n5~z".|Vd9Qmc~tgVZ<4beu3ć V$`Kx> ԂP9]JӮ?͹8\^y|`^w0ϭTǻ8uV'q؝ 8O;w&E.ZrZsďz&qroɜpܦ1,0LvNq*łԑ[ NB 2LfvaxO0(S|7 f%+-fFDIY h,ب]hCޏ%%x?Ku1~b]@O|M5h.#]Xϯ_]t)[ LsO(TpX܁1# wHtHԨZfK-0I.L*zSZbl0sĩk) *:/Zʔ4j] GJP!ɳPb;{%s٘bB.> )-^[ޭ 18/R+)y#]}VXϢ&,wÿƹZ햅#yDem)dWۙ5߮s>.l{rOp}TH_:.gcX*ۥ]`;܇<z15-ӻxYw1Su{gNZ^͡;x—N%ƧC^T~q(G):%}wt@+Xz>%Otn} a>~tJrw> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12526 1712 0 R >> >> /Type /Page >> endobj 1709 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1710 0 obj [1709 0 R 1714 0 R 1715 0 R 1716 0 R 1717 0 R 1713 0 R] endobj 1711 0 obj << /Length 19 >> stream q /Iabc12526 Do Q endstream endobj 1712 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12545 20690 0 R /Gabc12547 20697 0 R >> /Font << /Fabc12566 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [n ӡm~b1wE1 6\H&7\]Nd Z-LB.FPBDqs9NF8'(])ʜf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"^G?+QO T>JщwlVbVaצb/mk: s[({L̛h*$RG"eSRj)\Όl iVE!H5>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^ʯَ>E tw%BJzڡP/T;i z7}$B endstream endobj 1713 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=65) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1714 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20210608081201-08'00') /Rect [104.1732 136.5732 152.3807 147.5732] /Subtype /Link /Type /Annot >> endobj 1715 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20210608081201-08'00') /Rect [104.1732 120.3733 185.4632 131.3733] /Subtype /Link /Type /Annot >> endobj 1716 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20210608081201-08'00') /Rect [104.1732 104.1733 191.0347 115.1733] /Subtype /Link /Type /Annot >> endobj 1717 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20210608081201-08'00') /Rect [104.1732 87.9734 209.9932 98.9734] /Subtype /Link /Type /Annot >> endobj 1718 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1719 0 obj << /Filter /FlateDecode /Length 3516 >> stream xɎ9]>@99ufqd59 jM,2Jÿb<3*x >_ӳ+cYksLΩZ[uG. nJpO7k٥ +/p=`pYxOgpjzLMdҹw^ SU{MS/MpA4:1 GCsr~j bwZ=0iލڰ#[,DŽ„ia=bWF?'\6󒬤ƹTEks"G9/VN#n&6"rx-y\^fA&O%4= ͳF8T);Ar +bsE8 j! Z r&!EpOvLGlo$dȰ3hDpNypbAMBcݬ}MJVxewɛY;E "ʳ=˖tzodɬ%dROޗ(H2A Th&I rlҢGQR/cէim‹3̳? g6Kl&,yN42/&$UN=FmAT}#6ٮ=B/lhĸzC;電7\/ v,+IpcL=,~7W/lϱ2O[BNtZ>_X&x!t 0C$Z:(@$SMnH[yԪ %uw 9h,zˢ͚ \Z!#~Ŭ냣.eb,t,j ͩL e ;h)J~JYsÉ;58,iv6_7i=xܾp>ܩQ6uVZ4c_!Vۖy(EUR2a8*iD2=2|:,RBa-p jД֤ʺ'uD̞zfOev,8̽4ZN \ӛ +{Fkq mݳU<HTpPQ"r;;ΰ,W<uݯwR]"nM̊zJP;YS~88.x7?% ~od-Xr1&ʙД<*אj_xŖ-R_ ~iaw2{0F3xSc$q8Q,igFm>[}a V) v_lҐ]KWZ>ie~D7?Drg2uY._Z@@Sܖ oW|lv1FrNv6uSqu浞$ή]'~zg;T+t5|JAl簄OD-u[YH)j܊ ZXR^PT 0 !2P`AHwL=D*%YUcJMQ {lLcfw0'wv,M`/w\/>|{@Z}ո >=OB\[|0x>o(UlQ3f~OVݎ.t(ʪ`zO (<7}z((XWDGg`A,ER yXۡ4lNl?> LsԏTc꧳Yih<k&2.>WiLI:M7#3KWn 9)GI9}?({w޺VO$?_7i0f\Ԟ4:BX(S%hXeȥahrېHM01jXqT $:z)*[ U4u[(`B.6!$x& wR/ > b 2XQ=Ied)E㿆>*`gL;*Tp D4 9D8K$r Oh?GsϭlymҐZ3dq3ЮUzIB J}z"OWW?•nȋY#d<8sz&V+ށ5{s, ;˟#Z@3!2NYפWR$Ǯ?%|I9\X=@b̷YhOrYc endstream endobj 1720 0 obj << /Annots 1722 0 R /BleedBox [0 0 612 792] /Contents [1728 0 R 1727 0 R 1723 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12724 1724 0 R >> >> /Type /Page >> endobj 1721 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1722 0 obj [1721 0 R 1726 0 R 1725 0 R] endobj 1723 0 obj << /Length 19 >> stream q /Iabc12724 Do Q endstream endobj 1724 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12734 20690 0 R /Gabc12744 20697 0 R >> /Font << /Fabc12759 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3jGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}'X endstream endobj 1725 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=66) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1726 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 292.325 140.4735 303.325] /Subtype /Link /Type /Annot >> endobj 1727 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1728 0 obj << /Filter /FlateDecode /Length 3686 >> stream xn$ί0|?AF1rrZUK~?೧g4*q Ļݬn֛"YmE/XY6lKk_Ϋcr˝˃xmp/##Ý)ACz4sGA1V~AqcUӿN?HbH+KpD#^XYqҬqQiJCSv7O[WwɅa`) 1AHME& XTց%~wgPpQ/ѧqp-6*Il(M]рz2R"iU>AN`3ᤍ 39Z#Hw)?x lD1 `JD3$c)G 􄈟1!̎BbD4  ~J)$OV*=ٷ0CL#y w+9gWdmDw՟M}vP@t5>+nMGI,$:. '( ]<8 &\G}#>5+-F bU٥N1F#;"6߽+[8sdj3D!kKQOp8Iab5E{UDV^aXw Pc ֗Ao,hs]gjEm6 yvi !gL9 ҃^ :\ Ӳ1"S3Hs y׬2++CfR, U h/DR9H)$C5K0R8 c{jE%}3N} g\ nb{o6D tFfup0NԐ҉~$LzhNrq>f_/ Sy^EV5KW>o3{ +& 8YT5 8jm 8btY%mk+C#`ynE6Y7R}i}ƭ%TobGxBF?^>g<ë,䀂 <~8  t&Op"$x[w"pNT4 /"3{.#u!/&9zi7YQNsU+6z™A̯ΟEQK_n _wݖTLۨqٵ_z֋%&m鉅ګʩRU5GL ΋-!cE9[afudB8\z4VF#*-sPEqL.oM y{N^ ]ؠrMAVgg:{a9hK&ހb?0@k0LFHP|[27$Bo q/ȌL܍A`7 \dLa[Lk-0fஷ cm0ouoC`m3;銃|ܺPϫtfF|LoSe ֪pIL^tǕz2(qO&ϸxrp*:KwWr Zu˽m|ZDA5(;{/r`zTؐV4 Fj;mJUJt= F.d/ٓd[YW)zdgž@S;Ȍ1@=*j؛ 5mT&ܝbmYmD,ơhTTN;.L1yi`[e%㟸M3_nPDUj}ű&gCir\Z3rρO~pUC(X,dfrg=U\3R5)6Fs="$S.o 9E+lu =<7Vi%n5;дYq.,*NIq/lg&Ǽ\gny%cDek,'/_S)`34/xsCESr!;^Ԇ//ƂJ~pxXʸsy33ye^y~KOZgnaQ|>N EiwCm%n:rކc{M#NXO0:-f); GfЄn#1# ^`OY, ˖K?>Ta;H!b(2@l CX[bOD$ v67op>:xo pG.'tSdkzo fjO5M(ԩյM!cG._[_$Qz/YOr(إ7$7Qf #Қ23G/( ɶbAys6ObдT)Sij<ѡw("$yhg?pKmT]֮42QmGµh"F>58NV@a׵ri4E'6WQlcFUgi~-n|v‘2ƭow Ő,7>ձ96rŏ[+*٤p6 7n\Դ}p9}ǭBíV\CPA]^.E4 +R| >EgltxܩQnʑKJ̀a3@nKR/в%f,}<=1<*>k^ku"U"? 9o}k(XOkz,?q,լ٨_hXq#þME~ JM[? #~M[Bpx ͬӹ8 ? endstream endobj 1729 0 obj << /Annots 1731 0 R /BleedBox [0 0 612 792] /Contents [1740 0 R 1739 0 R 1732 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12925 1733 0 R >> >> /Type /Page >> endobj 1730 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1731 0 obj [1730 0 R 1735 0 R 1736 0 R 1737 0 R 1738 0 R 1734 0 R] endobj 1732 0 obj << /Length 19 >> stream q /Iabc12925 Do Q endstream endobj 1733 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12927 20690 0 R /Gabc12942 20697 0 R >> /Font << /Fabc12962 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶f5#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%Ta4CNZu^lM endstream endobj 1734 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=67) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1735 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20210608081201-08'00') /Rect [104.1732 164.5809 152.3807 175.5809] /Subtype /Link /Type /Annot >> endobj 1736 0 obj << /A << /D (unique_722) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20210608081201-08'00') /Rect [104.1732 148.3809 190.5177 159.3809] /Subtype /Link /Type /Annot >> endobj 1737 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20210608081201-08'00') /Rect [104.1732 132.181 191.0347 143.181] /Subtype /Link /Type /Annot >> endobj 1738 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20210608081201-08'00') /Rect [104.1732 115.981 209.9932 126.981] /Subtype /Link /Type /Annot >> endobj 1739 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1740 0 obj << /Filter /FlateDecode /Length 3321 >> stream xn#ίyu~K ` 'R*Z-Yx9,=-vzJ--f#~ɤ*~E^_Nj-_6,JYՒBV~R8K3Np)w W+?_V `$C??)`VNJz*2p;M[T9\ d߰T$PiD^,KԶBbW{i4,Їp <_ȝ{Tm]\~&Gt^u q  YpY@ 9Sxϴ)]TVC@2D(y܏6N"!,A9!`QGip=Mg3%XcXv6%([|*!l D>22b!A96kQ ,hym"!t Q.J*` fאb"!n,؎}Zhޞ&}hkR^N&DcRf0RsT*J?aSy1 \~۵Ϟt[.m1kou/tfIt1*V9 5𧻓$wAȚe(v @5BWT]L%-]!hS3mss| LmV (8 'M)_Ł7Ԛ<{'ZH~7c !+av0E-̋pa8fT 8b@W =,9~Pݐj;;kÆ_!l`&4Vnշ BǮ.s.ϩ:˜*kb[pϱ[ᗔF\MiX3&&^Rs,0 A&RHjdҢfHS,dsCwU(3w  ?5DpkfNwERk㮤$>[;=Ί(ubK(bк^ʈ;#nkZۡHJTbnWӑKOqvւ$?gv7ψ3PWevw'm$Oz<'SH7. 280+ y!7x 7'h*TBSQ~  4{Q%-&i*lRLbAF`۬#姲zxtiEB^ҍ}Mνt3Syn{efQjNݯc0HLESm3dWmnzmKG` .(WqfHF8FL{~0`h -[ |6NbtV,:Zm l]ӘrM(Q~xWm)ܚo߭cNkN9/zbvTζl:S=a$gM-ϾFцM{f?~&8{NSotm{՚oʈtGx]mzV#?1~HF+XT4%J7-w&EfQPI_nV۹n"ȭ0^y}..m2&=X~zKlԲJ7JK3ddGhƃO⦔E|nb.-{~X=fst>ݙiJYiL3kwfTyԿ;>|^ְX ^4z2H| q؎0֝2Z(ID]bޤ2k v.Z[2AZ|<6yK,opXG,ZabBҁ7R( _4 !&/ېA ۵P"f0 (v]#:sy7# yF^~yMN= nt~1fZmn;zq78v4E8,piŷڈ>d`̪` k &r,MKyUmR$&&p4L.weB5 \rX\XdTf@K._xAYnp_\ߛO:ޯF[lMCУ%ɖYSgh5uM[ glI],%qQ2+gV&VU:exA@,l1D'XFbx}@hW0FBĽjD;F+jJ@Km{4zn:*sw.SQ rĖ! =N7z LOl87cfX6*Y]?o}>Duq1FQ /2 qd44uȈh&V=$|8 ޚܘ"6z*h &76Sy>]BHL2/=@nT_@cIF.+qɯK*3@Ĩ"Vѥ2X5SY9X[aLm| c=6bB}*˕?9XV\Gَcue?W7ƥ)^gbY3RB1 Pu8z)'W?nun$?y<؃s=vU+P*MI.ܛ%Gfؑ@\WɵVPz3yyoY~xFtֿsa(/ E9\X> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13125 1745 0 R >> >> /Type /Page >> endobj 1742 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1743 0 obj [1742 0 R 1747 0 R 1746 0 R] endobj 1744 0 obj << /Length 19 >> stream q /Iabc13125 Do Q endstream endobj 1745 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13135 20690 0 R /Gabc13145 20697 0 R >> /Font << /Fabc13160 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=@dQHLn2Wp:3ϳ-nYM~7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1747 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 324.925 140.4735 335.925] /Subtype /Link /Type /Annot >> endobj 1748 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1749 0 obj << /Filter /FlateDecode /Length 3728 >> stream x[Io%W/@g!I3µZclXja|[ 1iuznI8Y~cWdt!OJ9w·yӦQ> tn=4~UnW0ߗ/?/_7NdWtT~X ?/Jjitz'aƯg.zqYF+X (ž2Pq 3cݍ-eKZm1cؠTI!0Y`,wlJ5E˿ 2;\´ MXc ԌRlF~tI@}?/JOu#gCu]`]Y, ilqh>WVXMx20c眏c!mAF< h$;DJ %<9I 'k _ԁW< Ké0TݢCKOE=zVrO_>ծщl 4e> m u[2Zm)۸k¤rv2eτ]&[lラ$*79ZMN2Ul a^%P*[`PܫAFp8914Ymy?U2 8QpQp,/{Fb#HKtTW8Y w y@3@wK6K>os۵wfd|+e ;DDHK<" -/~@a,U9j.}ukݷ Oxw(E5 yoK~i;:||@xS+:$ #FHoi6|}K,4qPV'Vu&ڐe7*E&au8 aۯTSf!;cRHgj W'ܐ?l._ HNY΍JMsnmᶴR%Aֱӻ5S(յ s~ &T lZm(3z62 (Y <7 W634mD|dX;Yς^ v` 1-XR%P Fv PRטI]כh VnI28ݡGEW4Fwi1lGh?Q]|,PCIՉ^UƋ2=ߟʙVk![aNQMtjդiK47հkAEc\!49y1ߎNZ#15b,x^׌B\Dw9op_ku9c1)%s d&ϪsvV$""KEwU2j{OevS3nJ){WMF]1,ZT@-+dž|BVp5Ub02#JNcth#+G٠:B.[NixJ O:Haoiq s+c$j5ƍ˰Sh+ %Mp>Yްh1/#B0QRV 1<a+:ء K;JZi/]p0ZN\GxϹr|$>1//o`[w,m*-Lk>MXٌ%Cfq|s ?%Un ##--BmJ6EoFtCs00me8.4ȭ&@3,v^HYxL,zl1\H+j 1#c^=ys\{jCޭGs^OVآG2*GT]IԔ/ZKO<܎8ScJZBǢ¯)iZ*ʻ b}QHܥxH.ʧ2 *Ek\ qٿ\輖OTP1 TF#!տ(ߺ dp??Jw)3R<[MmQ}һx*Cs֊m(S*E*ǒ(`{le)##΅Mner$8/TYe;Arbr]ZV9jc~^}'7 C_P p5N1<!x6g+ѽ-8 > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13317 1754 0 R >> >> /Type /Page >> endobj 1751 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1752 0 obj [1751 0 R 1756 0 R 1757 0 R 1758 0 R 1759 0 R 1755 0 R] endobj 1753 0 obj << /Length 19 >> stream q /Iabc13317 Do Q endstream endobj 1754 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13337 20690 0 R /Gabc13339 20697 0 R >> /Font << /Fabc13354 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZ„H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"^G+QO TϬ>JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy4Q5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 1755 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=69) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1756 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20210608081201-08'00') /Rect [104.1732 373.7423 152.3807 384.7423] /Subtype /Link /Type /Annot >> endobj 1757 0 obj << /A << /D (unique_722) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20210608081201-08'00') /Rect [104.1732 357.5423 190.5177 368.5423] /Subtype /Link /Type /Annot >> endobj 1758 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20210608081201-08'00') /Rect [104.1732 341.3423 185.4632 352.3423] /Subtype /Link /Type /Annot >> endobj 1759 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20210608081201-08'00') /Rect [104.1732 325.1423 209.9932 336.1423] /Subtype /Link /Type /Annot >> endobj 1760 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1761 0 obj << /Filter /FlateDecode /Length 2683 >> stream xZIo#W< $[rIOCƥJ 0hKdoFYN[wGi^)pҿSgkoskڠ5&hѶdm>ÛO9M:eZo]|ħ,4S|ԸJ }/vw\l,VOXLnăs@+!NWb8“ ִ0۲giA(<YXXIAVAmd$ɒ0*Ubo!amaגBQ[+h&$ H.d ]i;Ɠ4L{ }g7eI:\x%ƻК:n-PX{]uvHV\ 6FX;bmF*`cv08> P7N}G#pQC;v{#@\A#Vt Fg{R ѯyglrNCT od O"B. m;JZ({>NiSOSbݡNRV>ڢH?]_!=->Z{yO]yCɴZ Zv'O+UuVjMi( )dbxZۇa\o2] 9:gpRz^Cs!g" HvoFiZ5 P@g`]Y44r …W*"5;S\TчCr^>>y`' <[P%8;)9uʖgXuf[JeOH`'+x ( rnM)m=Jq|K1#F7#=f@ >qP#w>*I沆Rijj mÒ~qb)7Ȅ!7T&G{{E*^R隔&+Bn/a2P4d8~s/s)p~g\}t"{xz12T/nQ^sL:%MϘcG'/3IAƐ_tկW\وq\r|>^3[%=c~ kPOcJVGt>J#¹] ץ6C]`G>ibu{jC;i.ERlPx+N֗zc$*cboի7Pk+#[ ykD'݆xm6pm:ӡa u5PVW1k7mX`wram>dXϱs;JHSєnF _q+ԃ5|z#DxlG߷}AJj̤饹|g^&2i]j4rfv0JM}q*ho/|A<0=oA Vt]<>A|q&K"-C@k v8:3ęӆ(O "W1r}whߔV B6kjvPQ*d~N.?;O@_B|dzgȿ'ܿT>JW {aQ.z$ĀL *Ud Ňuȿ ŕ\'L)-J6!6@tH#Sv DS :xv2L_{L "1.˶TT,.#>jz8 `/)ZƂ"87nVD:mu@Msn9?J|ü3?< M3ʹWi=tӌxwx}hx upba Lq6Ԥ:$ j68%reo03m'^_Vd]w)PnԆ\Fa>CM,|lǨ r *| وVQ)sj7BEŪpXNx̔#$*wE.O0Hz)m43HRՊԽl=38\qꖸq#O}r2M0 }!Uu@420+%W`IPgؿ*#w(W &Cg݉3G:J a^dtXh-4JѠnp}L4*T[9Ol&~BgLc}t;ҦWV+Uq%fўg<+^7xӟ)"$6,USe/'xC$p/mV(/7?q|l]9|n:8 FR }ֱ+ŮЏ!n~ PoqaF?e~ endstream endobj 1762 0 obj << /Annots 1764 0 R /BleedBox [0 0 612 792] /Contents [1770 0 R 1769 0 R 1765 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13503 1766 0 R >> >> /Type /Page >> endobj 1763 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1764 0 obj [1763 0 R 1768 0 R 1767 0 R] endobj 1765 0 obj << /Length 19 >> stream q /Iabc13503 Do Q endstream endobj 1766 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13513 20690 0 R /Gabc13527 20697 0 R >> /Font << /Fabc13529 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7(gthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 1767 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=70) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1768 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 202.925 140.4735 213.925] /Subtype /Link /Type /Annot >> endobj 1769 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1770 0 obj << /Filter /FlateDecode /Length 4264 >> stream x\I$Ẇg6CUjd+ jf!1`ڼl wU|I 0x[:oRGWr-S,A5>S%CA+?|GqNڧ}=6y=^}ġpWl̒l A|„ ۉh3/Fk1\ Rnع] h G͇Yvpzf+byC@@VPt 'u^a+3Œ rJ 7NcE+%wU4ş:-i;5cxLr_1}T*/TXo?<[RԒ{Afurϭ3O KuLCexJ&P֦137#<󃔎%]'ϩ֡TS} W;qn㛡!6GN7! y_׫I:*:;U9uKU6mwogyklHn| mp2x|T(C Coz^1fG:$K?P_ iFoyNw;[Vl}w˗]mðnu ] n1nng.C:)p]x^zݾ&UMNUyOxB?O?Gaв9ó쬿21CD+'CX1#~^D6_){W֕~P/FOia{*ZG7ڹk#yUH2VnJKNu#Q\*637S,޹6q=_D3`}-o?A7p?qTZlC' T)ɪҭL\Cdm jDn@f!l 5~.e@qjOPr-p72@mV F5-olq1\v2[`5OWSb{*S3[ DV`_[d[\[ lq>u^G r]7< Ad-|Ä'bmXŐC!CUbMN1d*h +;`k`{~o [ 1sb8">})~`8?}|5GMqm6.ܗ0Ι/-s A<7y~  Hi[4qRȢ1h$bݣ4ĵ@ _* M=l@/LVՈcd )v\|7啵 8H[Gt.*V0lMeQWS|ga_6:j&\vO }7ħHk[磤[EL;]op%OG^,"@o:@ǝ.\q uP5D+X:kYU DՖ4ӠYhM |iPT0 Qي&ˣX%W8P^J9Wf89C;j!Ө%I{?A}!k: vTR`p=,@~6isV@08U Lύ[a LΟzB:+#F{׸ĄW*t:]Q1 bUg.@\s~lpEƃ_΃Zԡ=tZx2ArYP%L53 XlpW_bUER9YXp(fdf`taw `? ,Sjb!GܢqվVf*VĎA:>U%^5yžփUпڬ8`i :tT([(Փz63-*;LQbwt5axk6[ =6Q X65ZM Utm:ik9 F`lF( TYn\1bFD =bt,[MU ݖ+ʟzҭsA߳=ȑ!DZj߼ҬuXt#~Wi7JM$0t rw$D+<%X 7h :*&SҸ[Q;+L bpi\Ab ~ybXI "@KL(ls.97s>ks)5..BsQCp&ZO+]CV*d-\Ʋo'M={`D:7޵K2L 7yWDn%ڡJwf°v"0O68ef15?}kES5A?*rĠ1#c=E1s[!vb<ȩKØatuvB< O}'Mg'> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13699 1774 0 R >> >> /Type /Page >> endobj 1772 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1773 0 obj << /Length 19 >> stream q /Iabc13699 Do Q endstream endobj 1774 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13709 20690 0 R /Gabc13724 20697 0 R >> /Font << /Fabc13726 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 1775 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=71) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1777 0 obj << /Filter /FlateDecode /Length 3771 >> stream xˎί@3|c䴎?U|zgv mULLzR?q6oS'捻~Y_I R);i%SNߦ2N)& p'V+ 0JxO^J잦#V9*DǼ2Ja4?c +)o``A di7P I|#iI$SLR(ҳLiW&PEE8y~&DjEuYHvQo#yRj?Ϣ JxY#u*FyX/zp,XnXf܋jbM"AIgqn2DlH x-z$KpT\B)`Q+pϸ8/P+ZJ/A!m X4TZ|1 uUYAƠFjzc  -x0S@#0.C;(FCxAH, cd^5}@(tUT"~ab:pu,YB¾dd.ݵʈ@_ek$ QVb{^if))Ľ }1S1yb-H$.[ ,F^UMnRyA# vvV H>4CXC96Oߐ[Jѧ# p3/æI^Kq TA)Fa6 se2[:ΤXjepp~q1j>RK\&)yfS%]nzS{1j#Y$1-5'q63u&$$bhEafQAV *-|KViXc~6=:ܺg7"^vƞ3!gP<";ݧ*N1?ԪIgVK OG~}wm}U5E8o⺲;'W9(?K;S\ *(A03/+=#v#]2,&,6LؘWE-  Rp`\џK-4X'v/vZ#Ҝ~c4 [;cvO}-L,gN@qq+W]9^/=EjGCAH~K 㔗ޥfv,k?&SKϻZ؋p3h>.=f9J7$"b bѭ}"7o\Rr mbK4O7%L[5R\#e ?S*'jvs@Sv:Y.+QuvZ$t+ZpK]mu%I&i%ѭҭVzfcN X|ڵʚ]qc% Ks]W3v✊VZ !Tk@s;yВE|V0,󆌘?y=ꜹ/=6,(wWع4V#=YL66e7#cg]yϲO(tb \,qCqs?\>Dw(rHGVl--;5KER-U񹼚c^i힙s5ڤLn ڤn[t 𷒾P)H;3?9/_:բ<[46*k=s(3J1Z-wL(APliJ}[9=}*[y'ٴikj UY-Z(.\bebVv1\[#`<8oYSwd!ܖ; b}l,khwcM3-=,s 0FM=YMdoJhzr-^6{R%[ @^z'b.k+RP7_&$5`]Okt|ԉ Lh"\^}NRŮH:Bme7kx' -%ۭAZ_)E 'gwKmdm\C$v0 IfBqN{h;aZthC0%%YF58vNpń>R= sb]"K6݋Z}03n6Q !s/aHG*=M&ib;z˦Ú:WL¸8Y]A.(o ; Լ7~+m쇗@nD/@}^`П_/ן'& A?~GL՗f~B0O(oǶ+|͑<_8 3ȺHo |u`WYgZC_`_K#`3{Švpd=X` hHLIO>とsb^A3L 9&N6ố@ Ai0MQj64}ن ܆8Q`>p!g5ݘȋ,.nrw (<` yiL4? Ya<ކ" mV:~!ܶ>GP6<"9V1(\7̤{d6Qaoӿj^ep!j.:(͘ r0ER `)~73ay? 7,2"ZnO+1v ԕ+ c#Eh?Quл}T=+GT|M%"TL:}Eu|9:O%CIDP`X*~ 1O8EҵT9"K6m|hIV""%,!1_dt\4z*0ud_+̩vGx iwғyᑍnh!LOzxkϨ0?݆,/8/QìR/dF|? E]gRLA,+O*7&. ΋F*l]F;\{"Ow+6r/4>*M3U S-P*W*KSʉrraJ3#IȎPnې 9W@p lׁyq<}<鹼s/œi0╁fd F r@Ɯ3t~?`_~3G_D^L=_<^x1[%30(@F?צ* U8=W^Ce}ss5~; ,10߬L.<-40JR,'3F%) > endstream endobj 1778 0 obj << /Annots 1780 0 R /BleedBox [0 0 612 792] /Contents [1789 0 R 1788 0 R 1781 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13890 1782 0 R >> >> /Type /Page >> endobj 1779 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1780 0 obj [1779 0 R 1784 0 R 1785 0 R 1786 0 R 1787 0 R 1783 0 R] endobj 1781 0 obj << /Length 19 >> stream q /Iabc13890 Do Q endstream endobj 1782 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13892 20690 0 R /Gabc13902 20697 0 R >> /Font << /Fabc13917 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6o$H ~+__Xo`@wЂeA}W"8hރ׮wχv͇׋Sp<)\T{cȅdRQGWr $&*er1""7,1g$a$s¯";E̕'R(fZĀ0dD/P|}{Y#7 91J,:6(~S׭x飈V}~U(߄|j.P3Yuج wRuf[11:<=Ѭɻ#,JɭURj.\fy4׆'p#?$_m!EڟAS#%pw !g%g`NHS0ErQ*E拲`|ݞB;;p%4BՎ,6A} endstream endobj 1783 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=72) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1784 0 obj << /A << /D (unique_722) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 190.5177 686.7] /Subtype /Link /Type /Annot >> endobj 1785 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 185.4632 670.5] /Subtype /Link /Type /Annot >> endobj 1786 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 191.0347 654.3] /Subtype /Link /Type /Annot >> endobj 1787 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 152.3807 638.1] /Subtype /Link /Type /Annot >> endobj 1788 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1789 0 obj << /Filter /FlateDecode /Length 1229 >> stream xڭWKk$7W9J3@!'o!?T%u$k֦G髇U JC (P߾ ~~ >YDmI{8ܰC{D Dt_ B1 StAf(out 1:1RqQ8` h+ 9w8>l⒥,q/!+?25"V!*}1C2Nr>R/8Qdt/p2#NEsoJF!h)G<'ۼ9HEf l b`hcr(b.unF,,Va-IKsTȦl: [{/ב٣5qܘVh#&.[)27f>țK : '_lbq 1CD38WܘRg>bYE/9r8gQޠi&' myz[H~=ßfoQDj7 plvplȚ)f]vۘ\e/jPb$3УufoĚ5STyWqn+xWl͎/kQjv%BT4̚kj ?"S͜/k3E%NNR5}jZ@jBN\ؾ%W_:VE7pU=?Y؜2ݷHB6˷`qy|.!IՎT9>6KszE}7!vY~}̽U|^Z_ޤ39@t`B-ޡ?BȆ푟{KV׶G~bw~9kJ$i{c> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14065 1794 0 R >> >> /Type /Page >> endobj 1791 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1792 0 obj [1791 0 R 1796 0 R 1797 0 R 1795 0 R] endobj 1793 0 obj << /Length 19 >> stream q /Iabc14065 Do Q endstream endobj 1794 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14080 20690 0 R /Gabc14088 20697 0 R >> /Font << /Fabc14098 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7Ӭ'GFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}1= endstream endobj 1795 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=73) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1796 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 400.925 111.681 411.925] /Subtype /Link /Type /Annot >> endobj 1797 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 400.925 139.8465 411.925] /Subtype /Link /Type /Annot >> endobj 1798 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1799 0 obj << /Filter /FlateDecode /Length 3550 >> stream xڵn$ί賁f&h4;|OA {^|u WX/Vb?+O/KK KXeq%,.sH/__ qY׳u.=٩_[ׇ u-X>|T_KDou%? ?Pbt 4mn.?}_YaȫW6l7MK7j?=.r0&A9ޖ."uƎ }T G!}Nm)8QQ 1<`3#P܇H,#78)L32ާG hBk mZsJl L037j[t((`sʟ ?\R1|v:Eւ:!V5 <.goEv{l)34`ނBӲWI35 1"; cL^e؀9]|N@Ilm<e#1Hx'"lC G"TD_d)rb OB$g4RGǹbEoT+[G0fv_U)&]31) ŕ~Rޠ4j5N@6.@L$u6GXjɐ'+Ee7"ĒЬx1+fV9x6\A+lq@JV = [HvO>1'(>gRf$CŤ} m?1_;,`ya;Ij/Uܾo{ښ+{/iiCi#J c8 e/"Fޙq`l0:u^r,47MؗJtV~+P~e5@}b# :K@׍OB;d`n":M$Oxei69@9bx0&`_X4h*^@rG$l6{ J84SIz(DS@A+@NH:CU0.3XDw X;Lいicg&T'{6^ >=2HJjY YklVr]MaF~wq9{(d&O9Al= Hn8Hldp;vaos,t`]7ixJgM,74y:cnDFˆ^]h杓]v%4e}pcdg0h .iLjubd(P ^Z@=w\5YRf}J7ڱ_ۄ!|͗;A%nC)jdÕͶ; M[Eơ{Khh[cƜd=c\"-%p~!EZNߧsw h :)fLGP}|Vb%b]PEU_sޢ+k*Aϵ \3ڥT DAYIS=>1O,{ɨ"D5:fئrR!F%{Qz,U4ApMG/E$?eEcC[:.|G>яd:LwqMu7qɛ߆G~$'o^o,51=\(O{¦'kZWp$.12+tR õr:. /YƒY&xC:\0b1: yNϟ53<7O6${mh*@ ޺o9 [5Z~Ɂ7-FkH#kõh$' endstream endobj 1800 0 obj << /Annots 1802 0 R /BleedBox [0 0 612 792] /Contents [1812 0 R 1811 0 R 1803 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14266 1804 0 R >> >> /Type /Page >> endobj 1801 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1802 0 obj [1801 0 R 1806 0 R 1807 0 R 1808 0 R 1809 0 R 1810 0 R 1805 0 R] endobj 1803 0 obj << /Length 19 >> stream q /Iabc14266 Do Q endstream endobj 1804 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14268 20690 0 R /Gabc14282 20697 0 R >> /Font << /Fabc14289 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ" endstream endobj 1805 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=74) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1806 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 522.0076 164.1782 533.0076] /Subtype /Link /Type /Annot >> endobj 1807 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 505.8076 217.8802 516.8076] /Subtype /Link /Type /Annot >> endobj 1808 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 489.6076 126.7947 500.6076] /Subtype /Link /Type /Annot >> endobj 1809 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 473.4076 154.2562 484.4076] /Subtype /Link /Type /Annot >> endobj 1810 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 457.2076 208.5577 468.2076] /Subtype /Link /Type /Annot >> endobj 1811 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1812 0 obj << /Filter /FlateDecode /Length 1884 >> stream xڵXn,5+F h$U.&H7~SUv{2$@wqrWmDMN?>gu X2ڟ/Rfcf.){OwƸbLZNWsYDNWk6s RB^_>5._o'E\k4A29mƳ[ :=e3d$Xj su5Pdr!'ՅӚ젉62YZg ]C9S<LyUrRrfGZxedWkuU,:!%#D=J/T lYq{ x%c4<镐YBLm& 8uDⵌM451D8JV.۔4j)^g&Rz1 ˉ4SXYa(hE qne}7YuYטq[ޙqG#Jf0n`85G r 8КbtŅ,~kCvMaa[ԋ9ciuRBf&7JOБ >Wx}D D:Kt_uq }mP3{ۜ|gq 6 3ϸmPUVƷ4>(vC曚̡ȇvsk1UY16[R.Vڔו4vtݵ+:vwXM(Cwop0 5Ċvxrk]Sn 4~!9Ow48\m \n~y|?4oXXQ}y7cm,ݜBAbճc)p_Ue`Oq endstream endobj 1813 0 obj << /Annots 1815 0 R /BleedBox [0 0 612 792] /Contents [1821 0 R 1820 0 R 1816 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14452 1817 0 R >> >> /Type /Page >> endobj 1814 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1815 0 obj [1814 0 R 1819 0 R 1818 0 R] endobj 1816 0 obj << /Length 19 >> stream q /Iabc14452 Do Q endstream endobj 1817 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14454 20690 0 R /Gabc14463 20697 0 R >> /Font << /Fabc14473 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU EoĢ@oEM2R"^G+QO TϬ>JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy4EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ endstream endobj 1818 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=75) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1819 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 400.925 112.8525 411.925] /Subtype /Link /Type /Annot >> endobj 1820 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1821 0 obj << /Filter /FlateDecode /Length 3734 >> stream xڵn#7ί9:| F $iba/0szjl&r.]dIVwG.Nzѵjп/G%hgǿW}XrH/Ƅpgۣu/Y=قVt?맏3a~ф3YRYa,[lIVrM;;q)%cYu<=<#9Lϐ1 9t9*< AxP@@!$!xy ?GE$t><`3#Ph P 8k\QUqzgn{} uǢ=⿡y_4 uU]55n! |Yl@O*#6{8 ЃCVZ@QrYDٟX/}4-O$%Q_Y4]u.5Yd}1],:/lVgl#=VqKZs%|,92<6@9~lP"ii v9wQQq Y#9hnϕx/7<;|I+ ᷬE '1|/0+4p c.)_`YZbծ-V"`N^l KmRb9>S 8#`QCuk4SKf(DS@zpJ9! w=KpQӜ.$?5xrmi j?"e$NQŲQMi=/#Ϲ-eJ+ &Sj\Y,%f%=rBymW ьT{t7~tK@\2.nݘ^uAB*71Swe_acvۿőWFS$}썒߸\V,CgwėYYשm.W&VDٴ$w< M}o8 [ˀ:[޹7boJLJXJBO)q6j`41E69;|Q̉[fb{ǣ}@Id`Ted S@r]67z  ;_.G3@1[bQr ۢHqxG%!w,fɺn |2ELRyX') *B Ȋ('#꡿dn$;`@ I]W0^!GaNJNܯd"\5 ƛrdaOamڿn_ˤسMn"^hƮ v/%*ow;D` {=SqwۅJ5-q5dCK W\cֹDa0l;Ls"" &㛕{h#s}"t[#݅HGHg7`rۆkq#Lb K1c^jPԤ{U$LXr(L';}'vkld+ȇ> :h-HwvgnȊF>!)iΘwX3:I(g)Bfo I~%ϜgW2YRIWn,z5W7,2:Hq])^ MQiJ+(ki>I]u 7o2+k6O2&-R-T!{>!]\OҔoځX!tMCdDo˲ܔu9vDT={Aڴ<+xA>k\[i+BY̊3`DMv⒩x)Ib Bm(\sAbWtn:Cu#d \IW" Ot̰k6p !%_Ic1wc>W[W ϳ̀z-W7a;=T a87庤AT ծaX(sӓ(vZ2ޝXa)Lm'M,!dO % AMw:ͻLg/Hhf#\l P2cYp)\7X-ϐ8߲ : ͆G 5 N] <5{:1-~I$wQ+O!|׷Tme2]YTĆwn5Me/gMtZa\y\(ȅۅ;+2D44xIndhe"52A#L- Wֳx+dej|"J+`! P]CWVCZ> BESgTd-x,TS /2q'SH!J7wBC'!|Gc'Bt,O'ZR qYQ>'!ܥW VDuJ [B&?/hUW;9$|3 o/w $R@Kk!.2Qeu endstream endobj 1822 0 obj << /Annots 1824 0 R /BleedBox [0 0 612 792] /Contents [1838 0 R 1837 0 R 1825 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14632 1826 0 R >> >> /Type /Page >> endobj 1823 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1824 0 obj [1823 0 R 1828 0 R 1829 0 R 1830 0 R 1831 0 R 1832 0 R 1833 0 R 1834 0 R 1835 0 R 1836 0 R 1827 0 R] endobj 1825 0 obj << /Length 19 >> stream q /Iabc14632 Do Q endstream endobj 1826 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14647 20690 0 R /Gabc14649 20697 0 R >> /Font << /Fabc14664 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3jRo#x#?$_,o)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1828 0 obj << /A << /D (unique_737) /S /GoTo >> /Border [0 0 0] /Contents (all_dsps) /M (D:20210608081201-08'00') /Rect [104.1732 478.4346 141.7327 489.4346] /Subtype /Link /Type /Annot >> endobj 1829 0 obj << /A << /D (unique_741) /S /GoTo >> /Border [0 0 0] /Contents (all_hsios) /M (D:20210608081201-08'00') /Rect [104.1732 462.2346 144.4222 473.2346] /Subtype /Link /Type /Annot >> endobj 1830 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20210608081201-08'00') /Rect [104.1732 446.0346 161.0487 457.0346] /Subtype /Link /Type /Annot >> endobj 1831 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 429.8346 184.2532 440.8346] /Subtype /Link /Type /Annot >> endobj 1832 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 413.6346 126.7947 424.6346] /Subtype /Link /Type /Annot >> endobj 1833 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 397.4345 145.4837 408.4345] /Subtype /Link /Type /Annot >> endobj 1834 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 381.2345 164.9372 392.2345] /Subtype /Link /Type /Annot >> endobj 1835 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 365.0345 180.9312 376.0345] /Subtype /Link /Type /Annot >> endobj 1836 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 348.8345 172.9177 359.8345] /Subtype /Link /Type /Annot >> endobj 1837 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1838 0 obj << /Filter /FlateDecode /Length 2142 >> stream xڭYK$ Wl*z4Ǵ6h Iց`⿟UR̎EM^$E~Ck OoY^,u:^.cV_>xmMo;cb 1ò9Ccg`).UnS8/ 1G:f-nG9<񌚙B%H!Nh?D@U,,` H9rnLTrf׮v0%kޓ.U!x͓5PWe̹%{ߤky{l sJ9+1ݛ_2Ì;whg7䰏]ozc^ƌ7DQk=BǤU2@Lz6Ҫ T١E(:^; Qe)l'?w\[x֦1BP(4Q"!iufn sqJٚ+h7f0Yݐ Ͷ6MgSn+"Cʈ% LiWҊI9AvkM"dbʛגK>ן2]Wi\ޤ? $|L3ٹh;pxocx*gh8鵍\ sT|mGv76άa QGa:˰ϋv|MWmw {#M W!h3695|7.q^٨rZ TsEm3&?5Ou١gѯ{YvەxdwS#ƻ֣o-w]@1qĨ'ml n f #eBl^qKHM:/=@>Hlf`Y\"G@ ՗\|<.G1˥c29ik׬LyQQȵ^:Y.5A2u]t۾Ș:'x߲yMj}I#GGUW`[{]u }s< 6 G<}dT/EOƞs_]kPkx<7'Qة:V 4Tڜǽ/=BA.\U7,/C3Ȫ@HJktu endstream endobj 1839 0 obj << /Annots 1841 0 R /BleedBox [0 0 612 792] /Contents [1847 0 R 1846 0 R 1842 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14833 1843 0 R >> >> /Type /Page >> endobj 1840 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1841 0 obj [1840 0 R 1844 0 R 1845 0 R] endobj 1842 0 obj << /Length 19 >> stream q /Iabc14833 Do Q endstream endobj 1843 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14835 20690 0 R /Gabc14850 20697 0 R >> /Font << /Fabc14876 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy49ykEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ+= endstream endobj 1844 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 400.925 112.8525 411.925] /Subtype /Link /Type /Annot >> endobj 1845 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=77) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1846 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1847 0 obj << /Filter /FlateDecode /Length 3751 >> stream xڵˎίـ) f{ ֱ`&%zV?fxHbNv2o[^m*}vaZ,vOu<&gS}9oӯ 0ٺڗb*}'mY}%MS4=Xw.hpwvMv{ GޡC1~]lb٦y9L x39D1 9w|P$d|N0|Oy0i3fA8"t:L~y g̫Ny>aVĥapI!Z۟@mo+HEkd DWX貸c~9xc;(@:ku-Z398,:\.Lv/>XC`CxqE<$!GGaGŋP.PwYwErzHD$ K ?V,"XnQ1A 3H~t;>F:̗{m {UW]soR^k5NǐH hI}f3͸b r"2Ьx1+ɒZx[< We@Ձc5-zq" :r.nIuWD+=FZ#"k lE@$s*bW!bXN:::RԾ_f#i%#pKZs!|w(%%F(/$=pg:G9vPa1D"dG砹ja4{u`YyH §nB &`JS bx#Nq>P3|Y&R2[9@9bxWX_so, RJJoHEYm!N3dB4T"S IGhfEs+]|D-H~ʣeRR{_Fc,e:dVxJfiu 4"EйXfKJS(i%^n[ҕրcq]~e4&*8m;]R*Vz(Eo d/jG"K_OK^qIaӸXnP/}tS\./}a=O5p*WPA7P#Ug<2.ꖂ]uOYag08dis9(ޅhKqFKѝ3^rUnq :JrDa`l/ @=CuQ?Cc%YL541ˆ YƾxRzlOe86U];6 ;F5 "Vg:졅ʵ}[ "nt2]dV,Ü!IL5\B.;(تaBM}Tj[-rzy  S}nznd*c7}N3գX"il}sv[6q?ȇ&w"̒Y )Qx;؛(ktj¾SuLlQO4n,5 Q2Cj(XPC8Uk EBYhؑpv"}o3#nNׇ m$1o`^lcd<v]\vO `Q3* l8vx\b1o̒DWWr܁m e96\ԡd9n"˜y# I}KlI8XdfxXiѴH? l ZXn,'g6UOot1+05b+D.k_* b~>.fνt<S|)Nf$c5r`pk;AiX.J]s=P)F5 ~5$ʵt%GfR%4p!ѡjzq wᙜӿx#U3!p+pAiʌYzYZA<i~ kx[ux endstream endobj 1848 0 obj << /Annots 1850 0 R /BleedBox [0 0 612 792] /Contents [1864 0 R 1863 0 R 1860 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc20393 1861 0 R >> >> /Type /Page >> endobj 1849 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1850 0 obj [1849 0 R 1851 0 R 1852 0 R 1853 0 R 1854 0 R 1855 0 R 1856 0 R 1857 0 R 1858 0 R 1859 0 R 1862 0 R] endobj 1851 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (all_cpus) /M (D:20210608081201-08'00') /Rect [104.1732 503.0307 142.1947 514.0308] /Subtype /Link /Type /Annot >> endobj 1852 0 obj << /A << /D (unique_741) /S /GoTo >> /Border [0 0 0] /Contents (all_hsios) /M (D:20210608081201-08'00') /Rect [104.1732 486.8307 144.4222 497.8307] /Subtype /Link /Type /Annot >> endobj 1853 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20210608081201-08'00') /Rect [104.1732 470.6307 161.0487 481.6307] /Subtype /Link /Type /Annot >> endobj 1854 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 454.4307 184.2532 465.4307] /Subtype /Link /Type /Annot >> endobj 1855 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 438.2307 126.7947 449.2307] /Subtype /Link /Type /Annot >> endobj 1856 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 422.0307 145.4837 433.0307] /Subtype /Link /Type /Annot >> endobj 1857 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 405.8307 164.9372 416.8307] /Subtype /Link /Type /Annot >> endobj 1858 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 389.6306 180.9312 400.6306] /Subtype /Link /Type /Annot >> endobj 1859 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 373.4306 172.9177 384.4306] /Subtype /Link /Type /Annot >> endobj 1860 0 obj << /Length 19 >> stream q /Iabc20393 Do Q endstream endobj 1861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc20395 20690 0 R /Gabc20397 20697 0 R >> /Font << /Fabc20399 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4t//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo3# endstream endobj 1862 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=78) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1863 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1864 0 obj << /Filter /FlateDecode /Length 2164 >> stream xڭYIo#W<@1`Iu` `NNz@оXd%O;(Wq{|Rv_y{)YRX2_?u]| $xпT&5B~OQ&]t8̏?[]zK=<'~υ1gi۾,[j\m Ba).eS,U'] ,LJ{rO'j~0vJ8vP}hJn X+h*`) sz@ȩ0H N^@SGþ yoj((aG6P Į0h_1@ ^3aAeQ$A 6:ђ@Af|`cTVbb4csDCl[=,gxu&8j{tQAZ <  &-Hecwb $!``ݝ@=RL:=yR7Fw!/F]4swϗ ;C 2qT {5=ZM Cvy*^iNAX7igGO꛶c17gBn>irYKE`2+SXHմ8UCfyӘ`xa) r8$տ@o5g[M ;CAӂCԧ ]o!aN9)ZG4?.0efn%4`30⣏`yT%&J 1UeAf| ;}i?3w(L{2~Wkb?wy:4a2k&~Ke~1>, ?L⥼8O|*E(>~xNS > *Uj!sOCb`"$!Q\ Efp% 29exόZD' *v`e%}z $=зs'6f$QJIfqQFPQ5!{dfuXm>c3@Xy u3WN(XܤFS8k01k"@ۮѾ瀆6` o~O 'knK3qzVz .H !zSta:wǮ$8 @0_H@Ғ4kӘ-RI GPy#THj;R.L-b:rU|e[*'hZQMQW(b|U\x2YAӭN&&:޺Oca]{ws>0`gىw֙f]Z vm1qj&]^W^|8v, 鵝!qC/qpHs_h mYK.j1eZLL ׫J,)~`jC.0?Bmү0Rw0 Z'Q7 #hbMSþE{&fmfBU!GJ-IUn2\`,Ғ-Ns jT@g3nY vIW I72 ^c}W__HU. 75,  fI 'Js7B{ z4x7^8NZG-3G:J aZf-ZDK35^HSz+x5<6Ө*B4X3-9?_=:رM$7 ne^Ls+M~0h&Mƹq]&ֵCvVӄLqƶH(VRIXFLLv/#A(lfT9CV%/ \i@z--4E)rU;Sk4ܙ| wPLtRS3>pVN<Ora|{O  d={])4 {` ʃwǡB}{y"45xGx*/$ioh 8A88&@q}pom$ ;cq5~Sśp6 cukG KqaIp%{OSI endstream endobj 1865 0 obj << /Annots 1867 0 R /BleedBox [0 0 612 792] /Contents [1873 0 R 1871 0 R 1869 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc20431 1870 0 R >> >> /Type /Page >> endobj 1866 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1867 0 obj [1866 0 R 1868 0 R 1872 0 R] endobj 1868 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 273.125 112.8525 284.125] /Subtype /Link /Type /Annot >> endobj 1869 0 obj << /Length 19 >> stream q /Iabc20431 Do Q endstream endobj 1870 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc20433 20690 0 R /Gabc20435 20697 0 R >> /Font << /Fabc20437 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 1871 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1872 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=79) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1873 0 obj << /Filter /FlateDecode /Length 3855 >> stream xɮ79@U/wrsb IpVKw[ ݮJ")H&TgGF~yNKdXgIƮхhgJ9 'y;k򃘌^}=uO7 *rENj9'5+m9hJs>'VO2Ӟg"l*b:Ǹ|gMP khj锫,T;yaki[ש {MuP^L )}6\49~afAͶJ73,E= VG[_%D- a]tf#x:=#t&`iBi(x ȷDdb_U }f2*QoT BO:Z%MpjQ*-Y@NqKh<fblǎXq-Rժ_3wk:#&JeRkjX^<^-1pK $^Wv9PYK\7xĢ2ZFM rprK؟efL0lhiBU20'UĖ]Zwx.Ŧ8};U PU8t@^&zf!-:=?RQjݨoԽQz-wHT`Zx)2H*.*man훉22eEM&jpka] |t> :u{ݩ}k+Vwbj6V=V`[X->ߒCVC֬nuVUV K0̝X-V~jqY-_jq:W;GbsyG rw>T{k]v׮-^6۶yggdoX0.OZ+ܨQÐxϽ0FQf܋J3QJpٯLh0 [ש-˫CHӇP@kEcA ߖ-(5ZA^[d!jjv:uXt@LƖ$[SvG}=;1?~0J~*/^b\M{3bqt ;eDAXJOsƼ;r^X C@x%IpHxZv5Fr&fBVFK7t4s#% bk1a`Yz?7|XC'$WrU+>YnG( T)e;0¤YR@WB4C-JE qǟNwRU&&}2E+i+R<+Dž3]8娎IAޔJF[,qT Ӓ۪3Ax5fr>DܡsV'[z+2?@jRjӼt>h$M+t&HX3w]WσG ݃YLV˪kXg "@t~)Ĕɛd[2eXp#/Mq,kwayk6ņ6;1zwT_DcV&Z,LM!Y(IӪ*ܫX,c lBͫ>'1O>`7(_| zקy*sa<9Spb<gcWIg_G//.I;ǘIjQ=r!N \J'nq)xѶ!KgZސ }k>pi̙Ys"B"%Fd%9lN*hA蘉au:Ί}Ŗryx&^xnM3`^z^@T)܇ 5#PNUtcʈ#~Q%Unepq`RgT'ɽM)6|͡9L/Da(,'Lj \, PdeAdfY $&eo&1+lEѐe( 3Ks9΅Mnep$8/PYe;@2br]\ ~ _;{>'isWT1 \S}y*$Q+M@Dи0iWo$ zh6]eGljV3m i6טgWȅK!2;W /aRBy]3z~O /',yR6/~ ?K`MF7 1>hp]޶MS?y9 'V5 =Mw)MJEiQ JRdD/}$S endstream endobj 1874 0 obj << /Annots [1875 0 R 1879 0 R] /BleedBox [0 0 612 792] /Contents [1880 0 R 1876 0 R 1877 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24016 1878 0 R >> >> /Type /Page >> endobj 1875 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1876 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1877 0 obj << /Length 19 >> stream q /Iabc24016 Do Q endstream endobj 1878 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24017 20690 0 R /Gabc24018 20697 0 R >> /Font << /Fabc24019 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ B endstream endobj 1879 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=80) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1880 0 obj << /Filter /FlateDecode /Length 3715 >> stream x[I$篈ұ/Ptuu |i4dLˠmRKY*3#_xV(4;W*V}Y{F[}i:?zez⬵SF9&G: ?  ''_BhZ;gbRo8j-~yL T/Lx| SʹqN pUdQۓQF9GpI&蓲^0@wQui#Li/'I>1X3@~G\B|{ ֏st݄Wy.61_ϝt+GNΦ%?)<'"vґxx-#N:>JzŻ1ah;5np7bufٔ2s725.A`g^b?Ml3_BݹCwSZ0' Zi __ X `^2q!B.oRy\gZ5@BCͮRu2ړqƟٸI'D0-s"va+%+l(yΧnYJ(,”y`QHؓ|e,,^6 |-`Hk>Bd@d,/h+!Ufy|\{ 35R~,UOͅ4FcK#@ DæCAUf6P PM(z60"{9'yjdWZ7nF"a[oZ@G2oޕWQpm.ˈqHm_ (KCJhj#!Gr9A~7Uxm-4J~46WLKx[ki8ma`2nխ;`h1FSua2UTI:[vSh`BݚPÆ]|&?(:~|$(^x[ض .,Wz 4n^["ca_nUSfVxy{.Hg T5KHJ>#G[;_)hޒv5PI2{)qU4#97&tA9}ul;#ұX zUUCwV\&u8 hnNLkN0ӫZ #1͔j薷_|-}a`̰{/fOS<diI HTZb΄1=1%VzŸ2 -D[FqT[tMjI2swuLI&ZV}q^U&،d f+Bw}zQR~BTiU$;ҕ 6Q+%(Kܝ|2c!ly~"ՃP5l/qw:]\{*Th7}owo;] \@2򼝸pl>f ffãbmǴJx6 ]߮^l9k>F[^8.p^,ţw oJo{RyMgw{ u7)a2f?XwM`^BYkoNAh yV2R3)0FG5Ӷ-a븛^۠%K-ݰsrTJXwPw-YUN7<&웶 &]R~7CZl0j=ﴓ~t/'ii!6{ wNyQN\gk00{y~ ~Eן*4[[S'=4S1 2c,@'wk wLkB PѽK툒]i+TϾ9Ht4BԀ=ܜ/KHqHstF~zYɈ Yt$n.f\EBڛ oV㣮zn>2K=ٞ(diL6[؃*禟ԡt l+b%Z19i$q$\+3BwP}C.(]ۘDQGīQ֩{V}Lԣ D> |yӪPMw>^ݳ+||+aCBz b;"Xϳ7mM.f#ʶeƾLq2iT9fԄԄ>@WCgC֍7|alRP/%'0X7T Ҩ@!fTPȟٱbjc;cd b ݜ.NՀV~.:+_wC¾ڻg2$5!4;H34DQvN Cr>m9Ws>_0?W`Gԙ2=Ti0[;7%gt(8;oґ)8'<l}m iS@A~n2l6±8տx!3&r+tbҝ99'6񉉃S,HD:C~iQpPxsj[X?`4ƧQKopDW-p*! ۤkZ?7pJHJW BGG{LK73Uv=ܞ@3+킧䋃<ʬRĹA`SkAKFwNteP$3TC{P{K\q˵KZ'2''% s.TVnar_Nm\b+Y/<,xozőԗ& dnPdDC óE|vrqя9љ"6a] TF㜊+P `3{IǾ)_־o|R>R ҙ2؝1ح{6?}G8WEJ΀^kkGT"\D+f쓆^_B̟_ 3|||[YE]| 'zqkl'vY|ST=R*UguNϝϗjkx6e!& LqrٕIPy@`tsU endstream endobj 1881 0 obj << /Annots 1883 0 R /BleedBox [0 0 612 792] /Contents [1893 0 R 1889 0 R 1890 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24035 1891 0 R >> >> /Type /Page >> endobj 1882 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1883 0 obj [1882 0 R 1884 0 R 1885 0 R 1886 0 R 1887 0 R 1888 0 R 1892 0 R] endobj 1884 0 obj << /A << /D (unique_739) /S /GoTo >> /Border [0 0 0] /Contents (all_fanout) /M (D:20210608081201-08'00') /Rect [104.1732 606.1 151.6217 617.1] /Subtype /Link /Type /Annot >> endobj 1885 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 589.9 184.2532 600.9] /Subtype /Link /Type /Annot >> endobj 1886 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 573.7 145.4837 584.7] /Subtype /Link /Type /Annot >> endobj 1887 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 557.5 144.2737 568.5] /Subtype /Link /Type /Annot >> endobj 1888 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 541.3 149.6802 552.3] /Subtype /Link /Type /Annot >> endobj 1889 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1890 0 obj << /Length 19 >> stream q /Iabc24035 Do Q endstream endobj 1891 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24036 20690 0 R /Gabc24037 20697 0 R >> /Font << /Fabc24038 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nDӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1893 0 obj << /Filter /FlateDecode /Length 1651 >> stream xڭXMo7 W\`}k xX'NQ ė>Ҍfv6RHQvwTt9,>Up 9}z\lךd>=7c:bnox.6wjoxPâ`$?߂/-^֑ҒEFs Qg0,Gs].Ck#9tz_#{0[δ(826 G-7u*5ݭ˘v}UOgmVF dŒv$e i߹ uI}Ԙ 9u|\a6Y~S??Q.2CmVJԊՄ(Yǥ}^;@qx@}H4o,+<HZw+f{Xݒ Gf R1 b\ Wlb\Lqp(~'~v2/-}8Vh& )8 Pg~*帇 wPRP̫%Q^H>K*❔ЎA?arIZ15U+Θ򶕘 EJ% .hlPUYKxoRרn+1ԯ w$p[02r~й4rD_?(vRYjC &,;Tpfj$PFvL{T_#UgLCe&j:'>b<WW{M7Χe 8˰6ًnq'H\/(YVw#n`uQmergM"neu.467*k*=b n6Z7ά_r]e!EywV+g# '8*W]YPz4`QIy:J m@rc^|Y(P$YH 17D©k$[l?DS!_8 un4sxjQjxHe. 0T|N?=VXgm VC%G5v5V$)ƘH,]F|@/ eCܯ`'Z#F-l>E\o]޸:]rYIքaælq/4u:Ci |R}HGC0[ɚۅIJ]H\jIǠ_ U*ssck|SVI7t߷/1sw$wHxuS>淝VXq0Y>i^79@ 7?]+?<sm Ćrr4wڄ:f ݴ}}E`:O& endstream endobj 1894 0 obj << /Annots 1896 0 R /BleedBox [0 0 612 792] /Contents [1902 0 R 1898 0 R 1899 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24054 1900 0 R >> >> /Type /Page >> endobj 1895 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1896 0 obj [1895 0 R 1897 0 R 1901 0 R] endobj 1897 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 273.125 112.8525 284.125] /Subtype /Link /Type /Annot >> endobj 1898 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1899 0 obj << /Length 19 >> stream q /Iabc24054 Do Q endstream endobj 1900 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24055 20690 0 R /Gabc24056 20697 0 R >> /Font << /Fabc24057 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1902 0 obj << /Filter /FlateDecode /Length 3865 >> stream x\KܸW𼀴|=]999 %?K[KKTUȢߤ Lx_eiLI|]/N-ֿj]V~ysr2 .6<^׻Zϧz䗟/IMF>I\߿ 䟥Ò6%hOdǯ3J%JQuAӃpgHWR1g 4`+GȕjZ/G # yuòk8C%0dv?d 5 Bpcr|_A̜Ғ_fprǫAZA* ld]4p!kY <m-<1/kBIJ ?o?]kV2K% ,cЩ9ONXJ][06}4Й-?.[ryz2'x!왆 \HG dNШָVf^0 {o0E5w;13ԹoYc!?୍km\K}Lۥ&Ҋ]P.˂cBK&r!jY{ps!S2@ TDq4xDɥٹC+ԛwpg33.7w0|=@ 1?){%|y$W=TȂ9)*JFpQj;K,7 3Ef| 9ql(7Kuo؟}~o[V YQ3BBׄiC |DՈ"8H`vñ#֊~quF-f]6S*P9nd^ovu4 v AG ៮8Q+AX .ܩ@V7hIL'6tK۶LNK`pig b\:ht& _YdD((>KoYm:@@&" * D0h4D`9+B+´:4}ellw@C wE鮹u lN Ay1b q$ $ vz44E-iD7V(qƁnTy@ZV,E}snEi/y1`V eg.]斪9?~,y1lxYƊd);؄2~s6~e#o2qD|^^Օ@xŰlO\?{֩h^iJW().̧]W\q`w]-Uv+[/$-*/EˋBzgwM!PGz Z`9\joޱ[/v;H]e7}*c,&:v/r}l!cR`yO f5ΉX Ѱ3ͯk GQ[?wMV>Iy ]Hx$~owPuW~9}Q`#Ⱦ4;~KSIo3Dɩ>ASUW+uL+T"߈,, 9~:ZlInV\CU{}S? \t͍{3^p`U+˅Y!q'a7ʁ@T)+JJT-ѡ:[+CWf)u s+bbdk-?4 YV aVܒ2(}si4pZ_wHSGrUXLhzf9=?2 ?A^oy-FTӲ`ZxƕJB]rq{mnہm!$[n)Ep8٣ukp;DqǸVx9P7X B-؃Zb@->ߝC!jp#ԕ֠G#ulv]w>ԅ`{P`շ7zmˡZ܄ZjqЪC=aO>}L{n.s5{8.Fy1Bvw:S9P07*aDi2[_F~nH(b\Md>tB aE+|]6kl&J"U=,*J]"Ŵ1d3 [Q5pv+p a%/dLȔI9 S_"Wx}˯5N˙y#f/bkMx3b]@^:o8<ay\;r,SxM_ IZM9{E`n.ʈc rk )2j4ɀ&~E.H: 8{g\˲>1>t_XuH] gثMS^w*\E~Fe7+ER1kNAvn% I n\+'{mlOli$}\p[ҁfSzROQ븼/И4M!^1-țZ4.i|u%F݆( Oj;1“$lZS2CcRét= ʚn]ܝGUޠ#vWjvR{^*{ >Uдᯍ(]W9R.9S='uGIq(Òa8uǏWSFCQ 3C[3y^0' I\c408iqIC/Jfô,ixm98yr.}7(ۙpK9 T2sQG'SVcw٤U cPh@G/a #nÅ&MZBdw !b>E"-r-XzUK͏!'mr97h!0޵s2̛{a'OH^# _,t\`iAdfV+S+ ,P8|F) |w1 hMw7%m)`Fse枼YOi{.61`rnMH'x.FS-H\9XJ|=+d5Ue囩t~ #~*Y/|cm>}:էR@Oh\mmEJ>#4>Є+0aZ3TdʒxJRmrl4m>9swZ+`bD@ j '>Cw)t`t9ṁ|Ŭ9cjV'/5s ɸT(w)v=Qӆ5YK ;ⴽ=V眱ci8FLYn3 !N[#-qI1 endstream endobj 1903 0 obj << /Annots [1904 0 R 1908 0 R] /BleedBox [0 0 612 792] /Contents [1909 0 R 1905 0 R 1906 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24073 1907 0 R >> >> /Type /Page >> endobj 1904 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1905 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1906 0 obj << /Length 19 >> stream q /Iabc24073 Do Q endstream endobj 1907 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24074 20690 0 R /Gabc24075 20697 0 R >> /Font << /Fabc24076 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1909 0 obj << /Filter /FlateDecode /Length 3514 >> stream x[IdW<H*3+|SS=T/R偡{R()67;ׯ>;4>Ro"rqRkaRJ^) =vO;Go |+ժL?Jp4JJ==+|?y~vOPڗ ms<i[(Ȳ:S0W3@~l S d-*!Mل2AIsY`Ȫɚ8iW>bD3&Odm ǎXO]UB9ζJVO.U8L &sהe\lSHF9|:tn0!-4HA=%_~ #̾_Xom<҅>aEk?:]2AbFSQ"Ԩ9_h& rSNQuS;buU_Eavϓ Ym'Ur/Man0u7VP\&*s!@5XEm'+h8ꁚl'KKb'EKjܶEkIsbMo2-biAk *hPwHԶϧ@Iw8]AE °UP6։e`)TO| $xfS^7ŮGB:l"lg (6 #&vګ^pMʓCTZر-KE7wu {;kr5y!+S,uf5j`f>(|[I^ {[lQF6Q <с?P y!bDz⇃cfr^&L޹sԟW|;#Z2ޯ &!k+͌0׼oM? Cy7 ?Ì4K&XMT>Z}@0k$5#iA YEbS7rUʀ>5jV|J7ąX:)GWzr)S7-у0Xk۩͚Qqb`)yhnu-(^OJdCO8 S[w/[ey-c\WSW'd:GqT?nv99D*b{9E:fmDԢPhwFݮ{uyL/j[)DDzF;DA("~Y[ &pGQXaB`jI†9, mKARe!0S2 Ka2-n1[~eUMjfc)(AKF[^/O>8oVXWU@/xxR[׶LwT᩾zmC]"f(!` hZ>A^߅rN&G":ө[mA)CyJAƘWA}Mc{c8[s[OsA<"1 mVrǖf-^Xl9XzRGWUx:~<<:=I'5&chPő~gE|ͽɻR;ʳs*?R|)Mn;ڌVz6P,it84!<³bn!1~vzm% j#FqsU?'VWUzV-O,%W.`r2^ :Ƿ<扗r+,R~XZJ\J/ JyuGDAf`gdee+Thv "Ro-ږdg`}BCݾfv'#3kZ_gcL|'Fo%*/Z>ѳ3,J/lw{e(8Y~F01k滀]wJoUƻdtHa]Sݔ Hgjg)buYм13Plfp񁒎&:L:z N%*O O&QYc syRzh"(#rO%Uu팁1;S6oMZ_AńƎ_NQn!dLDBeOx/أD`R[?p1隺_Ǯ>e$o"~WiX2\XH-?'h+K퍚,CZƮJRĹŮ [o LòR*3S$(߆X,i=OzҲR<6oq=='.{bhuZMe)[6he) ;w oMoeq8/R jrM@G? ۍײ7n#&E=`nJ90\ !U}Ę,<` ϔ rjZ²Vs\2E5^R2|<Q`ID6?wKT(|:_x<+'-‘xChXD].B^90c gbx*U#||b6e{c>g4X2p rg~tt*;<;V*U`>szo0am}E" W/,FO6$Q@\hml endstream endobj 1910 0 obj << /Annots 1912 0 R /BleedBox [0 0 612 792] /Contents [1923 0 R 1919 0 R 1920 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24092 1921 0 R >> >> /Type /Page >> endobj 1911 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1912 0 obj [1911 0 R 1913 0 R 1914 0 R 1915 0 R 1916 0 R 1917 0 R 1918 0 R 1922 0 R] endobj 1913 0 obj << /A << /D (unique_738) /S /GoTo >> /Border [0 0 0] /Contents (all_fanin) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 144.0867 686.7] /Subtype /Link /Type /Annot >> endobj 1914 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 184.2532 670.5] /Subtype /Link /Type /Annot >> endobj 1915 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 126.7947 654.3] /Subtype /Link /Type /Annot >> endobj 1916 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 145.4837 638.1] /Subtype /Link /Type /Annot >> endobj 1917 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 144.2737 621.9] /Subtype /Link /Type /Annot >> endobj 1918 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 149.6802 605.7] /Subtype /Link /Type /Annot >> endobj 1919 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1920 0 obj << /Length 19 >> stream q /Iabc24092 Do Q endstream endobj 1921 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24093 20690 0 R /Gabc24094 20697 0 R >> /Font << /Fabc24095 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$J endstream endobj 1922 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=84) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1923 0 obj << /Filter /FlateDecode /Length 1270 >> stream xڭWKo#7 W\`[qz `f.C)RvhYCJ OuJ:@Me!~W#|9x0Q5:DGDyh==/rJZms_!z|~㵉W3yOyNXE[ꓮ3 r1)ԱS+s9="ȼYgvP6A>߶N_B;$p)V\ "?w8 YWnwk~F-RHE&;(umׂ-O,L\rT8&IcFF s @lo/S*f޵" SBiՊFO4B͛W{łN\ٺ≡ \)W_Սg2U'ucN%Ku9)fJfDZ1tБ<ѱ;u$ZsaGRyCyم_.]nbQşPwncA{a:=ӎR%ڰ#IH9=Q<C_v_򱟶j!6'j?lz:`9Nz?ڢ iGZ@% .VcAI2E ]Jiל~RZ)+%_,9Fc-zm3D5r[Nj,vpAmYBiRFBIGyGVujK[QG֒ÕT?2i[%!a<#'M쪎AЉf-g|fܨoBv 1lF yXS#o%s:5j)>d&S0 @S4SbA24x&kX(K:-gpN/d˜H-a;me#Ҋ]QhMM*1Zq!Nsܚ:]и!L~fa ) kq RWBvJ.ȍ+j>rf{e_5PTjL*X9Wup44T:h3y;͔m>kq{~k 1(P7i.5mM>:C19ާ&Mwrbӵ} 夌}DDㅕPh#fیrsLngFh cG/'LJ8(|~R?Hܡ`xGaok~|i|:*Ɯ]/=vԎwġ߰\:]3]A : (ZO1S endstream endobj 1924 0 obj << /Annots 1926 0 R /BleedBox [0 0 612 792] /Contents [1932 0 R 1928 0 R 1929 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24111 1930 0 R >> >> /Type /Page >> endobj 1925 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1926 0 obj [1925 0 R 1927 0 R 1931 0 R] endobj 1927 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 400.925 112.8525 411.925] /Subtype /Link /Type /Annot >> endobj 1928 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1929 0 obj << /Length 19 >> stream q /Iabc24111 Do Q endstream endobj 1930 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24112 20690 0 R /Gabc24113 20697 0 R >> /Font << /Fabc24114 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1932 0 obj << /Filter /FlateDecode /Length 3818 >> stream xڭَ_gy`m~gbqпTˏ8|C>!e|~Y`L ~\}{nG:\Lߗ?/>o"M_l`T 2x3\.m壮&v̧%՟ s?5`LqN^<*tzZ(ڞh=lȂ Ej_`@.6m`\`]JeLlR$BH@ u0iDNк1ɌyT$JΏK(g^nkڻd,ꔜ\aØQz"XMt %<x:/8-ʼj@@Pa; PasEsA.ycSu d :LY}jR;zr @1prPtom]h0i=dkܲzA&Pv#^cFک>5CXw:V7&~Po5 F&Dq?1Jp4ULL ۵_\g} y踝XfxNUsr,msBa=?1\16`#F1S1GXj(oV*X^.7[B'7IZu㍒%v ~l=[!JD||-t$gWAUPPLHDTqܮ=^p(Τ`j@Sa`7AOl6`-St`RQ}}N"퓺'5o>q&fJYmdJr.ңIenKx>qj"mAx tj|spJ9edؔ4snv_!Ts)A׸i%LA{D}%f=As6'_X|ǖEl>b?J aDF%(pE &l ǤSvwz}Zʣ_?_S1W6N-oAyw`2)K? M|>fmkq6a@m䄩c/SR}Z,jW|Vu5B̨>Y};@Krn+)qg{`IL|HPE:*k0V p\ `Hz픸#%͝;8&,lֱ/KgOB!v[xs$wϠQɵ5.8ڧ? pUo7䑏|B%-7;hu/u uȉo RjQw ,hjMJN3w[}κR3ywsJPY8 W?[ុȏ4.閺jD#þS]?Ꮺ<4 %jn|(^AD p Wy!ng7nO-@_T=l:" x!.x+V :Cؾw :xU$ k'[ݿ~SLpSCzA<7{ÑZ$_Mӵ rTPңhBV:խ4N?gKPHa6+7;Y+`Ǟf8RI8 yU/ZM9yaלz ys 31ߊo7X `*X)S+!Y5ύWGIZH|A{b1H smy+Np8 Y8ght3 Hh8Y{LgNZztojRuNFxJSqM= kTΨ. WI,s>w'6Yy,L:=N"t#l $pƣ~bbʂJ\e߀5-=2pt^;EJX^0*3v ̈Ubb5_YY*_{AVݯ|LrN =W*chK9o9ܷ9 򔧎L1g$Q}LI79$Ǧ=mdXP$VIuݯg98AQ |$^2u׮ysOnO6PpEe=i㈟pn:yB^N§]asjnDl_[_ϭ$*hJ<3ZΩ͉˦ef߾ nM@]&P|k-^\+j3gjSfţQQhB,ߊ7k'bQgjՀMD`WdrW+܈A/VX휶)Z2S.62yl m$kZR_>gۓй5 !cG>f"jCυ]2,Ym12;DKkoGeNBZGo}󮽎 |3҄ȅRp~e9|V"  |Ѷg endstream endobj 1933 0 obj << /Annots 1935 0 R /BleedBox [0 0 612 792] /Contents [1945 0 R 1941 0 R 1942 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24130 1943 0 R >> >> /Type /Page >> endobj 1934 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1935 0 obj [1934 0 R 1936 0 R 1937 0 R 1938 0 R 1939 0 R 1940 0 R 1944 0 R] endobj 1936 0 obj << /A << /D (unique_742) /S /GoTo >> /Border [0 0 0] /Contents (all_latches) /M (D:20210608081201-08'00') /Rect [104.1732 442.3038 153.8217 453.3038] /Subtype /Link /Type /Annot >> endobj 1937 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20210608081201-08'00') /Rect [104.1732 426.1038 161.0487 437.1038] /Subtype /Link /Type /Annot >> endobj 1938 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 409.9038 184.2532 420.9038] /Subtype /Link /Type /Annot >> endobj 1939 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 393.7038 126.7947 404.7038] /Subtype /Link /Type /Annot >> endobj 1940 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 377.5038 145.4837 388.5038] /Subtype /Link /Type /Annot >> endobj 1941 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1942 0 obj << /Length 19 >> stream q /Iabc24130 Do Q endstream endobj 1943 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24131 20690 0 R /Gabc24132 20697 0 R >> /Font << /Fabc24133 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 1944 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=86) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1945 0 obj << /Filter /FlateDecode /Length 2111 >> stream xYK# W輀z?^ !ivg`?IT{zA2j%/Yߵw4=NQWGSsoskڠ55˃Zƈ x?xoj(_i1aO1eL泍% @'l: "shmo-i{`d0 L BxUa?C: p,R[rѸ[SF ՛/|1&֚b়̳EI##5B#g`u8o rh=먭uv|0ݮYaWEvM$2wA#LK9 쟞wmMрs|3Ul֯o?/&_YECmxVZ<śTa+f_^?b? cb۳]p1u8mGտǒ>D$|DY V`1PmM.'k'AFq9:tO-^_CN8G?&Y2̫#QI~Z#Dp6\ė\22aRefdoMT} JCH=z Ϫ:{k^rdBDG _@eD۱[68n iiP O(nOi|K6k]hN\xs盪Hx6_g*?))}O!ebTΠZU.v\MB,&ur; 9SYGZBJ ʡޓIڗ3i6KMym4Y?*tFs+#l\r05B:I^ey`@ ?zΝt6ԇQ2 ]IL2~FF |e " bMʮ7H96P w L4"lK%{⋎%j I'b|墿!ݤ\k#L I-N&wXܕ\\X5Zx ˮdWZ]mݥ?Bݐ6͘k#0ڍn_e7줿 .ЛA7 aϲ++UӋ㝉y"z%/U%w"ZVG"K$+Am{]:[u@Mu+u |eKe.0uOc4P2ﷴ0r~QN}`1)(+PӋi 0K,.e9.]NVr4auLq]NlQ/Z)Ae^7J/ SVwt@nut%PD_ Ͼ5n:s% 7R73,؛ۆ,L9c2:{+.+mJJ8&Āڀ)Pیӄ9=xXq8OJW S^Z?I#9ZCoWnIz\-?D7d-.t6s y=m^9A^ub&)9:NQ?: endstream endobj 1946 0 obj << /Annots 1948 0 R /BleedBox [0 0 612 792] /Contents [1954 0 R 1950 0 R 1951 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24149 1952 0 R >> >> /Type /Page >> endobj 1947 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1948 0 obj [1947 0 R 1949 0 R 1953 0 R] endobj 1949 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 400.925 112.8525 411.925] /Subtype /Link /Type /Annot >> endobj 1950 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1951 0 obj << /Length 19 >> stream q /Iabc24149 Do Q endstream endobj 1952 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24150 20690 0 R /Gabc24151 20697 0 R >> /Font << /Fabc24152 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶC endstream endobj 1953 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=87) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1954 0 obj << /Filter /FlateDecode /Length 3870 >> stream xڭɎί/0zZm7r|c&|m\glH=UGm+>ru˗%X2?%,.sH/_~0&eu.WoӓZzs{|qY}#-_hx 4-S-dgx:[]~Ae]\&OOK?=-?3`LrN-]ŵ{d;$j:oJ >2x')8Yߓ""cc:?-ygo3!r#HSr‚'bF?$]CH!,>-X,۴-0l)^5`Z t((09ʟ aşolrv:%m8-=^ZpO8`[CWG`[&>7`hZVo57!>D|FKɛii,(C]%FڑJ)|@"`o'uS בXG\d'#gJR~:n+֫[tu\FQ;irLtyˤƤo*.%h Y۬qħ"_ Hې}+]v2dJ\.8#s@S;msxv\AU ℸ]\ï2D{IiAOk=F#")yb*c^wYڛ`Jf$o&n#qO[s%}o%-95<6D9~i'E3S?ns cXiG+8fi¾5` z`^yI-+aX0XVQ< 9|(lCDmHeq} s's_a*KMh0N)%UHM6{ J84PFJ9!zupQݜ*$)pVR|^ v{<KƬ_W?ϲ0QW@ A+)hX41QNL$ܒ;Bx DR^)XsoZӚI;bIKO/uk6 B6Q$5JMJ0*;Gj} 8<)w]jԌXO7Ck;7G6~vv hcILwV-aZWTtָX z^qB0/`B)Ř\X|p S3XQW6a:ju޸~b滎9T1,{ gwضE]-~2RMJnլ><ܣ?э4lC>.sثCSZhԥj2'n^8 3#|;v˃ة:m_y`}{W~٢#k.ڟOJz4el{͔)F&ݏ+U;kiX/80[3; j TĻn&Nx`:NdtLc.a*(sq渔t~^[ 5L.'N6W޸d<NrWɏJx[w;з}'4}>;'!j@o )w;gO})"N0}Dw}7,xeͿ#`lGB753> n+> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24168 1969 0 R >> >> /Type /Page >> endobj 1956 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1957 0 obj [1956 0 R 1958 0 R 1959 0 R 1960 0 R 1961 0 R 1962 0 R 1963 0 R 1964 0 R 1965 0 R 1966 0 R 1970 0 R] endobj 1958 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (all_cpus) /M (D:20210608081201-08'00') /Rect [104.1732 517.3308 142.1947 528.3308] /Subtype /Link /Type /Annot >> endobj 1959 0 obj << /A << /D (unique_737) /S /GoTo >> /Border [0 0 0] /Contents (all_dsps) /M (D:20210608081201-08'00') /Rect [104.1732 501.1307 141.7327 512.1307] /Subtype /Link /Type /Annot >> endobj 1960 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20210608081201-08'00') /Rect [104.1732 484.9307 161.0487 495.9307] /Subtype /Link /Type /Annot >> endobj 1961 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 468.7307 184.2532 479.7307] /Subtype /Link /Type /Annot >> endobj 1962 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 452.5307 126.7947 463.5307] /Subtype /Link /Type /Annot >> endobj 1963 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 436.3307 145.4837 447.3307] /Subtype /Link /Type /Annot >> endobj 1964 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 420.1307 164.9372 431.1307] /Subtype /Link /Type /Annot >> endobj 1965 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 403.9307 180.9312 414.9307] /Subtype /Link /Type /Annot >> endobj 1966 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 387.7307 172.9177 398.7307] /Subtype /Link /Type /Annot >> endobj 1967 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1968 0 obj << /Length 19 >> stream q /Iabc24168 Do Q endstream endobj 1969 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24169 20690 0 R /Gabc24170 20697 0 R >> /Font << /Fabc24171 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 1970 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=88) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1971 0 obj << /Filter /FlateDecode /Length 1978 >> stream xڭYn$+x @(@UR [|0|jh]ba.-[B*[ċ"z;ѫ`>QmNyr9>ٿ>}[Ƃ9اg;|?XQp'ǃ}+8bs9s.UOVTtKV bW? r~p#Vv~/RŸ@ށ$=!-z.LPg%F@֟Kdtr\s 7\鯹b|WG\)rQhxm0/ysi ^8h J~(yI5azɠ[&hP2-"?;f֏C#ș?I6z_GyR)mj?wK鵎D9hr>i}~qCک񤃬9> Ec0邐LƊ_MϠ^n>Ix:2$IfBاq#i<4qY# g6 էiPaN22SRQ<5{?@ϕ]ԼLciqbyao- p$iowh> oPXαQ6`u= jIze17#3`XÚ09kwQ-[(|+BrYtfAƋ/(e>&?&9l$!n+Mrd.@kC+b{{ aSΦ WJVr!- >:=D wѫ٦Մ悵K9T_7~7%ce6 x E6,:Gm{Ctyy0xs ~r] >7eG'Nm)!l yS'>GJ12r<9f^ZT٘NnN/qnAd9Qz1{cq7-z{ ,~3;q)̩ S{hف|m'՘蝸/%"xK Lj{LhjY怚f_l e}_n̩b֚ՎJ,Ti:FDoICt)zGW)+*X㾧e.^mw؏B,ٝVx>yd3 #SHk꘦c'ky'QŻ14AqcOg!r+}RDo>J[Zvu708|65~ g$ u˽29\_%+8Q&(_E endstream endobj 1972 0 obj << /Annots 1974 0 R /BleedBox [0 0 612 792] /Contents [1981 0 R 1977 0 R 1978 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24187 1979 0 R >> >> /Type /Page >> endobj 1973 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1974 0 obj [1973 0 R 1975 0 R 1976 0 R 1980 0 R] endobj 1975 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 400.925 111.681 411.925] /Subtype /Link /Type /Annot >> endobj 1976 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 400.925 139.8465 411.925] /Subtype /Link /Type /Annot >> endobj 1977 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1978 0 obj << /Length 19 >> stream q /Iabc24187 Do Q endstream endobj 1979 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24188 20690 0 R /Gabc24189 20697 0 R >> /Font << /Fabc24190 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ3 endstream endobj 1980 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=89) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1981 0 obj << /Filter /FlateDecode /Length 3738 >> stream xڽn$ί賁f&hC:v^_==Hdw{&zfoys痥%X2۟g?Ʃ>R;cB_Vg|h]{Wm틾=S_<|zV_ KDou˗% ˿xeg;%r˿f3/v޵z7M[7w_<#9D1 9w|,R?8]{&f }tOc?!n{+0pNWD~|<`3#Px ŀ d?H锜w".m}(p'P`I`hdHO{&AAFDKNx T $YةszcAn>w`o=K]޳(+{4UQq:0mmz'd)9bŊ@W3/|}-rYٟX^/y q#'`m. Uf& DrIuWD㣫>=F #"#b6D3Ǽ"~6vWu}ViIo1}{Қ {۞DP؈PXdgN#L`|xF{# B\ƜIB#9hx/>XVRW)6A`D h N@/)Bj&D X(kK=*KY\l (r|#`Q6=ȕpiPzJ9! w=SD5s1] v{<:GF60ދYL-5P (ȬJ+ mj@#RAM2[bVZFW(1RǪ!6n%]˕=@ԋ4t5HCWhX&fC(zfb؄]${Q;Qj(oQKNe24xpJ|G\rq6"M O&D܁niVF6&{G 8#!B*1)AoqӍxG{50Cwa-qv3>:~G `c$20v2 FT[֩ c䝤^V8.+Q(g ۢ1(v=,K۟yd9Z;j62E5USx̥7,_Mc0%S2-Ntm/|]f(7T)8$ lS%X:wLj{`=8SZn$ v3=iDs]' wPa&Z^#Q+3UGhoqڧ\vM7/x" *c-0)u^%S>]! :Blo`-X:,ʇkT67,i8J=@XO`?GtD]x:GM PP9MdeӐ'-p3?WBiR35 nS0uPYm*(9"tQe"W߲86&` M" ;;仮~Yp(`8Aĭ%-lw<Ίȭ%1 !7ڿ {r*&looUȿѿ5/IHDuPͺ{n^8<b܈v?@bw}ȶp0@M5}gYg6($$?J?h{E(Q&1j ®!\Q:"PdTj$#n:% hEn3nfpr3WVkWcpށpŁx˃. 4[=Iżv1ݑbnդWRfmJ26lwrɾ7ع^ur_5"vvvcBej emvvgᕪ6uTEƦXtvV-74,(a`j VG?sEO'mQ1)S?tL%.y,D.3hEQ-BX6m ֝&Y5ڥ4T^[6Z2S+Vx*/g+k\k2(2~0tpL 8{w)+L1چ#%D#]/meîfEʂL%T,xTR˔2Qc\L!>PP#`;NByGc'BtƼ\WM>Iݶ:k~+J{?*GVvG$څkx{v<^jyLgPA(}NتIwEO7 73h0[K Nȇv: FEY endstream endobj 1982 0 obj << /Annots 1984 0 R /BleedBox [0 0 612 792] /Contents [1997 0 R 1993 0 R 1994 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24206 1995 0 R >> >> /Type /Page >> endobj 1983 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1984 0 obj [1983 0 R 1985 0 R 1986 0 R 1987 0 R 1988 0 R 1989 0 R 1990 0 R 1991 0 R 1992 0 R 1996 0 R] endobj 1985 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 472.3 149.5702 483.3] /Subtype /Link /Type /Annot >> endobj 1986 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (all_outputs) /M (D:20210608081201-08'00') /Rect [104.1732 456.1 157.1987 467.1] /Subtype /Link /Type /Annot >> endobj 1987 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 439.9 184.2532 450.9] /Subtype /Link /Type /Annot >> endobj 1988 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 423.7 126.7947 434.7] /Subtype /Link /Type /Annot >> endobj 1989 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 407.4999 154.2562 418.4999] /Subtype /Link /Type /Annot >> endobj 1990 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 391.2999 149.6802 402.2999] /Subtype /Link /Type /Annot >> endobj 1991 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 375.0999 179.4682 386.0999] /Subtype /Link /Type /Annot >> endobj 1992 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 358.8999 165.4707 369.8999] /Subtype /Link /Type /Annot >> endobj 1993 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1994 0 obj << /Length 19 >> stream q /Iabc24206 Do Q endstream endobj 1995 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24207 20690 0 R /Gabc24208 20697 0 R >> /Font << /Fabc24209 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1997 0 obj << /Filter /FlateDecode /Length 2117 >> stream xڵYK$W輐Z] i1Ն}!e*gN=E(h-O*ǜNQώ,:<_Q;[C6s A?gK흞16W(6v{t1/:ђvڻ^LBdY Swf (돍gy> `s\inª&,m2 EƉ($+ umM+Dz?XLuYF~6ʀ|-*&fߗ l7Oxq BakhN(V{c#!q E}"2IGN,E|G.~]sLΒf1r| ʌHbBLu^ tQ6^A> A﫳xfj2s25ދ%`  Ú2T\4UƐfY}7N ;Ʉ' G ^>|r!Օ'EypT.DV}fjB,[ZV9?GecWm%4V,F|gCF/ʦv hMLrdq\5uqK!䴒ׄMqvӰ[K% syuRBVJ~[;%շ RO7JE:RCm?}tnMjf؉! 7q6tQRwR,d\2b;c~{ 15c~m=/vҦQc@ /IՄ9{BG<, ESxJ{$}a涗xRoh쐜pj\> (Ot /`G2]ݽ;UPE|hOrt#y۰ .vPG)p:ZO(xa endstream endobj 1998 0 obj << /Annots 2000 0 R /BleedBox [0 0 612 792] /Contents [2006 0 R 2002 0 R 2003 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24225 2004 0 R >> >> /Type /Page >> endobj 1999 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2000 0 obj [1999 0 R 2001 0 R 2005 0 R] endobj 2001 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 400.925 112.8525 411.925] /Subtype /Link /Type /Annot >> endobj 2002 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2003 0 obj << /Length 19 >> stream q /Iabc24225 Do Q endstream endobj 2004 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24226 20690 0 R /Gabc24227 20697 0 R >> /Font << /Fabc24228 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMH endstream endobj 2005 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=91) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2006 0 obj << /Filter /FlateDecode /Length 3751 >> stream xڭˎίـ>EX =m7 䴎;v/ԋ/g5)XoR˷.xK-_^glпo8|cNaK~1!<-3tzznSӌۭ=Sn??->oD/MVi1z+^m Ζ._Ya.%Ģ Ӳ{H i6`E~sip38q>-9%0΁q2ϘDD_n% 3!1b0cP Eo#,)Pp?=Rsbiݖ\ ‚,ҒCBA@@.+g>fca1'.-o8@E)du[u(@ɏ.L>'?&rXA)޸eVcD$F{lt:''PmQm'5ujj@EpXp"DeA XGraա69k4#VqXzlqzg֣ΎB=ty Ru)+4Uhs8C)2"ds(>2t^{Ŋ@W3#CQG YK(9pݫĊ8t<( b`y"AP(B.@PABʷ|1E㐏F"A&l`MM@>5WVRdCe#F co^D9ws=a<HDq,AsӁ}{$kZy2§r ,_`h)ap^(SF;L_DΎ,K( r@'rbW@e鯹  8s|#QM{!Mq9w%#)? NX:CU0.q3؅L XZZMӁ4U*ڐ2r{f1-3 *!쀼ߝJ+TˣTjywedc?-@o-ؚ=윹dTaw.k{>&k5n 9"Aĥ- YzUp4Җl*,+Ԫ}0>#1@Mzޅk^7/N uN_Vw{ȡzp-ߨo-tt<B5Q_L8RW~.fflysJraJf2^}0;.xE sq=2="Pwn=xӓyQk .mٸ7˦йA >{To7F0z7&eh(SaUNkFQ5eCċss~PCf$amU$t{`tŤhy/g+'ICՂr;ͯQIX._kY}(8 NGD6Qe xShwrhtc(9!dp!-iaktW>)EՆ<0& EDGZ?E /f*̈UFb̄0p # tqUjdew--mbDDǦr6uZF1i 7o-BLrݷɆ$9@$Z0Ivs1:pC I{lzfzۆM;! X2m kؼSm0OV<C]ԅ kt#h؟j?뛥4x԰'w&ޱ|"=BDBz9;p;ՇcXTC=Jk7mS#"Jwm:]QSc, E-k_Y)6m!f)il',Deú*-dm3).W+ /iN[n,_]?#d`\ٮWЊ@Gѧ_][ۤ 9:\]SPc`#F: yp53\'#\INyW (  b2ZPq(ۅM< .<]/oHTNV9? Ԗb;9&z 5u $VUģYhhcu endstream endobj 2007 0 obj << /Annots 2009 0 R /BleedBox [0 0 612 792] /Contents [2022 0 R 2018 0 R 2019 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24244 2020 0 R >> >> /Type /Page >> endobj 2008 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2009 0 obj [2008 0 R 2010 0 R 2011 0 R 2012 0 R 2013 0 R 2014 0 R 2015 0 R 2016 0 R 2017 0 R 2021 0 R] endobj 2010 0 obj << /A << /D (unique_740) /S /GoTo >> /Border [0 0 0] /Contents (all_ffs) /M (D:20210608081201-08'00') /Rect [104.1732 517.3308 131.9647 528.3308] /Subtype /Link /Type /Annot >> endobj 2011 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20210608081201-08'00') /Rect [104.1732 501.1307 161.0487 512.1307] /Subtype /Link /Type /Annot >> endobj 2012 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 484.9307 184.2532 495.9307] /Subtype /Link /Type /Annot >> endobj 2013 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 468.7307 126.7947 479.7307] /Subtype /Link /Type /Annot >> endobj 2014 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 452.5307 145.4837 463.5307] /Subtype /Link /Type /Annot >> endobj 2015 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 436.3307 164.9372 447.3307] /Subtype /Link /Type /Annot >> endobj 2016 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 420.1307 180.9312 431.1307] /Subtype /Link /Type /Annot >> endobj 2017 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 403.9307 172.9177 414.9307] /Subtype /Link /Type /Annot >> endobj 2018 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2019 0 obj << /Length 19 >> stream q /Iabc24244 Do Q endstream endobj 2020 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24245 20690 0 R /Gabc24246 20697 0 R >> /Font << /Fabc24247 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gHkCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2022 0 obj << /Filter /FlateDecode /Length 1944 >> stream xڭYn,+64#n +%ׁ12pԃHKhuUuauU[/y]}CcAӋםs(g|8|ԓ~>RH1͹A9W's^Tt& UD 0kYp]jnUe8,\Y΃$=P41|sH r?CtJ)R۸Ͱf!}2)vVɗQ`o)ۨId55`cUdI+QPIn$oɛtӧ:cϼ C_i|[ >0g]g]Sp?ul}Y5|9okwA7Pd5b߀)7|/iWX(C?L8Lr8؜BV8l52ƸXG" ʸ"mi ;:.Ky[uW }x` MabщYOeˁ[KN!J^C7aJ[n*l5/׼i+#HIm,7Tv=`#o)kw}6HU}-6iSJ~Cpz{-K~{e}/]GY9qVo'I-U>J[O갓GiKkS V~15~u "uͽȽ29\ N.ޒQ|?a1Y endstream endobj 2023 0 obj << /Annots 2025 0 R /BleedBox [0 0 612 792] /Contents [2032 0 R 2028 0 R 2029 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24263 2030 0 R >> >> /Type /Page >> endobj 2024 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2025 0 obj [2024 0 R 2026 0 R 2027 0 R 2031 0 R] endobj 2026 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 400.925 111.681 411.925] /Subtype /Link /Type /Annot >> endobj 2027 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 400.925 139.8465 411.925] /Subtype /Link /Type /Annot >> endobj 2028 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2029 0 obj << /Length 19 >> stream q /Iabc24263 Do Q endstream endobj 2030 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24264 20690 0 R /Gabc24265 20697 0 R >> /Font << /Fabc24266 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2032 0 obj << /Filter /FlateDecode /Length 3763 >> stream xڽˎίـI f{ֱ`'%zVxHbYߴ-x˛_^t:],vur Yqk){E >]}=Y|*/'ߞަGw'F"_u4?X'mִxeVpwvKVr?Uqݶ5X`>=wz{tyTm0<".}9x <D 1_>s?Ec(Lh yWC0-yw  -DrCkضI <2336@nqk(/`s">c ӥ?_h NeE4˯+~ ^ T=9l85mm]p=e! }n^Ӌ+:^j@bF{$Y uk9%#ɶPr${iҋdH O$G&Ӥ(҈hb O*Af8kV2]5U-OQ {g}Qg_Bݱ~ϢƢoh.j7h:e[A>6x (H} ]̼ʶLXb^eWdby hP|FdIFB( ]8qxD,kSWxhRsS*@ &1|)NNtk/|]&&0[f\”B.:! fȩj U-E{g~ͼ+Fi%'U؍sL'/°pqLdLfY*:^K ټjzfߕE)aJ}<&L5 ƭ}N‹3]Mrvy_D؄!/x" *-)u9ۀiK݅aֶ ,k*) ',h-[e$E$ 'VA%+09 LF=5 H&G5;ըހiP'28J 4nqygX۳0`qbɄ G&&Rl NB`w7UmO4G9>: ?MBCledgOTŕ~Yp(Ϗ}[!"n*8]խv~si ,=+{U_}P+9:c 렦u* x4N߉چK1 ֮9QlczM3kgĒLR9rY.Q?NԬVfI (zfY|\+p4>VFy>wOU $ {y0vg%TwTs[\ E{^O> ѓzMJsvz=IƗ}($7|d֣*ۚmx{bdGķܬIj yMqGK-@/gQ·[n7ah.{eUr;^})/y.7o Y!/u^szdeن3 \*H`Z3NC`я_PI.yDOBqu-3yp'#9Q،(Wp`axX h7 HPFa+-,@Ph6 HJ\7FJqPS:Hi?t5*0-`)26iTA۸ʚ9s +I0Yc"HPv2%(,fX!JC8Q&vpd=i+ʷD4p,K|;9Qo"m S= 7<'k'؊\]g0Z=svߑbkdn<]f}J26\wY7]ur_uZ.[nNEw;n)UOwdÕѶ6rt;}L 2 ip.TӘRtt]Xw'$-??K1GMN~ %i~+4"2@_3Z̵FLeToگ9o55R`˒Atz`bB v⚩vx̢6\+N6Zn:.s2nKW_+hE0îm1Wט1Oȫ=(3^:c g0-t1WF\^8ղkX(sӓ(vZ3ـ>ҡ6NXMC&)1k sZ]x&WOHhn#\l P2Cd;,n azK(e H0_SDz_]X]G 56Ի@\x$k6tc[h C q(zf_§+|}K[Y Xf#Oh|AqM/>+3# @ r!# iv54/ȳ"`1ǕxJkXUa 2 Dj뫴xevJ25 qK&-vnex!렭_ٽbZlK9鐒#ǖϽblU4Aa)rklU*lH&?Mv]+ 9qfL9~V?ϵ+a7eH_@| m(V9IE+X6zc1~h^;ptֈ ۅǭpբ4Ha2虊0܄ jjE>` +q#`8%;F\ p_@w& endstream endobj 2033 0 obj << /Annots 2035 0 R /BleedBox [0 0 612 792] /Contents [2045 0 R 2041 0 R 2042 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24282 2043 0 R >> >> /Type /Page >> endobj 2034 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2035 0 obj [2034 0 R 2036 0 R 2037 0 R 2038 0 R 2039 0 R 2040 0 R 2044 0 R] endobj 2036 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20210608081201-08'00') /Rect [104.1732 546.9 149.6637 557.9] /Subtype /Link /Type /Annot >> endobj 2037 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 530.6999 184.2532 541.6999] /Subtype /Link /Type /Annot >> endobj 2038 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 514.4999 126.7947 525.4999] /Subtype /Link /Type /Annot >> endobj 2039 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 498.2999 149.6802 509.2999] /Subtype /Link /Type /Annot >> endobj 2040 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 482.0999 187.0032 493.0999] /Subtype /Link /Type /Annot >> endobj 2041 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2042 0 obj << /Length 19 >> stream q /Iabc24282 Do Q endstream endobj 2043 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24283 20690 0 R /Gabc24284 20697 0 R >> /Font << /Fabc24285 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzI0H~acX`~߇]&`jJ8.y=xzw;rQ|hǬ|x=;u SrYH7\Hj;J=ɨAJn\&# c̙h;IHNQx5sT@<ٸs@61 Ej92;0G g{ֈ.{b(}3!nEMdME4 <~U$߄|j.P3YuG\YZ)㻶-f_KimfSۧt&Mw>@dQHLn2Wp23ϋ-Yu]nC25>s~3ȚCC30y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2045 0 obj << /Filter /FlateDecode /Length 1642 >> stream xڭXIk$7W(LCw=ۄBNxBhƗޓTcTmߥX,{lJ7;O/ǧeJk'V9&Nk;G8P}?rBZ]I?hȂ2`(7DTƃ̨1 m[{:h iweBX;`Vk`TWܷ&7n5ؾ6-hUwp{^L*W/Ysmj ݴ"oY64uw <=H[>bάN #+׊%cAܹ/>^Q(^SV]Jˢ S=%  -}=X|ڤ d"2TJ%̽V6yl8+UZDDQj;.a*xZy.SRgU pU%q*SyIYxe*rQ.e -:Ht.7Iiti\|e87^~KW^-;̝1}P֎}ҡ4ڡy*4*:,Gr2Fucm"6l[NZ;0֩l+vuոbQ w#ޗ H`8$VpdO)bxZVFcN5be Jz s=&߷l:+,/kBYYWK+v1F0њbtɧW4筹ˉSJS46R⒤nDY'er`%+ )qX"9Ww$HߐB̠ n6X]pH#ߚ oNnzp=oU}ڷ[Vӏ -vlLukAzh}~5> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24301 2052 0 R >> >> /Type /Page >> endobj 2047 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2048 0 obj [2047 0 R 2049 0 R 2053 0 R] endobj 2049 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 400.925 112.8525 411.925] /Subtype /Link /Type /Annot >> endobj 2050 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2051 0 obj << /Length 19 >> stream q /Iabc24301 Do Q endstream endobj 2052 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24302 20690 0 R /Gabc24303 20697 0 R >> /Font << /Fabc24304 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 2053 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=95) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2054 0 obj << /Filter /FlateDecode /Length 3768 >> stream xڵɮίـd |=@ANA0/OmD,zEÿoR viykϿSߒ-?hiYƧӓ/Zfݞig0BTV|]]߿}\KWzθwkJ4?(W`V,?biKYBْuipwឞ }ZGhIܱA:ӒxRAΜB B :Ƈ+yZg\,\EdėrZҀK܏@`"7 jܷ@hSE0-ڰ^H=>[x ޢO@h&.sJw3 J|3  ,~t$J\W$&ړQo 0_US} <{}޼+ omp=>yF` X`Lފtՙ 2/5 1 =C,^X[v)~%5P2I&OF=`$"`XǓ ne3ȒqcU6*l)h}m h2[: .YTY ͕H hjꪚ@k<_F[8#_6BѡGXŐ// ۵l9FGcʼnrgQƈ㍜! g4zԎ-a[" |O7IwDキF>񉠣mff 2_$n@l_g m-:qO[}#}%-1<6@9~y?)'US=8~7he/澑cSko1 ]R=ՁC O'rah)>p <'' wx6|]&u2D^l[EVѼWX_}, QJF 9!SI8eܩPꓺJ9! w=LAì.$?5xpmxi j߾SD=HqƣeRz_Zs,dVJI_25kk`p"bp^M2bV\FW(/1RGCNF, ֽvKg7 mEe\.1M=K NAW4tUjbAL ]d/lDt7ʟ"eo>㖺"sY M<9ܡ_feQ\yܧMJ%d>H2q"@7 HgI^AK %趏+_3cd;]>-@IIBf|uYWRPs`~VPL0\I*PƯ$=;r\WRNi˄<8np|W #;L+c-E,Z!p^0O$|38Zp0'2gNP%u> $I O'e ~/9S9R9W F֨ 3u?pt"D!rxacJ80qj*|VG|gLY+g9{Os1ƨI,HpaQygz-E;%{ΓQdRkMiwDc üv?9";o{Ĵ-Svu'`zG[2MRu0 ֲ1l Srˤ,AmbHXhr$J`c WXzVe T9c4ABqP>O;mteYr_Tmŋ'u:*Jڗd ,:ZʧJBQ?`N(mFJg7kێ޵GwybC{L{{07򈟟0"U( H,?hbk>۳|ש>+a Dm955@8.BRA$ *XxǼT|ө įpDYk6}'+g}zrſr jGg5vN*7?.fAfv3"FsnYpU65W09M+; z<:*FNPUB1XZP8 ᝟T LXvhj!No T%H/A6wi5NCP:J C?{<|^ _{Hz(Rd}N7f<1kZpk ?A^mK^yb zy0vE[;h7_`6] @J>uS_.IC{$p# bz+[2m`)TCOuEM ^xrH?3ʺ%n.n -;6 d"!LiX; :\Nf责9FtI$!1-הV> M c`/\w$Ib\*amfK B(-0cV[)Ԗ,nNqep,6feb_J[4ge/f.]lW5u\uefo4aEZ/]ji:\$&e'\o\e8xVqp$>_%\t8~DjwǺ珤\` &f XoԷm)`3c:cA͊I4R@7}n Oc;baKTl[0I4u+2  7KXJ~o_p%|[/ 7c-˛1Oȫ=Qz]Hu|0t׊1L6kG\!j- ~Jt$ʭ;\\y9ζ"MDs00m2lޅcr~]i'3hۈ~MW'y.Ep(s( 0n3?$;'cFA ĕfcO86;8:Gۡ]·"|׷Tue2^hrT_#k\k32C~0tpL 8Gevo"uVǬ5RV:HRoX/[M8Yb71.UTFt|F{U>(R9'I4G:a BkSqe9K0XBߑAGd] [̘ vdWW[=qőzgLa-5` ~u 뫌}:p+q%{G; > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24320 2066 0 R >> >> /Type /Page >> endobj 2056 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2057 0 obj [2056 0 R 2058 0 R 2059 0 R 2060 0 R 2061 0 R 2062 0 R 2063 0 R 2067 0 R] endobj 2058 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (all_cpus) /M (D:20210608081201-08'00') /Rect [104.1732 389.5346 142.1947 400.5346] /Subtype /Link /Type /Annot >> endobj 2059 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 373.3346 184.2532 384.3346] /Subtype /Link /Type /Annot >> endobj 2060 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 357.1346 126.7947 368.1346] /Subtype /Link /Type /Annot >> endobj 2061 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 340.9346 145.4837 351.9346] /Subtype /Link /Type /Annot >> endobj 2062 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 324.7346 164.9372 335.7346] /Subtype /Link /Type /Annot >> endobj 2063 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 308.5346 180.9312 319.5346] /Subtype /Link /Type /Annot >> endobj 2064 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2065 0 obj << /Length 19 >> stream q /Iabc24320 Do Q endstream endobj 2066 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24321 20690 0 R /Gabc24322 20697 0 R >> /Font << /Fabc24323 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١)Ρ\j|=B/}E endstream endobj 2067 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=96) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2068 0 obj << /Filter /FlateDecode /Length 2325 >> stream xڵYIܸW< (5@n!O0JTzqJzbV7Ls&ES Yt|08s6`]Mκ\ڣX%<LaD),K}g{ĬR|Y_ xxu<m탵 $gKlw0a˒[a#py(DHYI1Bb>RX2UQ9KQ ٭ǯƛ/H 9~G߬|+֯$;>QI fowcZ-I5KwXr,ØnX'>E'rP,4._ɗ%V`lz^#I7W2-|Mb##1@ꗔK \|)2\se("G| SQ?ӐǸ斀 PODs^txV Х6ϿwJƔxb "ֺ{A ws+nad"܌UsDO,<xYRMCg0 4CVjƨe^UMؤow7Zez1-"r練f )½"ˑ9A}]8}R*iyueQeNq/81: n!Kov3J02ˍ%Kiqw=0Z!\^ NM+V$=ʚќh8EBrE+ .O0`ؒ}˹y3#5,;>u-Y\Ν8QvmzF<"2؟YU(< YzhC8wDk}ۃhV69IV,۔zTh!^62XSf}cW5,OUƙ[[(p~ !a]じFhR1J~ =++F(r13)11IcRcz:瞶]k;ik&4FqSHfEoB0 #~UUH:kWRx@lJO\w0܍aW3"9 ; twq5vcE+is~~t)vb:^tsX7Y؂'}vp endstream endobj 2069 0 obj << /Annots 2071 0 R /BleedBox [0 0 612 792] /Contents [2078 0 R 2074 0 R 2075 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24339 2076 0 R >> >> /Type /Page >> endobj 2070 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2071 0 obj [2070 0 R 2072 0 R 2073 0 R 2077 0 R] endobj 2072 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 214.725 111.681 225.725] /Subtype /Link /Type /Annot >> endobj 2073 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 214.725 139.8465 225.725] /Subtype /Link /Type /Annot >> endobj 2074 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2075 0 obj << /Length 19 >> stream q /Iabc24339 Do Q endstream endobj 2076 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24340 20690 0 R /Gabc24341 20697 0 R >> /Font << /Fabc24342 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 2077 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=97) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2078 0 obj << /Filter /FlateDecode /Length 4961 >> stream xǎίyn3@`+çYſ LޛF$=u],VbH*TwKF ;48|xvhUB˛'Qx{Ц¼4LgooQt'\NZHWR1gNhJ*B]~߀=WNf!vj2^/Lρ̸R)@h;X : '&)! Z (u)ėߋtKp_&mZ9J, ]S *6K@(H cƞ?Aa *  MTVs "u kTbb}z'W-Miz.4@{`?][}>-Yes1.'gI% ?+ezP%Q. ex*}{+xBb4`QWk{$xL|  3ڨG,c¬-88،k &2ĆɎ=el |Z: +[>6V=OW$ٜM ?FKcղQ) )ǹ<͊{œ~:u/U dulqf{}j =qF(Vp~ 6 fb&zl!kشn7`7nXߪWmX*t*3<[fxx3D]gylsM;}`n?w |$u&5zf 13`j  E MT{E,se@rV`(\S791XYdFkkqSZNv$0Sjᘨ*QW%I7B겘ɺ}ɞ90Oɂr\~oP.su7ISnYw]9<ݡI]_i{ge slլ/_eM-8;-cЎX{b91/(e BgBb>FϩKQ9{vèqErfQQQ~O< 0%K`%#4SwlE`>H=h4 hSۑ)UDpn5-""㡷؆y0d*KHXK#AUcAvi4ȹ>pX5h?W>$oϑ%$}E͉;f9\ڑ*)ʺmh{r_߼כֿ}hg(qZbYj&>plVl&0B%r2 HMVJh܄D. SGv7镟g@(7ڒC۰|i\D_j¥$MkS@%rjEqДQ c`Y 'Y$rvàQ&}ZJ dTqKlgbf @k€xoWnM2rlɨRٸԪ4Ky%nV啝s:n 0ܬN,2jV+SlľipBu/ޒBU2`[PA%el.݄&J)Na@QvZC,z\?DgC*:j_'HF5ե,X2^Jc%*=Pǥ\e_[8S8mYF7LN |0.9ru90-} ok+V^˿bjyGZV/q\jqVjqVjqVjqV12[| a.ddP2Ӎ.d!k?:wV&~x"/"E֫bZÏ|9'Mpq~2\ܬ++l=fcˡearpqg߿.sy>J nTŒS#?7s~1K(^kIa ږtsFL 0U-pOK\"kS-ڐYN)UR;(mV .FL ]يNh`^dڱwOQӔԫt2llKeE]Ǣ ܷvOA%>cdUiծvF!Ճd1+Dgm=e LV9<ŧL.^1~/2NZ:b^j/8rknh,W xr~v꫒ch9.:~ Ix:Ym6Y̔l:әAZ@a8Bsx߫U3 NK7Ztjz/]̙9i)^7c1z$e7и-=$(>.h~^A&Y0- %4x99Phs;:e|"@&R\%1qJ`&Y*9 #)2܎щup:da.kOtךzcҬAJs⥃QQN4qxP9:+Q@BĎܟ<U 3Ep82RJw3K/@TP6TTAME]qN(RjX(bk!@<c1UƬFj \gWr: qЁMe4* t 2i,k:~Ale|.D +0ؠb,̉V#ڲI3BFWp֏$ƅƒ86P5ڇBb!#gOVH /TPkX U@oܮ fF:2a*v$+6Uq#DZ .o4- H;wsyS!ȠDj8lH~֨ͩB^9D7H "LtR `=CcC=Xob6dB;|692h+}eL̃qӲũh h#3r؟DCij8IC=qLG'9|O)IDE?Im^x ׋R/@F^>N2%QJHNP٭*Ǖ`7hҏAđ"Kź\I$V״@Tt$=-ŏ%nx_ݔd -WV6n}EVtEܰ.ޛT`9_W{ZyfH#KDAOq$2CXBUvb l`X*Le&<`vi*P;hzzB^ewV/bSIXiZsԮtRLxR^Buᘋ 5nGpXEXwckQ3&\׳}$Ԗifƭb4Lj0]0gy}]t;c9&gri;gޫC)̯W,d6{m]u#k:\e7g)Ũ+>S}#yAdž*$S$ nJZe L} RauڃEcWgy-SБ&8Y)UL8V(1q$u=Eσ65a`lpAʋC:d%`qAAVl5 D5`3|kĀm &5%սt928bK<x&^^A(3el'P\ʭ sOdkoRYMC Lw-(ʖY_ezEVQGbaҍ&y0> D % F$fp-3pc,tX2 "ᄕ@~ғ7!b%~4~Ի3n (Tpz֣h" C .`5UeTakScū 4E θ4sJB{ BGNMra*lD8U𔩘 $wɿ`_x^K;;+JTx!N'ʷW0o; BOI{Ɖ/dcaw)ĥ!E)4HQv/#b(uZ5e WXEk?/]1L,ڠjsɭtֻ*vx*A}ó_>݋>˅W7\Z4_`p4N1<,R]~+X֖Xt-&ۜUiݧe:fzk(}=gq^ gi)8,vNgWEH!q> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24358 2083 0 R >> >> /Type /Page >> endobj 2080 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2081 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2082 0 obj << /Length 19 >> stream q /Iabc24358 Do Q endstream endobj 2083 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24359 20690 0 R /Gabc24360 20697 0 R >> /Font << /Fabc24361 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ X endstream endobj 2084 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=98) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2085 0 obj << /Filter /FlateDecode /Length 3550 >> stream x[n$+x6P R|@O@36I ԕ  _d\q '$߾LqgyTH?`WpォBJx*~R=O ၴJ鵔ߑI39O;=ܔӞۧL>b'N 0$מ&d3"X"7hӟ F8û)?wggdpQIEI>ĈSfdZ~Xrܳ*:9쇓^D $WnVZDg`Ջ,$=r0lp+_QBi~.fE@M[7F![S ұ:,WGXZpץr2P+zE;!I¸ND^W>eJ/گxI81iό3_J?~*c6tmn׀ެh:<eb8Cϛ:"`(ɥ.QQCړ*6y=jhS AwT3x*qKDSfڵjM+SF]r`.-̓أSkYaِlv-s࿎d$g!#`6 /z#?ovgӱ"ˢq##]k :JG)96Ł:!&1Zf74+q_20dW˜/a> cc8Ϩ/jU }Y)h0{l/XHn2K(jr :H_F;.7l`#JJVBH񆥹dk MF&6TFxd` K@zSVm7/1\'+bj`ڦy co0%뱎F>r1v[nީr>[:쩷,:5ڷi79m%p.v¦|Ѐ5l!Th-14<; 3[ zQ] ׭"Ob(bm,0|p+w2  OX`)c3ljZ@*+Y (-͗j4W{lN!kcuJbƾ+ϴbaOu$㖗qO=QU*㡉c^iVkcKwHcڇĜKGSOutMuOI<}b;k;=[$4J&<|i{ޔeYR) kb.ȹ]m"{F8l5΍^:^Os#;rVS]RR]R˧|?k*?h"#RnO͌k+gڬfFCM x5YQyWl*;1["Rz.1v\fܗhNdlz>b{ <Wf8D֮ eQ{1M"bҠ Dy+J :L3h'=Nwd38j.[ xzXt sJ5Y\7kxW¡%" Pj'xS-뼲VF ڱCt_Ck[SÃK/tW|e^ C+%TWRazT5 G6.n& ۘ7ȮӠǎ8n blX:ضNtV->M*){BEZ^Uv4;%tMg jF3I_)ĥ&=ka3G+ C3G]/~ղsEZ]Hn0   tV@lg8w20g6Rho*통SΘ>I'<Y/C? ~И*wenSHOl nU#͈Zi].WAHhrsH|b-`>3 .M`wW9ܦi0p J=юMk([CPn^,}+k谱u@ed-%1AML;dq4t-&"/śJWf0=@d De⠔1|XX_U(g}Жj'lX1 "5wd'c;5@&,Rq`g1/x|أS6^a%2آ7*ii^|T `ThPҁ\XH-\ԕϖkFb{#rv7*5 *Ekx62sĩHFÕ](8Lۻ*22ݼZ7J\[5sܙP]i<|֞oul뜵6.HPгn3߲6X_+Y2b߲{[29Z8T3d;A2 d;Xf77oE7n#&-`vˮ*$oC2=()-?1# eߕlQJ]Q`8s Eg(k>Xا龍;Kʧuǐx=xz p/#࠻Rw("3f> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24377 2098 0 R >> >> /Type /Page >> endobj 2087 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2088 0 obj [2087 0 R 2089 0 R 2090 0 R 2091 0 R 2092 0 R 2093 0 R 2094 0 R 2095 0 R 2099 0 R] endobj 2089 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 375.2346 149.5702 386.2346] /Subtype /Link /Type /Annot >> endobj 2090 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 359.0346 184.2532 370.0346] /Subtype /Link /Type /Annot >> endobj 2091 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20210608081201-08'00') /Rect [104.1732 342.8346 126.7947 353.8346] /Subtype /Link /Type /Annot >> endobj 2092 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 326.6346 164.9372 337.6346] /Subtype /Link /Type /Annot >> endobj 2093 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 310.4346 180.9312 321.4346] /Subtype /Link /Type /Annot >> endobj 2094 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 294.2346 177.7027 305.2346] /Subtype /Link /Type /Annot >> endobj 2095 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20210608081201-08'00') /Rect [104.1732 278.0346 172.2742 289.0346] /Subtype /Link /Type /Annot >> endobj 2096 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2097 0 obj << /Length 19 >> stream q /Iabc24377 Do Q endstream endobj 2098 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24378 20690 0 R /Gabc24379 20697 0 R >> /Font << /Fabc24380 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}: endstream endobj 2099 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=99) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2100 0 obj << /Filter /FlateDecode /Length 2557 >> stream xڽZɊ$Wy C/P$TfV֢@1 JuߗmDdT2k =ON}֭teov-m, zmt/,/tԿǿT3K@wjqGOQ"mF>ذfj؈l5k7$Fkާ3ˋƵ3rTueƃB’LdЧb'X|x5ap_\/(bkLFu.tш-2HwևmvvɅyIfOĚ!bwY "Ja[i6nhQ%Lt{;pwY[Bs4$R 4\#L&f `}Cl ]hl3!j6:P@cUE˦] nIײ\g2sQsk{W5"y}~/Qr>iv HusıZ%}AXڧYHBDN5 ?c HrK9uPb @b,:lfA Ұwh* q<"gVI%ٻgp=/7TYb]̹Byp]U#Q0Q fψF"SIagHex '6c?LEe(*9zUwW<"kB!t=<kR7*-SYJg~UIsI'OiQV rXy?~Ph,aT%C9zih+f˂;)ۓo`86z2߽o.He=%BW_uaӭt^sænOټoɀ* F*>8 "gbD *9vd[ ڋKq O%Aiq>Q:A]ɩ$_ Oϳ$YZ_8J$ܧL9g\aPxy1s{;-o۰R[ !76! !i:˴/1mގg>zGil3Ԋ\|-!3R޸-lJ Z XFlD3 ֔>5mdU`V]m&)=3㦵pݭ '$=q=5R_T5#u,س!)a;ڒ'Ὴ^"t h*+ZˤFHg75,  fI + [;+B{ Oz4x72TH4EGD $`/m{zaj֢eJ$4f mOZ' skZY7n{XccY=H1֐ bPr2NrB/Ĺr7Q4\1ȍn7.dA +Of 3eBo/8a&d'2NIe5H~U$7A6&%Wǻg<}j87(E0nZC}) U=!9Ϝt֔o FI.d:.rs9C3#~2w 鮥Ui@U`|; Awo7tYPO'˯MWYWxW4)GМ Ucp(Ow?ț?LEagO<6F/>gsbPy4]ZܳnH^lV/t Tӳq܂F8De endstream endobj 2101 0 obj << /Annots 2103 0 R /BleedBox [0 0 612 792] /Contents [2109 0 R 2105 0 R 2106 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24396 2107 0 R >> >> /Type /Page >> endobj 2102 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2103 0 obj [2102 0 R 2104 0 R 2108 0 R] endobj 2104 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 310.725 147.728 321.725] /Subtype /Link /Type /Annot >> endobj 2105 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2106 0 obj << /Length 19 >> stream q /Iabc24396 Do Q endstream endobj 2107 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24397 20690 0 R /Gabc24398 20697 0 R >> /Font << /Fabc24399 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶQ endstream endobj 2108 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=100) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2109 0 obj << /Filter /FlateDecode /Length 4039 >> stream x\K Wy4^`o5C ^CRԳ{Ɠ nWI%QG$˷E-^,%O-.Qa?.N/vj[_5XeQJk^jAYշo*կj[oLQN eY|cz8iۋ⧅OyO>Uez3M+d5L)WL)=sȬ jU$2fpD+9\yIJWs]!Ұ!x>kcrƣP(`xE]v ކh)ְ`Z叿-PĠ@w(|:z>;C| YҼVP?S:jCzѹ`B4*nҧ'HssCA8ؘ>s'NOmʋv7{LrEm9_^܎+;@/+P*mJ 7^Fv XRaGVe̚b8h۸ZWe:+,6|c7WoV0.򨅎x{L1hsM^Ϡ20푬E6cn|ұ{R-w FE˴Be4M&~G P@.@1VttFs%fFV. C%CbeWlV<‰g D!JČ|mz ~Gu)ci~F]3U(lhZS4̘|TeilJ5؍Ӹٷ-W1[z?7U 1BC(?I2$?{jA-"Su7}"|͍} (ݏq#s38*ecbgbӤ4`k*/Wߍd`^p` H-XӼJi[&  і,GG -EME&z7"pNWhJѿ*a dg*koJ 2 kv_~R\B LUjcHGjͰZXpBc! DGdqk@ v ZdyI~Z-ѡ%;VධfIupCfj < / XK#'a떮~QØf1-+}sq*4ɪ8;YRe+Wԅzfut}%OVM*k_Ok^EwhD6)CG 7$>YD)u)jQ{my/ dv2Tu4]t8qa>4{Tv):j/3 f35b u~Gf&]S4=ɂ^V;"OoYLAŽzܫ~^kF [oy{%>̈`5"N#2-Ŵn-Cߧد`-ޥدa=Z[o\_A }FC)r-C}2{w$j;:c\5st|cnK5E]/,T#:v[NL)Dy㶛)Ϊ1I$ ԡ\9DmG)LCnwb^jDKoXyu}7xDx{G1.qU}xbA_)*ϻvd14%*,d HU{7jX_?eۚnj+]|f4(žB'7&1TA_=mf灈/F\6CRnfHs5ĂΙ[Ctu߆T'#O۞Jm lqVl·|sC@eX^: cv1 czA qQV` ŭ=ÿ7:=h@ (O}]m%kGG-?N}ӡ3pJN]F^b_)P!ϐRPx'j(0y-A4Yg2Bg})k*2 ]{wmn& HjACJrC07.TSG3[i;nX ()ye)|wb(<1u67;>۽IЛ)eUF4vQ\Tŝ2zLX3W8u¢'Utg\z0Vk#g&t}գѫ"xb[n$ n%wNyj8 Z@\[3B1^,V*c~lk䩘ɥ&"]+(q˔BH/*`q\Rm8)nNk=Ғ!PU_jN)ՑaݒH4F։.8ڔ >K\OВ6־sWtaFe.i}EpNfmdWӉ6ݮثAPA\'lG>{>'i<e0W7ߗV!!cH&u@.] zݝVtUEAcJ\g[0CuaJU~@m.,K<{wA j =qr31([rWxՀ-+ ' TPRڦ{?l[: Qѷ;^O(P+^rئk-G~>埲xѮ\n!Di, endstream endobj 2110 0 obj << /Annots [2111 0 R 2115 0 R] /BleedBox [0 0 612 792] /Contents [2116 0 R 2112 0 R 2113 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24415 2114 0 R >> >> /Type /Page >> endobj 2111 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2112 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2113 0 obj << /Length 19 >> stream q /Iabc24415 Do Q endstream endobj 2114 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24416 20690 0 R /Gabc24417 20697 0 R >> /Font << /Fabc24418 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `XI*dzQUh^@wP".*x P@E ۾{w endstream endobj 2115 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=101) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2116 0 obj << /Filter /FlateDecode /Length 5674 >> stream xڽ<Ɏdw~;H 説4 SȆQm@ rna$Tg1`nmzS ?b6ͻ-iR/_.vzkjT zo_mRʸ-çl_0Zھ/ Qc™p*TD 1؅NKoܺ:Z K ,4-|s2TORQ`w2mWZv +E0H-RE8<#̓wsTꀷo?4]];__ tۑ͸WY90O9ԗrT+RbxPe3UJ]?hJ ٺymꛀGd)މiCx-4)Ʒ^ł4Ɏ7s}/#FTj/!T[ JҌkMaa$=9۴U%@3HoHE+.ejo'vR˦V#glk'i囦6fpU{ꭌg+A Lg'5A%f` |O@(꾼wD> h_1"92!UCb4B(1t_,N|E.zOuĢΝ=Ķ5-j-1?,1VFDqYPˣUv-N69 Q"[#>EUc ˸+?5.8cT+B$+K5i}@8's[؝ll"c,&xB+1U3ɳ# Upi0Yqp4{^]4˝H<@uVNzjQ=<;/Gܡˠ5(ct>c1t{2ٮlT2q(y;I02.։ƿrT5C*](^ ά+/`~lkNHU:y 8(V\Lv s, 2PסyOKDۅ(6}-/\2}AƐe[lrOMЪ|tχWLSeG#p&HW4po-Y#ehB{o;73}hG|o=[ x:X$=j+͏{d&Y=b _ 6e.!W~oNy%ւ%fEk#|l`2X]x^|laf+@`j=e:޻R'"I!?R`5pґF0(]?y`8RЍ; G4A&`j@s4u6Dw(d:ք=u>5؃"5R T H+8r 08 ݜX/AMx]1/~M)d$,%:.#kj9l$ \Gd "{$fp٣ f|'޴*@İp$XA©x'+]T"0@CiQ ^HF 8cN lc˘j 7{ Z(޵؈GG8}L yW9=f5T{I\n|넌; +h}äCo `6hp/I@yC#A[("FB9x@қ};՞ʽA| GM 2tmuAh.(llj,j>@0%yHvRH?/3pzxx5-w#/9yOŔO-+{b{)*eژ^nUo. UٖM:D~@yFْ}`{S"9%JB5- t>iTC1)xaW7UG5z<[Z ]9UX,zHkG=$ oF̫ WU5i1HwInbUgI ݘ,%KZFtnf,ipаUi/n+R=742D'F> ^v֦*h%nh lp[D败CZUnt<>N$-6QNcT381l /S .#5x5xOE @Ctoۭ,ũ(\WA|ܜZPߥ3DSs־m2Xx>sTH BDVkRu0@h f(rk7wgT{i+ tj$|eQ+7cX'؝Yws=$`x:q/񩋘o,1f.[J]"Ѣk>GvS+6KJ-D+≉񊻫lq<(`͟G%#߸C#`6go55\R%!ȍ 4AzbT٬ZCwɨ0dNttb&̴GAY4 o4t@85 { ^S2tQ)5aGbE~-5.nR*, tOcS0/N'/DnZh`5PGbؤ! 6Z:k%l5]ڏ"pB Nr2ҕl}.8lŌ[tV!bAuQ!?=b&|ZWM~t{:-q~^(w O wvGgo8.:^yaPKStU;9>]F¿xTD*ȄEJc)ƹ]Ԟ`%:ꄡSrT%T!\STp` %k룴xiXvJefjF4OF΋1k6)D(S9?lM&RLESKe#ŹVG/E$ѿځMv=+F=y#1ktϥՖ$CnjmB.,p:oj^ϭ꧋n*窃 !a^T`+ p3pd+9EU> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24434 2128 0 R >> >> /Type /Page >> endobj 2118 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2119 0 obj [2118 0 R 2120 0 R 2121 0 R 2122 0 R 2123 0 R 2124 0 R 2125 0 R 2129 0 R] endobj 2120 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 162.5194 172.7747 173.5194] /Subtype /Link /Type /Annot >> endobj 2121 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 146.3195 187.8502 157.3195] /Subtype /Link /Type /Annot >> endobj 2122 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 130.1195 162.8527 141.1195] /Subtype /Link /Type /Annot >> endobj 2123 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 113.9196 182.9552 124.9196] /Subtype /Link /Type /Annot >> endobj 2124 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 97.7196 161.6427 108.7196] /Subtype /Link /Type /Annot >> endobj 2125 0 obj << /A << /D (unique_66) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20210608081201-08'00') /Rect [104.1732 81.5196 230.2717 92.5196] /Subtype /Link /Type /Annot >> endobj 2126 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2127 0 obj << /Length 19 >> stream q /Iabc24434 Do Q endstream endobj 2128 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24435 20690 0 R /Gabc24436 20697 0 R >> /Font << /Fabc24437 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuXU|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYc#x#5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2130 0 obj << /Filter /FlateDecode /Length 3990 >> stream xˎ_g C& SU] EI1xlV͕J-+f#?}ɤ?_7Y=->gmR+/߾<)RNI)u0;)u9},%~<[mVOQڽo_YZ 7ASP*蟥Q|F)eܳpݟ=~-pz#aT&S 3^g& 8܃|a8Bpyof1j69'I$!jt=WL?;F@d}΢[Pp=0~,&f ~*S@<PIѲZy|(Ƃbd*]I]&x8E%TޗWʽS(s@xAY`,hR[V[cW-j-kz֒Cmm]{m:>`x>VV0e|}39c qB?Ptě]䗶TzLD:Hib3 Kht>0aN^ }"Bsn8#ݝQ(֍sqʹgrF6{Uj Y_ge6t=uV3x*T[&Oo8{5ӫ#9b=Ѻı;V\5 ;۬[3@Dvas҃ cy 7gUvS9 c+^ zcPsNy7W6{צ$/^:Idcr pk臛o= elN? Q#3&nV).0啌!~iWP%D |kl1vI^\F@tA $2_%5~_ k.ȯ?c3/!Ҝ:# pųISI^92%91%#WLRS'WXV 1>›A\6/ 5ǘyDv8nz} qVuݙԱtUt>7g$hT8F$# ͺJ4iD*I.+@cs7IUM2* Xn]+m0I]Jd:u -bEKҳNd#zbQéK$VQV{!c*쐛.>z~zDUi.M[J'n5Pd}ybFk|rEhUe mDLC$ ?>E1|x%˾M^iT{ 3P#@IsY7b]).c># !1uwՈ O V()3nK2S0=,,^KZf5ˍfƬ!֞ǹ7k8YlCNzMc4bܬiFJͱJmR^\) 6KZB8Xd{(t(UC ;k0̰2 BFCMXK;j}+=(OmС.rs/!_sRoxx5Wk׮MXf[NQv.T^ۥK)N4A§#+*Z9ᓭnv|t~`mX5[.x-?D¯ފ~]E/z{ŇPeNc6vpNg6vKXqܓu ;^=T Fօ7H<3F7DV%YR2[O׵`r],pObʿvM96w Hil]03_;-*bh`#5_VHmCaZ^3v+Z. KhdI!'=NZ*_6/cTYlmi}EgT_C^ ΏtMö(G6.>n:2SeSx71$6z*[M4vu)d`<p/t!U$b?R4RWJb_wx S6Mn|շ(XB_rlK36wT,f.P%YJ& MhpQY[G> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24453 2138 0 R >> >> /Type /Page >> endobj 2132 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2133 0 obj [2132 0 R 2134 0 R 2135 0 R 2139 0 R] endobj 2134 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 229.5017 709.9] /Subtype /Link /Type /Annot >> endobj 2135 0 obj << /A << /D (unique_403) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 163.3642 693.7] /Subtype /Link /Type /Annot >> endobj 2136 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2137 0 obj << /Length 19 >> stream q /Iabc24453 Do Q endstream endobj 2138 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24454 20690 0 R /Gabc24455 20697 0 R >> /Font << /Fabc24456 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2140 0 obj << /Filter /FlateDecode /Length 1186 >> stream xڭWKk$7Whm-؞CnBNxCW%[mJjUWm5O/zA,7u:d21ٞt2.X|J'* &KjXDpXE\5Y]u6B_t6f:2YFg ]@G=m6O-W-|gzo MFY)(˰:fƹt2 w{,/5_p17KMt2kMtoȎJbB&L8/^okzGG 8vpř[i>y-ը;(Z맀#XPgԖ`8ELYgxWEm͏QqyΖ2VOm m;e tBR 75+1S)0V:'v}|\}V\" zC@ۍ4f F"h dy~Phx\ Ť"]e&1OT}ÏH#z,F艩ˠwBւ`m}]NdC#FiZF { 8a aƸ[GOwx Ɗgxr]wO,=0/'\ƂGFknx+> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24472 2150 0 R >> >> /Type /Page >> endobj 2142 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2143 0 obj [2142 0 R 2144 0 R 2145 0 R 2146 0 R 2147 0 R 2151 0 R] endobj 2144 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 329.925 122.505 340.925] /Subtype /Link /Type /Annot >> endobj 2145 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 329.925 161.9785 340.925] /Subtype /Link /Type /Annot >> endobj 2146 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [167.2915 329.925 195.974 340.925] /Subtype /Link /Type /Annot >> endobj 2147 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [201.287 329.925 259.015 340.925] /Subtype /Link /Type /Annot >> endobj 2148 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2149 0 obj << /Length 19 >> stream q /Iabc24472 Do Q endstream endobj 2150 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24473 20690 0 R /Gabc24474 20697 0 R >> /Font << /Fabc24475 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1R endstream endobj 2151 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=104) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2152 0 obj << /Filter /FlateDecode /Length 4302 >> stream xOۯh6.OM>g/(@%(Z=k#+Zֺ+ Dk"; ȱVg7 ׭>(@,CJg7/P@*Q̱>hb^o!& y ȣ!rא6c,Ȯ; vf^1VPQm HoGhG`wjnɫ6dUSSuImc^Ủٸo siWcZlSը [ݶtTl Rh*ENG%57njDDDi(jj[kɡIcGShq"Ihwh& -E^jC@Z41Vy"ծ a/8f ~w͒͏iamq M$P=O`|e.5]uwK ~l"b& iBdt` >sLJu~mnΑs ѝXfyvty!ۛC!S%>p<;Zg{Ɨja FXL AMpE|'Ko C߳KzV?2<3U~mf,jjLs&{ $>;~7}Ý[._bOBCՈ^p׉AB]~^>pQ|-Z eu`BQԠwD"PNXtPWoU3*)Łw]XwEbC<^SlǕz*q d2il Y:C0!pgqȁP֪zHҁxKIkw*$p+Ldn~51RzU-!aEJA'J[F,1գGK2 qقMĞ.o݅q+AU$|*u5SڿfCL+5=  uRi=.z;!Cʪ$I*5Yl"=T&voܞePmw% > |RaJnf;bAЎy|Bްz8'Wevlu]0G'{f/-Pᢒ̞ڴ' 71{y癭9fǚ}!AEs&S ^$] ʨ<|78A?OMJ /}^eM }GF7ei9t{#j]LY(٨Lef0:Xΰo{6 yωg˔֡c& YMظ6Lh|h `sAnf?&QUxwmȆP{KxH wdgf.`N`Rs}ִ kJ[keTZf" E{)ی굪i=F0`@D11o^ ,5H;.UL2a,;Κb„B6B1#]3O"8m,eYm}ĥL~= P"ߪ@cB: Pk#f~_Ϥ U<6`,@&M2ΩӜS5agm9Ŏ 5dN2e2}.36mҳzjibrqafEY2 3ǍģDhaRwr?JXDD?A' ']Z7-b皓W`ap7'G[X kB]aLebUyLl܉Eq@ Ak*ҙw^c*sn)?[M<OsEQXpO7_C'> >[wVoBs;Y[ G+}YIzpSO&6Drh@#vD[.X k*v4LnuAu- ueg5奏-7d~?P縜Sskfi] 䂻ޛΜ-2^tdmm]a5?EڦssCNIGTK)NȢ;r;YXd8dDP" mD5b';X|YS?I{jw_2 q(XI'5RXœUg1V`3 Ng̰;W4|-T"Їv<To<KWH1`N:eӯ9Ztvxg!LuUyfA i) "aRamఐr|y7D\Ԗ܄z (= ݬy~W,/zuίK/TpOL<5JʼnɨKM$i\UTb14.1f*|!N/:.mT4B) c__FğxVy_{~ Lf/վ $0'ÂcϠE huh߹~7Rze͜T܍?*s.=,n#[7 KvI볇%q YD;JHW%odI endstream endobj 2153 0 obj << /Annots [2154 0 R 2158 0 R] /BleedBox [0 0 612 792] /Contents [2159 0 R 2155 0 R 2156 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24491 2157 0 R >> >> /Type /Page >> endobj 2154 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2155 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2156 0 obj << /Length 19 >> stream q /Iabc24491 Do Q endstream endobj 2157 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24492 20690 0 R /Gabc24493 20697 0 R >> /Font << /Fabc24494 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?$jQ<<9C99 3/s#9p!gf \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}7 endstream endobj 2158 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=105) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2159 0 obj << /Filter /FlateDecode /Length 4118 >> stream x\I#Wl@4(PR ̓0xp_'"g2%U [0 K{¯4s;ˣS~🯆+ſ5^H gp/?I3Op,3@@BA+e3]|ϫJ§_U[99| /^{f_52mpĦʬz /Etp)ThiͿ̿?<T Y_Ag*gNUZdE(Ks~~[S{j2JjZOyA޵z,zB)ʼDtAx:sqAF)%dE@\bt{J"I5jg L'n9& +:q@cTk $Ncݩ̀`_a@zU`_]w{X`i:2P,A0 E3L=𒉌&·^ &DQss)bC=٧9c˜7CDeA _ŗ_|!s Ahf3R 6)= v҂QƁK]TU"rvZtwăL\:*Kr~]8$#t%z=2LT CxDӤ[sEqrY,5pP65k ;ǒ;opTkG-;%/F܀+ywQf01BD,YZXVt>ΞY'Ltx=C6JVKd.pT ^@f|T:#[=>6(Gq,GϪLA;FXwǁ^WV[`A_*vܔ0<d+rH{d\IT61&l 'vN])=k@ #4E44TYh/Yʾ,p=m('K4WT#Rm0ň"TN [eHzui0͝Q4/ۨ?ڌfc{d5co/w`?cy7ZXoł{7~ 1ne=b`΃HɦHyQ /6 +v hC-OGm>E^)KO Pa-PyiHreApe Y4ضYA*=-]ͽ%yF`"~s^z{;X(ncѷmQ;.#Te-Z窓&]zN֢ldF/`jMZ]?9X$PQ>vQjKK (o;eOG<[yd,wF0mIJKqQJ˰Zh>gD.BRgH W U Ji ~fHZԨlҐĘ2Ұ2K?TҷWo?YdP25 9m[ 檾4:Gy} SwV,7.j%uc`pC۫x%rT-1j!ƍ>wtdzÍ|˕L-Z*\gX]\tT%[axv/ygHov]6ٸn汧hިQK->u;(ױwL&~X̝װ᱂}x+V̖|Y3`=`[H{*B<_ !xOt!9` vIh:@*Uy䭋&˿vtog̉@ER@jbq+pUnf< ;,oKʐH.}krfD 1q۪1l9<2?|KR&i{iZ'Vw/ 6ppwD@F АMc/L@n c9)j'-lȖ†ui>8 % 8ۢruZ"ߟ v&Y?h5pT˓΅HNxfelT#,[ Xi]0ȓ_F?yr̀n58s*?@4tyӡ,Pf͒O`~ 7mB ߕNRԅNT))唉f.Nq2*\ȶ{9: cHL_IR] ߩ\9C4] K뙖)=ݞa.OC$^֍G3laJ$(]Y7002¦jlf2]R\;z& 3Ɏ]fXk+2Nk#%|ʐK- UH@z ɤ#kKm5r9T-Ok#) ̭MdPcz$joKFq.H \踍5<)|ZWx 9G]Jc"ݤ4L:h' Po3ma90 T6|獘?_qU$>>|2ʆg>O4 ckms4 M>>8vn].sfJUBĩRzHVtTmQcBb!V^g!~Cnt-ɱE"zÚ e͢#?v( endstream endobj 2160 0 obj << /Annots 2162 0 R /BleedBox [0 0 612 792] /Contents [2173 0 R 2169 0 R 2170 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24510 2171 0 R >> >> /Type /Page >> endobj 2161 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2162 0 obj [2161 0 R 2163 0 R 2164 0 R 2165 0 R 2166 0 R 2167 0 R 2168 0 R 2172 0 R] endobj 2163 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 595.5077 159.6022 606.5077] /Subtype /Link /Type /Annot >> endobj 2164 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 579.3077 196.6447 590.3077] /Subtype /Link /Type /Annot >> endobj 2165 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 563.1077 229.5017 574.1077] /Subtype /Link /Type /Annot >> endobj 2166 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 546.9076 181.7727 557.9076] /Subtype /Link /Type /Annot >> endobj 2167 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 530.7076 187.3167 541.7076] /Subtype /Link /Type /Annot >> endobj 2168 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 514.5076 152.6942 525.5076] /Subtype /Link /Type /Annot >> endobj 2169 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2170 0 obj << /Length 19 >> stream q /Iabc24510 Do Q endstream endobj 2171 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24511 20690 0 R /Gabc24512 20697 0 R >> /Font << /Fabc24513 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 2172 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=106) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2173 0 obj << /Filter /FlateDecode /Length 1912 >> stream xڭXɎ$7+t6a PH WsA>> t]~$EvV/eW!2BHI'mD\~~ѽtTeu/^[ס>ƠD}}ѿ<NWŘɠ;P_(vD3!j>9ORAemQ}PKFL+3ԬpޚZϽ~-~.2ۓ("Q0R6%-Z[94z+$d&qǝIp7vawk+fBcJ˘;1,9 }6a6[(a5$ WH@l,i8 .xNG/Tx rl-S{x7k y8 Go;o{ \x* ^2y1o"_U}f!ZzlNSKw& J^1ZZ +{ShCvzyNXw5 ՓNsTG^$J{蟈WkYYl;`X'‚f3[_wR|jWb-yڎjLƔ́C ˺\BƆ s3fdz_f<(A޲Z;X%~N,A$3@0øH;vvFj[n?R,<;O|=gኢp}:~GtH_gmVޭ##+%rmV`EFCr#ꣻQ ȹ쥬x6~=eLIhvNmg:Fk7eb+dfŖt'inƵ+0i+0+^ge$Tua7M;e'8<ĄJ+}“^ K Sk*!ZN]"ZZ$ HJc=)Zixj,5\xfbxUaQy<0s[YFY7kmcVmZ$]W8VdtEkLT;pQh &~tKQ o\vR]MafasBz$nR>>Uچc786WH]"\ g0$G\>&62ᲅ=]F9Bёd!R vlѾ=g cqNlk6}&*@wO`tV rq{#9\x pFxr{f$]FLic'F6p~\.HX}%C ])0qxu˓~RsnX)K9H^aP\JQtgi" , endstream endobj 2174 0 obj << /Annots 2176 0 R /BleedBox [0 0 612 792] /Contents [2182 0 R 2178 0 R 2179 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24529 2180 0 R >> >> /Type /Page >> endobj 2175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2176 0 obj [2175 0 R 2177 0 R 2181 0 R] endobj 2177 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 425.025 122.109 436.025] /Subtype /Link /Type /Annot >> endobj 2178 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2179 0 obj << /Length 19 >> stream q /Iabc24529 Do Q endstream endobj 2180 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24530 20690 0 R /Gabc24531 20697 0 R >> /Font << /Fabc24532 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IVL_%ee8>϶fEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM Z endstream endobj 2181 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=107) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2182 0 obj << /Filter /FlateDecode /Length 4123 >> stream x_fPc-g#N#E=wvTԑ {7T?f[RXs)vۂдPH8)2 _o|&Pu<24D^l}>EF뙹HhvW-:= *[jATRk\wmN|Yhh%yϋ QLc%";Z /e'cm@Po:RDhdU' .D U'#6Zjh6 {-}67]r\ I坉GYYp=gxgHElϣA`wLq.jQo8Wf^(t-8sِf8*%Nb\ڥFQxFbkxQ=ɅTԅmVc휮֬ bE޽:s!ck+vAxWh 0\|bs.ʠQh&l\BJKgj"lggz㹕N#n%q3AlDfre p%!4FᩲZ/&oЂn8[8ຉmoyG Y-,&f*Sׇ1p>KIUX븢1< N}I.7n_)HBq6 {!їוIV۹_{GN4 )hXpNѩ4E ,Pןmof%ϐl(׳;Itȶ3H< "rrD-fDM= r(ow7ѠfA^#O5c;r&BCIm. ~9սYq>vjF$.]l8hR7zů<4 ic'2ׄnAbԳ83v(2}+ܽJavN/%>20\{2){"c|̅ JT_ 앲=Vf|%IGGW{,JЖAl !hx7_\Oۄj@= 2sOŵW5"o5|w,لЕxq~f;" :L ;r:J[E?Y -ܚqQ&]+}bn~RX XA-66сrǂ1&@Wn̆hgs^xcmjZ> [}XnaܙY>ڌQ'g&k "\WS%; DvMフ[4x>@lK܇nƫ06AŠ{M^Bdy$ cY?Oƺ9^޷wՀ[|nª[S*fj5Uuc\ӫ>3ЭZmG,.%gSMuʸ3ʧi] KZmdp!a ZF: Űk:pP[ǘ=ݘ''Vz]ݤ:c *,1LVkG|6~:oh %.:VN@!]X{[)9MczCsP[e< Oifn3}.(]1 R9'}.@)s4Hq~3g^yi!}8;بW2@l0@yR(wQj Uxk<&^SS7~9:#=Wٟ* *\-ȅ"|D_Whf˻"`}ѫÑK+\8ɧ<*DkX F05l_p.|K'0*dd*|#J/:.`0@]rKBn)[;<{JZMm}`݋F>1k6 9XrU؊&h֚o29b\P}, 6.ۍf|P00|DžoQL}>˚:gI7Ω2d;i6OIkM;:fqya:B.|W^KO' F]Ӈ~GNXzIQ"1YxC~1?08; y ?+ g <# gY 'tpL(@Hp<M5zv|*T?st*ۥ/(҆M|5_s)v`G 1gQ endstream endobj 2183 0 obj << /Annots 2185 0 R /BleedBox [0 0 612 792] /Contents [2192 0 R 2188 0 R 2189 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24548 2190 0 R >> >> /Type /Page >> endobj 2184 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2185 0 obj [2184 0 R 2186 0 R 2187 0 R 2191 0 R] endobj 2186 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 104.5539 195.1267 115.5539] /Subtype /Link /Type /Annot >> endobj 2187 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 88.3539 174.7052 99.3539] /Subtype /Link /Type /Annot >> endobj 2188 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2189 0 obj << /Length 19 >> stream q /Iabc24548 Do Q endstream endobj 2190 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24549 20690 0 R /Gabc24550 20697 0 R >> /Font << /Fabc24551 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pT ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2192 0 obj << /Filter /FlateDecode /Length 4033 >> stream xڭ[K#Wl@ `$ `6Yl?೻ьmC+u7XIMj~l;4R_JoUZw*m_N:*eI?bgwE)'O0Ve=L_@xnJKn|z2pėd`0ad$3@2\cTaXE)=:3aߪc!зzD]:0#㣡lg_Oo|8yx(9hdsq9PeIU>H DN#kWn&OSy0KIS"X F܆dU`:ʨ'q ?P6iٍDVf`CL.ArhTVC4mҰ.?D8+P>uE$7Z#/iJ7_7*  B(?c(cb073r gLZl2C6J&R*1rդ3(bGAmEyHxǃ6h'l3Ҭa W2o@;*#$AyMPvN#a֛Zsx@H}X j!3_1_^UcAQb%ӬG<#C]X:Ξx-^c~+GXR*~+FQ$[* Wݟ$ vR6^#^Z9JV#=KܵEĬ1.=v+DnޢLAVYᎾB͙Vg7_iKQ!x$ؽ#i6]s <=yW/q'Uݸ{#9LqzC'&ǹaqϥ ۖWqgw7z`tFAzti+F\HKLvFhqJusFT̵kj5ICgs2O;b>Z:ϱDž)62WdaZsxAAs2,ޜ|-(ctv YOn*"V%{+I;igl9b"`Ѝ;3XB.lZ*ҳdMbѠ=CV=v DdGYTu6pH]%|%.Y~@ :YNyTv^v unH`r1M *ެK(XGkʲA|b:\!l\,&hřuK)h^6jl4@9{,bfIH:vSu|3H$ \bkq,&T!\(KiB00UxR G(, ,wV`9">~5aFQ(bbM'FvbN(&DGZceg B*1={nKd`M.^wz\sI@5*LBbB ztu^y7p(,Zaf+hXlqֽhSkNGNgۘJ* !BFEg XXjϹ8z>vX)赑jlI.ޘT3{knSd& w1NwZO:,늾k,uu  s?T55fc+ C̏D\yplN2 ɺFܭz傘 f A(&0d$VBf'ϸĞ'r<1xnafZM^˺Kv7ͥa] ih5$eV:` RZ11MC(}"o(ʐR0{#VlZJGjj]6%87CzˢS 7q\Wwn݀"eڵbjN {(NC-}&3)5vIN#ۂR̉!s2 ?iܤIZKCk) wj.AthV&Y-eÍx-s(167ݭq95 mjR}+twѶlx=}k+_X%_)/.i¤_rd OzSnGʃ)Л0ݍ&mԠPw".'n\+c+u`|[<}B>w7h9w$R &I3qjM=\_JY]C:-^NR +x.%Q4 M[YOF[2ؒf>kdotSPn롙K 'H]4]`.LUkDfN&&5*blIJ2A}USEAYgT^۳Cj&Ck~5HW5',Wt&٧G+.bƃ wKV(QE kA=qv|1@^7nk=lda}cPY NCыd;ya{cJ:>@ h<KٛD!$!]Vɲʅh1DޢqONL(D]:%7@BɸM7 ,y2\>k5_H "!{Yi׫u\l9Os>k'Qj]<:s Y]Zv}/ƌDo[x|8 o.`Qti :S(n_[O~%Է藅6"jqp]$ôy9&#APV$?/&&rjH:4kFLLO2HACh]$wj,['&WW{+S]J7y@1ԍw*f?bDzm52huE\r&K62 CMDU#.Uy"0[0K2.?2@2&b\md/x_ʣd GRĹjD3GjJ6=z+W2+zM)+IJ'[i=ʵM8Hi)zu}GwT/xn8?^uΰGm*SLxeti3#hM01ŧQ,׮*d;Ar+jr# <,t%U&x$/=@TL#`ay.+?_8<Px='4f|W8]dܔӓu~(/ ULI2{R'\CΧ|e$MJSjΤ,@n7W̘:.y%7i}O~O,ߦ,ZV<||h9Agg8/ssRv)Gs5rJzꜞۢ?+N6 1a"#&~#7h.z8,A}Y4 u endstream endobj 2193 0 obj << /Annots 2195 0 R /BleedBox [0 0 612 792] /Contents [2204 0 R 2200 0 R 2201 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24567 2202 0 R >> >> /Type /Page >> endobj 2194 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2195 0 obj [2194 0 R 2196 0 R 2197 0 R 2198 0 R 2199 0 R 2203 0 R] endobj 2196 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 159.8332 709.9] /Subtype /Link /Type /Annot >> endobj 2197 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 205.2137 693.7] /Subtype /Link /Type /Annot >> endobj 2198 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 155.9997 677.5] /Subtype /Link /Type /Annot >> endobj 2199 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 179.7817 661.3] /Subtype /Link /Type /Annot >> endobj 2200 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2201 0 obj << /Length 19 >> stream q /Iabc24567 Do Q endstream endobj 2202 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24568 20690 0 R /Gabc24569 20697 0 R >> /Font << /Fabc24570 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo@ endstream endobj 2203 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=109) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2204 0 obj << /Filter /FlateDecode /Length 1230 >> stream xڭWj,7+- ̀,3 dr8`"nm5a葪$:P_\~z]t8RY}Wdzv 9}~^Xt55%}~.>]h\ ԿX ǃ hC:hKy6hG?>il<ŰpxA{ anҽ(Y6~`0v+?Nq'6j>~OO($O'z頼kJu3p&|VG)WQI{oO//_ɹ&_xFX-!y=ekZ![J5A-7sWbd.zS}PgkfPi⋎(wjhA_t6X>rhQ=!3nV ̌Ht.} !sa#&@^fف4PGOН:}̘#hqh *Y`YY5ȳA;9gR\V{ 2ɚ8L~S`;AzG2ܮG}$0~V MR)jw+د%!R~9?l6Z!0V*Զ'~]ԪYHJ[eוusEl4JUJGR}_G3n%юw+>Qy2ROҙJC17-/ȶ.K1p2^}+M|,*,6T|cNl-}McNJk{"]4 b/S-J2 O)MšuAiZ 3 Z&!BhbY1 ?]ke]cWm*x7ƊFiefc`ʷqox1R~%Ml1xI>E\˒.'n.dW@~%5aHSkyRNؼ&IΑq3>l>v-\@z5T^Xh4pLM8G2 SoNbqD<يc7'a;-줹9Acyq:Ϙ,^sAzh}}ƥ ` oyP<kqױ&> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24586 2211 0 R >> >> /Type /Page >> endobj 2206 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2207 0 obj [2206 0 R 2208 0 R 2212 0 R] endobj 2208 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 253.925 124.1605 264.925] /Subtype /Link /Type /Annot >> endobj 2209 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2210 0 obj << /Length 19 >> stream q /Iabc24586 Do Q endstream endobj 2211 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24587 20690 0 R /Gabc24588 20697 0 R >> /Font << /Fabc24589 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMXL endstream endobj 2212 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=110) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2213 0 obj << /Filter /FlateDecode /Length 5416 >> stream x<Ɏw~E4tU M>a ]/TU/~'ChUI1"HcR3SSSTX%?w5v 3}>퓔~f-ɹd}}:էg1kR'yVB1[xb_{Dn>O3}uMyf=LN_II.>Ex%i Uj˟aM_NfR#[R )L.-AB,i 0-(*RFXyVPq؛ @;PG !32'-kcķ#PhptD/ _KN᏷c2~RR-a2~cB"#(ҋ2x  `+)Jy߳J'-˕zj{ן C2Kk(|:bCyLѠO_!z@1Ng]&pk x €Tw2QB!Df"Ȕ a?yq6Wag$ &?}֩c.,AyaGUr@fU7Afx-^9 d="Q/DwEso˭rj1AT1zO#Ub[XB<ƞU%g:k f.2"vvgB"aEK4Q;ZЪɪ߰6xM,`5 z[X1ojT\:Z(bu^%@*k+vkbl\W {2zze-t.7 NK-W@G\auLeH< ϰ"5l$$GͤV}wwKnt뎰e+SZ }' G8) ܚu +Ho?>y/t9_Dw #fNI#ک+S*Heg2N8VRv]FwߍNSݎ5-.Wea!WC:%~*8Z*|ߝEtd1ޜE'dzDdw۝Zܳ9iE%[rV <5=Aj/M%:Hm$`멓ku[EUk*DcyyudYz;#`կYߨLo+}ں{c̊_b}Ǐx?}s@=Ofvk[Aw!7sLOouVE$Y*]seZn:kYݬ1 HFerqu6>+| kdXΔwhoW xHۻ8| $_qi?R4Dtq!sE/0!` g1ЖH T}2K4䬖q vRB T6 I:BYw0q̉XD7. R_Ľv$d?NQR65/M/%;rB+[gjR03V Bdz(X[}Ț0V%]iȨA'U~weUp\w*VB3 anqeO$պ^I_/{scKaٸ,XY닅{Bsm0jү" x$u(|[ fa=a=UHb!^Ӹ6"u#^ݤ[RO##פBS`6@<*׽}0F#]`l4̞ثGl"vd%|=mrO'Mm7=A>&*$v2A%hK#x\iKpuݒvK:V~1aPC#\B^g~91l{Sn&2sYCV%AܒhwZ; 99$7XmWZx w9Ķ H*{?d\̂vlM6o9Tkym)xl#$?Lm"6.Ĝ-Sp?}Ng,UKy>/Z/o]yMuu:C|3A_ `.T\s]Ԡ. nP - ԁ;ܗ` 6e/k6s$0̂'mbû1Wo*U|XV)o!)Ɣql?"Ga(#)vS4ڿ%!- > Eo/zQ<%P8@sfsi#BK:u"<$Z*elb 3#HNkX=Oi3Ȳj /YFt.~&|%j0Q* C%AG3Qb>sJu![$1. Iӯխfi%NFz bF%aW`aŜ'>{-H+LӋf]5 -Eu|SgkUF .(JJҗ-uQiv_}xAA!@7g42^Ѝ{XηS)XcJI,fUd7SG_{J+KleC7b#kuO>ۆ:4,7W{MnhDk_/,\U~Hj^F?/.Rg<@eouù p!P/ Ɲ%*At1jy3Z#H'Jհ'@ T aD)=&!pMaD"4LJ$!XPUKYp:>bXj4eZ.h|r@ #T` fl(Ĭ5y8ܪ*R$x@g0F)ЖK?;`8RI!9[<Ț BmGE{ u VOIV%A J*'yKD"wU] ֽX$R T3wJ]&!p'V7K;oinZЩ$ =6w>ADFD˒NQkE?X o*b,6N겎 |PAu/=`Di h %5 "= )Pà+,t1I<%Q8HBlс q8u(79Wx X=v{*!;5ck~&i5`ljFE4lj]7hWq%wHЩoLs#uBpCE^$V$s(RlTj^8kr+Rdtqa²OȠkCDQǐ3҃fɆ4'3 /CA&`4li1; V4g^~~21,LwrhI3C|# ~Q'ʋ|Y|bxc%(,1pnkԧRq/eo$5*(61&ȇ,.'\/evЁ&Scܔ̓uyL-L5aϗyJ+D}GAsJ6f$]rU=^dCd &/nV* X:q3N3uZ󙻺 {5#\H8@r@ݥה*zj2OYKt([6<܋xJ&@8 Fe#@'ma 359)*UD?IP+u>ZՁotP|S7U g`Aj#;X$7^G,[AK%NA$} w 0^H{(ETH "$vL$^Z˺oN@(j|榶tdNA\//j Սo`IPjj2бy}yA|^SY%rMkӛ="CNĂ~i]VE[_/]X\[8|)SV>s7iB/gDo:)zn>g%>geBg=H8iP "(>r(c_ɈӑZ iEDB"0qKox`ݑ|x9IPҏB'jl%nQ5I<JSfxpGl{xNRnFYyiuwL$q ~lԪ@\#k4Kbo <]\H/w+ -o#|%ֳWY+$!,]1Q\T&^SS3?̥NxV SIb.0t(pe@K/FょNІ2m>"%2sY_\kJGsVԺ F։0:\ (`}.hO/s>(΅ʷ<9bm, h:6&ەj)|׽Po=0|;Kf['THWI݁S>e+9&ӡ" 㾹v??Z<ݷ0HwMv Cc5=\/ęx]-]a([^H3D֓[g?~_'9]OW~[M7 W._V0𛾰&O~t$ }pz!YQk)<:47CJ<;~O@@8 6Z/a@t[Y԰ endstream endobj 2214 0 obj << /Annots [2215 0 R 2219 0 R] /BleedBox [0 0 612 792] /Contents [2220 0 R 2216 0 R 2217 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24605 2218 0 R >> >> /Type /Page >> endobj 2215 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2216 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2217 0 obj << /Length 19 >> stream q /Iabc24605 Do Q endstream endobj 2218 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24606 20690 0 R /Gabc24607 20697 0 R >> /Font << /Fabc24608 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM$P endstream endobj 2219 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=111) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2220 0 obj << /Filter /FlateDecode /Length 3871 >> stream xɎί@`0tk9 I SUJzyF#Q"ګH--zQҞŻ%i|_uןPRvJ]^]qJyxw/p~~YjULcd1OJp9+u4JOJ}(/J|Q/\YU*JxyжBYfs)04bBC( Wz^,2\# O*g &3g境yKj(:DY5AhX;5}*©#8=~яq6Xި1ZP<>'!8tk)JD 4 :KSNkj ^|A:.uʹꥅ%W d)LaM2;& E>8uImh+!p tt\IYhWpvm՜*` 8ۑ0E# j )EX:6mѥB}geP<q}i1D Mr2a4%ErRVC.PlQ.@,M6U4QSό{U>=/iũ.g*b 0Άh]6z Ϋ]".YNaU UrT[nN\AʥfiXwxeك YNw{D7+}J-`ő.Ց;ҵ@PȮBs>5qf!KSP0ĝJU PS{ >ȍdo:rJ=1q=uӠ5hl^8-r\ 9l]^wO٩НtN:0ifrhgS Zh|@Z# z5 z.T \SQVgCS74F*8DV3Ѻb r.-tjsa^\4Cs,ϽM1\6RXoܕ_υN( /xd I.6M- 7̳CMf6MƳCU1~VvrKc%m8[/E &%64 ]}׭bvb)< m۟I]5¢X"kӺfbaY4b-o$&ҠoWuA>hgy.븫>#ǔtqejv1v$-:+!Sߊ>Id17{,UPJ fc4QX+,K#J *[EϋĽhC}$f'¨HaDyH3uyȼf'jQZC?a6[)ڬdЭ;YYp"Ŗ5$PƽeeZ*Ye pbc͘URXq\-h ֈdγ.h8cwfj^m¤d@?ceƪlRw+'+#l8p܆%LmcQtW")>ӦB)voe~'A_*fQgeԾs[g iyIN{/$@Vj'Cm3M-8?ͮ|Q%zvvqb6_mάn! &S䏍0znDmʊwF1K}Liװ(^qX ʳOYbQ'M0m^S[jKU*բvC\ &Wjynx%=">..\zC9)1>{Þyn%U66[O6^fol\jt-7MQh W~Ynp`k2m@_lU9#ͣJqηv6Fт&'cț}[MRk]cX^[nCfwS|ܭysktZNg ;0+l>* ׫˦:Xh61mE=Sә22fO`jLl M)=Xd&iT9 FGqlcOjۃL ,ك8q{.ߜ8c4&}}#9AԍϺna7rm [}*Ub:as0VK=i<-ƼoUdU9@kSWݫ"U@v^%8_YlJ > /Sݍc <㝓 cbׯ,MBP_a742::'B#ԏtgsշʚ$U!wA!j%)D  nޮoψ#JysUݑ>`li@EC.~`G ej_<;኱Z\@_8EMP}'7 Tz3 hUp>٢ !zU߁>d mh ;8T;ԥ/Qglk@a["f ^DZׁqb<9B^/@R wq[pWa{hÄF k8d %jZJ^[O8Ѧ6A l '!vPұ&l,.˿BfzEঅ+p e ~\ࠔ)~3Yc K?X$8sd420~{ /+ؕ:<8 }=G>.|f5,9XŢ -KP\t}LoО:?4:6 8Vy$[eHZƹz/Xo(SơJgAĹۂšQ#Lu/d4\^[e-;F:35ވR}X5 ֓{˥lmcְTHh~OZ1FDm2z`YoL}@2eh>x_bP4;q^d[lwz/ ?(xX1W9wk}z͐`2k-S>z15 5d ϋ,Jw3pMMUBVG5>+3O+j?{qUHz'`$u.9Z-j~1 gQ /` endstream endobj 2221 0 obj << /Annots 2223 0 R /BleedBox [0 0 612 792] /Contents [2230 0 R 2226 0 R 2227 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24624 2228 0 R >> >> /Type /Page >> endobj 2222 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2223 0 obj [2222 0 R 2224 0 R 2225 0 R 2229 0 R] endobj 2224 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 438.8692 178.0272 449.8692] /Subtype /Link /Type /Annot >> endobj 2225 0 obj << /A << /D (unique_563) /S /GoTo >> /Border [0 0 0] /Contents (write_project_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 422.6692 184.8692 433.6692] /Subtype /Link /Type /Annot >> endobj 2226 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2227 0 obj << /Length 19 >> stream q /Iabc24624 Do Q endstream endobj 2228 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24625 20690 0 R /Gabc24626 20697 0 R >> /Font << /Fabc24627 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 2229 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=112) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2230 0 obj << /Filter /FlateDecode /Length 2552 >> stream xɊ_ Ǿ@QU5LO= CA}[bjX"o_$-]qوWQ״N$K߮Vh->6H`UIxz2(2 N `EGk);l 8t)NGLa-1Уx;P*UZKӯp2:Af fA#1oZH3bm0WMPc* >Ґ6t3ëx&ƙ<%;=p.6ײΠp0?dkR5[k9'Y/lh0MrwҰxO- @ݪrźe/@6/Cs}wT7?Ag/1~/J䍂+z'q }ͲNA0Y`-j ~UH29ⷁz$0ܹzLQ9xCؚ UvاF:i#UK%;Ϯ9-ZpENģCPa#icR{<7Y}60ۤ6pɇQL-S=6Jv-Pcw4η=íwo$'U9|D;Khs=Ø:[czٳ'[LGln2P1,vZ뾊Nd̠I() - hPN =ݤ7<5^u~/L|+Zw&A'5C1C)?yjɦ䐕JŸv{:fmzB )P:(=v xK~KԟĎCׯ❏ Ts@?<(ݹ4 ?|t+hynRJm% E;,Vݮ9b^gx 2'xO,)LB*I,~|q <P5~ԇ nOEpUk +{*T|-squ]A: KQuT"Ç~[XH5q endstream endobj 2231 0 obj << /Annots 2233 0 R /BleedBox [0 0 612 792] /Contents [2239 0 R 2235 0 R 2236 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24643 2237 0 R >> >> /Type /Page >> endobj 2232 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2233 0 obj [2232 0 R 2234 0 R 2238 0 R] endobj 2234 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 84.325 147.728 95.325] /Subtype /Link /Type /Annot >> endobj 2235 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2236 0 obj << /Length 19 >> stream q /Iabc24643 Do Q endstream endobj 2237 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24644 20690 0 R /Gabc24645 20697 0 R >> /Font << /Fabc24646 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2239 0 obj << /Filter /FlateDecode /Length 4345 >> stream x\K W@9ztUwmrrnL޶\%W,zv*?w}Yο}aߩXɓ߸kWbr $~\#S?gcН՝ӲpL^ؤ@T%!p]PbY౤{ ]b>fT?\u,¹ +/?^ޅABڽgڇ˃HU\^H%Q2L(J)  1[DIOYԓZ& eO{Ap{̿+nZ/5(ZsL[d fRMfJ!@ p]g뫐*{t]gUSJ>3Vhi8P&Fӓ5 T9޿|sxBͺ)g]젴7#j.,$K!`m?]21ͅV -ي¶^*+&ũbb*C `ƔqFn/MTR)8R AwxKJqy e H~s3O v>2.w<`,).1: 蛕V|y ϪBQv.K6G zBf ncb1~ _- 4kіtX 0@XK S l ޽~fb\ƼҾx"a@cRx Ay qux.e ɶӆ"*XU9#5v-1gŶS(4Y]LjaڥJLBUD_e_jͻCz+P5] 8^>]yc'u\ͪ/wGqjz ")H>QΎ//;_>_v|~~1e/_vW%Oo5<%jf^#/ E8!ϓh[/˚MQ%5+qM,XZ& YdB$fe$A)@qӇ)W[V;ԓ*M>i)҆p%t |pM`(@dpϯ< xAAjbȪ81k;{vV};N~&6ld-w綕s%`lJ>!bϱɩfi|eh]Tko!\)y[Pv_(EĉI&4,;5JV_yP=in'R -)mʖ]Fs >500I ʄ~s~](GMC{|&\G=8J^?+B/IJF4Kq;ck+z⭋ Ϟ"6w;24Xr~vH$w7<A/,t0*{ٺʶ˓9꩞MdKj|MOEE :7Y|]{JU{U:_/p]ݔBC~xϕmR9Cop8AGAxˆZHuNKIO$ܦ_ p7m4kb. 57a*V:-dU2_0Q(&Ї>}IAr&.ڻh3l '/F#nCL~ͳ!`w`l̷COk5܈N[&T2ݖS{5K b;O0n wG~,W<.X=GcTFdojdC?(C ")hƁV<D:{J㦉-gBg>8E\9$]f3K|6O]My@(b x3zgB-5/;cܛ ~?d.['܀幤<3^OR9zK LؿcwFTMTΗXJYQ⬃abq}#j]{z)j/7s{-s4ʮj*Rg=rjyZL$l`ЌGl8Y8gרBſV[Z}SUÝ83ހkGxR$ga_m}';`>i^c9 XOM*`(fdU^*_GUr x(lJ 79%LLT?{jr"rYK9K{R7omJ[!ty6S2qfj`%‰-\4bp QεRn6%E[Q}}g)u2dT>Ì }z1X#uyaN Z/j1B_y卭vBD2 jlZp%d}+'vogw>ZeVBj9+MOU Ǧ? փvyqOI>O~HL㹝8 wZdtA>$5a:qXN6=s ۊd F%QUvh/ջzU^F^♝0AϬa2p;VݧR`1a }ǐlD9NiXהʪ[`;0B)vJ* AJ855T"?FngguARBu Ҵۯ/5ܜM7)2X{BRa#f&p;aO: ~&9;^!WHYE Se姩A8TuqgJ}SS^cQKdJ|%MDdx:v6>K6ׇxm?W`\D(H*uMa;.Id #uH&3*Jq!%}TuUa(&41N 4߲buÎSi܊ͦѕLX,Wz[=sHI34BPGS'; l>AX8b>E/U|>᯾ƍO}P-t&Ij;cn?lVW]4Ցs:BKgWpRZ#i݅+ZMG0[n Cg"d-L+ִ;?s7n3T!s9D'C>ES hQ%_{ޞҧ縳>,}8%zᣭh?I:SeW09V %0_,6|? endstream endobj 2240 0 obj << /Annots [2241 0 R 2245 0 R] /BleedBox [0 0 612 792] /Contents [2246 0 R 2242 0 R 2243 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24662 2244 0 R >> >> /Type /Page >> endobj 2241 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2242 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2243 0 obj << /Length 19 >> stream q /Iabc24662 Do Q endstream endobj 2244 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24663 20690 0 R /Gabc24664 20697 0 R >> /Font << /Fabc24665 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2246 0 obj << /Filter /FlateDecode /Length 4750 >> stream x<ˎ8w} XH$Q@z'=,=A=%)aU;.[DQIQ$^x,oߗZJ?*N˟nzywA*ed R&*R>.~+K?׿X`12>1+` RBy=r\o`=^? J:6;yDhCb.\? oȨ- j`.*˩V T3E5Uo>!$XܦërY|Tgy)C0A!q`еE# {K m/^u`CiB C jahb V:Xj<س1API 9fK{`O/!5 -[눏4: Ddu0;`x@ X k! =自5Iu@o!zR;EWfkm`8hfdF}_,^!  2zXpdV$r,-* `@(p0&^8` CX`,b^<:.@VSQ&\.B{R5X([ 5@'bL0ހt-~X/`ųcqs ލ SYǿ#/o)\(c 7\ܬ[wHԐwa GYpDY6t3 F1c[80Ж;IQ$M*PXL kPE* *% *AW(ZT&]2uQғ'bb Cv@p/U&{,F#Qj,E$?tkq\nl%4 EaСq Z /`?ox 7$S :Eq-r$s۞.NbB7yԔC0."J.j/,ǁ,j>x_lm-q,{yFz'8"}Gmx.BczjX"웶hZX7B=l5J]a]WkoQi_ڡl ,bJm|5Y^79og kP+rd)ǶӖVI+1l!5:чq 0@Ϥ\HEs6e5qpi}Wq)f5 s+|t.tjlѬ*9ZД01)l!XMJZs4isڸ9/=|@AzV=0t,ݠކL'elabSnhSde rb/Q2R|J18{:PmL}A*DvjR숣 nu6!! O^6ʋFv$3;WܶsFÅhP.PÓ ƀr`MEԴnD71pn vET0[Rlh1WEEmhIJklƜ^K l0KX!Kl=(AKGҧLר|}]rNmiYiQQ_XmZ ל}/ 6QL4@he#ÂqQ lj:AaZ kϕ-e9RȪٴ-vf2Y6LRfFgWp/u-x'3}Q4g-1z`f;;~N\#&H> q үr0~[MI49*L LG W VOb`qz+ic){$^*4 1ݗ goƌA@iY֨ԏLp=Dki;|S #0S+$ɘz>H<&i((SQJ<@9=Jv@4dZA  n{c<|_|i]lA݄|>Z1< b)(Nxf S,EKAҗ%k鳨P{.C$[tCs/>(oBΚ?RMQ7ba҅@V#jˍK62l*i-VS[>̽{ј>U8/RǬP+P9?lEy* LA/n<9Z8/T v2un`,~x k~|d*0G7\ZCO,;[p7N1?w?sFsOܤ7z˖-S`]{6 t?*Y%(,ٕϒ}m:;G#O,\lL#b# 5}*UIXf(~VZg+(g" OmkXpy.o`<æ{2-.PV\ :X^څc+bUkw--x %`59jkN-˕8~No _bKN,$BaaʢT endstream endobj 2247 0 obj << /Annots [2248 0 R 2252 0 R] /BleedBox [0 0 612 792] /Contents [2253 0 R 2249 0 R 2250 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24681 2251 0 R >> >> /Type /Page >> endobj 2248 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2249 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2250 0 obj << /Length 19 >> stream q /Iabc24681 Do Q endstream endobj 2251 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24682 20690 0 R /Gabc24683 20697 0 R >> /Font << /Fabc24684 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=X endstream endobj 2252 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=115) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2253 0 obj << /Filter /FlateDecode /Length 3759 >> stream x[K#Wl@m`42rrދ~gwK]]hfz|U/RK>zOKdxK/?ᙖ/?wmXR+/_>?(ROQ|R:EU:}̣xd@*,Gj % g^qKQ / ."TJ'\t[?ȗ #HFU g&"L'jFD( r+z kg"?t-Hǹ3v9g~ȉFyX M].puʫ)D)Qi:VeȀ D\'L{Y^jN,[WhMїwi=)m@_t쯌?+6&,΅rXTrL`f|JybqY}j݄j.qzf'aNSM`Ig^1C՘eieOPqߦg9&LKdX LӆecbbQ]XߞMѧJk*nQo]Q xŸi2bz*:~IJB}lb8[Ev]3,fVϏ"=L›^5$ix[QPQRkLPWH`11=5!ں Ֆ"[V 1yN: HQ)t쬡VU _^]ڌbꆆ!?7cT뼼力A=~,[hv®J6 +1RaM0O8F 0*~PKn!(ӧE,z-iܰ 5:g1 K}}GЖW̼bdѾce ǰB3ciGw6{⧸$nG~ ˒S]8(S?JPZ H/=_3f5~aŠ$$_=mTsژ*Z C㣐["5J_}Htg+W<]=tEś>XL 0ziWcWkd絝"#@ m:OGY{W`8v, R#ܦkLՍٛ1iqM(ܧUD(7+@5^ ?; c2v1WVmz˩f.恜SiY[#>͌)@L0s_TvNDzcrtϒoYYYsnGF8.H+XQd3jOeyu>+(58 mxwGi<+ cg=[#=~^66nGit5_G]]4$M+Hb r /7ȳ3,ݿ |w/O=Wpp$RUV8C;.Gk _`_lζD8̳ta:'bkB^Uu^GbQ®ҫدx_fv \rgط׈Qy9瞃WybW>_M~9= _t1/0Ͻ)u6/*-Уv}/ƌDMx/|E#0P%Un_q'[O[#mJiSZdx.0meqDezEVQWbcҍ&A`Y뉸``)~5Y&7$5C~$.a`Lue➼xs{jbһzW>*_R{.9?P]tMkM]y?šMk"PiX#\.x:_r-XjOb#_i ("5+ 'o|Ҳi)Hh.o& ꜵfʔ$(S9?lMTLe,KqMKmhSeeK;,dʵ>Yo|*cp;G19si(bs˯ \:f@4N1LܕL09mPR6 L=z<(-KEeµ7<*h6w(}‰m|xa O ߣJ?{*xzU8rkMY *<y6gbxO۔Ib9.>ǗDd<чt-PC""̵+q*n󚏮9=e\V~Y BmlȇYh.%Պy~'ՇE > endstream endobj 2254 0 obj << /Annots 2256 0 R /BleedBox [0 0 612 792] /Contents [2266 0 R 2262 0 R 2263 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24700 2264 0 R >> >> /Type /Page >> endobj 2255 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2256 0 obj [2255 0 R 2257 0 R 2258 0 R 2259 0 R 2260 0 R 2261 0 R 2265 0 R] endobj 2257 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 555.5077 199.7522 566.5077] /Subtype /Link /Type /Annot >> endobj 2258 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 539.3076 206.6382 550.3076] /Subtype /Link /Type /Annot >> endobj 2259 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20210608081201-08'00') /Rect [104.1732 523.1077 189.8302 534.1077] /Subtype /Link /Type /Annot >> endobj 2260 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20210608081201-08'00') /Rect [104.1732 506.9076 200.8962 517.9076] /Subtype /Link /Type /Annot >> endobj 2261 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 490.7076 204.4492 501.7076] /Subtype /Link /Type /Annot >> endobj 2262 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2263 0 obj << /Length 19 >> stream q /Iabc24700 Do Q endstream endobj 2264 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24701 20690 0 R /Gabc24702 20697 0 R >> /Font << /Fabc24703 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہ5χv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>U]Ѿ 5Ժ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3XڟA);ɜ3F0p|uLѨ\t$x2X4/S(RevgPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2266 0 obj << /Filter /FlateDecode /Length 1933 >> stream xXK79@|?i427/a$Nhx.f˒3k`F*U( S/Y* m:ՇW֪uOMTc\ةx2&9cr2ļN%=G=b̙ɜq~~}G"3}k;<^HAv2HJG q0$@yMguX}_MŘ1cq n^{k슅#J0AFޘ[yv2[1ɓ75OEgSxAǚlb JZFLjEb/Q"]ӥq^ qS^! #1SA&7 :Rg%m#:|]8Feq5Y#k,<9g ~y&4X&l-QN!@@<}QF-p6(gu)JI>>l!p)֨B5{Sxr1LǨ+ttmF'x( cug <24"zbQ'0e}6k)wO_#Wߍ|?]W/̀}&]YsGx[f(WFT=B=J/t3?4oio=E}3x -#}iYul[(1Hy6Ns+Rm׶Ҍ[^7(;NLe[7OxYYI]f ΰ-`[2@J KdyZoֆ̒܆kVsHѾC1R+lئsOZ֚f-^65fn<3Sj1Tz(Ҋ<0網(nh?_LuYkweZT6D&/ır8Ll1#Mqi\5sqKCvJ\\71Mb^qK:)zAH>mfFN@f;J78+}^rU_(\H=f,F)ONŗkbCTϨՇ6)pca=(BN k1-3Ć1:Vr&ΤQ&CtFf9<1 ƊǓۯkFҧ҈)m-pړ$xDk Iꓸ__%N> ב^uw}_=v,mBٍ endstream endobj 2267 0 obj << /Annots 2269 0 R /BleedBox [0 0 612 792] /Contents [2276 0 R 2272 0 R 2273 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24719 2274 0 R >> >> /Type /Page >> endobj 2268 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2269 0 obj [2268 0 R 2270 0 R 2271 0 R 2275 0 R] endobj 2270 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 439.125 118.9685 450.125] /Subtype /Link /Type /Annot >> endobj 2271 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [124.2815 439.125 158.442 450.125] /Subtype /Link /Type /Annot >> endobj 2272 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2273 0 obj << /Length 19 >> stream q /Iabc24719 Do Q endstream endobj 2274 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24720 20690 0 R /Gabc24721 20697 0 R >> /Font << /Fabc24722 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6OaeΐW<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 2275 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=117) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2276 0 obj << /Filter /FlateDecode /Length 4947 >> stream xڽ6Hl? '%H/[OHz6O&,kc-,_hO*vyy[jY_.MM=~Y?Ś˯Tcr˗wZ{VS)'+OOޫoMNj=  ,_~\/j%hz߿}\KWzθ֔hPv0~Y~b:tra+%%*:Gbg;l;#l gǶ}<_ :% {gy)ΰ籰QI܇)qZyprwڤ'ޤhk X+pr#EEL87$'T njx;m܃Bea!@+[ց kܘAQFaoԭ`'mq`[ǿ_"g@,sdo16n)}A`: {@Br>dzwi cNOD_AsUX% Rg̀|ox mQ5>o6>X/0q6ϑ` ]9h6g²:l, ݇Ȍl9>"#, [;֋ܧm5/>EՕE\oRYR5 ,Q%lD:J:X"UϋYY,[ɢ6V棖’5Չ31C4b+ `iH Q'GX򖭇-|M)dk%r>6B"AS[D0l"Vy듼LP ;1=|^^CP_)ۄwAE(5P_OvI({hKؽ*[vdRЇck%a >%=`qG?,胑C M' ^NKr(|z2%bl}G(洊u_a)CWkKmRb7Rq!`OIb?SKF(DS@I٥tƻ`۠aVcП<64`oߩ㒺"sY M<9ܡ_feQ׹\yܧMFd=H2q"@7 zgI^ q&K;f* ĤO !4t6h7n1m:Mu&#'Wl[1;MF{X$A Y0tt6Z@8Nu-tAIe:4CW#}(喋uA" qۂDH{G%~#a QKJB$ed].2Sf4 ]9BqB[-@$yfW&אXlڴԇrzd3O?>cA {mkU<)IvI8 ƅd!-r%_[3L$?̛s@).ȦzYuDh-Pn%D?Ga[V:ETi2DszDa>lXIYl\ӥW糇7x*Sޕ,CoGtKy&6r !”(Gt.~'$ gVU yfCnxl@S gdm|ժ*,&e)-&%ᱲ'hi~HY.fL}WsBQ3O#/dfʱ֐D:$iiZ%fNFg֐\{v i}C}:圚ol+N+N%NdD)5f1Rg !,OfipK,F[6M- `k؞9 X {d!vQU4~ wφ]HWO45 !(XcL»5vU̦j8hjaH ّ~a G/B6Zr8)KQu$HLqx,iK״|MparNd%n@-U㖪!Kqt7p:'D{@SH6agL =Y4.θ Uu=jj~w}.QӽЭ;tK$ٕlõzYr72Gnz;Y^w⿻vig! w4P0x3+% Px7*cnQj&fD3f{M?bu o6) Kw]vk0 pWէQĢVnʖ+4yhDD Arԟ&X!t"V7~Hփ';ԙv[u7o`AG>;]oӰI(.<##&p !9'{)&P.32<#wJ TkE) UĴj7K+nҠ0誻Mn5{K}ۣiЬME V&r\YKOQ݁M\wʠ HՄ8 3ai:'~I!$ Ӧ>]<·La ITZҌO~%LƘ݅XXg2&hl-CvRjCwKRjf47uʽJV(n.i/ե2ċ{"Ёr`vm]nҦm|0# yG~ypW7BhwQkT!@ÄHPk_\DMGq.n}Ŵζ{lzpPa<8hcrצAFte5=O(eNł,yT$[©y ;G ] <5{:!-u~QroSx#6a҄ Bu9&^P ?L5_V:UZ&8ßU\rK慌K#^ٽim_:|PCc֚m)+򱀖c˥Tm^b+YZK(΍71.ULFt|F{U>(RFnG>Oֻy1 T3l3=gC#Kke'I(?_8Lcv(v@`$> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24738 2284 0 R >> >> /Type /Page >> endobj 2278 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2279 0 obj [2278 0 R 2280 0 R 2281 0 R 2285 0 R] endobj 2280 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (read_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 562.6038 162.9352 573.6038] /Subtype /Link /Type /Annot >> endobj 2281 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (read_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 546.4038 151.0057 557.4038] /Subtype /Link /Type /Annot >> endobj 2282 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2283 0 obj << /Length 19 >> stream q /Iabc24738 Do Q endstream endobj 2284 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24739 20690 0 R /Gabc24740 20697 0 R >> /Font << /Fabc24741 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lR2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM` endstream endobj 2285 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=118) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2286 0 obj << /Filter /FlateDecode /Length 1705 >> stream xڵXK79вXca :fދ~㱱du[%2թAK]_?LzsZuy^]R^]^qŘx0&(? `ևh#xS63MȁTA_xǤt¢S[pM4A/NuwI>3(N.?OoΩ ]*;|s׹ POL 47ƕZv`5fZجmuF&3425ӕ$PXc74tt~ t6 ၸ4ए}wNyĜӱ!wk"b|eb%."(H4>, ;9xS7۠cM65wG[(d$r"rܔ=Rcb5aZ_9/Get RB^P6P-+zI, ypr٦xE#%JIY[=N}g'GPPC bh@g M2h "1y*B]U.pU"Ð5EM$i艡NDԗQV |Qs7K>onW? 2W.Ң&6]!jFОY;/cxJ[ bsL/tҙvpcWi%6Ӱa|z]`:^zUN[a]}΀S.2?6;D4w.%'i#J_d7[ߴ7h*mU:myNkϪG7=M*wUYS(da6R`i=(˙D>QxaS% e}VVүl 4mW bm^!^8/0^ VEY J,!6T\h;"ZF{M %+Am6j)^65+5L<2b45Eh֧cՇ1i|,ƹ-F mt]טq[ޙqG#JfE7rm ^#t}[8КH1iB\5uq u!Maa[ԋ9ciuRBfJ[ #ջ >mY͗x{ͳz%Kkʼnrř],O_'<C߾wx/sѱo~3&cu̯vҋ6Gۓtv4G n o:4慿p>ydkXv'5%WaJ[Kg<6'@%Oc&"Y(x˧c3QƃaJo> Ao at; i 6,/GS7Ki*&c7gn?Dy endstream endobj 2287 0 obj << /Annots 2289 0 R /BleedBox [0 0 612 792] /Contents [2295 0 R 2291 0 R 2292 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24757 2293 0 R >> >> /Type /Page >> endobj 2288 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2289 0 obj [2288 0 R 2290 0 R 2294 0 R] endobj 2290 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 400.825 137.278 411.825] /Subtype /Link /Type /Annot >> endobj 2291 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2292 0 obj << /Length 19 >> stream q /Iabc24757 Do Q endstream endobj 2293 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24758 20690 0 R /Gabc24759 20697 0 R >> /Font << /Fabc24760 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=o=kDN{r=C1ycXtlP[QS)hG/_;QOj3Rtr޵n6ZNoK5m͚ˡ|72ɢԑH_%eVK2ufg[XL[nC25>S~Y2!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2295 0 obj << /Filter /FlateDecode /Length 4016 >> stream xڭIn$񞯨.6t|[2 w,D-,q*#r)M&3iwG*v::3?eU}KLɚ˟rs1ǝ>O'-؝TW\XgLZS>w([W2=Q߈$d)h?^L}zxjOIϱdhҳv%xZS~YfW掛̒;.̥RPCc1 "2Ykm߫h(|?!08/x #p I>Xf.ρ| N3tc61ZzO ?' *`}M.NF9MXqϟ HB/Y cgu@&}c3Q&0ӕ8 mظ٧֊8Pȓ'Q 9Bߟ w cl9PO==0Aa=N#zASޚ9JI6<Ώs$y`Cԗe7[T_Г-~DT\;{ ~rf{= ?co;h 8V9,+NnN^w/muJ@cs@ܫLqNOU0͓V?G,G3&O4U\$}рO<wTǫ@Oz9uoMv.N)?:|ǀcȒ$87كC^j!4$֥?/>%6Gl8_FAJws{fKW4ЃC.C$NjF,+> j<U^e WN~-2LJ'f<F*=п&DUB~=^,@nwTdG;!:pÓBQ'LmnWBlw7\~6b8|{ERUjbT`,Jy*f5e%$6޻רߣP$F5% S2A?˒v{pg~~wLvCiڗ`y/])-P`=*!+t3-H.%Cܸ X tStPnONDmC=zǕ╔␧Zu[n̮,l9[k[Fz#CasIW/ŘRA'';Syx$]u_qdެ}UڊF|ͥI](sH$FPJ^4LijPm:!mJ}YlY'zsK#V@ QL8zwָNqJN]fDMpq^@{RS)/6ƺJ>;DXXa~₧ Jz- YguJzolF|-yw D}`aw3L)n>5Üt3^ *L/S%ߗdv CUzE4t`u&͚1W[̧ØK s/-pW7ؖ\z߁aӇZ :,u!0ʜ>bVxX$cȨm9 vU <75%Gh?SuлzozC{o|Cen𮞣˛xZM,rjuK ?5:58V} +nfM|}W,=D8xJ.jSfIũ W"Z饖PE,ȎqLĩѢC^8)$zA*ŏZr]<%Oec:zx*|P40>eɥQT}^I M,ڸ-.tUHpA RYe@r 1۝fnrP1 ~kqc/'U_,݇W&zT\3r46vCkL ~Wo_B׿_Y_711QUǥ}IsEJ΀!q.LL9 cϗG= XbŞ;M$Yb endstream endobj 2296 0 obj << /Annots 2298 0 R /BleedBox [0 0 612 792] /Contents [2309 0 R 2305 0 R 2306 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24776 2307 0 R >> >> /Type /Page >> endobj 2297 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2298 0 obj [2297 0 R 2299 0 R 2300 0 R 2301 0 R 2302 0 R 2303 0 R 2304 0 R 2308 0 R] endobj 2299 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 161.0578 197.6017 172.0578] /Subtype /Link /Type /Annot >> endobj 2300 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 144.8579 197.7282 155.8579] /Subtype /Link /Type /Annot >> endobj 2301 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 128.6579 195.1267 139.6579] /Subtype /Link /Type /Annot >> endobj 2302 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 112.458 192.6352 123.458] /Subtype /Link /Type /Annot >> endobj 2303 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 96.258 180.2547 107.258] /Subtype /Link /Type /Annot >> endobj 2304 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 80.058 177.7632 91.058] /Subtype /Link /Type /Annot >> endobj 2305 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2306 0 obj << /Length 19 >> stream q /Iabc24776 Do Q endstream endobj 2307 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24777 20690 0 R /Gabc24778 20697 0 R >> /Font << /Fabc24779 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nX§C;fũc8T,䪍(査=01H[&#`6P\PS)4GCID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fѯG&DS384hwm-־0Z|fOr;L$u$r!o V΃sV7#x#?d_F<<%C:% 2 'LKB.&K. F1'#8F$!īXN>]BwP&O;2FKh8JF;i z7}^ endstream endobj 2308 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=120) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2309 0 obj << /Filter /FlateDecode /Length 4038 >> stream xn#ίp'  ؒ5@n!S'=A}۸JݞA *[o4WZT))_{fzֺ8k&uMZL~0>>L/Ne^SzzYm#~˴(Ӗ97:X`,{,Z|}0<_v4`BĝC#@vp9\k816vbA;.ϰ"xc:9<(g:ɘP;y];c",{Py߮GڈYP@t<%&9,_"!]$ǁ;Bԡ4@1*_!Myi={$@AAW.=1B63IDn U@JQؐq0'5G"~Q.#`;e Ya/B)hp,tdYl3{Pfn Us Â{UF$KF V\}eOڋ5xP[&I<H̞\a/ 7VdV]r6Nզs7Yt`|ѹivXNC K9jK0(8<Z8lm6::8U\Ffh-|L9ʛT.TGSs U_wSޏen,b=.R ]\:q UU.aH]Dw֔myk͹uW=N; 5LBh G/y FNkZ܌AHk*_%sBr#̙[1\z` dAdP)vWVv6ـ:Y:9ykKxzD8є%Ƒ,X0Į笓fmaLnW~ KjA3ƶQ i k&-)~nM8qp*ܓ,:SC2nk]ucͬCp rI _ߪ erL qIPhJhT9#jTG%~M?dݠ/X>pNpxPt]Lq trt &hnDNƉr-6d$Y  $pOtFG+&V֮[ r~ Hqo-ma lWa!hK1bKȎ!1[(3M(p'lZ+{Љ8 QQ?װy4+"%ZpmOTmRkYV[H6KKk9Ȭ6\|VD>I}Dj(E5fy$[0YGa#fNِ*kqԛ+^o };&7[(^m1D. zbW{bnDqX ;6g eŮʢt2(eR20h{rn*|ʴq.a**]ai#TFIl ;\CҖ-h:kή YnvƜ/,ڌ-=C=jj[ Sm&7؝`7.١Dd]DZ[FVKɎ۞'ײ{$^l8w\IRDL[k7Izvii-NWJ(ZRgLE{gq:eÕbvcݜ|VH IbczIs:<6͋Klriӈ?qb8m+=֞,s)حdl-( f;_\UMJ:pT0CpqWԅH1gD*SvnE1)E,/^ʫ9AY`k^ ]6t' [ŧqE}4֔O8 6Mx8}C3]=>]fMك0uo?Ovzc;6H!3*w[}<<>sݾO-l( p&E:#%51/JuDØ}9%ݦe uׇw8!3 hKMn +MZ3yij@7A Nl ؙ۲]ReO"eg೧M}ƾۉLj <}Qf D3XNdy3nR,;Kx>]>9 + qIlXoL+",4݃\ Jrȿ)_(;K^`w䟁-Hv(B IL@tp2t˚ Va.͛X@QFmWapuxhqY ʃS8ᣔ uC UO۟V!䕹cj氈4gMa(2b4Mi8ZBlI y,|ucP1G> (7*([,!L9}a9C{.M@%VC:= 躖GLC)Jզ  mw0,~#$Ef݁Ԟ'J^C5v^ܭ"`;+IVk; ׫2сڤu+5:/o[6 O~P(sj(3taTU&h'f+lWTBRkeZ+n]6S S"CF˜/oTYKZ[?QMTǧ0`5>5LE^P߰R8;GG L߆eM^9VuEQw)zL7EuoIQ~HS8F:F-YVZ"z8sf99bL%JJG \vIyg:Q R~6 Cu>Y?ȩOcaBoƫgyP>p{K3VIN9.${6C_5~k|Ri^z~Q%]ӎ_ck'o>} HB t;i69HB`=΃.%=h*чi2Pu0!Do`͋]lZ2Wz:B)6a9:}>mCN,;bCSA+ 5p.M!_\c>ݍy|@^ށ<*e^.²]p=bfjw cf::sCXVC̯C F Ý}7[ K`LcNV#EAM\7\DbmggXST2 QH9{ H $k>jR_ -%mvjE &)I<ʖQSTkꚶ ؒ&,ɐ%~~F2rԀB|rJ&bUMC- (c OF0&`YF(oqjŃV0jw"U# aj+-x*]7eD˔pIvgT dL,|RC0CR!vy#j0趱nC^6 >`l(+|G. C[ۆ"E449΃*7!WGEe@r+ubn R&d#FJi4RgTqYY{X bTiq ՓbX΢; g-/ 9H&Tp9w-@<Ͼ8XcụitҐZ3dq3Ю/BPm L HgB'y~O~Oo+Dbɐ1Y|VT hl;5wcAv}U`Ҧ(5Re}Q7t 5< b׹xd_ r}XFlE'}w-?àg endstream endobj 2310 0 obj << /Annots 2312 0 R /BleedBox [0 0 612 792] /Contents [2318 0 R 2314 0 R 2315 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24795 2316 0 R >> >> /Type /Page >> endobj 2311 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2312 0 obj [2311 0 R 2313 0 R 2317 0 R] endobj 2313 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 208.0022 709.9] /Subtype /Link /Type /Annot >> endobj 2314 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2315 0 obj << /Length 19 >> stream q /Iabc24795 Do Q endstream endobj 2316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24796 20690 0 R /Gabc24797 20697 0 R >> /Font << /Fabc24798 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH&|բxy /s:9 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{weR endstream endobj 2317 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=121) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2318 0 obj << /Filter /FlateDecode /Length 1137 >> stream xڭWj,7+jh]=[Ydg"d5oJ80HT:Zjz#K68:RY"ÿpoGOegmKtz36<-tKh޿ ;zgLrxBEy 3DhҰx2zjotN091x(0oM`gmS$tr_~yR. dS(dM8[n1KjUnE8 ֔\٤\[_) pU'u!m.'z͜-3Zէj]SG=#m*Z>ZN|g6`|2_ެEʅ:ܩ[x3DH*[6J]iVL zd}76۩ 76a 'à2:-S=nzD^3_aU}د92jg J)!5&lLm Ad*+{jG~]& [+ۖ2 z,R0JnUW*J jʺa+YI_q\ˊˀU[A[g2f8T ~342O +51. Aj|, | 4&N,XZG&Čf[j[ pm^CAsG_Kece+y_!h(a)'('|!ȧt}یQ\hb&F\K~x5o.'nE^!V?)- ^Lq$u#fۤyJӀ|C0啕]s3kSc=s*M׸٘gz< wckQ;: Yϙ3/>-:rMD*]}x\։cbۺ!Du"FiwIbA `oyycܽ}xƂxN_ Yen?≂w ^N8:( JrS2קmAoYcaM'|="Ί byKB鵶([Bơƕcrq!_oj>2[7?Xkӗ endstream endobj 2319 0 obj << /Annots 2321 0 R /BleedBox [0 0 612 792] /Contents [2327 0 R 2323 0 R 2324 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24814 2325 0 R >> >> /Type /Page >> endobj 2320 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2321 0 obj [2320 0 R 2322 0 R 2326 0 R] endobj 2322 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 263.925 122.692 274.925] /Subtype /Link /Type /Annot >> endobj 2323 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2324 0 obj << /Length 19 >> stream q /Iabc24814 Do Q endstream endobj 2325 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24815 20690 0 R /Gabc24816 20697 0 R >> /Font << /Fabc24817 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1V endstream endobj 2326 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=122) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2327 0 obj << /Filter /FlateDecode /Length 4657 >> stream xndyF4p]rL999 vK~?\z9'QIEmߥ -WYaZz'F?~o磓FoM[ o/r\”kcKGm>詅7-[Rҙ>"f6}(|ߩص ]OTk ԪF WYq"ĬԳĬ_s9Hר|J'S^8 03,46%AbU* W\ķ Y&=ug?9 ڱV2@٬IBSAzk!U 3lP Bu6\i׬=>!k! }hRh$h=l kv^'ؿ?~%M?.*VլMmV]P.0SG}F@({}~*t0EZT ȥ gvuj~to jZ0tTs8mXA5W#$I<]Bhf /G=h 47 ؐFCk#OEl"7vXY!Sw i$0,C#LgmB+f(5勖dC%ƨ̀ٗ @@K&P=t밻1Wf d4 [flV`-Ĥ/KLKK[_ }8zd0 T 1Ag 3_J Vp@A ƨI)g EЁ')-C9l0mhѰqD q эWibmiM*)~Ru$ KoEՉ7hzS`7"cg*igioK,4/K:Ț5:/ `ڧ:1 O[KL,AxQF#"qXrYyZ;q;i@6Mޢz1 w) \9ݡ ]'_TH,Bŏ{kBW*E\ އʵᇫ怋6Z uꢯEh`(CQQ|ykiOjClT>7B2hh=RC{Z JsWPfET xDգzژ#:{%UV0'A%qᰔ?rPuf\n=5>a5p<)FUWraD@lA4#;aqx}ӹak:TvH/M ?d ĕu 9~T!^Z ;}ӁbbN1TYAB_z ؆-_9ߴqyo{R/vmN^<`q _t dmm7ȵ&q&ƬԠCXF%a!i*aW綒~bB%a* hi#Rށ$+T &Jjt @# 7f}%4uD"IH&oE(4DWV#me;^]E&g逄!4Ք+5YPFsE363x1Výx}G L\3ddĥcpݖHr٤Ԫ4K =iBiUeea,F4knPc0YFM rprNedQ6P4Vz&*┕\wSx-f8;iUlf&ZQzfRxZ.ٞ_ h}6ڟ moTյ,؆&XI/ct(Z:&vn;VTSѪ$[nl Gw^nqO(ա \7 w(l2n^7bx[T>Q=Qˏؕ=_bAZZz^F(jqU_8Eaz+=.ĀfϮ[MHQ9f\wxʮ$ao Ζ׷yG8+oy~䚍Kw+pqo.n7K[?J>8($yUuk(Q FVҌ\nHzVO( ,eDž[D;Cs5wLۢYu>aIaɵ dF\`؟--5`z ~vXom/ДΘUecSc=};i t܏+^LK{%]ӻ+>[Mԁo2N.oHʋvcj0_7lo˝bOpGҏ7ˀq9\~,+{84y#sIHB<;_u p>§nXiOIga%$a%mNway nkiƘ^U׵e Pl6.C_#ޞ ʵFOzs;OAʇð#8=Nq1R+m6hwVS28y3-x$ul8Zm  ](F4Xѭ?'[O ` 9+JYc]FED[se|&+-DM@>&ec$.  Y}JBK0' %-&Zp&э O$sfh/@цg =%9ԘZބN:qXkA%4 l :@ o0A ZU}82?ohxd0sF5pKE]dHh0AlN)=] AПS3-]30z]+8 V9,zN6N!Ki h'GZ)-ͲW!6Njd̛<`njr8˦| OKȪx{j]H7C?h̖_&)U >4 NPp!\S劺 ,!`R*E'Xz)o5.W0ӏ}QV )*v|@awQ㯞rv6.Z-v`6N&ͫA[rs\0hUiG̻5ݍ4M{f1vs ugw2fo՚2#Aaū,Ky,1qɖdZRw{t>G&;Z$p+~iW@)đóɀ9Nlgfp+*>ϛ9wr.:yybDywzA=1k|ce ]z/|[lrǝ;U8sHho)g"'ڲT2^>@oXD}n.?7$G`Ndnv=B,x4dv{jÃ< Z:^iy@77MyR 2'Ax14n_" endstream endobj 2328 0 obj << /Annots [2329 0 R 2333 0 R] /BleedBox [0 0 612 792] /Contents [2334 0 R 2330 0 R 2331 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24833 2332 0 R >> >> /Type /Page >> endobj 2329 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2330 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2331 0 obj << /Length 19 >> stream q /Iabc24833 Do Q endstream endobj 2332 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24834 20690 0 R /Gabc24835 20697 0 R >> /Font << /Fabc24836 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuXDth۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XL5ۏ"cH&`E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM7 endstream endobj 2333 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=123) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2334 0 obj << /Filter /FlateDecode /Length 4610 >> stream x\n#Wӹ/ @"o4Sc10ǒkU,PeFfأE~l-^[S/m]^A*g/~[1ϋg_`er|T4碃t>2ohQ`h+?/F=8Z <"]O&82y>W1/p# H/|FYh4JI Ifէy"wC נ6!ۆhimȩ,-d#@4+mYA--N&eƙS.;_6n`61ViJTL jrtTiBlUl"" +nr%к T#iߺpe AO&>&{S:ʖ?=J`.0 K_Ps*,Ze^`NFy ,3ج]`\'2%߱ZҦUXAn6ì[ZCZM[HK *N~Z@^{s< .?Z&zޜ4f5]{\N\Qs^If18#.k g ݫYN օfǧn{zJ)+|ބQTp^kζXpNvщmR!j >ש=b迆 vbR򯜀@R=\tBۘV !6Z_s犋 c)z+X`dڻ W` ,bYbbu@ngTW^6Z(YW(\mc =j5?O=UP!JF|=ZY[s`U2BnAyq"IUhK) o)PR N2;]e'cMg&0?17Kif 1_^DlصD[R%^vQB%@ЯŁszepz*j:QƵQRK@1#Uf85WxRQS-R5k檌S-"_?V}H7>Ğ 0rdBҹ;U1f%l˱(BUCQ6 hv0akevE܃鵃~9s Z74hr2@+Q-S!⎦@A4 3hMFjGE߈O7DXɓÍVfѻ^:(|;ﺧEEU _&SDxA)~βfDmm=CiD{ӀQ˺w0ڣVQFe(Te9:|~mh?تQF9**yЫ,6,ljk( 3|k%v alLj4S'}˷o7^W@~&'[7vw'Gٻb([*% jEg#3f]:X-\jjx6-V㻖,jL/XxL}gK5P% r٢\4GLFw*}1X|­Z x#sk[W*H6WޢQd׏6F wnuy5Wj|s66J y1짫1vX@TFXv؀ZP*P5+!LX5Ҥa.ފ|`Kb?O u*e+F٭hKm;Mxx3zˬ\m"ek@2t}G/bFKD@Bk"˷ߞgÎJ˝EKpԆ$ú B !2%^025ܝI;4uh{qug:nuh,|1s4_=~t,K!Xih1)A]B^y<Yt b4eªia,^?_1MF׿Bx E9 C3i8ek:G\c1t lQu[rZi4X*^Q뒓&p27(ao endstream endobj 2335 0 obj << /Annots 2337 0 R /BleedBox [0 0 612 792] /Contents [2345 0 R 2341 0 R 2342 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24852 2343 0 R >> >> /Type /Page >> endobj 2336 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2337 0 obj [2336 0 R 2338 0 R 2339 0 R 2340 0 R 2344 0 R] endobj 2338 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 417.9077 208.0022 428.9077] /Subtype /Link /Type /Annot >> endobj 2339 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 401.7077 165.4707 412.7077] /Subtype /Link /Type /Annot >> endobj 2340 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 385.5077 179.7817 396.5077] /Subtype /Link /Type /Annot >> endobj 2341 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2342 0 obj << /Length 19 >> stream q /Iabc24852 Do Q endstream endobj 2343 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24853 20690 0 R /Gabc24854 20697 0 R >> /Font << /Fabc24855 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0yZ endstream endobj 2344 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=124) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2345 0 obj << /Filter /FlateDecode /Length 3535 >> stream xڭZKo$W~ Ic|`=)ڍ-YM֋bk?X)//sKKuy[sC^ kiy|.WkdO[KO \j1xHZ1(Zi}v OӷF `t1,SW̍^_ +ޢn !j{bޏx~mhibcKg/dMv4q0HZYk Ū38\h/*<a!6lZ_y>WEB@OEdװLF V 01h' ::y qK\TЁ%kW22L^&`t;K#MvUJGh* ͸8 6  3 Φ`Dk֢F";O#ݱ:Jo{̓ A`iEL(͕N.y;XqW w?ՇiXùZp +5CM=Juf:erD֛sS&YW.z- K:q ĩ~FVy>)ppXB`>*$ 10p;wi~~0vzZH7ղ=*,j&Ga3)!@{|>]lU/fHgX%ģ,d0~TO"=kضz.ZR^mS 6X~f̔g<=ޱ'C ^ VkPhj&ՈGq+d.mض 7F< S5Չ\+ҬÔgjD:˸h\Pbt\sixd2xs/w|Oca&٦Ǒw[ǷMO͙vGvUB ;:jq :Qފ޺ #ayt&)Y;QQQ\xlǯ}Q! kwziKHD-62!C*H+GJϛaJOҔّ}vv 7EZA}cꅱ[HYMD /b<5}%Wo⟮sH#3JB~49ҍG^<|p 5-wr]fWnhD@,.kBDn*% 󗅮+U i߹FO(/g@ JA|4tbq B<}ONE% bF 4 " ~גlBX*Ryp@{{teӓDdQ6o9=}m<]sk:KR~vVϙ\s:_~}:";y`cUוCB 5.J+\-a'R]%_yԮ3F_fv}Nŵh)ϷHYthKeuAz MbŒQ qai^轍ܕoqn^s|ys (;nպpM\:q7iwhgMC#WKpH7Mo}/S{g{32,4e ̗<8^of/QD\O:Z0;tlF-}*? *د|%czQɁ *o 5T 3c}Xo!Ӫ:>AmA]̀ 9Rݓ[(c˳q)m6(N gfo_af[j-s qZK8K~}Ბ xċ:LKIku@`Vmu`R/wFVG(8(0$ت4LBBO[9v٣ ƀ HyΊ/ʮt?1lh&ZeK纭 dBv+i[0-Sm[%Tdx[ԙH3F^Hnd䀏DⲪ_lɕtB㪃 ~B~ "%:t+ 3{Eb`\  t3gp74V C6gR>30Iy,28{Sڴ o#i[*0ѵ-]ơB Cax>1ƥOom IRUTKߟ$ppS g2pMN(z-fgUYGtE+[kuAtcqckiSU33>OV6: endstream endobj 2346 0 obj << /Annots 2348 0 R /BleedBox [0 0 612 792] /Contents [2355 0 R 2351 0 R 2352 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24871 2353 0 R >> >> /Type /Page >> endobj 2347 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2348 0 obj [2347 0 R 2349 0 R 2350 0 R 2354 0 R] endobj 2349 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 439.225 122.505 450.225] /Subtype /Link /Type /Annot >> endobj 2350 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 439.225 161.9785 450.225] /Subtype /Link /Type /Annot >> endobj 2351 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2352 0 obj << /Length 19 >> stream q /Iabc24871 Do Q endstream endobj 2353 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24872 20690 0 R /Gabc24873 20697 0 R >> /Font << /Fabc24874 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 2354 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=125) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2355 0 obj << /Filter /FlateDecode /Length 3815 >> stream xڭɮ#ί/'id7;4/ƭ[V,"|[̢_R ViykϿC_-_i9X_~#_gc`]xR/C)X[mP Jiuu VvLc??/>oD$._}0Bϋ^cФWKDf[Lc%5P  ~ڝ1Z u [y3<}<p,ޖID wzZ/OIGpt,RvIR+B5FOa g?< %0Wcqq1ڬi˟Z~ReL²z "bWu@E@hVE| }d.Qnj?aVh}2 `=>ށ| v] axZ\a#rOEdDR :" spfQ r9Y GCZ EópScZ,שޫW`̶W Tu7bu/ĮC {(j@i$|*eVq&!{= Ѓ'ο2Y. ڷsY4UЄ͈3ߖ̺snxSpltvkl<" OD2[I9-`cg1=^! Zi"eVY;US;Q?nK oؓ |E;].l , ڳ[t:|`n<ӂ /е8 ^(̡?6ZN(ܟ`"/Mw"`Nh+Le课 X&>mRbUQ !!`mIb`~JC!A7䄤34^35vTε0U^x !y*JKa~iyϵ7ZjPQVu blf- E Ϋ2X&C̊ [/ 'Xr[-J/DL-mEe.oݘ^uA|*51SoUwl&MXa؇d/DtZ7ʟ"eo>►$sY M<9ܡ_be^\y܇MFQl*-Ƣjpkaۮ:t?a#UqL\GܝJ5@ۧq𲅼!u'^m6i2&Q, V4: w4 yv&XAz3QM q i~-a>&|Mԭ,:m#u9 Ǝv-I[J²j: < ls1YӹbGэ&Jh z^psd~_YȦ.шѪ%oW=^C2>:6'\N45U8+;7o<eIss?^t+k1@h VB3Hl3,s fK.I$=FH0w[KT>]*X GT jُF-"'4r: cLIv|C息R͐ 6q3NImfgӰI$#]\k><Ğ̏zC,5fiq?K)@pRiY<ĴbH%5/;. [^RrWrGI#%փ kꎮ\\x#ɼ.x߇8IsmT w.^eb1jb*(t,n%kP^md:3(&D$ 6b4T7]5㛰 Sאظ^ur]u .~͞nM)A6lФ~lWKlHxtv4{ɫzĀލknVmUW9{7kAmlЙꤌXu\,2~Ml "0j/r`[ %듓č9Ff^`?]sCuwֿ&m9Z5#j&2%"5d3r|ڋYkz*GM͠mu<^dhK"sJ*I^MMfަAw\FMp&v'=Qj㋘KRDas"@HKCPc c"dČ5l^SaLB h4rY>dyi)y kk-QVuʘtPrxcWÅVtDtotX#2Z$>͘'s/=R-k (Cp#PPH Ng}aEGLk.Pv'VxIhW?t5ƺ5[ͫpLί˿y!M3&p;p0@ Ԡ`WX \YQ,;myJH{)uQ`z+dƚqsI-W+u!rAEoyE{/x=:kDׇ񾐣 dxx&^SW8:##B@* *\-ȅ"|ɏ?WBͪioErkѫÙxH+\8HSf"52A#L \ _7R**a1T*TB-:!` z7䒐[ΰ4O'QFIK=1O,{ɨ5:fئR!G K.jvYhfQ_sMG /E$?&eެ:_"8D_:~EݰII7Ω2\{8ׯ??=kq}#|.ꀿm_{"um%8\Ca-x 8ʕ_/~_o08o#`؋ 77 ~pVI+d>kO3<3'x$o+iפ Oo>Ym7=(H1u8 {8q[C> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24890 2363 0 R >> >> /Type /Page >> endobj 2357 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2358 0 obj [2357 0 R 2359 0 R 2360 0 R 2364 0 R] endobj 2359 0 obj << /A << /D (unique_403) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 163.3642 608.2] /Subtype /Link /Type /Annot >> endobj 2360 0 obj << /A << /D (unique_563) /S /GoTo >> /Border [0 0 0] /Contents (write_project_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 581 184.8692 592] /Subtype /Link /Type /Annot >> endobj 2361 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2362 0 obj << /Length 19 >> stream q /Iabc24890 Do Q endstream endobj 2363 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24891 20690 0 R /Gabc24892 20697 0 R >> /Font << /Fabc24893 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJ^Ā0dD/P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2365 0 obj << /Filter /FlateDecode /Length 1520 >> stream xڭXn#7+x9@`IM 'OS.K_?vN_!kv\BЗZƈ x?y/*(|ѿqڄ5[[2@І^g'1m,ɜBTļrk㱒x~'N^w c^<Σy7} Wi1\y~plj "JYdžeG$ɻ#&ZN")l@hq{na]h{M<^7=H79} XL]tY?ϝL&㧬@BeZ\:U mI{}yEad]>#8e|(Dz: ]՟zr^;8P̏PUbO5<qw%iL)-J6 lg Xl1A͓7sÒ)t[$wGm=}⋎%j` .2R2Z0nD7C+N&#{Hob,ir-_oWwϳvQgkiobG?; cd_݇FS뚯؊σb Nr6h'L!jQ-Y8YWӱ_Vkٝq?֣d GEt{&obaв1Fm5D _& fLlJZGO? emn3x殺ŏn{`ueD~ِly=LS՚/3eݒpG;IקN/'Dʄxi9^B.D+ ccy!x1mx'*Rw(g0Pb8 Įkh38_c*Ҵh(f(Rz3 2KH :fbM(~eY+G]5vQ,eZZ,cJq R(я~1FLl1'8 9o.%n +iNMX)- ^*i5$ #x&oΕf| )GVM+ ^K Mj~15.ʩH};u T5|<{J^Dž^OL{_g+zp.~ƓD`q8Bnc(ATa~nQvdcqN:ο74$ ;JcC9XU\\B8MqfFa endstream endobj 2366 0 obj << /Annots 2368 0 R /BleedBox [0 0 612 792] /Contents [2374 0 R 2370 0 R 2371 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24909 2372 0 R >> >> /Type /Page >> endobj 2367 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2368 0 obj [2367 0 R 2369 0 R 2373 0 R] endobj 2369 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 425.025 124.1605 436.025] /Subtype /Link /Type /Annot >> endobj 2370 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2371 0 obj << /Length 19 >> stream q /Iabc24909 Do Q endstream endobj 2372 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24910 20690 0 R /Gabc24911 20697 0 R >> /Font << /Fabc24912 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwob endstream endobj 2373 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=127) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2374 0 obj << /Filter /FlateDecode /Length 4969 >> stream xڭd]]=[r5˷Wߒ-߾/|e]nwGEw/bОvg]js70GW-A~ce?u[,M;\),f+S-f [%-Pɡ y=h3YA.ZU>=񘈿p}d4o1sǰe4.2o!Du/ܖd—A@(тo@D<*F)_kx2D d/m`e[6v &MbD. ܡı,i[%ԯ?_͖l/Uj HŦl0 8O '/g' 5 P (<:43T6}5DS} ~"{v|xmaNwmlD@on #N<#j}saWy.zEayevhufUY$1]d OlKY F icd~maX/zLފ>G6G@"wql#hģΎcGYe+Cs45uU[5aK!ڌG'N ,}$.+]̟0QDq)+dW,SZ>r5<*CYس=}D>a)Pn.,1ԩ d#j /} QAM\`GwZH8 SO &{!:Mxeh6G#sEo0ꫀ5;KmR]PNDn1<@^HIlǝzjh RO '$i6q؅D /X/|h 9xTlTjCZKuxjyʁ'.50G18b 1+.#+[ lԱjfB0`m+!屠]qwc.&8}] VkIc31lzL${a$վQI({7.;M;*elVu*}˕ɨ hU%? $X~W nm쟫6@OHa@\?S Ўi|Cޑ(äV^?Q˥ɯ hy*[9?[Ku*^8o%,U ,{n4]}C[iBilaadB:`وᒷ;$kyg.sa82lK}}$b ,N0d$e'P}(M`BbeE2%UVc/PC}Ulu+XޔE) zT3Pmkz.Y @ 3f fz]`.k͐bOzd:ڻRkxDyu{b= [I~9Fҥ\pwB4" <4S ]s^)ơm^t۠Rna* j& f{\A*avtzFHE`&E-`<(8jEe\4lZ0+ F`Q;Otu$S`%no `QyQXPfP jSyx"V nE!K 2Kho ZX8C ֧DAfqGH4 =i'P;zQ`2cKyV}5trfB!FiY)@20ǿ|d bnY1u9'r|Ɍ5hUzhX&ӻ0-h"z\bZȦSQ4N GRՕSˣ2v"i's:ԉN@DN!iT#gPr}cZ 6ӻZLB聇Vc[nfӖo5jrzҲ ?z2:baЬ/$j^j U`8(.2gsģsS2uh1 -*n$ fNK&NJ2nrMcq/v-UgMdzEhvqt4O{cd>[,DUBHTXW4jjl: 5EckF 7T<[)q7)ফNM mn,oKlssh +Xdtآy[ׁic.1_|>!/@Gwu-DWWaV a@Ŏ<ւq*DFZm+M<7? 5uThr vLZ+43h[n^JM\/$MU?b VQ7Y4!6}t߾&^P+߬țx˷j0tp@.pyc[jz˽"`=ћK\эC*2lV8X /RɾZhmIʇ[*dfj+x  O,ײ|KBni[;(RF=ҋG1Wu>u I7Ω2_}3csu,FE_U [QCصlx/h,je endstream endobj 2375 0 obj << /Annots 2377 0 R /BleedBox [0 0 612 792] /Contents [2383 0 R 2379 0 R 2380 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24928 2381 0 R >> >> /Type /Page >> endobj 2376 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2377 0 obj [2376 0 R 2378 0 R 2382 0 R] endobj 2378 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20210608081201-08'00') /Rect [104.1732 528.7346 158.5077 539.7346] /Subtype /Link /Type /Annot >> endobj 2379 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2380 0 obj << /Length 19 >> stream q /Iabc24928 Do Q endstream endobj 2381 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24929 20690 0 R /Gabc24930 20697 0 R >> /Font << /Fabc24931 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 2382 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=128) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2383 0 obj << /Filter /FlateDecode /Length 1864 >> stream xڭXɎ$+x) P(.ЀOmE`Yc ;3؃_zǬAKCίǛŜկ^Vcf&/cS1cΘS6-Q$P?gl8[&XhYl##JS1 9`=ן`7@bl\sm`9U90 1ؔsۙn Z)m\IjȦZG]Ffd-$2[B$]M"DgEo"]/|a5:3bu&-1Z)@|t i!`u 8/\jt\Ѝim:&^jsuH#i|mjeJwfOMy+wx_MuȘЧҒ ΘD;IKXsާ7kZZӋ7)&&LݢE Ku(2"ƺyǃl8IjՄmv0oT-VdRUjcg1V9MU|:Uv֭dN8";ٺXwyTO Zr_ԀlsȮ!/6k +<(i E_wk¿paXPA,~}LjZ\\K-NH--rBwb?Yg8+j [.^{S -"ƣ{Q@9 r+ZGx@84\:\C/ὡY,zC낾 K=HBUFWxՎ)>He5JϧoBmwk`q܍UZVkjW2iV:bXm.+b]F4?EF oT"I2vV P%:v>QXrg7K>W2/ޤkQ~K9fs3hx/czdh8FzF ~6N R%Qȗi_kMz׿F0S4p%R{"EMߝNhk%zWa@e#@XA)cj7#Eyd*bG.}w5F1>P4AN<,R>R#RҊg^7,%і3*|2aK[@ٶ̦ЛI#lc $gпEO˹=iu{`,I8SC2CQt+'l('x!Δ;n8DLdF7츍\V?)̱- ئ^qKI]%q@>i>V@GnJVW|lѠN+ q'[Xnv]Vj|党ݐn 67r5wƐnk{&\c~ߠ!g"cn]bn7+ZNW] 4GF~ ÄMsN=9.x^X.3>v$]^B0sB!]BYZKByUcE vi|ͅarm#bHDw/kGſ|n4N6,/JXKp_,%R endstream endobj 2384 0 obj << /Annots 2386 0 R /BleedBox [0 0 612 792] /Contents [2393 0 R 2389 0 R 2390 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24947 2391 0 R >> >> /Type /Page >> endobj 2385 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2386 0 obj [2385 0 R 2387 0 R 2388 0 R 2392 0 R] endobj 2387 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 221.225 122.692 232.225] /Subtype /Link /Type /Annot >> endobj 2388 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 221.225 160.653 232.225] /Subtype /Link /Type /Annot >> endobj 2389 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2390 0 obj << /Length 19 >> stream q /Iabc24947 Do Q endstream endobj 2391 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24948 20690 0 R /Gabc24949 20697 0 R >> /Font << /Fabc24950 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶f5o#x#?$_,o)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2393 0 obj << /Filter /FlateDecode /Length 4418 >> stream x\K W@9z?F]S m4nL(r\rzjl2I%M'5I%$=}j,]L:IoUc`}0ӗ/RZ:Ļ}?*AiSB{zwN䩖:m%Gwz69iߐ$g"<4jfhLjԄ2ɥ9HW!{᧤4dz>M(CHwӳWBpD@S ($J4󧣯Z;@/)}Md͗9rRb9O| *STH4{"H;ԄB% 6 F1蜬Sx6'%&L[?鷟qMI`HgAJ08@ܿ,G4~+hx5Iibz.Y)?*0AlC}4}4#1AQg>*jc ԙ@lW+zTyi0FF>3*cay\{*|kA @ ШCQVu XfDFDum?T6Y8ZrA~UF̿frlJgHP̽XMG= FΪF9SM;GLGf~,-ѨIQUbE6{'mv7^0o<-u,"/gqAêMWM +-5P79cCƳEQIЛqo7U[09I|:m5~3v'9*I^KJ3ZkBӹ(^G!5cZU|sŗ8^|sǗXt7|M7K\t+U|M+Xr]$eΪU0VYo17plGr-N̴W4[[8ҩAG@p54 {b!\q%3ը&+4Rq / Ɉ6^' RKt ?,pYR}{$ f)SCVOG5E3dn>3CHq-o̚EیuZvZO!ڗ;9U[3c{n/< *kүp [>Jp E\x^.L( kF}(X0{Mc[3L[s7TbL܈s `\Fi47XӖ [cYy'@}I~"N`c ؅IL jdTڿefAӷ폜|qro=tcOm,4V>[cJ9+=3jGLFULlj~\qTj=vZýZ3jƳf1=8ng 6ě>l1>}ؔ$0edqj|w;N`ߪWbngop# sZ|]'\dW~@є_u āDay|xd>ÏHqy:LKeqҍE,"HRy0`,9Ձ}3>Ѡ;5'  سrHQ bR_͘@8RHԚ NbZb*pMXJ0b5/?kK+r"9f*eR+r$]ܓӖd"[UWfN)(Oڬ)f03V 2l,?q W* Ju )0$uOf_ ?˨'Oĩ(]\M|Pu%QbYIĦ]`|O= d2po?p񜖒P<Ėrsܲᱍxo.7(\<r˜5g!UF<Coh0#ڌxi2ټ0]Xe e9-'g[8CPHC=8O3fh1 ps=8EʜuA7-hܯKK6K<y SЊtMfnFt k.ZP^uFog^Vbm33!yyo)-\˟{iw>M*2 ^'c^há-@ozI-_2}@v珻Jvm+[noVeX9w-|~<6 __s?0Ź$u3Ęü*, rJ'yܫ#PmZSQh>q ~v  &:ˊ4^.NKyIN@mRD;O P5T)wë0TfE!^9Dg!⾽޴g&K\?%cӑ`LTiRU3EZ:ŽHbh/!` q&ʻePf e_s!s:W7*XZ~鐎y'EI ]SvﰥL\ϦL0KN-ZeZƬ+]xKV @#bxvָu.c%؎_jzYf|D\m"N54?n&TEeקDR.2MiGZ0R#Jm9ķDYΰNT%+M4,u2'Ec֟SUt< QF(Gv9d[d;\ rA{_7LZX\*OթO<2:̳1$f? SPSxX>:3gzYOO=xe7m-L-iƅ<;MV6TLCx"[{PyNm Kcv>#c`=]w!һN+XrMƮJb~h>t{ڗg}@sɻJ'u6[|߹I.kc#m*ۃ 0_,zv endstream endobj 2394 0 obj << /Annots [2395 0 R 2399 0 R] /BleedBox [0 0 612 792] /Contents [2400 0 R 2396 0 R 2397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24966 2398 0 R >> >> /Type /Page >> endobj 2395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2396 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2397 0 obj << /Length 19 >> stream q /Iabc24966 Do Q endstream endobj 2398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24967 20690 0 R /Gabc24968 20697 0 R >> /Font << /Fabc24969 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nhYӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[X̳Oۏ"bH&} d_ EXBYB Ȱ)xp´!bd,98`s2ҫcDA ^@wP&O;2FKh8Js.bwoeT endstream endobj 2399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=130) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2400 0 obj << /Filter /FlateDecode /Length 3996 >> stream x\IW 0 (ˇh ANAu{;%lWw(Q["Q-,\YS~>-E/V W˧/G!d|Zw%ӟ`FaJpaO= B=-=tUZ8HA8aGЧAq:}~Z4|3\wx3~m/7|L'BZda'n)$ӍxCPi@w~b6tP'&ޢP\*2+Xws"z,F8k ~NIeiy,%p` b$ yi|v`i$4\}}\cDdqNp4dY&+2I8ˬK% Ban:4EՒezŭkZEr. _l wÆ8c5A7 Akm!袅-;*Vz6 wvMldSDQ Q. "lD$-Ȯ l[Qla@xFtnD(h0pn5IA.@(ʙ%N}#؏uC2jD-Uzd hzQϕDJdFjLZl~չICre\#gԌ{b9T i&@xV&E#,98wdFΨI}QIƗ~~ݓ3D> ]E%_ 68Uٌ8ggq&vs@-j3 jYzP VlܱAyt>oc\E6xӗb)Ms.pad |J9$r(f G wo "WE%PHbhTpt$o%]A']la23CAkT)pvW[0*CK HJ^˜^ VI=X%$41E\ *!eM+ETwz/ܒr n"+i>s6ILun*#8]r%;8( 6}b)]GX94+535^6$L Eŋ9f^ VY@l6XCR%!< tUag0߂\A|V;=08;o-9g,ZX\ 2Ko&APWE (ۏ0\)?i]YB6*l>YV=LBC*E9ve4``%0Pes+҄5ҬSi~qq+y 8͙EBM>䏤U;3EcBhU6rQ PNk=օFFφ}͞bƓ!N3q-eۅpO2ځ"7{`[E۠sȁP`lMJz?i/K><¢ Y-+<@ң6m k\oP7WAaYM"'ߗV@V K'cp,Lnh2[1]mCpΆr^5bðc2< Rs9Q8d?s _LOǰPl<}J?nŻcVY뤋JQh&n f Pcӵ{d$* m u2dd_ݹ9ȝ~`Z9&@k6ʦulF;}jl?P!j*(ӞbW]x:C$!;50"VJ{[Z v&IGXo*WLx|^zwUO7-řCUm5?1*F @>~teS - *Mql_ܵ\2^~NMyz:= tm7G(=:󇃇uvoȵ(ZamO{ ;j=)6><>TaH͢w;M#B~#H-,"#@d{F~y#GHyP#4;rɺZI P:˿'>)Xք*3~e?PR3a! b77z'T9x?S@[X:kg{O,R@={oߝk:{$ܠ,p~QVF N!Fxu ;('9dVyLn8!>Sx>͜=,e--41* t[cAж_)[ڻSq/Lg^<=~iۻqNۦ6U`->/9@EI^L v~tJ!:Ov~9b1~K'E)]~?,d-{-`-F7D.Cv2XؠӏH0=- WX>,J,g9@gA-ӫo/O#{R>F$2h:4-w2vi.5͔ijS/ήr=Qy AP=QҝpV"N/beȧ&Um/lq[.k|eLwJcӦA 꺃tbNЉoBeZAM ;b3nbw rP%uY2qęJ?^W2!\Pz>:\n@]Ь?(vlljGՃS[Q>v%أD`$?"i5uMRwq2 ˸"@.hH͇@!>L>f4XWמ xKm)S/$~C&*DQ*ZPR-B5Clg LjW {,i=P7rKVjK0N,?3[Gݭ脱k5jN b۷oMV'kRT!,KdsxjUő,kKM*$hi]ycF5m6bXhK@;.KBozࣆ1~@i׷ TD;f%?RyX8f C _ mSEDz3-"}hT ,r` p=]34V VDO|} s!$#`*@yi,L+bԳ׋O FO)[Eb/dƄ|/^0_17c}QY}[Sf\astToQZ9]Y~&]eSx*#/C-kW!#N'}_,ZؿY2C endstream endobj 2401 0 obj << /Annots [2402 0 R 2406 0 R] /BleedBox [0 0 612 792] /Contents [2407 0 R 2403 0 R 2404 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24985 2405 0 R >> >> /Type /Page >> endobj 2402 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2403 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2404 0 obj << /Length 19 >> stream q /Iabc24985 Do Q endstream endobj 2405 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24986 20690 0 R /Gabc24987 20697 0 R >> /Font << /Fabc24988 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛk endstream endobj 2406 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=131) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2407 0 obj << /Filter /FlateDecode /Length 5138 >> stream x<َ$q|6P4h4=e`V^ BWuHw&d09] %WXZ]bX.C~_kᅪ>icRuqyxךr6xsRWgL}55r/ߌw~|[wc̷ßҳ":ںha s};A;'/yIf鍟5;_`$3ekp%?Z/E BI)pX<'`uLޗ+o\o±qd|y57ۈcuɦ6Yϋ3O = K?Vm`foXw;FYɌ J>6-!ØwR֋볲t$)d,Q}q<7.֕-$ht:whDOثDC)F+zpҬSt[_߁Pٻ@Qm2 Hݵ}٬Kë&[%n/uE)*R^.jŸgvDJnrqXYp{q&bu)Sth 2׳=q؟] [ac\C: NqÏ_t+FֹIgptsY`:rB| ^6 g%ോ(C2dͽu2/D=KDmQNfrd/࢔!M҃Q::;^%u$19} oNUSԑ [[ඓI:ݰ~#匶iVk4saNuOSK/7{B%FwFf~]umm-F^^l $u9yP͕bf+ Dz19W:%=[n6R@ t`d#.#<?Fu46:KݓP6#)z roS f:xtꨐM}] 'Ls0J4qS-f[7*?iNL)_JFK&v%䌕XdFݲc߬QC+=!wL+KYK'n/f:&~A ^ty8S)͑|t0,yw?*`ZT6 4M%Skl]c1,IZaI<.gINʰecO䴭\ ɟ~Daݏqx5ut?ɿ $^j*FP 6́ss.>xru O:# Tr@pRm=Tⶶ /oO$ݚ ;v| ˢqB׻S?۟Q^,i-eV}bW;HqG@*'c$A J=9 ļ[ottaWӷC>CvUEWkTqM&Һ124ݯG}{it'b>q }ARBsGQ]:]w?"tX9DކCD-ىG0/SI@&܇kH}]sr>KD~~,qnFym#vFsor͑.T0QQ Q/ot@ւ`b Q.G qIL DMX o4DNi.rF# R.a|D] ]2(F[AK͖]c"p &cns! DLShhR3gBT }/wR@>T %*1a0PbELGu5T`ftJu)"fPH]6.$%1%^@X< R>C`8RE9GG7`BY 7xnѣ蜧 5$z EIź3 Y4}gC{ޛ}H65lmu:; L8^l`A3 {?q WI,K:~G-] AH]<.p%aq/l# XXxrvE°p`СhT&*wtaGx4NȐJC ]\H;5 NZ  FW]Apԙ`8%"w)a㒹G.ȸBVbI^ *ĝFZ؎^gr=*=t'"/*o$s^P ZslwI7)A`)Ҁ\QN5y`cj$|໋aEG1{?mp % FN鋘RZ5Y ˰Ÿe R?)\gOh56&dKF!:C'iODžbھ'V:HD¹y*Q\ͯvŵ+VoUQZ4Kq&tkUXtYHQKvܟ4q; KD G,;30/Hط3u\9Q[r|3tsdg"ND; W>]av*5ΦZd8*P3{$t>aB[ȉћOY@f|<>Uj$r , (ẕtU;\Z7_!0tnp 8<i[.З:Ƚ"`?1g.TSr" lxiq)cq4[IZ~PF> U,ӲSlTNOLCmi=Qz-EZ> _Jァ1Ƙ1MdZS9 B9y4Ul<&,Hqn|tqQ2ƭlw0Wbqz6;G>ѯj~f*?J;n 66W\}<7~ gqW,O鈲NB<ĵ)0oREǟ.ˁ?_kxv@gcq4GoPggY[N@%`Ĩ? r@ƽ,Մ> endstream endobj 2408 0 obj << /Annots 2410 0 R /BleedBox [0 0 612 792] /Contents [2421 0 R 2417 0 R 2418 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25004 2419 0 R >> >> /Type /Page >> endobj 2409 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2410 0 obj [2409 0 R 2411 0 R 2412 0 R 2413 0 R 2414 0 R 2415 0 R 2416 0 R 2420 0 R] endobj 2411 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 161.8347 164.1782 172.8347] /Subtype /Link /Type /Annot >> endobj 2412 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 145.6348 154.2562 156.6348] /Subtype /Link /Type /Annot >> endobj 2413 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20210608081201-08'00') /Rect [104.1732 129.4348 163.5072 140.4348] /Subtype /Link /Type /Annot >> endobj 2414 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 113.2349 169.4802 124.2349] /Subtype /Link /Type /Annot >> endobj 2415 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_case_analysis) /M (D:20210608081201-08'00') /Rect [104.1732 97.0349 187.4432 108.0349] /Subtype /Link /Type /Annot >> endobj 2416 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 80.835 179.4682 91.835] /Subtype /Link /Type /Annot >> endobj 2417 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2418 0 obj << /Length 19 >> stream q /Iabc25004 Do Q endstream endobj 2419 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25005 20690 0 R /Gabc25006 20697 0 R >> /Font << /Fabc25007 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔfW!ӘV;!JϡmтGŶ; endstream endobj 2420 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=132) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2421 0 obj << /Filter /FlateDecode /Length 3928 >> stream xˎ9@n/ 4lع離X$vn@ӦDՋ&5&fӜE< 1kS'ӝb(T2%786G:HaV3zn]Iu@ 1^_̩@GT8 + Q2+X9PKqf Puҩm\Z5 E: V{9LTrObEJ#,76d=aE$"}DY4KCfݲ̯riABDsz(^t۝\%ӓUe)1{ڋkE$JI)IFV+oѸ0 j o!}m2ju%VDi?Б.E>TĦ5Tr_0SnEe3aXd&mN5wQо IvXTbl 98D#u^QI+6)nm7&X_=Powٰ{!#J{3ϻbz^84XO89 n8\K㞝5VAajv\;PXxA35}g+* pϩK I#7;X{i4{[jDc?8&x7xlu݀v;IkqHϞ0q𻱉j:N'kbLHu&OKIX~'Ug:`)Bp#2~X{ Cވt5C`V;1qtrVgmbi<gVBxBja(ET"m?3E#yԵ7Z {z{T7z٘7e:^,+MY6nIuyVKv^bنzтl??O*-[oëawO? /8d 詻ͦ|!l/)p?DTIŽ" wcC3lzA:l!zp{XIc! ؽBBU rUw\E{nq4{<-w՟=Pmڎ[2G',s-QCj8;@DVy=@N@,xq̱1%֞s6ˆr^BVgG=KbZrJVcS3CO tKw:F> ws܋v jN@;kUm~4b߳6 Bk@GSoWrb6Ѕ`W)s>'kk=>Ѿމ_C9W`*VLg8>.iέv>Q]MΕ35Uî"k&-pl~W)l(mxמt8`x !WSl.5.^ݬ99ubNF?*BKV//(l(\: ԃXuڣ&M%kBʩnX':hڋH)[F E[=\`]N7R礷1 VN ӉHn)6r. UM;W4#[E~A$M z(͇ XyCͮ|sG?D1Ce7f ME`E-&s;aPK6[Vx@y\%mv 7(i]_! ܅3XaC@Oӑ nqQ0&Ӗa%iM ,%hJP|*0_@/@ ߶'O,XhhI8@- QeI m3!UDA-NL{B%h Ž-r}9yEv9qgsn: 2hX4e+h?feͧko5Ikn1.Udy]{r}oS~5LenFXJJz=MFM MyA㌽UWD%3k$_P4&5E!zO7C}C#MC/PbYgIsj$H% X-| ʬ28dP!"с(x%t^ 赉Ęi$5'?9<&~pG\ ~/Rc1f "oQ1݇"Q ۘ4l ?'A8 M}tb&R4&LL'[[7fުvV|[+IfXcG/H2=@T?3GJ'\\V?|1_)f+W_KEC탅 խ\~L-/|-&.PeW> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25023 2429 0 R >> >> /Type /Page >> endobj 2423 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2424 0 obj [2423 0 R 2425 0 R 2426 0 R 2430 0 R] endobj 2425 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 174.4412 709.9] /Subtype /Link /Type /Annot >> endobj 2426 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 187.0032 693.7] /Subtype /Link /Type /Annot >> endobj 2427 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2428 0 obj << /Length 19 >> stream q /Iabc25023 Do Q endstream endobj 2429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25024 20690 0 R /Gabc25025 20697 0 R >> /Font << /Fabc25026 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7֭OvջSp<)k.@Br!9wr ģ1H[&!#((`aԜiJS¯"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XL5ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 2430 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=133) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2431 0 obj << /Filter /FlateDecode /Length 1142 >> stream xڭWK#7WhmՒ`0؞!C!'ofCf!Jn׳d`dJojoS*N_^uYζᬿV_֣~6D^Sbi 7msu J3vDaFTe( NϿ.@ul?iJnY؈aj#th}k XlSΙ~SGioR*eS"g+y#oB-հhWRu)* WMRWmW'Ջ~Ȗ3yS ]CG3#Sd"Z\gGx  FY!h sn}s#hpk==GUS#=Bd}ۃnS+)ظNd 2&-Wzz+y_A%N \ LHR԰Z6Fj \AB)C@KL Z'9CVlYwU+g#'*w]YsPz픥!e۷ذ\n,.!?55dk_ endstream endobj 2432 0 obj << /Annots 2434 0 R /BleedBox [0 0 612 792] /Contents [2440 0 R 2436 0 R 2437 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25042 2438 0 R >> >> /Type /Page >> endobj 2433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2434 0 obj [2433 0 R 2435 0 R 2439 0 R] endobj 2435 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 453.425 140.952 464.425] /Subtype /Link /Type /Annot >> endobj 2436 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2437 0 obj << /Length 19 >> stream q /Iabc25042 Do Q endstream endobj 2438 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25043 20690 0 R /Gabc25044 20697 0 R >> /Font << /Fabc25045 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2440 0 obj << /Filter /FlateDecode /Length 4369 >> stream xڭn6ί9@+|?À[db䲿KRɤ-zWZ.fTu}f ~4OukO:&[>.d5ޝ]nwj_ 4Ŵq@N˧O+q˗%ho\=\5EdxWKpDf S-f֦%5PIa!)<.Fw^Vm-5?*ZN+ ,}#E^\h f=ysDl r% .(zG4 $8L4 AdL(X 6DH5(jM&> 3hS~G(F8 fo`}׿&.F5-6q?T=π(0\`gW30и񮰨+,Ey3,4a2+fXiq2VS5Hyջ2؄+u%^K>C`W֜L  2O`!2W L5HȅBT᷆1y-T;@0ffw:SwL|dRucR74O]J@SSW5Zm,0ۘx$hNHA+NɬoL ۹,*ce?*i@^A-NNpγ^h/Rc-pF.'셵laK ,xyO<3=EeJG#VQOO RvD-eNސ܁HJQjK< PE$S58~vdCモcSk~+ᘅZAAM ^ahW 'x%WIqP/|&Pt<24E^lmEf<_}, QJJ 9!`OIN=dB4TGx̴z8jB"S׆vVK⁄iW$9ϖw+6<-ӓ&@z>WQ܌hvUu&#'Wl[1;MF{X$A Y0tt6Z@8Nu5t@H>:94CWs: ),$n aP(m{\$?ZG‚+HOsK*ŧZ8mms:蹂R+Cڶ &,[ibIq+]\#C7bI KXҩ$,`tDA`G*µ1j +pFV&)}AVQ9,Wq]8Y-PuK#$ ;V'<;8n=v4Muy\pXVeS|ੇ!S`1Di!B]+$VDG::&ޱ`ȪNI*(dՈ(&f,&\MI.[2۠6kJVPr2ޭEe LI-j8aFCmkn:qh/L<͖WX%2(q eLNL3`%trp=u7EII}SclɞNdvrV9*1#lkLЬB6`_h] 4g Sp>0" um/^Wٮ|BŊl ش&d˔ɫlwE'%QfTa&twg vD˖&."ȕ-$kg 5k}TNіyJ/Ljy$0H06yyҾ3U)c8sa62j0ȳ D,$.D0;y( OIXtU>_v4 vu<aȚq%0%Bg27x)P!AYNHjMӑ_W|5jϚ델+@7Q7tSwd^WR`yQHq|OtꎸIj [";(蚤 \KծcA'a s󸡸-7:%cb>&}b>zU0Wb.Sߚ ;r>Phye)q[FfvdtaeߥR_}Ŗ$`sbHǰ ttoR>JF1E*@$"Zf{z*-_V4|3#kѾ>&>ZNәtT(Z4I6|׹nh`T/m^^s CyT}S9klll 6{28IPc@U&mD:ޔ 7ta|kPJN[#%%Q2]d ׹l]7\'+}ߩi/Nsس9-<_E4=3ȆC=[Rw%w,}p(;9uP3Dիj5mYbl,2Xzk&KY<{ytn;:>PWFUecg(vyxjZ(ɘcs7rk5`Jgc}Jl2ǚAMwݚ-U8&_AB te<9'~.6^( Œ. "ίzG 9 ] <5{:!-u~^y8}= Sj ,}S3n5 eS3&(Rg~(&E2Dž:QG&8p,gl]?gW1_ ;Ӹ!k|տPR[+[Ê9_ bN<}XvKvi)YxC:|!` (:&$2I_ n \'%ZYU}_='cvngHuV<2~87D^mmP5>Ua |&˵bH^SVZ(cndH~mP}Y԰=^ endstream endobj 2441 0 obj << /Annots 2443 0 R /BleedBox [0 0 612 792] /Contents [2451 0 R 2447 0 R 2448 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25061 2449 0 R >> >> /Type /Page >> endobj 2442 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2443 0 obj [2442 0 R 2444 0 R 2445 0 R 2446 0 R 2450 0 R] endobj 2444 0 obj << /A << /D (unique_659) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 582.6038 149.5977 593.6038] /Subtype /Link /Type /Annot >> endobj 2445 0 obj << /A << /D (unique_669) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 566.4038 140.6052 577.4038] /Subtype /Link /Type /Annot >> endobj 2446 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 550.2038 150.4282 561.2038] /Subtype /Link /Type /Annot >> endobj 2447 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2448 0 obj << /Length 19 >> stream q /Iabc25061 Do Q endstream endobj 2449 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25062 20690 0 R /Gabc25063 20697 0 R >> /Font << /Fabc25064 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4l?EŐL$E d_ )2̼d9 M \09 `FbU$!īEWeGyݝC.]@ U8Ρ\j ۾{w endstream endobj 2450 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=135) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2451 0 obj << /Filter /FlateDecode /Length 1624 >> stream xڭXn7+x6 Ќ$9 '9vX~^U؉HaWUťZN[/TuN*z9} X>Y뫵hm(-'uh?h 2o h_x-_jϯǾ/V%O_yx]g ,L*BJȲigQvx|m<<VG9f>ILs%iH7os0+ 5$bMʮaS BEyw3,ǝiEog["R(iY]Ɖ/_h?X[74BAt+bd2C:+ƢW-W_Z3xum㥗lWd]m} qeXx3& :V BF/XfAc1pLr6Hgh[>#:a'ћ |*CF!ߣ=x 7l/Gw7h8QS66Q>YZH endstream endobj 2452 0 obj << /Annots 2454 0 R /BleedBox [0 0 612 792] /Contents [2460 0 R 2456 0 R 2457 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25080 2458 0 R >> >> /Type /Page >> endobj 2453 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2454 0 obj [2453 0 R 2455 0 R 2459 0 R] endobj 2455 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 2456 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2457 0 obj << /Length 19 >> stream q /Iabc25080 Do Q endstream endobj 2458 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25081 20690 0 R /Gabc25082 20697 0 R >> /Font << /Fabc25083 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}R endstream endobj 2459 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=136) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2460 0 obj << /Filter /FlateDecode /Length 3735 >> stream xڵn+_1g f>9 ){~jeCj(N/SU]{W7o] ;Wny~]Z]bX.CU/ߞUt);cB:.g=Eןhh9wlh)cu~Rez?)i5+ggm^Yaܐ3jqqEW}j}"x0;u S'>R7 ^RߤZ Кf Nj0@E"cpIz0kd ze1Tz($ sKǻDFN+8"աIuqb >嚃gݲh uWpbѵlcC i-RSˊxr2ޜPvDDP˻F2G{ǯly8ЃpC*4OYa9+e7ˏ 栔J@ #xLR]|0 л!&R`YBQQp=x_*`_YX sJF~DW6{ӕiV-L5^>℥kh fE5Os @[#Hcֺ~A m@FxK}Jay^^RDx3l#5 ,$*)V2[VZf 7(g}H`xzjVF#A&/%)3wzfW֝i Lk7+>Y lEN_ϑHvYi߬{7.BbJk $Dשu)7VNV%Ǣp[c;&>| 'x0gN3'5ssw:m}Ճ{ʷ+goNYaNgXAY;ѹd콃qc> RfB˶M] jEZuGC3>Hd]atT>fx[U K.#J*K)No)]et߿J+(Yș#~sa)=|E {"!60Z1y**5¯WkW<Ǥ&Hcxl@|%QXԵ)tЋ8ԘGXTI\mˉ7EgF;7+ZyGuEh˾Zuke-"WA HH5B \[sR(28HnҝCXqp+Vk~x[fMwNFh"߆:^e sWA)]׬|} 6kXoԌjQ V$tqƒc6n`tnH h :Ogc4ʑ)9Rq}%[o!đtxWZڿDַƶ_<"G=o p=OM)8-\+4k՘뮡jS!)&+bv)⹀) '¢tVWWѫkk*ڄU_hj{-7%kxw: ؁ Xi^tԉvk]n3jN|y73jK yhW7V]=J (܇vn?"ա"B<18 27=ro'x6#R{IϪ6E8gFi:1l^gv,tˌmbe3lt!:Wt?MbV:[*8)!d \IbVY[+Aq[h?`WBnC>tO(*XRg nOw?j<ţ[m ϊ>bGLJo0JndfqMLA`R'˭"-^fb`3qj_/ mi=4z0Iga[2:h+i?6ecby{4xCF!5(͎I0y4UG6e) ejK,X*H.cp/tNwnmڽ4[4P? /:~gn pcβJpv% #H y@7gc G"հ '{dlO^eALƂʢ`$} nO¸q:xl\A2P~;Nx̿~pv8XǒE  < endstream endobj 2461 0 obj << /Annots 2463 0 R /BleedBox [0 0 612 792] /Contents [2473 0 R 2469 0 R 2470 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25099 2471 0 R >> >> /Type /Page >> endobj 2462 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2463 0 obj [2462 0 R 2464 0 R 2465 0 R 2466 0 R 2467 0 R 2468 0 R 2472 0 R] endobj 2464 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 187.8502 608.2] /Subtype /Link /Type /Annot >> endobj 2465 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 581 192.8002 592] /Subtype /Link /Type /Annot >> endobj 2466 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 177.9282 575.8] /Subtype /Link /Type /Annot >> endobj 2467 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 182.1687 559.6] /Subtype /Link /Type /Annot >> endobj 2468 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 179.3802 543.4] /Subtype /Link /Type /Annot >> endobj 2469 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2470 0 obj << /Length 19 >> stream q /Iabc25099 Do Q endstream endobj 2471 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25100 20690 0 R /Gabc25101 20697 0 R >> /Font << /Fabc25102 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7R thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛG endstream endobj 2472 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=137) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2473 0 obj << /Filter /FlateDecode /Length 1553 >> stream xڭXIkd7W<^/`zmBC!'OlML'rF6&ڂF7&;Y7ڎ$TX_1`l2Y~4b=6$ <"UIMcyѮ>όP$PjBu!패Ӥ&:!`u^k\~ucDڤ_Or1//¡k*c,9oVK>t :# vBsƒ[k{l#zAWF=iD=X;>#橯vj&60b:elNj^/O()~npI #hCOQve4nVL**nFXr99c߲?י e}"IKKY:DZя8aVHf 1XQPzEɭvMHRbJ[K'.@č_c[#_HThoo ˾q'ca2U>Qy7} 孡 -R %|0 M-e)k endstream endobj 2474 0 obj << /Annots 2476 0 R /BleedBox [0 0 612 792] /Contents [2482 0 R 2478 0 R 2479 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25118 2480 0 R >> >> /Type /Page >> endobj 2475 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2476 0 obj [2475 0 R 2477 0 R 2481 0 R] endobj 2477 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 453.425 124.1605 464.425] /Subtype /Link /Type /Annot >> endobj 2478 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2479 0 obj << /Length 19 >> stream q /Iabc25118 Do Q endstream endobj 2480 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25119 20690 0 R /Gabc25120 20697 0 R >> /Font << /Fabc25121 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 2481 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=138) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2482 0 obj << /Filter /FlateDecode /Length 3747 >> stream xڭɎ^_gԾRc7$MjfsTlիb-ߖgbПoo/aqvy}^!e-8i98o/-FGIl=!^A}GiU}%[,фbiZN[lMvG?f3S/vM u%VMl0NI/ ȿ'Et9- hb~/<bp Ny^C,ް_Ppϧ?BB]CH!?k mZkF d2kzTDfP_ T`x>;cO@g8~Im H]^t,0!umz-RoE_.L=؝+6x ?䋷8 o :#<0OJ"c֕ pcԘ5:DPg;u-.lnl4W,8C$fz0͜lV* VP Q=#:xzQQ|wdsǝ) b]V:0f` ` QP[O$%>fAݙ5,!m#LMc^OY zl@س4߱}\f}I"[Қ+{ DP؈PXe|e/"FXM-a'EFTӼ\i>M K*4oYaX9T`ˏ JK 27x#7Icm)߀`Yڢ,oV"`N leosX2h:HE6{ ݕiV-M5rB54>3࢚9]H@ɭ1k]A}x !}:J}Ja~y{V1D-3 <Ƣ15[kbXH)V2[bVZf7(1R!*hӒFPɁN^w kTʖ?ߝiM]pYw:+tr3uC}`b 9pIv$YI,{]mbЊkėXשm.7e+VD=+ dN"@7{Z+#Bq\'emiFl8B*1)A׸i%&ҽ9p+-Y*Ľ) ڪz¾QC;P.3.0G&,d6f:털w٠pA_ܔ<^wd; vNDfnf=:$F8@"^$>G_ UHK!HtܗY؀(W΁7B C!nRDe[B7:SC{ h'8=El-D .Qu!W(t; 36VxTd`u.v_I21:krC jwMXֺ=|씉Cțꂑĥ3ogڏ<^ӥ$sScZN#;yq{.a«]j>+~f)CRwm-gmmljK^.ڻ}hZ4\Hk 8kt ڋ C/Ox%@-zs GK6u~!b6xmAΧ%rO5_zkAj6ʛnU]aPʰv6&{1=TcA( |b^.%m|vT vLkyu%@ntԉ>2ֺ fp6ng+;Yf]KuƶzQzCpfڹ(E]TwTðQ'Q3V@|Ԇ}8"NXBv0:LAM^ǰe7kf4nss.6&(CA=t,pQ,F)޴ƈ} XጉH"q.c懴|rK۹5,Ym%Ͻ2֘M6fIY>|M7rȋƗ791.qTLxN{M9NciYtsԏD?&aUQoT69+Bo\ru*)il?JKt#TpN9qZ|8r\ԩݮŮUE1~ ! RRZ5=˝bqUH!ȟ7kI$2ɜr'BSk'ey:9Ek^/^8yυzO/#y*GcѝР܃0~'i Bm&d=t'| ~JХ6 xQ}Y԰T-( endstream endobj 2483 0 obj << /Annots 2485 0 R /BleedBox [0 0 612 792] /Contents [2491 0 R 2487 0 R 2488 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25137 2489 0 R >> >> /Type /Page >> endobj 2484 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2485 0 obj [2484 0 R 2486 0 R 2490 0 R] endobj 2486 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 571.5039 175.4312 582.5039] /Subtype /Link /Type /Annot >> endobj 2487 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2488 0 obj << /Length 19 >> stream q /Iabc25137 Do Q endstream endobj 2489 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25138 20690 0 R /Gabc25139 20697 0 R >> /Font << /Fabc25140 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=hx!(߄|j.P3Yuج wRuf[l{L̇h]}ID*)sZ yp3ΡDHIO]@ U8Ρ\j'|۾{w endstream endobj 2490 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=139) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2491 0 obj << /Filter /FlateDecode /Length 1571 >> stream xڭXn[7+pY!@ݥEѕS(&3CWmw/H uX,;e68''!\,, c 4x>ynމ ^.Ƥx&pI Gr]iuǼt4WX v:*5a* P׊ WvWyQ>ŋԪ`> )WNi|M>}*_Ba&EVR Jg`x̢?}V_+g h}a ;#\w8eѠȯkUΟ]"k4# .+*{?m]Pz+Q&)YTsκM$ y.E4wʱszֲ] g^hNDZ#sFẻ KڬôyMp'2v{^r*6(j6j:ouTt(CD*Ե-X@ڂ4ՙ}VhUTӆdE29&>KJ*4VJȋL'l75'm J_.2fU-XuP;rƔ7o|V/w]+Z뛺G;.m>(kg@'Iax)eiVLt+ \K[1ȦEᢕ^&Y9"[fu7-mv"X|uy6>Lw_ew.#67 rxƂ-'F|d-g*+[r*] ;BnYRi(yN#O$ems+S6Sbk`hƭkF sJ?)LcsԚc,}>Fi7+ˍxT J,m(ۆh(\FZkE4#5uW-۴UҨe"sXL4/xdOuD ЕDרF=x5N U[KYեBN5G\ 7A3|La촔m(0S.mH#8L]$k㕸qkrVSv(m%qS¦l5/0άEi7T63`#_̶evo~CV;ytT\ tE ޏC/Kkwcb%'N]|Fӵ:%w:olw>Ʒs7p0*y<8~Xﵫ6Wt4:^;08`~Z0vqׇҡ?~Zo{LnMOh;lN8 g*x/hmqy<׀g};"|gyܵsm' |Ah/.;" SY*hw endstream endobj 2492 0 obj << /Annots 2494 0 R /BleedBox [0 0 612 792] /Contents [2500 0 R 2496 0 R 2497 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25156 2498 0 R >> >> /Type /Page >> endobj 2493 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2494 0 obj [2493 0 R 2495 0 R 2499 0 R] endobj 2495 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 453.425 137.278 464.425] /Subtype /Link /Type /Annot >> endobj 2496 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2497 0 obj << /Length 19 >> stream q /Iabc25156 Do Q endstream endobj 2498 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25157 20690 0 R /Gabc25158 20697 0 R >> /Font << /Fabc25159 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1H endstream endobj 2499 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=140) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2500 0 obj << /Filter /FlateDecode /Length 3703 >> stream xڭn7ί9@wl @hD{rY,K~WOx<-տS`V_c^ۙc>Ēu,k2$R0f;f>O@ݝ?+ɘ\v>hbaw ~EXde6z1[%d.Im[1GXbfd·o e CG{m֋#vt =7JF+uHu`[t^ P0kt$gQGqFGW{b 8 l'acQ IgfiDGAL:Jfڏlնo4/gPr(*qj+||p,ihK*7J^1PY2)_XıU2 sCeoIax1s`OJ`h)(p(nR:/F7L_DJ2,K(v {9 @9a1PY\l7 8s|7t#QM{W0;Ԓ P}RO', sT0g O \AԷCݞd䈧ɨRٸԆ{1nUy$m6-j, kӣ+Fc BuoՒ^>`MhMMqZ+4RS3uӎC S3 lzLܮwҽ:RQjߨoԽQKNHLbdSt(˶e[ wk%nN>oKHPE6O;QgQSSq[]fqVt×FWB tCZׅ0 ޿<ǞώUtι8;M5\Tp}]Kjj ͳ%D灨06?6 \yH<]{d%\dЉr]xFk3U#+HGZR(O]aL: D~q\㯅LgBiҶE9bi/66s3#m ],տn5[~劑ڔdPj psaX50H{7 -#^6%t$xa Ԅ9-i< .njjxl*찯ʭx =MOsKs#Hxm^m u ¯.f:OO}8z3nFõZr2wbWj(Ph&8\5y+Qm!͘?px3<ħЫ^V۱e\92wmD>;N;\xl B~]cFO Y~71WHkoi}ר|ïƩnPh65Ր`#rKg䵸@}1hXlx|TyHa7`NcqٯT >0<.?ڢ20JVbqCC9&\K(TRS9KV7n,k<"Z\0֘]V4\ r@ xkKX/_@Ko{IN҇<̀Sg`|~m5kry_S*e55E&u%۬ܬn!f%mi6x k[kq% jt(`o8mtgp`Lӭ i@}$ONa80ׯ1l^gyE5# pm5$5W,`9}kIF4b}._(AO֗=TY@x$zO_GJTM( QIiʬa9T5k;4U_[ GImɒoEPtPVO>3@"VU:EM#(|I_W-j^,K 3|ӽȧ섢pWZh28ԲẄ́z24sm4%\DiQnM3ZO𺆛aFDIm>t27xEF!N`݀wgbij:ub) &$ 9΍&7Y(ZђvVbFݖ mBDH6~(ek vk}bkSs* W%+x w3y?{m>-EѭVwk5m!b2;2ڙ ! r;"[gԷ |ҔZ3i{ w vQB^yc'c ~D%y:YIlfҞ`?v~ݮ~Ty؎LJCܼ}2[orC!bP^w/ QoW~qћxkN 99;YpXhHq1 endstream endobj 2501 0 obj << /Annots 2503 0 R /BleedBox [0 0 612 792] /Contents [2510 0 R 2506 0 R 2507 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25175 2508 0 R >> >> /Type /Page >> endobj 2502 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2503 0 obj [2502 0 R 2504 0 R 2505 0 R 2509 0 R] endobj 2504 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 197.6017 686.7] /Subtype /Link /Type /Annot >> endobj 2505 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_manager) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 194.9342 670.5] /Subtype /Link /Type /Annot >> endobj 2506 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2507 0 obj << /Length 19 >> stream q /Iabc25175 Do Q endstream endobj 2508 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25176 20690 0 R /Gabc25177 20697 0 R >> /Font << /Fabc25178 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nDЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2510 0 obj << /Filter /FlateDecode /Length 1199 >> stream xڭWj,7+RzK04xl";@!+0HR!ׄAtt~V:c6:ϧ痱'SR^_('P>"[/9JNIG<޿kM7[C'Dlc{\rىF~hbq3')%;D>9{m}|XUxV= ` LQq~V7V cFfןM{sЬ0-(>:d01Y 0.XN\g3&@g]יm5S,̣t6fƹ9k[qxm >hp̢d_5HW;5Ds px^lg^joQi~W @pER3+h3!s#FH*z)Z?ь?H4EF|q)f*nV*m껨vx%ݲMSƑ4 zNRZ0kneg?2U͙zm[26VԁX9Ij[zYQSTNg~X2c tFIY@_ $+9K>G$L`EoIgPqh.C &GL-c"2KLdgSz 2SD#>h w>Oι=FMF]!6)B (i+'('x!Β;nQhB8~8 -nb.n.=e V?)̹- f^qK#HC4>7J >+Wɀ]nsEU/eke:e.cs"X3}hϫ l( dry}CR~*7*spr5q|mg1:OU}(7/JJwTݵ7 r$p> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25194 2517 0 R >> >> /Type /Page >> endobj 2512 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2513 0 obj [2512 0 R 2514 0 R 2518 0 R] endobj 2514 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 2515 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2516 0 obj << /Length 19 >> stream q /Iabc25194 Do Q endstream endobj 2517 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25195 20690 0 R /Gabc25196 20697 0 R >> /Font << /Fabc25197 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>L endstream endobj 2518 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=142) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2519 0 obj << /Filter /FlateDecode /Length 4081 >> stream xڭ;Ɏ$w~ET2|0t$Ø~~ ̪^$33\~,fTuiuf ~tou/,_C_-__rn-V[ /dY?/5Ooh&.O8g䵔T`@  𔵾/0  6 [,r}jvDE0mSjkմFR#rOj 9t&{}^+ a)͋shݻlr8yfu(ά:ǐuX꟪0[@*`Z=0Wv5jN^wauJAĎԟ݀{(ZoTx4Uua}G=!Г'ο1Y. ,چ&Ph3`RvñwfJ.ULM+&L+ak5"Z){j}L$%јޙM yL:Vͨ%eQL3K'nDS Sÿ$5[OQ>G7َmY>JXP*H}2wLKg-1&ͦ}'.4*t★3f/Lɮ Ȃm%G$Y%__&~C3ǡ]UP*jz'O4bzr`sm·*Vr6Qj _kC L&xQZ (lC4TXNۧ 車"`^g4wԿ.` _IhhbVLeH(&9 f?S{(DAAc;PrLZLAnVcП Թ<Vϟ[{J-HH9OQòSw5/Ϲv7@G5Z9 a@l%0nVj$TfErAyKkF,cG(F/[}أ"[~wW.vk=DX.f]]Vİ kߏV*}R{NeE24xZ"GJr6Gj$${]ͮVض+AG^Q@ T=qL\D܃J%ӸwxBސz(14{Ȗmac{zК@М'jk٨##pbאk )u·dAeAjqtj'j+r0enx  B;{?d`LvX0\`#ZH Ǽ 2R0&졣C)3m~wt +l,oB ƞ7&eQ>Mo"T ^7EL&Qap$Jb^8neYHKf ۊ9SPsv( SÁR^Z}ϱ6d)anP5YJZDŽ89"嘬S8C:X*g,9$;َ͟ˉސ@KV} 㤴6V-)2kg Gg7C͙փĨT|D}D0،սxiJ0Dqw} 4%`B#:B^n^ߛÞ[Q'V0&M(6WZ֯Bz"X5/Gs |gR֍-ixt&#ЏJޖ *TBum0 jB5k$6@B%ϜDte3I+Ɵe6Ԏe v e2"cF\\v~Τq ƙՎ;w lhĄnn,ݚ<?tT׶#w7@7QMNbYrfܹɁ.`·%cb`G$iUH0 y{(i*k,gE~(8D@ &ֺ42jg3IX} ZfβjLa(HZUZF"Mz?183ՎFz; jyؓ,NCFD# L6 Z>K7k[4]aw=v*65hB|xmrۆo Oc4SMs0։ #]W^eeHV:eMVUFY2HAGn#=.&"c ^ܾ;ĵl֖PmG]U}zeQJO9&jL+!F1ےj;ಊ$ML KOWXΑ^Kr xt.ʚg`\HГ윢˶=hZc0۰N_0P&vv\hf$, (mw=T uW !eI lD򨷏*P@4(2;Hډɐ`sM#9LmQ֤<6k,xвUJVsH޴Ñ/}Np_ /[F^mىGL4ym '*4/LIHPkw< cJ+IO-mڴAH۸yy:ueLޘV[0k c)%XK&a]%P3zE 5u"Λo<Ԅ艮9?]:pl\5RF-() o%[NnGGW.ύ0bpE]Yau=92׊3Q\^gWmFHo8GLP@%ݓ4rMYzUjjJf!&龶Yݓ%>s::S+8żZd^ `tj\jeLzd޹cGcqQ 6^SA(ꌷN &"D6qAS߁XXSzd- Za{\L)]ڪ'gv5TX/i.ZϹ JSiUX/V$ps|y7"|y! [݅r?ax ӇZ .68LpwJF)<-ouw~P5Jp (iTP0@iRiI6yx}\7O}Tƒ7cL-X] [M Wj0tjp5.pdy +.ݬ^wUޢWjMI7exjq.JX BbjȾZT+}-TbˎQgoRE>0:c==ΰ4MTOxx?͠eSmr7,SHa*>30 6P"V݋gm 冉 ~yt_~P ~ $|2J[Q;8&m|.6EꕺSw@gy^]d!Z*/`,ET|}}Xak3/q fJpGE / endstream endobj 2520 0 obj << /Annots 2522 0 R /BleedBox [0 0 612 792] /Contents [2530 0 R 2526 0 R 2527 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25213 2528 0 R >> >> /Type /Page >> endobj 2521 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2522 0 obj [2521 0 R 2523 0 R 2524 0 R 2525 0 R 2529 0 R] endobj 2523 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 524.4076 177.7632 535.4076] /Subtype /Link /Type /Annot >> endobj 2524 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 508.2076 182.0037 519.2076] /Subtype /Link /Type /Annot >> endobj 2525 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 492.0076 191.5297 503.0076] /Subtype /Link /Type /Annot >> endobj 2526 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2527 0 obj << /Length 19 >> stream q /Iabc25213 Do Q endstream endobj 2528 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25214 20690 0 R /Gabc25215 20697 0 R >> /Font << /Fabc25216 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72)|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2530 0 obj << /Filter /FlateDecode /Length 1942 >> stream xڵXIoW< `4Ћ{^` `NNA;e~"%J~[j(֯E/jn:1bitZy]l FM/ooOƞA'cJ56\gc<"^Ox= fa~3&5X+D%EsЎYpTz2>.,6vyNIq˂=[٠xD`-`^Hjz< ܓ!sZo8g\\iv*[qXw]M~.9Fjzaf91;5 &R4 t%+ȕ /UF]xotnKyos\ؔ8"wmj0j8zA`I_rj#{ XM=@lA"" L=($٢˚n|]1]CRƬn:ٗkG$_T aPv~=.>̡&/dX {j'еV`}E,xR^8C 'W甜oUPD `No画]MŜt639A)/ǖg [ޱr)V;j{ lyH ZAWA6ŲzqѮi}mz~Q_4-Rw4'>3J1ϿO F ^Bٶ7e"XogrVW;FCl.s +2Xzc-IbDxrcř+3Qᙡ,!l^h=˜Z&{{ cw鵫{]G=#DׇڥEI- p>辩yZS /5{>SUzwt_dF50]/$?GWxֆMx>̡ɶ Xj*̧(% &0Wnl7gK >#rFf-I3vauoO:~3Ħcu,icl/mZ}'ān@솿H r(&sq='9MpWnw׌Bi)m-⊍88aog<M*dssYƓ Kډ:W$P1/Ԏ5p=oX_G370 tuis>'D endstream endobj 2531 0 obj << /Annots 2533 0 R /BleedBox [0 0 612 792] /Contents [2539 0 R 2535 0 R 2536 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25232 2537 0 R >> >> /Type /Page >> endobj 2532 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2533 0 obj [2532 0 R 2534 0 R 2538 0 R] endobj 2534 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 439.225 124.1605 450.225] /Subtype /Link /Type /Annot >> endobj 2535 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2536 0 obj << /Length 19 >> stream q /Iabc25232 Do Q endstream endobj 2537 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25233 20690 0 R /Gabc25234 20697 0 R >> /Font << /Fabc25235 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7DthǬ_{9;u S,!.p' J<[&!#((`aԜiJS¯"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XL`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 2538 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=144) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2539 0 obj << /Filter /FlateDecode /Length 4981 >> stream xo...F5-X6q_~<2;=3)r@]} x|Ζ0vS36>+ Uk8DCo4T1Ix.  Ǐ῁ջGq'$ͼNp7[Vd >} [˽zqHk!P[ 8\@ H2LZ*G1[a\rc/?C@ߤ@'(e]OeVѹm/ {= ҃;,P˾,:PTSʼncwsȈRhC\N`MhSMqXw@ L=4eCLI^X-}6"uoԼⒻS'KYM2%ܱ\bPR2&v+!Re~ee4#U[ jࣿG?q89ru90{>[- ;[RM7qY漱0nioWNk^.g ˻6h;;Iܼ 2>k (+ذTJSQPb`T{:i! ,@J ލP4 8H(ѥuXz.(AM+UZ3GP?U y+5 r T; AGċsczCY_?qw/%M>i-a΀iר_yu gIt6lZSaܞe(M4чdW Uw$gelj4re5Q܏iTVqj|,i/VԊPC)kz:r3HS.)_|D>/_ kvuL-L=?@RHbG}P5TJrn (kk+EͯW?T3::^ET1;fA@Xtc|<@r\Q_Xp'2Cr{Tu0[$+h7UL!h?`WɨwS_PUxQJq0 AC ]wErWO %)F2!Yg/8>3^KR**a1T.ITx'N/:&x!O+`~H%aqiX(+)7HޱEk{gA NY+cɥ^T]b+`YsG T*lGH.ALvYU ) ~]c{9҅<\ #M&2D㜊+W9v<#ɶo8Hn:|nHaN"vcԞv^nzu:qQB}qg2gRm S.톁&K,1Eaz>/qqŦ~$O+<ɮiWl~Agzqv4oG#Ɲva*V;9Qw' k=֮!~ʎ.ћFz<.ee> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25251 2546 0 R >> >> /Type /Page >> endobj 2541 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2542 0 obj [2541 0 R 2543 0 R 2547 0 R] endobj 2543 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 429.9423 178.0272 440.9423] /Subtype /Link /Type /Annot >> endobj 2544 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2545 0 obj << /Length 19 >> stream q /Iabc25251 Do Q endstream endobj 2546 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25252 20690 0 R /Gabc25253 20697 0 R >> /Font << /Fabc25254 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwoT endstream endobj 2547 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=145) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2548 0 obj << /Filter /FlateDecode /Length 2162 >> stream xڽYKoW𼀴|?^ "\*R=dD,VWO6-W^t:],M7um~<5kI{rc+;cBxtҏ)8S֏+{<md_x>邹REMT&β羦1&'9. ?_ϗ5stLpT$n[]Tϣ+H3FQ}f!ZoDFMC_'lM'8-6{<+ @40Dw[v+f38}/9cIm.N ѿ_A&-XG\ .\3¼E+rpg2oQ{I z`F63ow+ MDLeڮ p7@= ЅM`3Ad³Ƈnalv!65\GT㔬Kt2&V/ 9JZc16{g7Tw߷7Z̠kxtyl6cPGcHv o7]|oU@B֪%u$ca_k XC< ]Z &qjq`s;7OmplڴޯJb%Zva^SlX7yq= 7iA/ fq#D (fIw;߿}A쳂>ozݪ<2\*\w6E$2WU@Ykʼn啲wˀͯU؟A1 .Iߪ\V(J!pߪҐY%T [G1dk+(4YL}u.b IO"fԡVwic]eZ2q$qwpp/W\ޒP%"g^`#:MAWz},)cǛViݑ͡tkLl´p8laļ lSDpѥbDғ[m2W.f K'}g*(Y/ΥqVv^]c:V[T%N'nN-]6fshЏT$5IRFj ؠ>ui J'5J$I㊫.H31!J3JNU < ipLNV;rj[CKQs?e4vLUh)Px c+hh95E~Lѯg&TqXOx̐#$p g3 Dz6R޲0\TTgX-([ V\C0G[$˶i6^*$K̪4@:Cn3m6:n`KJ>“Y%*q4."N469JT,lܦ蹍iXv2XSz{c6UTY$ӌBIC9e qF==fv!DhpF)\{ϊr^n0p1|Ҹ 7ܚ 6z&;rX4~)̶$l6bӻ4@LJqO#A^)>V@F.UZl*Yk +:/> MKXI@DŽ(JmN>P$3֠7w{\݅Wp- I KSLi{Wlx FgS ݷEgzMarnwa} i)bTӋQo7=6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25270 2555 0 R >> >> /Type /Page >> endobj 2550 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2551 0 obj [2550 0 R 2552 0 R 2556 0 R] endobj 2552 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 453.425 140.952 464.425] /Subtype /Link /Type /Annot >> endobj 2553 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2554 0 obj << /Length 19 >> stream q /Iabc25270 Do Q endstream endobj 2555 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25271 20690 0 R /Gabc25272 20697 0 R >> /Font << /Fabc25273 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rfthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*ɇ[-48יq|mau3ͪ? l?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/} endstream endobj 2556 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=146) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2557 0 obj << /Filter /FlateDecode /Length 3676 >> stream xڭn7ί9t~i [{]g/_==$θlXoiY[m :U>cb?_Pǧϟ>l9Θ|{jo7jkW'[} ʹ{O>HM/V6[>x3<_RN)0/jjZs:-ؖn.r0$c2;i=XC>+ #qd@|JBKI[vH0_= ˽3Z?pmu1m.EcD!O;m^ER x?XK U0}[z,50Bmoy*; 2Wlßs<įi`'-h[RrFQ䳶n5Dx~C{-klۤ[i4 -X"(w }3: okǖ:Sc1}sP@L&'iE1ӈfFRMHs$=+J.ď1~ӋUC+m@{:) b;e5 ^uي jP0[t$g@qG;EB6DIYEgeE <8d^0QYґFh^Yj]i}吧q#{ޚ+V֒Cm#NMcs>vyf߲nli;%$8dͳʕMta^1:yșB-+ͩ;_ҘxQ& C+M FJ;|0 m"&Rv`YBQ(H a/&`j0)%3uDO6{ur%w%#)Ƥ NXBUO03a`2_<54&`?Ļ=OQs=,/#ϵjsKM2 2cop&j,ْ%ܠ%>JX$ գWK2 %6y% Iѕ|ԫ4⌵tuHSWTf][81A"9uI`oB*:k_Oͺ7kޘqRVCW $Dzԩm)7iS{++blڒ;AΞ>eYc2~W@sY=<'W7%.%l5dZI0Zc]MFu-AsЖ`ǝjyHQKҢ,bt O?J0*jdl,cwDnq(NSB'{ž3:ew!y[]neQ4~R dW&ZL%; 5ҲV(.\H+F6hW׀uAG 㸝.oևj@-RuX u!- @oذPIge"*Eoۃ6Սš8jZgm%*j5 X#uRꥹtJK knո=g`]E8y@Z-.^"h&Vq&3qrQ=k:wU5ZI%ݨI%" 9D>r%~hY6b|GrV|j滍' (Zȅc='h]:T==ݫz25t[7 گڽB*H@wBRr?—1T!M8yu{.}{(|"| 8ՈlT6B6rfgS/퀘.b SdjCLeJݝt;_ݭBNq=wrXb~ݲ hD10`B,1qw*[f"nio;(G$3wCHo?+ݼx!>뛣qܭaUFeiWS͛weW6Np^Fq c~C9.3<+Tlppd]-6L 1eX;K"C瑁t~#v991<<j('2lElZxNSԿi5sZn.;Kf:Ib9 JJˌWp};ƽ:2aN|9] @]u 6D B. [5B|q$<?ed!)X 5Qt1ֺ l|L\Bxe&՛\gja+~QrOc!n@[8SExpcp`ӽvg=6۩M 5-L^~+ar˩YIg™X`7LĊ#~By`7CB{'`EZ(oII>' q͈NBGZJ9qnifi-KS1<*]d6:zfdh>Ocx2Ⱥqߣ^3D u8eQt*'Gt7QhB&Cqntr_i-Hn%vILamYˋ9NR#Gce1̷)+l"FxK8 f:3v]{ e~;`t]ݾدCvU2MGr"-('ߡ]|gΉtҒZ3iXLEw us,15Og} tW|_E^ ~Q {pNzG]Piq^> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25289 2565 0 R >> >> /Type /Page >> endobj 2559 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2560 0 obj [2559 0 R 2561 0 R 2562 0 R 2566 0 R] endobj 2561 0 obj << /A << /D (unique_668) /S /GoTo >> /Border [0 0 0] /Contents (log_saif) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 140.4732 686.7] /Subtype /Link /Type /Annot >> endobj 2562 0 obj << /A << /D (unique_672) /S /GoTo >> /Border [0 0 0] /Contents (open_saif) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 150.2962 670.5] /Subtype /Link /Type /Annot >> endobj 2563 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2564 0 obj << /Length 19 >> stream q /Iabc25289 Do Q endstream endobj 2565 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25290 20690 0 R /Gabc25291 20697 0 R >> /Font << /Fabc25292 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$X endstream endobj 2566 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=147) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2567 0 obj << /Filter /FlateDecode /Length 1168 >> stream xڭWKk$7Whm-0r3 r8`C>Jj{d0h*zZj M:tE{n)hky;!ڒ)){/Љ(5(QW 0WrJ@6Nm`N[ 8t֛:vw^jQ$~G`8vp9#h3!JQzhZwQ;wpQ O9G,dSxjo0?rBYݳP<6Gk\ue\FAEGufεJUJGR6 I\:pG[I_򩕗6xel?]P @g$~XY,a-ㅱf"T˷=IoD"[ʼnE2uw\EƱ? v{u"2eP|LID3O) HvO%ĠaƎV!L+nse;Cb9 |(cF3YFeݤ> ^3tیQ\hb&v\O~x'.k]NJ]FnXkj0׶x(`{1i/mIFt~I䕖q'C0U䅿q3hS\%W_{OZOv4#; F@5rrI3 4|>`G`=s澽ˠ-wNj~{|ؘ9'r,{'HQڵ;it`)va;t{x`oOp4V̷c2;q# Lmap <Q%܍jBfM7[ e5acgX9}/ uQY:al|ذ\n(ii#}=" k endstream endobj 2568 0 obj << /Annots 2570 0 R /BleedBox [0 0 612 792] /Contents [2576 0 R 2572 0 R 2573 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25308 2574 0 R >> >> /Type /Page >> endobj 2569 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2570 0 obj [2569 0 R 2571 0 R 2575 0 R] endobj 2571 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 420.825 140.952 431.825] /Subtype /Link /Type /Annot >> endobj 2572 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2573 0 obj << /Length 19 >> stream q /Iabc25308 Do Q endstream endobj 2574 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25309 20690 0 R /Gabc25310 20697 0 R >> /Font << /Fabc25311 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#̧5J\[-48יq|mau3jm#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2576 0 obj << /Filter /FlateDecode /Length 3689 >> stream xˎίFvc ''A `_jfl[&*֛,j~O/KK Ke?5,.sH/_=q98O]ߖ:dvˇ цDy&+ OT 2x3|;[]~E/vV4V&; FxHr+t^1 >⶷Ɣ?GE Ɨr\O0N5 !A!t&h)`㿧u6 )A4q&iz&ժ-ܟL`3;^: w:^@,,] A).Uux7"!h0h+< \:/ضi叟-(YK ťAq>+|J|>|/Jolr" pJƘ.OAQ{Ng'IPt4M\s0t5]6']EV."R.Xᙜn R[>:;7=dOQNma]$R4tf ~0(S@_]PW Z5Y$R#^ۀ }#_CVw[\ES,t#|{q%е4w@[:LYɄ]\§sL[ Nj>ת,?B|x:*yщM2) ,,w> XJt F MVs89g壢\ڵXiy@隹RN@Fy/09uZVϬw )\; ó<&DL<%`h q{Yۼ!ydy}جp30.kb$%+Fg[Z9GޯY5CjXbb.ir&Y\_^pt §2y I,a} 4vL@0Ji_F;G@,((8=x?*K]n0+% u@[< 10X%wUKF($S@IcgJ8aza<B&n XO6x92jTv.!e{{3&nx3昛5 ,m>Uga̖Y kԾieJ0P=}d+`&o-)3wzfW֝i LE }P؊ySv?F݃TtҾ7"uozfrw )+֡WUtSROVNH @fn˲7`}s}& `8a^A\9su9@EJ?7s:m}Ճҗ+woN[tA҇1&,t;a eG瞓aJ8Hpȳƕ6D] #mP0smjCFVǚ0yՍ't x w0}(8 ul,D&1t@p|]u@FN>8:xZ;N;KQ|Ô4m؋l]ȃ9}s:\}7Ҷ[v\yjlWy3ΰwh4yy|;ՙ9- Xȗ(o Ķȁ:M )\qg> 5r΅Sxhq)ORxpL9>D?ȅ4UTGm*i؉?$8?OaM^qM(tYrFNH9khE-G'*~Զ=hӽ! v?Մ,4пW[.:%9Ra{jZJ͐'6j*EeB/0'>LZi:R 8S[jyGm)h ddh& OCVV֚\Q^#Ή`ՠhNM2k~39f['ق!PB@YyVxyyG.{gu0oS}C?tU,`u3=?21\frfs?_"D)킎 @"AjQtmc|o nⲣwZ@`WpY{VFOzxcV|\qz#~ m萖0K JGe+G+v3WqI0ЉĴXF[WD˝XAZrniNpݗRK1O7%[j~z^C Uf/Q-Aq/Y :2bg6&Sx3lav+ЫS!nxYDrp- OSݏˏi Nµ՞ؽqSN=Es$@ABu5 (te8C7@MgȦă;9%HwnN-fRb'^y6v.iYNp3mv/©egMZl&fVi,YQ)m7zIwJ[f8HU*^ܑ:,q`n޶mC 첣]Qy[$fy79B]MȬ>~\e:_5Vrog'_KSI7h o*)S.t=mZܛ?2#(RYNAs\6i#xL}YιFWhԪ1},2MrhB7T;LWguuwm n8M&<#L`0:m|^`L@!7UZPvkn6\+ا\Rx%~yhWr]]XG0C@ a'ա"B<\7enzRN`^jntl'MlrdžkFi8463;?/tˌmbe3QYV+R%,I$[!VBFߐ䏡mz$1Q+W ԕGfsϠ8uK-Wux}wQ+_ʧޫ|cI[1D Tf%zOw6Z>X&YP'~8>+3q@ !@#߫G qVnXoA^ "-Sq28Բp}>KYeظ$85ޘاθ_+U^ni;eڕF|s@,G7dZ쨜tHhlF&XOqn|rőKeeG?) A.7>m^Nm]"[LW6WѸTelK6bmb~Z0jOzp=<| ~]]Ql_vCQxg pcβJ0^F>j r =g| OWKO|3]roLve\|70 &=*0v@_%a nO¸ROn\eT endstream endobj 2577 0 obj << /Annots 2579 0 R /BleedBox [0 0 612 792] /Contents [2586 0 R 2582 0 R 2583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25327 2584 0 R >> >> /Type /Page >> endobj 2578 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2579 0 obj [2578 0 R 2580 0 R 2581 0 R 2585 0 R] endobj 2580 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20210608081201-08'00') /Rect [104.1732 582.9 160.6527 593.9] /Subtype /Link /Type /Annot >> endobj 2581 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 566.6999 190.7432 577.6999] /Subtype /Link /Type /Annot >> endobj 2582 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2583 0 obj << /Length 19 >> stream q /Iabc25327 Do Q endstream endobj 2584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25328 20690 0 R /Gabc25329 20697 0 R >> /Font << /Fabc25330 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`6thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XL*[//d"Xl˒sKhf>eV%p!g%`NS0Q8F$!īiWm`;!xڡ0 '^B90I NЋm߽?  endstream endobj 2585 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=149) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2586 0 obj << /Filter /FlateDecode /Length 1531 >> stream xڭXKk$7y`<3r9y a/UԭCv,_*WeL]N=>gU X2uڟOUO%eW{cB@o<'4O;u P~(8);UG1qld:7w`T)qw/ 1d'PqdzZˇ+,#,&Hq;: R3j<xp b@n5贫a]DR"}oӻH)j Bd2'hR0ĥs;okvP0dB*hϖ9U]Cԥ+R%"&z)`uO"65פ2)xrA{D=@3Bx`O\oKF!tK2?4P'Lk`8s:fo5Ohh<$_|t=Ԙ| &:mK2g* ɋڳ`Q؂Mk R-)r-~^Qf\uu8aTU& QtE_?^\+kC `sltL]bbFLU3_Ӻ(T{2i FtQi+41=#Mn"gcF>d =1t ؝fmCɧ,~^ Oju!(}s6fތ=M/tcy4czF?[c_/Oi%6հa؎|z]`:^zQN[avg@éiHAY"eƝFhkfR~>3?4oio3E fu;WeZgV=bniTʚF #8 ̭HHH֭,uRBe9' /ۦ9 xē >g$uM17/m16nC! }`QoIbnK5V#e'XR+tئ{OK,cSR[J-+f@W񚱝f}*JV}3 ZB;w2Ⱥ~.2nW;3qV }XΆr /đyC~ܭLhMLdq!.ߚ츅]Zk0vӰ-: !;%I'ftٮ>j8^6W WdkyQ*a2"h=_>`CT wSY?ViO/{>"@!6c~m!Xi~IDŽ]0z`Vf:=+=Zr]Sj SYZ?Fo0vG}]Yj~hA+/x˿?`F`>uj5Tn4TbJХ]Ɏp}O> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25346 2593 0 R >> >> /Type /Page >> endobj 2588 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2589 0 obj [2588 0 R 2590 0 R 2594 0 R] endobj 2590 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 453.425 140.952 464.425] /Subtype /Link /Type /Annot >> endobj 2591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2592 0 obj << /Length 19 >> stream q /Iabc25346 Do Q endstream endobj 2593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25347 20690 0 R /Gabc25348 20697 0 R >> /Font << /Fabc25349 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7J,ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ Dl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 2594 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=150) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2595 0 obj << /Filter /FlateDecode /Length 3608 >> stream xڭn7ί9@3|?ai [99yY,gO,9=&,]EF~Fj#+?gd2_IxiJ>&'^wZxvio7jk>͔;}6ksǸtʧ'pC6+e~?ARX2|J;<)_V~0/W'ͺWT)J: ǓmQp~ I~t_Γx~ `|A03 &b'LgK}0All3D% ی{+Md>JPں*21MI>w&~D@Zwɴ8\> 0E 7[9PxU1ZxC~aSx~K:B$M_-?dɀU2S|, [i3j5{`vĶuc+{NԾB/lPs5`[}Vޕp%O^;'`*"'Q _!WNdOB2B mLU뇟 kvc5:@of߷u%YTXL͍J hjjTfK >Qƣc deGŬX,,*^c?*$,Q)]UJ|M1;7r+u^PgU|" |Kd$5DwmǞY#F!rY4D3˼"~yS0Qss+EeI-VdOZ}%|,Y*x(lDp,b*a/U8u}&R݄72$0 $|CvՈcs# R/1'|K{Α_GQ8C M@ ^#k`~CDm@AYھ[9@9ygXп&` d0N)Q-gxG" p wUGDFJJzAì.$4xpkژߊv{<:G N>0t}WZbP(|Z|ytfdYQnP^c`%UjDUGte4"Jt򺫂Fe^.ݙ&^j AW4uUb&ޔUE, [d/(K":K_OͲ7KޘqMe=,XP/sS\n<DH4,DqV6&wINjiFتBjR u;Bh)LD@yQ܌{.qLF.oh7 cvH"8)a'`lDp* AIu&94Sײ}(, M.ܢmHqxG%0`ɂ+HZZ$}+ڜBBEM0KO;Q^8^I|h6ƞ4$n6\m@+Vj qNe$u }[*xk|:%9wϲ7'l؞|e{dY1KR&r=xܦD"2:nBC\٪ 4?wHBbZ| ԉ MY:q栚.$F8v'Rt7$o1"&M[_U1iH=mԑϺDOAr=MXЗm wTn4U N$HƶMjAaU:Lnٖh!ƒ!X𭋜3̛:n2[פͤ 'qj8 < ^k^bN[t1Ot)H@ށZÁfzɦ?-hf& 1x; *ѵ8UlA/Ƌ1딑%|NH cY* ,:?QE2ZhkEbn7 LO\#/y'ūTgl! (ݭax e x\| dJx f}vLal \T4eT 㘮?bQpG pXE@۬%ELmiל޹X^GG{ Flx*YY/$$]L\g1z>Bصvr$Fx_tRʩ{$U* I<G\ׄ%lG$WG/SI h( G~Zt䙈T NApS *|_xE o,%&xOVAĵצD[E#L [%K=Pmڦfn-&*HQnm~(o`D!2ŹV!$ Y&6Y+-&5$x$+8= ~YF|\}jRs*L'-pP1؆A]3,9,d,t޾/v0N'2ɘPTXv}\xc5 N%GҐL w n?H;d콆TD?\@?\JX_O[7XwL/ 8a0uAb > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25365 2602 0 R >> >> /Type /Page >> endobj 2597 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2598 0 obj [2597 0 R 2599 0 R 2603 0 R] endobj 2599 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 150.4282 686.7] /Subtype /Link /Type /Annot >> endobj 2600 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2601 0 obj << /Length 19 >> stream q /Iabc25365 Do Q endstream endobj 2602 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25366 20690 0 R /Gabc25367 20697 0 R >> /Font << /Fabc25368 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7JЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDgkp:3ϳ-nY=~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM>N endstream endobj 2603 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=151) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2604 0 obj << /Filter /FlateDecode /Length 1144 >> stream xڭWMk47 W\W!,dq-C)o)$PYg=7p[TW<)Bu{n'1spzَl85zCtFG>1?"``]|` ;h]Do xr=ɓZ$U)}.N rj&7}Q& + 7|e1-Y!yX ڔ1 U¬;Ͱd '6"1V. ѶDbuB #t-La [F[ C'){PbD>|3xsi<|xvQkmbQ0w^njz?]ym/k>m.GkzyqΦ1#,2J~Ru/ eGqo.45xI~5o.'nΣd7@~55aJSk[=TսTҴ$ #DR.R=$Gn(Ȫt3pkiI{K]i~7EԃH4 ` ͕30޿̽?@\ڪ}xIm,ṙFzҮ줩I'_0KOyq xN[C;lM#1(:{uFg#@'z盾 G T_Hyv]7n10NX*]//QkF `CVbrqF[C_cf>O2/?" endstream endobj 2605 0 obj << /Annots 2607 0 R /BleedBox [0 0 612 792] /Contents [2613 0 R 2609 0 R 2610 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25384 2611 0 R >> >> /Type /Page >> endobj 2606 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2607 0 obj [2606 0 R 2608 0 R 2612 0 R] endobj 2608 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 388.225 140.4735 399.225] /Subtype /Link /Type /Annot >> endobj 2609 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2610 0 obj << /Length 19 >> stream q /Iabc25384 Do Q endstream endobj 2611 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25385 20690 0 R /Gabc25386 20697 0 R >> /Font << /Fabc25387 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7JIЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛD endstream endobj 2612 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=152) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2613 0 obj << /Filter /FlateDecode /Length 4092 >> stream xn#7ί9* dȭrԙd[Z$N, /j|O/Y. }C?/A;?.0吲_ƄۥEůQ`Nv|}}C{]o8r8??/+2yp&[;oQ)>x3/p V9^bP**~';Pp1ypm_駊0!WQ./M38b& q c_q. 3 CkujaZiatni6>j[ל4;~2uR chhs յSm@~Wm[q&:طL 5tqa1fxN3[z*k:esI\=:I^o_e=n:`[Tr?oFfp7м:(4ӏg@d@&%{#ÞI 7q.D=gn .+ ]S_ROրEV%Ziy3uY$V.(MDZG@,(u^,-%e W9TJBa!ɦZT6lIYIK 7([}רf+գܹ%_4'UĖݕ^juCUS'j [P-NY")>i{]Jw -+PTWu-Wnvk@gn-^'D1߷ Jx'GyqOh)g"&ܽJ%˸7xYC^zuj^a)l^aׄ(lu]دd2*'Cĝ)eȽ0{fn'2JV(m>.U<"Tp=g5U{^ը1/s>3P;>G z>T` iUE%@T bBr@p:E kyeۙDl)=9<䒘:oqczSB2 ?]t0ɩuۍ;*Rݚˀ#Ԅwc)oҹӤ Bڇŏ|Bp%n &[̎!!xyE~綧wԃE-vHi㖙O4p38Eh b,Z؆/\Ws"V^Pwj&L4 B68dq.uyY:}ė݃Tn.kX;[UذaqJҾKgMVSnd7hpf ȸ2FU_F&v<O rDkۨo`*#{0XYՂYKW趮f}v6vD64|9 dqa6vL,S {4oSYoh,o,e![f dY-U$gUuyd#;)<3a9xgL`]&َ04|F5s?pY=L;*T;+XU&Mq$4zY9H0N2U[u L_w`@7gygL;g!*geiWQB+0k{փw9TъP6 L7bc,aA5{ɚ6$V[j,UL1\yE} k< aΛ@{Ġ(\:PT4Ii50aa551ðF;Yo” dN+rNP[juߨʡAg˪i&k.0;[48آJg}rU-b=./A޻䂪P8c}x1> GشPj=u{j%yȓ*a։!ucQH8dGf>;rbf-mTWr4`a!YQU,&w y fZWu9.8D-Ń? M:dfzhtrӏʋ՜>(z<UkQt˺xVrI͞or\ x#Fn/@˝b|45 (xw8 ZsM#kl[/kɥmZP،2]f7d 0:t ,_Hh܊s.8PorpSlzL ?S%d TjʶF *0W)ɚAq˒c9صݭG vӯz Zbt+ y:#~BstMMYl(2rh0tnpM@)hgPWdNX_wFɅCTXgAD\+Sqd0qDV\K((*f*|"I/&.3e>0d%kٹ%,Èp=ĪZkZϣkQD UDiP֋eQT^YqL,n">wUHqQ Vd@  5a]vjzP0(§Lޘ<5wMW >3l\gqL]]ɥŽrp\ׂĕ]4M\aܻo~;*{)k'CBrmO;WpbHg'b Ns eNF'ћ]PTڱ!gme Xah endstream endobj 2614 0 obj << /Annots 2616 0 R /BleedBox [0 0 612 792] /Contents [2627 0 R 2623 0 R 2624 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25403 2625 0 R >> >> /Type /Page >> endobj 2615 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2616 0 obj [2615 0 R 2617 0 R 2618 0 R 2619 0 R 2620 0 R 2621 0 R 2622 0 R 2626 0 R] endobj 2617 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 426.6692 199.1307 437.6692] /Subtype /Link /Type /Annot >> endobj 2618 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 410.4692 204.0807 421.4692] /Subtype /Link /Type /Annot >> endobj 2619 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20210608081201-08'00') /Rect [104.1732 394.2692 189.2087 405.2692] /Subtype /Link /Type /Annot >> endobj 2620 0 obj << /A << /D (unique_732) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 378.0692 193.4492 389.0692] /Subtype /Link /Type /Annot >> endobj 2621 0 obj << /A << /D (unique_674) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_database) /M (D:20210608081201-08'00') /Rect [104.1732 361.8691 207.2267 372.8691] /Subtype /Link /Type /Annot >> endobj 2622 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 345.6691 190.6607 356.6691] /Subtype /Link /Type /Annot >> endobj 2623 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2624 0 obj << /Length 19 >> stream q /Iabc25403 Do Q endstream endobj 2625 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25404 20690 0 R /Gabc25405 20697 0 R >> /Font << /Fabc25406 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ƠЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 2626 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=153) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2627 0 obj << /Filter /FlateDecode /Length 2554 >> stream xڵZIoW<@3@rs `NJQD6~3)tw=|}UIP9 N F5RA蠱Lk#JP'R8QQCy`Nx6}e}ZHQb rq\a|s.MiN3vX얷FD?%HM3<8 +bMgZ2ː9iTFkɍsR||K; 8dw$5]VG=W@)>!`_|YDl5w)Pg'79XPcf*X2n #¾hіʼn/!ت)NqC?b|BιJJ,Oϳ$zS,m3&-q.u1g=^pu!gL88nц/*!Q}WkShC[ 8MxS=(Y"wuGERk rڐ˸P[=EMߝFhZ>Fmސk-d#Gվptg*0k.m&ڳ#]N Tne yrg'%[?s5OU[OOU3׬nIJ؎vISnrKsB4t}S@ ,X>AD@ Mb&bUZhPXY ōEVKhE`HRǨ{!FֳY{)ђpiJ;ʼni?ju?D31ʯP& --ˌ3O+cY6xgF1ͭU2T`e^Ls+M~FbXxvF빻J`% ӄLqm١K%Mcy5Rgr~Y䕒f >cȪ7,qgȘki/Xq8aZ)<@`ׄj{ XLGZ>ұVn3^,t9xu#8r$2^Gd=id.6t^  B9N{x9@/ GxOM[TYx747kZTE=F9a<țOMG`rҏK*x'mNA[5#u%MwxxS|lY 1tu endstream endobj 2628 0 obj << /Annots 2630 0 R /BleedBox [0 0 612 792] /Contents [2638 0 R 2634 0 R 2635 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25422 2636 0 R >> >> /Type /Page >> endobj 2629 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2630 0 obj [2629 0 R 2631 0 R 2632 0 R 2633 0 R 2637 0 R] endobj 2631 0 obj << /A << /D (unique_56_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20210608081201-08'00') /Rect [90 340.625 111.626 351.625] /Subtype /Link /Type /Annot >> endobj 2632 0 obj << /A << /D (unique_56_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20210608081201-08'00') /Rect [116.939 340.625 158.4255 351.625] /Subtype /Link /Type /Annot >> endobj 2633 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [163.7385 340.625 192.707 351.625] /Subtype /Link /Type /Annot >> endobj 2634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2635 0 obj << /Length 19 >> stream q /Iabc25422 Do Q endstream endobj 2636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25423 20690 0 R /Gabc25424 20697 0 R >> /Font << /Fabc25425 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛV endstream endobj 2637 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=154) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2638 0 obj << /Filter /FlateDecode /Length 3197 >> stream x[Ko7W;|?Cf 9{XH ؗ[>{zžEU*TgGF~zw2i$)8<ɟON->][ ʧ\QM{SvV7Z*oGilw^mkwjoqwyW$b]'_ *r**m9h/##k~e+}^^eo2o>eApr(l*@t_̝86P^2H`+>$lwB9fϧPm|'˂ Ǡr~d$l\W,Cp͐ez}ȂB i1֮1ۜ5!@`D7GDN<8ٚ./^JQb_Oa48=&Lڬ.4}R>;`q0JЎ4 gh{6G{2 0U !j2 Nr]]E(1K!(C]ɡx{f&sN.ջ6| sהϨCro!V}\

5!WNQHEwnHo޻pjnE'W7C6(W&Nv(L] ӹM*Ea23ӷĥynElICCi?7 PLwO@ "m~]>>ic)MΖfLa,1ڔEYpCS8s"8n83}_jnX#ooO *͸0nVŠaej(Ê^aE\VUa喳r=" FӦ42{[*g7d7bO?fDd۔I} Uf<]+5֌zT.o]un:ak-loP UE-n۟Of`77Jg+ ߢ@ 7^_$^wh#0,kKuxEU}K$MR%AsX3X/̴A%ʩ+^uE%4u(2T*-YXN[  373x163 I?q-RU?>v[=QNQղԚ4 KL=h-5PzU,~СYK\p:1ed G W.Š-Zr-͕^J98We݌&^J9NqHi>޵ 6i=^0_C3}6 }oxz; Qʂ}hd+XMG겕kݦm9d7ր[ z1h_8y-p w(n/[;W.-^{ l$Oo=Ž(F6x#b`En5Eù婱{xp]Ŵ6t) .q[m9e)jŕ˫s+o.o\|uiS[BC;:n#׃DEx;S`)8ݚ~$xĽA7BV4_6l #<ЏvJ;DQL0f=m7J;ֆ6< ,CzAȔfcKΎ)zG!u)~}2Waƚ| %:ጪҦ& gF08ì݈mWr( 4v}&V8jɮȍ yn5di=:\ x8)͞# ~5p,iW+c$j5Fû [ Z !'8,P 5v<a{ewMZeRi{5T^pQg_AxE ,F<^V\XJU2EpQVh)jrc)[Zz.e(ZnT$S!%[j ##J{>[⇍Bx^03/ł(?m)Q]p݋ȼ #ckp1feJ}9ֽlv7]AVԄ)F7 ne/|IK.RX4v0}0ku*-yޖo]8ݗ.~ac'LӾc5aKZuI]__W7s|2?NCi\P۝t4 Qf ̄;{҂2<{JgIi31kJ֟Z ˆ!Xl),x)i-c'gv>%b\1'߼fPZ^CM- ekZPKnM Cm*"-[# endstream endobj 2639 0 obj << /Annots 2641 0 R /BleedBox [0 0 612 792] /Contents [2647 0 R 2643 0 R 2644 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25441 2645 0 R >> >> /Type /Page >> endobj 2640 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2641 0 obj [2640 0 R 2642 0 R 2646 0 R] endobj 2642 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 424.925 137.278 435.925] /Subtype /Link /Type /Annot >> endobj 2643 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2644 0 obj << /Length 19 >> stream q /Iabc25441 Do Q endstream endobj 2645 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25442 20690 0 R /Gabc25443 20697 0 R >> /Font << /Fabc25444 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2647 0 obj << /Filter /FlateDecode /Length 3914 >> stream xڭIn#G򞯨s_A( fO> |j=Ht_[n"Eʍn̥""c͌Z.f_R vi/uk:&[>-|`5 󣒾$}φ08zlO<ͣzaJk@vy]oߚ_yk,q ),?Yacy3ąTʚtѰL8O2~О`|q27ؑ (NfSpR'@{qo#!*fҎk$2;8ں/` @{T<.D5g 9"d$[Z( }<^Ҏӻ0yv&5M!{bqY93n-kց5Oz 6C?Gl->>o-.` kj/HSVdqMȟ@`? ՗6(g ~J<8e%DE*Zpí>Q5 Dv0K}^+X/0`\K#yq8Yd Єϙ\R .+  A}&BA]-5bOYM`a}N;8t~:Sߑ:74*Umh*F5\|56 zN'=udz7,zP!Fsv%7 S=EqnIdDiqT !7et! OdL2[uN.39MdC<+ىgeE<'SxP -~f۔c,}Ǘɽ^R8Ce#F c c_D9|'cI`We6=A@"|%v} 8  4O 悌(Xܣq_j׆1/e ͔|ki=٠;7}s9gXB}Mh^Ii&(̻ՕH75فz)*.ۗ5Ⳓ20AR|J's]+FBSrsmI%mO`RFR5Suᴄ2 `Z*wp`% Qe(MbF%BwRn$T' Ie W#[&޹Mֆ)QS&Ьv*u=(5dUIagB+oۖ%Շ쬖q*Qɰݹ۾DAĤѾ P4Gw>؃ o]Ƭ?KElBlNQa!-咅츪A&._gR GJ曓y\<̆v <0rU|xHn_^M&r-B&5Zjq4 뛀fx+t(%SMKIE y.ljJXqcRw4_u?[nÆ˲ t V{虛[:2xIw쒓w/,cWĝ!`lfGeU)u*VoO᰺o;(WRĉ校6#k 5ƕG Ǎ;%@R/\"b?'@gۛ`poM ox0m t3(F䅌$KFL'wz3|Zc0go`yNjHPuɯWpUCrAػCۥw/n1g*{.)[n-Gr͆-^<.k۲ * SI\ָ7 @$ :K'dJCvr/xN;Dɀ[lMY1DIED-@OJqvaNG}{Z?;>d)90<a`1Ӄ^"b)KV jLH+Q 0تn5{쾶z c#ubrB͞*}ǹ{i Nµ-3^&uǚ:uV?ٷ*$;ڶ(edrG!4z!^R2VkZkؼR1O^56|.iy=~m67sDgklb]3+yn問vC[(]g^̫{w?y-iw vcnc X@s󮻻mB ;J6 >^ӌAƇ]-Jr4&s\1VmՐonEscYfOXTXv4Ñ8;S~] "n8O5kސ Ř, l XO?֔JfMjǗUg?:$PheCv|i" &Os;ap§#b hu%UopF-Pz#b)bdMSY_ǡyKPaTjyB(%sC'-ݬ[F?,BD\(Sq28԰pJmJeP$8Gthi=&zKni[;ҌJ_ZOڟ<ݛ"ꔵfCeCJ<>\MՐqYde V&7Y .TV\F1ۍfrP410^1'E2W} qNŕ^L)6xIo'{=,Lq G'-+:ġGdлB'Uew"sw+siKv(00 PT!,1I?k/D_0{S$Vyi%<  1x6neHhp2 n¸E8XUNQmӡBŷ6GeNNK5y\V$oZr=r-,*cɢxn8 endstream endobj 2648 0 obj << /Annots 2650 0 R /BleedBox [0 0 612 792] /Contents [2665 0 R 2661 0 R 2662 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25460 2663 0 R >> >> /Type /Page >> endobj 2649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2650 0 obj [2649 0 R 2651 0 R 2652 0 R 2653 0 R 2654 0 R 2655 0 R 2656 0 R 2657 0 R 2658 0 R 2659 0 R 2660 0 R 2664 0 R] endobj 2651 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 543.6 184.6217 554.6] /Subtype /Link /Type /Annot >> endobj 2652 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 527.4 195.1267 538.4] /Subtype /Link /Type /Annot >> endobj 2653 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 511.2 192.6352 522.1999] /Subtype /Link /Type /Annot >> endobj 2654 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [104.1732 494.9999 170.4757 505.9999] /Subtype /Link /Type /Annot >> endobj 2655 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 478.7999 210.6422 489.7999] /Subtype /Link /Type /Annot >> endobj 2656 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 462.5999 184.2422 473.5999] /Subtype /Link /Type /Annot >> endobj 2657 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 446.3999 202.8817 457.3999] /Subtype /Link /Type /Annot >> endobj 2658 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 430.1999 217.6437 441.1999] /Subtype /Link /Type /Annot >> endobj 2659 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 413.9999 198.5807 424.9999] /Subtype /Link /Type /Annot >> endobj 2660 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 397.7999 203.3877 408.7999] /Subtype /Link /Type /Annot >> endobj 2661 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2662 0 obj << /Length 19 >> stream q /Iabc25460 Do Q endstream endobj 2663 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25461 20690 0 R /Gabc25462 20697 0 R >> /Font << /Fabc25463 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫QçCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2665 0 obj << /Filter /FlateDecode /Length 2051 >> stream xڭYKo#W@3| $Z ؓ /UȲ=;6bU_=X,vw^?t::>鿝vN?}]lE5&YwcE8k OxcAD۫w2D0ڻ!v4|O!jJ-sЧ.^9W3&'/lћTuew:HVOzg/(x[k%̣{&Y 9C-rGت6[h=^I&~kI<DƼkSsz|l a:5/?X'ܓ)[O&gbS& FL#+-fSqY{`BzUdcCp+_V˼! ?@"8-X ep3H򡘚QʋʄXuϺVp5z\uٵx xiWN9,6dNBbM')9`O.:ZZz S{&wi;f0_/⣾>; ran7D&Dz MP/ ee,^3C6۔=cPFc~zE69 tzs坿y_):^eCƒhzu)Nlq,9v(-}qgi.:^hdͭaKD7LJ'iyz8Is'4jvlx-s@&Zs{o=|mԍ)p?jw4-2Ug TEjȒ̴|&MNev_%H>cUR g!?Ø.-b]4 [-|@<%8S%+w2JVmExzHI q:$c޴$đqڧ m$VEQ/Q0.A{Z1) "-mmVxpEP\oj\t?'`6"\u ys'ݷDW0~VFZ$[VA5ϔ*ccQߤѡ(`OT?G2'_yԆMN jv퐋}2TتjK~UɶT# | .8'b<4xZ&g@sJ@K@bdX]1CDG?|d/]ݵ&/Qܩ#3&ȴ/=4f|f|Ab2pL/t6h'LCZ&^d㍵kdMc s^x:AzQ[ev75D@_Ն]&e~M,)jxR6^kaUTiŐ}/ ҧVF#W ^yۅSE=)]Q4Rʺ9"l4="Um#UHUc^wG#ny2J$˵n*,/Ss2¦<@o&m;eW+;3""z%dST}C2:G|hj0#u 6=.vf&g^؅%43s]o-Š3/=bA.bԍ5W=谦}YZHǦ endstream endobj 2666 0 obj << /Annots 2668 0 R /BleedBox [0 0 612 792] /Contents [2674 0 R 2670 0 R 2671 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25479 2672 0 R >> >> /Type /Page >> endobj 2667 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2668 0 obj [2667 0 R 2669 0 R 2673 0 R] endobj 2669 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 424.925 137.278 435.925] /Subtype /Link /Type /Annot >> endobj 2670 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2671 0 obj << /Length 19 >> stream q /Iabc25479 Do Q endstream endobj 2672 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25480 20690 0 R /Gabc25481 20697 0 R >> /Font << /Fabc25482 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2674 0 obj << /Filter /FlateDecode /Length 4028 >> stream xڭn$ί賁iʀov!i;V֗~Wwό$/vG3dbY^-f_R vi_Y>>Nu~M>&|~]񠵏jlQI_>O S}yY}= '|]oߚX2<ҫv%Df[LRRIK(kҡF6 b_s~{6~£uvxpD{q %?c uHW5!Ѭ+`fy\Cf~}@3pHh;8ں~=tTʆ ,dRI3pq)) Z@N\xW\ j[G8@iŔ~\*]#1رs.gzdž |<J]v4-xX,a m *eYclo8&Fcض8?kW" -PҀY- 6)f@3a'O̟3Yr DK(RV*z]O ?էz `tzWJylcSͽDkkd7oXEN8O EYAPEY! +aZB3! lYjI~a8zL^>G6:nq vܧ#v;74O&Uz֨,F5\A G=!̓ײY+R-A'=|;-KnxQP{1蹇uO42[ E51phžYh"D@6hfU.σ}i Uy@bw5[zMQw َuYvKWrF"v(jDl,|tBM-߉$(FvA`@3,p?&2P0? b zg +ĹG&bv}x3QV1#CO li^ah)px5(|FeV XȋmK* K@o qKv$bu;Ԓ P}R??@NH:C]0mP0 O \^ԽS&nr\b٨Ԇ旖w7jUi 17S%ԙY,!feprm:V ьX{t7"Dtr% -@ԫ4t5HCoX&fM Qİ 1*QDG})QF3ԝѝˊeh26+K:>m2jB!UIH$ͮ>Wm:ɟ0̑ȁ~&?"AӸ xBސ(l64{(m[zКМ'~n;ȳQG&J)A$!ۯ%lԧЂdAea)lnNVrбnxtx 70~02uk̂B6p[`m #%c::Cdvfź 'b9n9K(cHOc͂M1tƜp8X}rwI=˙wosٔ5Q_7- ,nu%RSv &i_ʧ e <z ?>r2{Ayד-̀f#H)var-iIcS\]VRFkfq 7|F7-\3Θ5z 4-/v6ɆFŽjkK.Ӓ&^}twu9Pjyf&twpwKU}jAOܝF8wg5p\ATSkȆIUmW]SUѲes+xp+3=&XXI ؉f3;{m:h9Ma@%'@ 2+)UQ0H@^3<m׏[9-ևClIFl:pt6#w߿Bn+SXKD:3r_pMHJ1%l&:LєmƈB;q(5)Nyc7T 4s3J)TQ.!dmiC/M ,b<,m9kXvhVkڠr}mQ;_r7fqAv wJC+^朚OYd*q?ޫm|ǯw'sy%O,ӹɸ&s\LYjz^Zc k PNZ08bs9@"#`Z"2;KzZSdj[_.w&궄%=mJ]7^ީ踨(0V2%'VVIyx:m|vwWr!\$w:'؝RmS3ǁG2?EToTYL*̸) Riوr?hŐYAW 6H廒VxQoO/ 5T${2+kZJm#U5 ziKlll 6VTcUxGQw{WCGM]2\H;$G8etdIGDEDDj/xt/ $V6y|i>A |'|20ʝ(4 ux½<3?/x -:U ~ |&XbJ.M:mgZ.I ؠ$a> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25498 2687 0 R >> >> /Type /Page >> endobj 2676 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2677 0 obj [2676 0 R 2678 0 R 2679 0 R 2680 0 R 2681 0 R 2682 0 R 2683 0 R 2684 0 R 2688 0 R] endobj 2678 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 475.5923 197.6017 486.5923] /Subtype /Link /Type /Annot >> endobj 2679 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 459.3923 195.1267 470.3923] /Subtype /Link /Type /Annot >> endobj 2680 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20210608081201-08'00') /Rect [104.1732 443.1923 166.5377 454.1923] /Subtype /Link /Type /Annot >> endobj 2681 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20210608081201-08'00') /Rect [104.1732 426.9922 208.5357 437.9922] /Subtype /Link /Type /Annot >> endobj 2682 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 410.7922 180.3042 421.7922] /Subtype /Link /Type /Annot >> endobj 2683 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 394.5922 176.3662 405.5922] /Subtype /Link /Type /Annot >> endobj 2684 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 378.3922 165.4707 389.3922] /Subtype /Link /Type /Annot >> endobj 2685 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2686 0 obj << /Length 19 >> stream q /Iabc25498 Do Q endstream endobj 2687 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25499 20690 0 R /Gabc25500 20697 0 R >> /Font << /Fabc25501 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2689 0 obj << /Filter /FlateDecode /Length 2304 >> stream xڭj$_ Ǿ@QP4['=f/~[dDfjݤ2c{i-_Uϯ9,:?X8Ñǫuxz9:%QmY)2bu#.CJ Ak093ZҝOQ1AsU1̊sWCK1֕b,vhnc |=W-% 0n+B(>\P]dY&oD @ahJ}Ots_|ԣ Jø010ʨ)( \r0&;F80kjqфՁ]w``x*D ~(` 5%l5$"P9L' m6ujnEbh?U$=4/c$ *XxV ³L,w/"> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25517 2696 0 R >> >> /Type /Page >> endobj 2691 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2692 0 obj [2691 0 R 2693 0 R 2697 0 R] endobj 2693 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 424.925 137.278 435.925] /Subtype /Link /Type /Annot >> endobj 2694 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2695 0 obj << /Length 19 >> stream q /Iabc25517 Do Q endstream endobj 2696 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25518 20690 0 R /Gabc25519 20697 0 R >> /Font << /Fabc25520 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`U2thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9Na~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w& endstream endobj 2697 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=159) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2698 0 obj << /Filter /FlateDecode /Length 5037 >> stream xڭn$ί賁+d 7; 6 )%z3#l7dX/]-f焏T:dU|M=|]k]_-_ߖirނmoz%{4ׇeWȟ_X2|ҫv%xZSY~Q-fq eM:ThAL3gZ)/+"v 7h~|;iM|;@r8f -(ArĻ퇇:6k\C4wc⋸ ǎ;sf:AR섊'_dTho@~Y@fNr +fP`OɐA-R*iDlHɖYla0"SFo qj~wBԙ+g-MsGةHr ./"ph7X4mh3u`ms@eA-i{_S >O703.X,PcgQ@}kb2L  C,y>166sod%a(+CfT&nQqO+ `y.y.z /qFdgB8Y>Z%++`ӮXSUl a4ۺQ%P Ď_] =5UUǣ@iƣCFTT1@Oz:c\VcY4ULHT;OSE. ʕg;BoD~RlID[=:F4D3˼"~yn|LS ;yRnHj}tݢ; cc|ju[Ң$F(/'s'}LԎ%]Pwrr{NP켏9S Tlʁ!<}y5UfdYq9\\c`kUC4#^n%]άW =*py4&&8}U PVzWEc31lzt/K${xQj(oQz=uAtbx[*lPWol9;W8ӶKb1vU[W>ЈՃa3םer?"(ko]z@L丆?.  6qi`cjqJ=N?)|OݾK|wIpaGpCK!,ѯ>%aoܐay!g{ag0~Z=P@G5Ű7lCek KXK}PgaP1Hqtښ5,Z6>42VR @M&b}E)r($ 1q{Pژ0ߨGPl5yġ$x( yLc.z.Y| @ g:j fzY`.Wu@FS/!u ΌwN$)gQ̂CJupZr *,VQZ+WD)lQaxb"EԂDQԉC:,^A J*#LY5#jP{+(kIfC ݯLlpxQ7Oi L:U?"OL 52Xt]X ="#UPv1h Ȭ4 uffA*b!rLS^mfX,&hQp(VVQH(}X+CMHB]H3 ǝBá SFA%dʊ]+WeĈ$ΒZ'a4(ꄌ8iaСVpwcL]1ؿ`I= 5l#"/ +yX D-vu5;FG' x2dA$K 2ShoҊEX8C ևV 8#yw4RI!wCmA'-D:zylNaʠC8QWa`"#|ݘCdS7 `mLqVoXcn>YM0ͽٞo.2V|Lj~۝ytapfB߇^GnG f5\~^PS3[c>Gٮ/rQәrRo{\y!̽娙kRvMeJ | 1Ɵ~բI8cjH+1f@v;`5<Zcv;.q  !8-D8NhCqPI}*w͘ .ŕ` G"VvYiSFrZ6~Lm]멤<xN4<$A',kş@O"ޖ^xICfeG2?jEಬ'Ɇٌ[ILLT) zPG7hNn5{K}WnЬ8АAO&rV=W${zp6.2fKn7ǥKZ& ,sll`G6v?1ⱪL#SxC^p̻}t$#ےLvqqP"OW=ƺ%v-7^M$t$ꪾ-0,fj5m/xj>ZH>d'.xj;$1Z[<9,5@Nty{ʶV:J0e'6\\1_ލ|B^m_><*[.9@T1<V:P\:LM\t$ʭW 5.cko+EW?45: Sp;&gLDn3}.(]au @`#%5O8(eNłq"my]3©ZyYBQ0z+dƚqsIMgp(unĄ-7O}Tԙx#1:a҄t~}T)s_NHO]KR**a1T*Tx!J/:.^ T4B>)$䖲3,QKIK^F>1k6 9XrU؊&h1'sM&G OE$_&eެoPSf=u~Lθm]Ҥp5Ω2<*]?4BGId 83\p/Wkp^bҕ]sc},mJer0u n> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25536 2717 0 R >> >> /Type /Page >> endobj 2700 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2701 0 obj [2700 0 R 2702 0 R 2703 0 R 2704 0 R 2705 0 R 2706 0 R 2707 0 R 2708 0 R 2709 0 R 2710 0 R 2711 0 R 2712 0 R 2713 0 R 2714 0 R 2718 0 R] endobj 2702 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 451.2385 195.1267 462.2385] /Subtype /Link /Type /Annot >> endobj 2703 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 435.0384 180.2547 446.0384] /Subtype /Link /Type /Annot >> endobj 2704 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 418.8384 179.0062 429.8384] /Subtype /Link /Type /Annot >> endobj 2705 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [104.1732 402.6384 209.6797 413.6384] /Subtype /Link /Type /Annot >> endobj 2706 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [104.1732 386.4384 177.4827 397.4384] /Subtype /Link /Type /Annot >> endobj 2707 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 370.2384 190.3747 381.2384] /Subtype /Link /Type /Annot >> endobj 2708 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 354.0384 184.7812 365.0384] /Subtype /Link /Type /Annot >> endobj 2709 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [104.1732 337.8384 179.1767 348.8384] /Subtype /Link /Type /Annot >> endobj 2710 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [104.1732 321.6384 177.3012 332.6384] /Subtype /Link /Type /Annot >> endobj 2711 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 305.4384 177.2407 316.4384] /Subtype /Link /Type /Annot >> endobj 2712 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 289.2383 177.7632 300.2383] /Subtype /Link /Type /Annot >> endobj 2713 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 273.0383 180.9312 284.0383] /Subtype /Link /Type /Annot >> endobj 2714 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 256.8383 165.4707 267.8383] /Subtype /Link /Type /Annot >> endobj 2715 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2716 0 obj << /Length 19 >> stream q /Iabc25536 Do Q endstream endobj 2717 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25537 20690 0 R /Gabc25538 20697 0 R >> /Font << /Fabc25539 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>P endstream endobj 2718 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=160) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2719 0 obj << /Filter /FlateDecode /Length 2598 >> stream xIn$W<@P@-|kCƜddu;6f2SUՒܞq7RLnG0Yv Ҽ~z}uu8dtq0eoڠ5&.W|譽s(>@qlb@)_ExYku,̂#$w^߫=X/a_f^8e`%U@x1Om=|*/Wj 3WQ N)ynH(ŶxaI& P⚅ ё󀀤}ЦPEȡѤ]r6g`Ņ^*(U7Y'L5<,/]2 ` m0 Xy8kw* nŷUJkm h :h۴9cZ<ֶ5%0N䣣3)tО`$c?Tv3PuS¹ZFSޡ`ALAh.4J:g1`XU]Ց&Giow]Vċ",!bљv=̈Ė"odeX`KG3V /1{1~Ѓ(S[Y`,1Nڲ=Hwn՛ZϋȾ+QJ\ ʇ=+1|-7C` 3O`>;z(zCafONI38f`eD 8դD!? ->|#uCJ5 xv&p>PeĺLY6e N:Bf^9n7w # <>[H%8rH0G+|خpސC-&DTmu %O܋CbLVot=S\Bw1S[N%61bxʨERWQ72-E>EYERORtMFI2q]\#ĥM%$]O[oI@} m%%u v~],`AT: Ypw7L}Q{N^ط M7uVOs= R}aJQOa8`uxHu%i8O'oH$ -yJ֝Xj`HG͋Wk’)q bm(a\!gʩu1`Bqreh< )A+LItؽw+R۔wS>_Oϫ@+uv2N0cz} LO 1?ccn TL!Q ngDdM {a3;%Kr_$%~;NU+p ɛXRޱhԊRXH:mʘZwu;2h6O2Ҧwp,.OfhemqfjmGX-u[?uKT;ڑ'_EOh^N h)L}N  tb$cyBe5)U顾Cagtxw&^(ZN"gMBG:J 6==Koh) f<'hI*1J40[&"."d΁A{x^ꩊ` > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25555 2726 0 R >> >> /Type /Page >> endobj 2721 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2722 0 obj [2721 0 R 2723 0 R 2727 0 R] endobj 2723 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 424.925 137.278 435.925] /Subtype /Link /Type /Annot >> endobj 2724 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2725 0 obj << /Length 19 >> stream q /Iabc25555 Do Q endstream endobj 2726 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25556 20690 0 R /Gabc25557 20697 0 R >> /Font << /Fabc25558 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جRwm-־0ZOt⩔L2:)WIkp:3ϳ-nY=~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMD endstream endobj 2727 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=161) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2728 0 obj << /Filter /FlateDecode /Length 3855 >> stream xڵɎί.s_jC;4K~?oV]@&|$_/_hwG*v>d]}S?.?bqk1'}|^V÷`7}Ϳ>+Kjh6e~K}Nn cB+ĥy /Fyk,^U;<),_Yam93s̅_ʚtl3|^cZ޹_ t'O8=/IFπNHZzdVL0h1%Y_ٌQ4^1 1 F"ӎڨ;rOH6s*r~VWFU8 E9̯; k[2sj>E؏9hkٰIjyl>Hs,b،D>ygeU;E4i GF+ʠaA3-kցF{i+`8>^ѧ" ?T;5`z6 ظ [/ ʟW j~#=i9MD.tiC*en^ȏ pWomyIL8.ù$+=UGrfmYDёH=(D(&a%T᳆pcǖT;@jfwκ C7R};R!^RߤjZP5v >"_G3"AA{@=#A%.*Y< n)7P:疎DFF+WʊJ^f!y@B`ᓯ>̖E l8+QdC xV,ˊyO>3O=N#dkf21FuӼ;Bլ}e-E(<69~y?;YS38~vfCsXԱ˚g 7V !K8/NrΣ\NgIv!nv}+xP˗~K {q.ןܘ. ; eC/F;t G@ ȋm(8jH f*` X< qJ'Bo H$x R~Z2B!O;@ Kghf+q؅L /Xuo;Jҁ4U*ڐ{/f1-= *ؐ37kk_bp^M2V\F W(F}ˈ`8jVz#B2k&/%)#wzfS֝iL=t!ީ6Q=%ҽy<}o?E7ިy}%w'$KYM2%ܡ\f^ץ\eܧMNV:*%fWnml߫6Oa\?31wS ym!oXٺ|]ok}#XMYk٩=%ÔpАF6S=]P0ZqlBVzЩittx 70}(0 uk,B1@p-[Nbm #'ws–::)Ho#&fź bp oL(ft_α4BM1Pa 4z|Ih\Re`Km_i=F5"'ZiG4gS? ws}/N7"z:Q. ;U'yw; 9i3 z_0S?Ľz-qG?;]ĺnyVaJr.qxݼJ3]T$ʍ%"@)Q 6~+ .MÝEniT Jb.m[$N0JF}6wygx;ܙ4'@ ʶ{GeU=hb_"ԍ[Wś;[Mt^9z@CѺٛ xM+ |E˘@4R2 D`|(i6WQъR#m7Q܉ĔQR̉Ơٷt&n/?z=F jwa9#]t7ev">BEΠu?-)GSӵiæ#v _XiX&Ӎ` yS%&GW=DSUE28ekm9s˄BCA8^aEBz3V0i̅ʞDzZjхWү,u:I":2̰kH0|~#RgGPPda(ݲ&:!,FcFWC4o -M'.: ֏D, Yک&kSdIҲ 32tA[ɾcta/9UuSOYr1Wʨsqŝ[9uijy۹OZڦ 7W'AA;/a V!@SX)=Ia>ـxx,nMi}YuCՉFj4T<턅5QZlXb4Wuxlͥtgqx[Nn,md`$3 ZFZeصuIW:aGjK (=Юnr}UXG*0}XryNu(4DT0;ӭ] m4.`G\W? 35[ͫp˿x!2&p+p1@ƌ@va>0ʜ2Xm$ GBz__-5H pB9Vue)an v#Q6A[(z%UopD-PKwr=*bdMCYˡw W0tpL4>rB+.ݬ"XnoOi Fɍ,BD\[ d0qDak,-^fC`'TC^2QSz 9p6?咰[ΰ4#a%OXf&Ȩ5:ePY:-ǖK^b+`Y!b&7Y .TV\F1ۍfrP400Ob`/'E2:Ua#ȏF㜊+CB-u/1߆X RFrw,xkƄ6Np2VFmku*!LwXMB'EҾmeNoe2 6CYwPZ|g!/U}<$O+<ɉi b2nmzh"i n¸s[G~[ *TV{'Ꚕ·븭FB;Y8wgj>%?@ endstream endobj 2729 0 obj << /Annots 2731 0 R /BleedBox [0 0 612 792] /Contents [2743 0 R 2739 0 R 2740 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25574 2741 0 R >> >> /Type /Page >> endobj 2730 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2731 0 obj [2730 0 R 2732 0 R 2733 0 R 2734 0 R 2735 0 R 2736 0 R 2737 0 R 2738 0 R 2742 0 R] endobj 2732 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 461.5615 197.6017 472.5615] /Subtype /Link /Type /Annot >> endobj 2733 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 445.3615 195.1267 456.3615] /Subtype /Link /Type /Annot >> endobj 2734 0 obj << /A << /D (unique_261) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20210608081201-08'00') /Rect [104.1732 429.1615 185.5512 440.1615] /Subtype /Link /Type /Annot >> endobj 2735 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmon_reg) /M (D:20210608081201-08'00') /Rect [104.1732 412.9615 201.1437 423.9615] /Subtype /Link /Type /Annot >> endobj 2736 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sysmon) /M (D:20210608081201-08'00') /Rect [104.1732 396.7615 199.3177 407.7615] /Subtype /Link /Type /Annot >> endobj 2737 0 obj << /A << /D (unique_314) /S /GoTo >> /Border [0 0 0] /Contents (set_hw_sysmon_reg) /M (D:20210608081201-08'00') /Rect [104.1732 380.5615 200.2747 391.5615] /Subtype /Link /Type /Annot >> endobj 2738 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 364.3614 165.4707 375.3614] /Subtype /Link /Type /Annot >> endobj 2739 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2740 0 obj << /Length 19 >> stream q /Iabc25574 Do Q endstream endobj 2741 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25575 20690 0 R /Gabc25576 20697 0 R >> /Font << /Fabc25577 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jNЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛKT endstream endobj 2742 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=162) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2743 0 obj << /Filter /FlateDecode /Length 2429 >> stream xڭZIܸW<4P@-]栁9u e~FRzm-| _:[1b߯浵ԧ5s4Q?=cJ56\I7g}/$m` G~ldg3/"Q; +;t0>> sWrWDyN)@lE(Ln.43.\##蘎Wq,(-믻H78T$9 ^GḹԺV[K@yƭ? G]#'t9"N:m`GM]`40bEYSf$Ys)"gL^ˬց2w/~H-)p#\\2mH#v'Y;i9+'DvmgH;&fkGWq?Xl m_B'am'# 9PjBBtL D쇓UܩA 3JfP$J>N .eC$"Jm+Oc':~Ә3yKKݜ6Q&-*g'œ³'ic4Bv7cb{:!x;0 CɭX 6=Eց¾& r0'f"z"J-ԩ?y@o .,y;Á %=pRWzu('a>PV Ryb]4P^ωÔBBR8-sUǣ=vx=-9XwU=@ufT*JZ#ĂEBw 3>iWGEXpan&PۮAͨcсNp@9jp0E Esё]7bS0\|d{Y)Y8P}j,Ιp,`T+\Pp8MF`uꕢ7γXϐGRsψ VD: $hc6#W7#-x< ջRgui9L8 qvn$7\ax+88vdӽfxOα H5N-M aeX6Y?jQ4Dn#&~l9Y%d#&7̖ 4גs"W$ЏhDҧByg*lV]`L<{UKG'pTvYYb:xUlk?Zσܚ፨ jmz]֘ecY4xی:B[ 2(a+'$('B[>-w E&0e}asM%k3م U5ѶC!ً9ciQR3~ZNJi5xkivYE`E%W_a|=i`#UPCwR ;! up*+Xc*\S+F1d*y1_Ta|]Fg1:ON"ݥJ4t[F9  s?Hvoh>'x0KY7xW4 :HcBX`gay͗؅g ]aZQb}T4EtFvT3`h2H=ʉeCx3A~ZՙoQ? endstream endobj 2744 0 obj << /Annots 2746 0 R /BleedBox [0 0 612 792] /Contents [2752 0 R 2748 0 R 2749 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25593 2750 0 R >> >> /Type /Page >> endobj 2745 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2746 0 obj [2745 0 R 2747 0 R 2751 0 R] endobj 2747 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 2748 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2749 0 obj << /Length 19 >> stream q /Iabc25593 Do Q endstream endobj 2750 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25594 20690 0 R /Gabc25595 20697 0 R >> /Font << /Fabc25596 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`USthǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N*)Wu%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxoBD>.PkP=(%NW!ӘV tw%BJC (aNs(?`|۾{wQ endstream endobj 2751 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=163) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2752 0 obj << /Filter /FlateDecode /Length 3949 >> stream xڭɎί@I fgcϗ~j&??H܊Xo4;#-v>:3?eU:M?^d{?9>Ikl}7zVRdhc2RAfzyz}S߈D`SоyzxjBϓ㒡IiONߦ_'n2#O\%,i ˜t(pwPvG @glVfuQ6Lĝ2fNȐnZߍ?#g!МCh`XkҜRH~L89л,*|4ghc}ts,NY̳Z  Od FR4["`9Fz ? ַ͋ѧӄe?5C"ڥG5ʠFyAg@4 xb_a'P(bOVݠ XM L9bDF}*P $3̣9ٻeYML$Α@gc/3@ *[*g[tpf t"D_.r-~eҼhdR?Ⱙ1 Quj#T;UbWIN}G-v+أà* mh;3َ3bzOzd;T};EP F N7=8DF+ZPRM ʆ/_3}&5ڤʜ`rxb#?l?>Xij̲H\$Yp@*x G͚)7-OwXwYzǯm^g㚳B⡲:αK턳QN-D- ̆>(1^q$! ;Zľgc ?|AAD &0(LXKLnasVs\91XB)tm|D:tEP ߒXW_0;t]hD@>_6tL(ߟ`"ON Efva\zv#ssw*UmǵՍ{AԷٛ8G,o ;Xp < yv;' NU(, ~^|..6m-Zt_"eGM3>mgU< 0Bn%-!CZ@8ܖ-pUV1a ]B)Yv3ϝdҬl~i;j7It-1laM1bgk~WqQdP5h97tm< 'Qb$X9jB&K:InJ_dN]c a Tg/kH:!)jFϏr uY/L?p]$K `9ޝ\UiTX, 3ԊąY-a &Qdp#\qT+0HTQ:0L CDs$eK}SJhؼ0ϬLW3]WT v@HcNMJH2@ "rZ71V6s9E! =Pm8mM,'/$h<3+:eѦ-& AS3@׋șO볊r2sFYX_TIA*'sã^-ԠyӨX}?d WH /|FxWQpC%k6)+?5 ]?gNIpJՙL;d<$+"$8xHwn;JYipk_~u^?..X%+֘ϤǕ#cT_@Y,xcw^^<}'Y #3ThϪx o\vyR1<&}n>ajOci7BYQպ-%WjAkkEn_%cvxnj`7˝Y+ @mپzI 9^/|(*^ɰW~(8^ KfW;~uGuF~7 uj|0OYT}v--{[5^ZF#Uj[x_OJPģQIxݪrvqۦ_d>j85S;rs=Sv[RB?ܰ#:vz {wμ`s2P:^RU;\ɃN |H)9>휛GDH[GV-mwJ˕,s2mAR2#Hl7-pM9V]:&lYk*FGO&ge63nGg6J{n ZqN6ݍ0ov?%源܌1VRF# 3pe6~c1xA# ,%Vi! i/Z1$AW\vEX8):XmvwemmnmF.EU崼sAed}~^Gt=SA}ͳk$( HCv/ v9Ϻl:idv{98KsPcclR`M6 g@H5ɚԿˡ7JR(0t*p %p}[ +nf2,|W,=DJ8xJ.)˩iP \]+cq49԰AZJ)};c(\8ZtC Ca @oJ4z~H%aai1J_jMmb݊LF>0: }ex_rU ) 9*7!T#U$^]'&tE:_".D_ _~FbzXfp5iqKwo\w ^I8 tH0ҥ`'/|^~w*;&#A />\i'.̙xC_10tb%,d3 ~G ޜߧI#Xl/Hyš9(N֛GEyJpn¸K#}Q/KuC-2D~~)־H}`%+H&ԋ9NWA|,YQ endstream endobj 2753 0 obj << /Annots 2755 0 R /BleedBox [0 0 612 792] /Contents [2769 0 R 2765 0 R 2766 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25612 2767 0 R >> >> /Type /Page >> endobj 2754 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2755 0 obj [2754 0 R 2756 0 R 2757 0 R 2758 0 R 2759 0 R 2760 0 R 2761 0 R 2762 0 R 2763 0 R 2764 0 R 2768 0 R] endobj 2756 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 295.1962 197.6017 306.1962] /Subtype /Link /Type /Annot >> endobj 2757 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 278.9962 195.1267 289.9962] /Subtype /Link /Type /Annot >> endobj 2758 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 262.7962 177.2792 273.7962] /Subtype /Link /Type /Annot >> endobj 2759 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 246.5962 163.6832 257.5962] /Subtype /Link /Type /Annot >> endobj 2760 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 230.3962 205.2137 241.3962] /Subtype /Link /Type /Annot >> endobj 2761 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20210608081201-08'00') /Rect [104.1732 214.1961 177.4497 225.1961] /Subtype /Link /Type /Annot >> endobj 2762 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20210608081201-08'00') /Rect [104.1732 197.9961 208.1122 208.9961] /Subtype /Link /Type /Annot >> endobj 2763 0 obj << /A << /D (unique_303) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20210608081201-08'00') /Rect [104.1732 181.7961 210.0042 192.7961] /Subtype /Link /Type /Annot >> endobj 2764 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 165.5962 165.4707 176.5962] /Subtype /Link /Type /Annot >> endobj 2765 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2766 0 obj << /Length 19 >> stream q /Iabc25612 Do Q endstream endobj 2767 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25613 20690 0 R /Gabc25614 20697 0 R >> /Font << /Fabc25615 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&ЎYzrv"eYHUC.$G7]Nx41r$bE0[R,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/} endstream endobj 2768 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=164) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2769 0 obj << /Filter /FlateDecode /Length 3151 >> stream xˎίyf~=F `=98}OUfZևlC&7UEZ~Fj;#+?e6إUb_.*4Zם61kcG~ /?ɉ (8e;#c,*YO?I{i L0=`d"t)/Z }0o7 ",k@+" C%:x/GhR#PFtcgd ]#St(~̝$cg0u>R U(&w) w V]E& 6d*’']EIa CX0Fg\ 1`wNE̼Q[yKV&Rf ewX@Gm&;ҹĠvFM1yި][e"z .Y`cr0lr/Wʜ\8RR뚃*ch;"b)x#  <[mnZK{qk58IUݱBL9V-$};,$;4myP,` ABh jv|-/\oU~7%W,V^9.NJB@ݴ\b{^(g+oln4`I>,Lw2ȋÓ FЭs뷆!?\b@eVNF j もxyZѧwׯQbW }/ V*ŸieM`MKH &8H/.Wiwxx;軵m;Wd.7#0G NH(S7rO ?-^ YnP%Vt9Eಕ|N%B(W}$4j@V ȘU &`M93E2$@o]S6dd}U."(j0Y|l(gf:0ԉY[ubU'r^h]zX+&›%4 r2U6]" #F]=MlɞYX3͔m0ဝXl,CK,aDqx\B }-T†) ;i{se)gN?fckCiEaK0_}s*>#4}V 1]!휭U"7nAކfۛkGscNݭQ"rAb|^VldT_&;Z*N ݲWżM{XuV+kZg1R > !ZZk{Y=ސ+4념v5NsSr7+u֍Zz[@RS X/t*lQl9fQ&U }Gcg‚JtO[:e*]0XQrPMBp0~_mȰ' :h[6p5 I\>L|AX/ +߄v?@(܆vfl_q6L1acMG6Q% hTh!Z*:ۊɰajpneTveU%GAMW`/b."3@,Rް,ш pp@ґEbzTG ʲ l3ª ,MV%OfhȷS?lYXZ*FK]v#J`GZIh^ hh5ej}m"Q5: ⮞Sq7J$!,? 9÷VVXu7r1*J@>Q堎+@'$0~2NT>GcOȏt"^GCG] 08EX9bAC^v jl endstream endobj 2770 0 obj << /Annots 2772 0 R /BleedBox [0 0 612 792] /Contents [2780 0 R 2776 0 R 2777 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25631 2778 0 R >> >> /Type /Page >> endobj 2771 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2772 0 obj [2771 0 R 2773 0 R 2774 0 R 2775 0 R 2779 0 R] endobj 2773 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 425.025 124.1605 436.025] /Subtype /Link /Type /Annot >> endobj 2774 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 425.025 162.6715 436.025] /Subtype /Link /Type /Annot >> endobj 2775 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [167.9845 425.025 225.7125 436.025] /Subtype /Link /Type /Annot >> endobj 2776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2777 0 obj << /Length 19 >> stream q /Iabc25631 Do Q endstream endobj 2778 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25632 20690 0 R /Gabc25633 20697 0 R >> /Font << /Fabc25634 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2780 0 obj << /Filter /FlateDecode /Length 4241 >> stream xڭˎί&X,͉ A _ɐg&z_W?Vgu X2?ﲫK){UdLH EL-< P6KZ |2gx:&rfw k;e]Zt\lb" (;x:r0ƟFY{Yg_mPP F–@uIy?O@o'ZXJe #<}%k,ۤF 23a ɺ%P^04xc{VvtJ 38M|$~ }=8W0~`}"uwk E~L;9_ 9֖CRV@[]LITyn1m, M1/+osiWcA שjT`1tT ;7Ln;Giu4#PLC?2 ucOOߛ܂fW(I F 6oil#q9!Гs>3XYIIf,&(N]F5_МKG 's_`(KM2h^+UB\<" y8@^`\ Ǖf* ѬF?@tƳ0\T3XD7nP Xh )Px  uS<8sw#䄒2?nH8prµVRǚg]H ksc!c[g@}ȸ<Łم2NrHgeY:2PGx.“,H˼ RWyX 6>F ?L DK8AƁmVu7g}lߴ6@n?TԹ@ !JH6~5 /{ DH Wu; A#$qR b.bӆn6yϞn٩7+(rX".Ciw"Ƀ\ks a)) ~l!].NʎbF}kBgZ4nWMcyٮnDۋJl/6ALӐfꙘ, A:1#o@}Iԯ</CVЃă`BpKA]s"+IE,DKLekhs Ծaj(:yȒU|)#A݂މ+}iiŽ=qhK#Us~;\JRU `B.́8*>i~14 /M`)qeБ y]p+`tlWg0ikc)b~_'ˊW{DXC 4AR ɖJ^sz9aTFJEH3tZ=kgZ10@ $h c:O^:y3c6 (&7{!4I)C w( ۔P&{ő- QT KBk>#4P\'=l;6ƚPdCs|tk<1v| fIPlc pQcԐ^Gp{ctw(&Db&nlVF8uϏاI &8[$쏄5IPH~T{ߕ3m=%.dÃWΚIC1~iO5=n, s4LL$y, LŢ&zJۡ}D,_M͉'Hb4Ǡ,n}a*22PD 3I`-]p[v-w #'$pא)^&ޫ9DexxCh!HU-HWZ߈SX1Uچ2[AlL -y=H{6Zn[9ns,EPiԑ95B{qT_c\8h0XP>m(jl b?-dD/Ě&TU'#MhC|(OYFٷxewxW헜 r6)xNAᒭRa-xj;Kkրd & nBQDX?Ac<Ee>N;m@A@=}@+ DGv+nbxOs\ߍ>!o@[z-V7a=5 a8'q݈]il0P'QYB>Czrb"!dO 4KAMD_O{gᙜ_y"]3!pp e@Nn azӄ%7{[!t8)%8chT2HL cKh ZMrjudgj0tnpM@.pPP+Y<]z©~*3 X+cqh0resix|iبTGgbbV|`Ԙ_G-\]Ͱ dU-5ŗnjkQDm0QPVÆv%GQ:Ф S—η:9b\Tc, N{4>(jsqsq&(&E2 =RSm 1s=*q9+J:_kYo5zɸ{}~'Z PmMo ĭuuU8u\g6.tԠ[<RCqեa7en(a)mSs&]7`s5ऎ? endstream endobj 2781 0 obj << /Annots 2783 0 R /BleedBox [0 0 612 792] /Contents [2789 0 R 2785 0 R 2786 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25650 2787 0 R >> >> /Type /Page >> endobj 2782 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2783 0 obj [2782 0 R 2784 0 R 2788 0 R] endobj 2784 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 475.5384 138.1357 486.5384] /Subtype /Link /Type /Annot >> endobj 2785 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2786 0 obj << /Length 19 >> stream q /Iabc25650 Do Q endstream endobj 2787 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25651 20690 0 R /Gabc25652 20697 0 R >> /Font << /Fabc25653 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ðǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 2788 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=166) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2789 0 obj << /Filter /FlateDecode /Length 2122 >> stream xڭXKk,Wh=`n0drrgf~PwVI%w*zw`_X6'<-9{Ŝ_}eq.Z}~{p4CrX{ZhhhPK=8FXc_jHL;q<ޟ+W. rq&GOWbR]J+AbB0'8Q!VѴ&g&IN+N&lhM.VYc>hߤGkp4j!.$jy#⌇aᄆ lW'E7Ó_7xƹUǢ{[|o69E5ЉglQM)@j0фSI_ ΙΤ--Cq1⁩Ǵؑ-r$1IIZ&'KKfHe .$S$lpa4b2-?L"(e;?cuOŸPQꪋ|w8mxfCǐP0NJ)/}IIgZ%u="Jp2u^J+tuef*mĥc qJvt6.-mQ']_fQvLFMG4arّ+̏P;%UAЈV$zGJJxOM]3QǕɫ`KϜ^Ͷ&l!0JDz)4+jHi=;XϑD ?.3 xEcI*j yW e##uĚ߱&K&}ՂJ< k};bp,]۔d4mcnjZI9-e7n%cw?4Pk2:YC{ZN0_nWvm6%/<6&VA߳Kyźq> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25669 2796 0 R >> >> /Type /Page >> endobj 2791 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2792 0 obj [2791 0 R 2793 0 R 2797 0 R] endobj 2793 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 210.425 140.952 221.425] /Subtype /Link /Type /Annot >> endobj 2794 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2795 0 obj << /Length 19 >> stream q /Iabc25669 Do Q endstream endobj 2796 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25670 20690 0 R /Gabc25671 20697 0 R >> /Font << /Fabc25672 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`Mӡ~1OEʚ 6\H&7]N8jer1""( FnpJs¯"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]h߄}j]֠zfQJxpfE3Rӻ6{ik_KiRGcb>tD'W9F&J 1o2VK2ufg[X̳oۏ"bH&|%l)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ1` endstream endobj 2797 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=167) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2798 0 obj << /Filter /FlateDecode /Length 4134 >> stream x\K W@-` 03޼;x'})~qfĒH%Q*To?q4ӻ4-I#I7Ig^Ժ!|{ Org@fOrX{<|/wU.McKo<2l<-gޙΪ8rx9oT6B9! n1F܍{r6.|3/ݲ)+jz)he sYՁ5Ɍ\E55΢u7(.m[4=jaǴP ܧoh+eyMdݭ n scņ},]6ZX0]==lc_ tL}LY@*L 6XJ8rh#tq5Rr:Z6 gv0cSbێP)i]ZXYGffTDOM Jֶ,SAL]W~ ӎB=99oY⻱',orEtHj[})M۠+b aKC{ Z{Ih°~v`e`|7؉}'ԙnXs<p3j5hVՙ]#eBٜqi/v}ʛZ}e"4Mmۂ6g)~Q:W26ۏ.[_3]!YTrQGrx8eJjVP?lrŤSnTk3x$4?IPp%U?K'߳z!QղYeiK)YK0k)B)UmeG5kt։ 1[F #\M0fhӭDT2&OIũ*\\&K9Nqb$״Ħ)JCLhíXȾ]{_qq%N;:ʂ|h5“%P(W{Ivn_TSElpkb^0;vt̎d)+]gNeל~WOv!PnCC1zʘ'>\>tĬ]cFOC]G.p;g`hzn"Y=8JjLx,/э o=,)}5K9Rb̀Ĉ=V4"42*dEJk  uO}_-,Yq—X 䂆jfUΙtje_ TvTeMs#-;FtiXgkgDw\Tt!׿$-C"D"nکYO2at_+{Vlma?/L2 J/}^;Fh*G!^µK [U!ћsME^.$'K}UIy+Ƌ L tP1aG{yAf̠)?'|cghc8άEf퇐.wM3qP^8\n֜3(/\.ZcQB]pptnD)| "%mvCă z5;IMIbDyJѡ58 +kd7’9QEZ*UDs:+"y¼lCLnG0ë}昡]E"ֱi˷j)!2FIތSOSbTEif[O^p>q*>^c-hx6Ry>BX笄'zU.%q6XWy?ҁ6zE˹,2ި$*$*frDY-i+jrYSM օ54MW+9)[Q^8 :aMQz}J))Kژ)/E4N(5Ǘ^FutO"*,,5k&BRlIф* -+\([p+{zUe;eG`rݷPq9 ˉOm RLQ|NaJЬ_ 3*`qلu_&tJ!)te7i݃WͥuuY?ۯB9/Ĺ5|w>I>G3GNBy 6;u<3_'ń{EtF|}{]o:gxèzۧo3x20gC[,􇲕߳=뇙*E^RUnbNL/}9zwJ/.9*rA4x##-HL endstream endobj 2799 0 obj << /Annots [2800 0 R 2804 0 R] /BleedBox [0 0 612 792] /Contents [2805 0 R 2801 0 R 2802 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25688 2803 0 R >> >> /Type /Page >> endobj 2800 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2801 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2802 0 obj << /Length 19 >> stream q /Iabc25688 Do Q endstream endobj 2803 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25689 20690 0 R /Gabc25690 20697 0 R >> /Font << /Fabc25691 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7& ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2805 0 obj << /Filter /FlateDecode /Length 4397 >> stream xڵ<Ɏ,w}E dX$ o=~ތm `oֈȥڃPHŝ/j BKSKT8$E\-E۟RW)aTNRj$v7xw0F)=|0p^ 2p ϓWa _E?ly_ܪMi ȗyeRc}sCЎ6XB9ό-H"lZ/'"H^ys#xDaФe<;-mAܚO>j}JA hj&3 ϴh&3E#+84M3gz8n,-ktnƀD]MAMF (AB|{ ɂiR12O?n&yS5plV~Mww@_aOXg#y{̪z#R#hX HJE%| Z{5ؒ,➼cc.F #}pb]te`;nh@sv-U)\{4Mwz5IRg,M)F`« PaH.3+:kGL-&< ɲt #&9av e(1Ʀ]p*I+XҬ9BqC܋۪^ƪ ,`5/9CL+N]Pk F( ֲ(^9G]kxĦڞ ӟ֜_en#M텕dәD݌ FpeX.t!\P΃8 --叿[Bl8nM0m = ZRZoڄvd &h'䚴rKZICdcv` bi5 2mnM N#J^~;R`:U@6N?ckLOX |VxւV8z ګ@Xh -[!/DN& Hmja_=IX"҆.>:OWJGrfCr,)RDPhĒ`& WBͰI |: )!9+:XU3^7V|j<%U|8+n"`Ag%m)x)sBgZS|i h!bJImu H@J7ߤTI$LD@V퓶DAC>mpt.ⵓ!!)AVː jiimG>By֒AP,Qn1*]m4~V#ZU1&F(ai~:_\l9>ļ/ZUgSjJq{9Co?:7T`8+hN=pwO3סe"mg)N>EMR-`>OTuݘVYkiT']*>Ztx#%ayML ;gufG'%jCNڕWB55kFg+k{ GUl}k`FA\cC%jFU[7tGy=Tcu~1}\mvU4+ͺϞw?KxZhzLxPOC3V_uGCe"mE/Bt7Jj=(ս l X(UEq[gIND]:"ӟc5@*J -q&b@Vor$ oZuOjNFkǗgŬ``rbZtF'%PpLM#>0;w `ǯɲ5o a%M.ܮY`L Uz,>l: +5re@ a8PNmUs(lr猧?&=&%%@w'/`l)Uy*q\L<ŭJj)R !gIs ց~JKA8 K-\ohLy}zNuP̩;1WL=1#vsdF SuFdkcS~YE,G,wXCjdw}"_.{ lb~F{c+d5x7 1ty t,50ƀAOZf2 6O绔Ubb6YEGW+D|+δTvk. a"Kcj^B)-F׷ݣ l6>×Y81<Ŭ|Gd'hil9[R)6H_ (>moNEcl"Xn{ؒ""}[]n_B¼Br_/ {MsܧŽ\5hۺ~<4/I ,,r+\)th6ROԎ`_jp-+}f*>t@=p,kSuEPw+hJ%&vCBb#k6Y2W%3-Ÿ48֐ɢLQ_CQ'eĺW]F6Hl5Gnhrߌ|g7%ϣ?a(ϓdKSV=R,og25HL' ty:(MJL Y"7X3D*@-s5A`i`Sqʿ]# c'w~ ؓ: zzGу![xUڑW8D"(.45s{T`פ @Wr!##+!MGW XgoAb}#W;%!oN*fO"սXa}fA=*(HWH*<)gu<4/~2ZdHijH񣭑mc^xٌSì>֭44ó2=Y{GM,J#e]~QƇ#9юE$4lJ{ ﬛7 {1ѯg}̥zay 7!D?Q !V[: Rb=A<0o2Qڥs:bu@:d?;Kuch?Gs?vBe҄J@=Й'L|r@FOBrjw |;|k>{k,78~`-.(׮{ܛ~L+W4Fle1I@*%MEN%hXZM{qؗ9/Y2 endstream endobj 2806 0 obj << /Annots [2807 0 R 2811 0 R] /BleedBox [0 0 612 792] /Contents [2812 0 R 2808 0 R 2809 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25707 2810 0 R >> >> /Type /Page >> endobj 2807 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2808 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2809 0 obj << /Length 19 >> stream q /Iabc25707 Do Q endstream endobj 2810 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25708 20690 0 R /Gabc25709 20697 0 R >> /Font << /Fabc25710 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫IC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV)xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2812 0 obj << /Filter /FlateDecode /Length 4542 >> stream xڽ\[o,9~34_(R&Aڷ#x , n{fIE˜nreW?k w#W_gu Xt7o?>-PYwcˣ>dS)Řɑ~ϏQ?>oOKGSivڏ7N`H>b£ƂD?1rM)B|yQWh_Ψ_];m`0E&IW% [ۿ&зCWhާGFV雴S~qΛpz{xK0V ݦ 2ƬDkNRHE!1(kY'yOggTR֙VT(,tNgQsW/iBS}i`, t̶CT[<˝lM#-YPJOH^h™=v udLXdK2  1D-;63AJ&EV yI)3ˀ0uTyi[͚LhCFJk$gty# Hw,:gm`^ǒ1OK6j^-2bS{ }`s`5{=q`Zix8h+If i.dD}YF&RAX@$Smr%P˘/1X%[V6J+M[ߩ HIXX41Q:!Xh+r4JUHl.C"G'񐍗@FNܷ -+yܐjaStk}G;u*\e@0.y졜$10afD #ohmm ʹ.&.Y\5G-֝}2IDñDxK׺_S;;hϽ !uMA'uǫvbR*vgXxʪL窸!R0*1\D-n&O= uXЊ ?ftmw,LQd# S3(⸺3;z 2qJ!LK2q])!lvX=g'0 /.WSˎv›h]YjL8 #q]ٝb D;4/TO=F-wfF90t*3G U+9_;l^,;ÆWIV}:%̳8ژ*B6vRAHQ 3 X..#1:.P_Y yj9*H`1RNuNx`^K L)t43#GL 5byO>"eXƃBkh,Ex:=SU=ahFBeIgЯ*Z&o$TK\2]#eWd PI+"J+72eqE`AY mA(p@H H:M$HRy =y q@D?r`9k%xd8$FY< 6v ^Dӡ;wV=I l{K\au 6ͰoZtm Rj<I062E/:VJBPM63E*9hu=ox+E/iBda> T󼐇K7 :R@Zdz;!o# =ӻ vO#nx&[ZCX4R?9ٟVJ+mO&/{{Go #2z-$R "vopxAi/|`l)cfOl&'KN.Q9R#8w@iac٪pὴZ)2~?r=l$7+SOno#To8@6QQ+pp`o"nRE k/3VM](ˎ\Uy߹v;~o$c=va4;QIn&c3͘"oiѱlg;D웦=Gj4ZCs#Ob^"^a5J&Sry!}O%؉kY"9+z**&*v"ZǧY#O/y{qI@}<M9u0M[O^sq7 lB|c7HP6jk }L;>?ޟ ¥_0⼌)DҐ ٞ+}VH~>y <}ɔdr,;;<4dlr3ޑ6O-x`\-0$ićd}\1{oWUN8j$HUqj_pcf,hI9nl)vsyw},|,u&rc}FJ̬W37#c1Dy'9E%~͢'O{ ۄh-Z\*?u%տzX}t=z=)2GBLǧmv#e~ҢZ A@c]PLyލ sCrUtLbFsQ) {H{%WK_Mڸ"3Ͱ=<`1TFEa@`ݓf{DхlQOܽX0&W}͈S[LV%8X 3rZ#2_i?*=0t'}î/:!ƨlxRfD9nFĪCKNJi||3Q+[~Kbp1YQhZ\y]js*'Pq#IjAH$C#6s?!B}G.qZɆ{E?NÉtlL3:FA|,fnF;1jb=lluO,|5YKe^b%}-_g.}pS>eߖe,6s"B >2r=1tcY^mj{(FjP4^7۟d[3k&RGesum1c6{4qGjj 溔kL(8|M.6&i$a>(.oms̸VEd@6Ol CWx`q7zM(k0\pg+,˕\}J4} "n@ծWrV7TSH8xJSCmx>}oa1nYzm}C'ބҪnOqrk' 7+9ϸ<ү?ӵMP4”6􋭽HN|2n.EQ/Okxl넷0fx?` QkPqsB֮sBAZ2m_݀[~6O' ga endstream endobj 2813 0 obj << /Annots [2814 0 R 2818 0 R] /BleedBox [0 0 612 792] /Contents [2819 0 R 2815 0 R 2816 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25726 2817 0 R >> >> /Type /Page >> endobj 2814 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2815 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2816 0 obj << /Length 19 >> stream q /Iabc25726 Do Q endstream endobj 2817 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25727 20690 0 R /Gabc25728 20697 0 R >> /Font << /Fabc25729 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫IC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)>t\gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2819 0 obj << /Filter /FlateDecode /Length 4121 >> stream x\KWiIX # :*18 nJYWEj_(_q5ןrM/-I%Ewqp˗GmJU%˗_v˗BgJo'uH(+^zJo}(u /UvǗ`e`k}],\0@AmZ{cp0(o.^ ;B[1IXMtk8dr~8iJd+H!K ]W6oYb Eqm}Q(,_m3IUG ZaV4)2j[]PhJVd<^T #L02L ^i+ib3^POrZnQ}h:`MLyivT8#hw^XN_e2E8H`ʲ1.ʹpbV]{&AmiR<{huaTe\+эh`tI٦VK~#T,Yƙ4G"cFAKR"2xTxl2Κ ٲ(6F+{8e@"]٦zy:(5؇Wy%.F(tC1(BԔ&)Gc*P|XI06m͖b )QQ)_W0:oR%K\I. ~iUr\^sTHKH5'("ȳ,bV >N^5{|̝c>z|qdO^v/P)`lw*Kd1OFa eB Y4hs䀻p᪗ՁfъE+;y %jB-uB.bV秫 hDc.9()jV B5qXcP17ޠѤR_G"d ӧ<}f$f q=HܯePɩ غu:#$8xWSÅ1W΢Āa )#’DFQqz0Va}nu>ZB}8!r{6M~`)S&w3ƚqvUzykێnM}\o欆 b6ۚzӏo0 :R^&.N-\[Żgo50 LYIJm4Ts2C veQ،e@`ܑ]5YQK-15\uUe۠[IucQK0T3[\f6H '.ZuV4fP*4aE{צحM Wh#( E OF NZ@1uw\GQBʳmt.5s݂2Vu%كv~7 D i>i bhHDZ-_Z)Uz`)}٦pGZ6vgSgb0'~o]+l˫*WJ7A"xZz|ywjh6IsgCϰzw1+2_+M$Mb6O a;6'K@P߯mZ` o6E%A/4cK,vZ^sɾ ؗ)'[*9E#U{ه'*'I<7sYs[H;N=8Yoښ { ,e}4̠qOK`p'y@N 93cyX B)0ޠb Eg/(p?&HcDdH" E3G\5v0{>IL5{jSX;WlY#񷄶C$脶[ܻ {1{X!7 'Y^Ov KMvՍd!1L닞4O2Ԭ(m$>gF5@i;MWR]_(\EOA(1I[H?Gj=`o.#E~.^dlYǰGOnN{v( plE%u[p0m"ArSm(xS”}>h<SnB- TXNJKGAmgDt>< t\J;P,6t۹J J26hؗ %O<c6u8QZdmL^OۧuoBa؞;e85 @gƬavvKw斏MpµNzv,ƱK_-YgcE.ٖz}`1~ץJ} 7<%n}Yt)=g}zݟA5r;܄9d3VjɌ7^){[z؋Ğ Oy+R7*z?{gqݏAz랪钄x.:Wq]oz:Ҥ G ȹݢk*NMҕMwF~ދxx9EB ufw8n0+TVeZLhw'.A>Ƀ/W~4@!D˯]_Wo`'ȿU4dkзeW jVo2oeHTL˯?/N,˷%J1mqd`x)O ~)C 2vi!.-Ôċ^]z`XG rO1ғ5^V0QMK\AFLi&(TQa'%]̤{dy6򏼐j^pBFi ̋'9bV0ȃQI\5:u$ 0!ZI XS+bǖ[h^;M% [(xVڒأD`&_+$kꚥ58kMttҚǀcWBFGb-B'.]KKǿ %mgSƉo,~Sf*NLF&(ٿZPq7n jn.JjwT#z|-6fK剴z֞Qmb~5q2]p^tFYm֩ _ Feck:YK1L,:rs[/E[jV6 vbrM+a0-_6ln(Fc.EG}K9g KeూQ¼D# WLW>ç|'Ebΐ1WxncBЃ[uk,(U[V10^5H{񨘑ʜ[7k BD\LXJaT&:I_>Ͽm endstream endobj 2820 0 obj << /Annots 2822 0 R /BleedBox [0 0 612 792] /Contents [2830 0 R 2826 0 R 2827 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25745 2828 0 R >> >> /Type /Page >> endobj 2821 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2822 0 obj [2821 0 R 2823 0 R 2824 0 R 2825 0 R 2829 0 R] endobj 2823 0 obj << /A << /D (unique_651) /S /GoTo >> /Border [0 0 0] /Contents (config_compile_simlib) /M (D:20210608081201-08'00') /Rect [104.1732 543.3308 209.2232 554.3308] /Subtype /Link /Type /Annot >> endobj 2824 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (export_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 527.1307 190.5837 538.1307] /Subtype /Link /Type /Annot >> endobj 2825 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 510.9307 190.7432 521.9307] /Subtype /Link /Type /Annot >> endobj 2826 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2827 0 obj << /Length 19 >> stream q /Iabc25745 Do Q endstream endobj 2828 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25746 20690 0 R /Gabc25747 20697 0 R >> /Font << /Fabc25748 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2830 0 obj << /Filter /FlateDecode /Length 1850 >> stream xڭXIoW@ Wzqm999yxh?`|_-;Ķ,V.wWi>mNzjrɜjOԸeo3>+>>Y?`O?.&97y؜s`QdUY.srU]p$x.g>Y.J>`ܢ[}m "EaBcY5cDy#ʱ|Dy9}*0zq\ڦ:/@uB9SY\PJrV;+Aizoh 5{qEpP' K%0NԾ zƒ a,t@E)~UP!y}Л~ƯBPP,Ě_ F(AB\%P"+=NQ(*oĄe`"yuEsI `4QdգfufG^DL{JI2y|2[ʞ^K*jHs\ZȖb_ ~ʦ1P( ;Ɨ_~QJ_dG,I0N&J,n;K;} '?Q_kuʻj" g;s23kpQm` ݇$mW)SKSN21^ϝtZ9q5!;sh7W#$ hKZa&Tb?#?rqQ*S9˔2*ʔ,VGZ %Y4N\t"O 3}HY3V\k: ,?_Imעh|BiqU< 뢸q~-,7:v:lu6{ &}%[DAB?8rRfӈ%Ա3|aC% cm^fPTXͤ ,X?d쎘_̬*EE A(!&A SHޞDpKU.T)5m)fJ*g]{4xW2aYUyŲ^!y9Æ~o11 :{ļ ㆔@F7LKyKŲ#CÁ0btŅ~Suq+u!;rYɫkMi۪2[%oBFJ~ [Б7|t}#%ꂿ P_8Ҫ^K H>6EB<]e\('oL' :H iÊ\w-N`K fd ݿpѿ?ā3eXdq> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25764 2837 0 R >> >> /Type /Page >> endobj 2832 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2833 0 obj [2832 0 R 2834 0 R 2838 0 R] endobj 2834 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 391.625 140.952 402.625] /Subtype /Link /Type /Annot >> endobj 2835 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2836 0 obj << /Length 19 >> stream q /Iabc25764 Do Q endstream endobj 2837 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25765 20690 0 R /Gabc25766 20697 0 R >> /Font << /Fabc25767 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴Rg<~~?va,6{`B ]QLu4SokW5mw/g+R\Br!9wr ģ1H[&!#((`aԜiJS"J*SBDQ@\|q-lb@r2dv"`V_g_5kDN 9!M.%O#T\ #Y}ɾ 5Ժ@Au꣔xJ9iK[ZNߖj?ɞӦ+<ʡ|72THLJ\[-48יq|mau3ͪE!Hg,`O6OaePD99 3/suܟBd#_S0Q8F$!īiWm`yݝCR\vhw%‰pF[z7}Q endstream endobj 2838 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=172) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2839 0 obj << /Filter /FlateDecode /Length 3964 >> stream xj%_Q*羀I c$Cſr-j~̈2rd& f|boS))i/eOL_~:$Myiނmo ֖ ϏJޞlZC,K};,|!{t|׬ӗ?Lo$l)h_<=<5OӿI/dҋv%xZS{e ΊOgqZ.,P(Kҡ Z )#uP)t'NM_@N_9жK6jT? 1K˥ws& )lThOii_nTOp|wBsiɂNuGwxF_pNG?er m4a׿O?Tc@oz ʠ c3 :֟ /߉H 1!=`,[& ZcjMX/-Rl Y\ @Y|%cdOCOꌀ>R4j& րy1!0[u`kⶰv`km<s@Ժ)njLDi(jܡ@iF%]21jMv8pF^K:V.;Rj8]L0)-%0w䖍fPa4Vz6YpS!Y@>! =+#wSzb3>P4|*53SwewnV [q=./,0@&:X_Fއ{鮈e6JV kce-ٻڵVd 6 b,zjH|8G-bP ؎em1oDzXj/cVG~ա?"j=;뻅i7[!CUce[qj`0д(QI\occ;d5,IO0X20"Qn ޯb` [WYkfnr;0è @W#ӡnUgri<0olu6fBi)HL$]urg-eAnJer2⹯|2\ z<tDi_t)ڡlݾCՅG)A;wx DXOC(܄ < <*:n\89#650z;냜N^?&q14ksvrD+F0:`q9P(ЅqO"hcJQ3 3>& ax2F tywZ BXR *CԬk1#{XE)`W 0&ȰI'n@]R%8׺xN~89DT5ՋDȤwVėfZD5xCقw_XM!&:ȟTHpb}.=ȏ7z E9uW6*QSxbFh-E"j''= lcevC!igxz6w:&W+n򋝗Qmq?%ԭ). G`PIAe%__b;b 4mdFhOvC=!{h.Ǐlrwy߰ϊ)!G캟qY%ظZ[z!pЅۖq8+IT!.kx̉g#vZFXnWdۈj2 ]͌eһ; *QV=L㴣սuc/I3}23'7H` |; 2XgRgu7qvK{{U^d nmp3u͚1pV }8e YVk۶|셜fITg#îUV 'v`Nɯ (H tALoN'Z`cOm[ siՉŽem0 е-<VyRf`_ W7_:uS>["` ̛շ-)`3ZƝi_V -D#RF_)xv8i\}w[<^2}bY#eCk-tj0Cc,֎HWS A}hc=&CUpUJ̀a!3\0{]itϣ>3HxWO? EvLg)p0'How4`5 <|ڏ?:8ɷ_MaҘ+V{cWT_oeVT5ߨ=Ǐ4\=eUdm?Ë^Y%[S endstream endobj 2840 0 obj << /Annots [2841 0 R 2845 0 R] /BleedBox [0 0 612 792] /Contents [2846 0 R 2842 0 R 2843 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25783 2844 0 R >> >> /Type /Page >> endobj 2841 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2842 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2843 0 obj << /Length 19 >> stream q /Iabc25783 Do Q endstream endobj 2844 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25784 20690 0 R /Gabc25785 20697 0 R >> /Font << /Fabc25786 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eGT1Brt~AG3cX-LB.FPQEq¨9 }' L_EԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶f5ykEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2846 0 obj << /Filter /FlateDecode /Length 2962 >> stream xڽZIW@ wa*rk9U2 LCFeU3h˔Ƿ|o#V78]gVǠGM^/^[_mK}f&_cQd̔I>gcr2a Ƹgx~>*wa XDsG&L0[&aq@ĘxM60@OL Α'Dns;efhE?&7N~7>"+Q^L3FlZO3G;MD6fm{0+L)/uOVt.ĶԐfA,Q{j{a4hz Y#< ށJ*Gb>ec^ϰV3(@J$?MGE R+{[FJPVc:Eq2I1E *31z 3(gt@7؀f,r<'76QsKtirkTAj_#듅Ɏ/І7R}hƐݶ!` =n90T[]1 L7zЦ UAa#}TP`~^̿ R\ j(+J:3Upu+P8M~uf#*e1lDZc :7 <0?\ lV6 ;'?{6(pe>ƊY"/UݒI)spUC~FG:$HD ;d @A^덻 q,#>ZvFR]+ d.;%ٔB,aphHԚMdU닅Hf qJ‹snHb uvڃQdqv !Ͳ}t`sK\oL0WuȌq.q0 ܌ގ܂l#'c#1WvQ Q3ۭNn"W}taNr;!{HP#H/Em@Y? | -/~op!u]Pp>, U0QR;迴vbP3Tfx?0vr',ʦO=Ccwr JіFl 8;z/ 8A&K"0XYlb:P%bS1aM[ްraUw;VW;(q<Ŗ>SziצԬI*)jwTs{7Jjc3 AuczrB )j4+[E$Zj8%V6vLB!OEc?M8Goi*et<#>:E hUN!f?P'/6Ơ<'):&w Y@ R;Uۦ>ԣk"oqF3;m"Eo+4_ϲQSkdQHHt;Z"by~cF;y[`Wm*Eܫ{\ 3GZ/( "eĂ*Ndg%L$y дd'Q[2uOy6?36mf DՍgpRz!#?7kEW2\佱y>M#EDe62Wߙ)4lϺb]8]o~kn& ck.k2eloU~ͯ x<0_w\ P8#ECYr؋zv cZ6xHC Hb Br},^$s g{~V Qxx'hYыx]C]=+Э<4ClN3|^>t9}$pYe-'xAIr2v$5*U4#^Ηi&DqUѻ0 ^GP{~ދBX;*CA@'!\2lHue endstream endobj 2847 0 obj << /Annots 2849 0 R /BleedBox [0 0 612 792] /Contents [2855 0 R 2851 0 R 2852 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25802 2853 0 R >> >> /Type /Page >> endobj 2848 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2849 0 obj [2848 0 R 2850 0 R 2854 0 R] endobj 2850 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20210608081201-08'00') /Rect [104.1732 284.25 174.7162 295.25] /Subtype /Link /Type /Annot >> endobj 2851 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2852 0 obj << /Length 19 >> stream q /Iabc25802 Do Q endstream endobj 2853 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25803 20690 0 R /Gabc25804 20697 0 R >> /Font << /Fabc25805 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2855 0 obj << /Filter /FlateDecode /Length 3103 >> stream xZKk$Wh}=K4 nBv Yr27O`fs~K*3ЮJ::OJN[K^|ӭuqeY3sd YlߎMڧKz¿+>Fz ֕ >_O_Rh ɤ-.#4dd5G\${w>)g&Ìhk}vz6I<$.m}< @;OĊɍLim߬㚪 ,J̗eɄ9$2h3ܧ ޛ0I0[r̕ ^qV-FUλjF08+(6]ۂ'QL gѤ:EP[LdZz8m4~2/Uicm~rOP]MΫ ~G\u%mCI,*)*k@P<5weafG 56g" Ȱ%nr:}P[ldD}c3 V~Fw4PޖOj<0YoI Sv{ӀMW'8+]{9 D_9S" e15 |4e9p֘^HM?_|g`&W/B.k@CSp-W[ز '; *z>~ȄKV-9HOL~ӁO\@?(!Z㛒ʋ8$*6soS h*O 'Ƞnqu-oat֕:ź^``떯?; S;X\M?:u֭ϫ &Ō'h>c,/Q\lˣ}HrK?OɚT')ɅMpg!}x}y|jGn$}cӅ ~m7!A-L@i7'x~L$E@m'o ۃ#zrK\\0qF J5n,7A˘Sj4@D1E,mR`_&|7A5u CC(jF-@-!2x RJ0cg`Ǥ/$LO esAo:0XBtb K~j9NfM4ڭ6qKos9/Wk'Qv]:s s{hӌx?m  gx|+B37toOȇR{f{576ī% !^ϴYz}TK`oII]DNU r1ϧ̞ʂiג?Z0 WAKTϼElD#Q)}j/tm{bu)01B߫{f9RZ$PeyrG')K?cϞjԵlmgp8bꖸqH¿n_нtPv9>3ϤFHgV&̊ϒ[D/{"V>qG G3Ck-3G:F%|q[: Z4Mdejtb;TH\k<߳wyfbj/!KZr~h{< 칉bؚ9ʇ})/IQ^4B^4}gf9 !f5 kn".^ VR7MG X/4ӜZSJ-VցkLy 0_$2531 z2^v鮥EjΤ!WV_+0|_g!Gbi+\kez(\|$焇 $P+C|]xtgLJh"*~?ksz~6_Ϗc4f◜Cč%RDĦ2<=Ej>-[hC endstream endobj 2856 0 obj << /Annots 2858 0 R /BleedBox [0 0 612 792] /Contents [2864 0 R 2860 0 R 2861 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25821 2862 0 R >> >> /Type /Page >> endobj 2857 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2858 0 obj [2857 0 R 2859 0 R 2863 0 R] endobj 2859 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [90 430.025 122.648 441.025] /Subtype /Link /Type /Annot >> endobj 2860 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2861 0 obj << /Length 19 >> stream q /Iabc25821 Do Q endstream endobj 2862 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25822 20690 0 R /Gabc25823 20697 0 R >> /Font << /Fabc25824 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 2863 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=175) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2864 0 obj << /Filter /FlateDecode /Length 4259 >> stream xڭˎ7ίs1|?ad-Y9,rr6^,< ؗb_ݭflؚnbuы|lO/KӋwKXʿo-F/Z' ._ri9o޴7oZOߞ[[7JhP<CPkÀPZd9/Pd >)F砗fì0FԶme,ѫR(JC=-Hg m]ni>1<իn 2[f.TO+G &8xf(;8.+NF0,Mu ,u.B*vX&㈠Z;c_Y6rR@'q_0Ʈޑ8ygEBLg oۅ$$ M(ݣz)>.P\ܶGZ-؆nq Dm⬡7yj"$&҈Pq:<;w8ϋe=//e;e.EtlSF0 ˝4 m%P0%{y8s <`]zBwi߽@dg艾wԯsh#Câ?le"Uj^4]:pZ)pJc\YLu0yIԛ *}B Xy|;EM*+Qe>pvR Dk)/Ã>1̣5RWi19Nud3hU2}E`aDb6vx:kºʝ\>I(NMY45jh(mLk][ǀsN%-߿\\uEvA`e> Ң̧L`ӓ!>wu7=l0PqE_7V'vZl6AIYۚSbY&̮IdtZT&;Lל[ʢu4m6IW>NʼndD;;#O#[#|Am [x9J+Qx=J԰ !mm b6=u{M,2IZ%]!g/M VB1 f)>(u,π>!BAkpu].n:Lyvk'}^y+u )o,_XS{> FEEx)ٻ6;X\wKw-вVd|3`7 hPf:ɨۉvTW1$GsDL k~"lOҕ.EEIuճ18d7e.<#]+\SĬplXEܼ1- 1&;p%"Ddb\Z'rY':"FG#Fgt-<믹^$jGٲ7;& z5~|eڕĭxk;v@rѲ,&&˦ ẖKPdwg)f jtwϧnͪqUV<.+7w/`:C`jvtZ5Z{ 4]ԩa}-*dh 5]PfJ7Ey48L&}W7y7PxP\(RchpmPlk['NMvd}4AI_*H/{@:Xk#J i+V-N]kשBS72cSj0u]CXrW$W,tr: ^4IוwXV{y3z%y:0]bi'9]P*xؼzWݬG+@@3wb'1ffE8 l|Le[Pm 5I۵\^դqϢl=pUѐNU]xmmUL~cջ>WQ@#Wq6ˣ KP-S=)n f.V-:Uo[; d~ $"v5RwYLwz_ &'9sweY,hÎ &;<٨REB"Yܷ|<+1z|Y4]vw pfu"!صtRі>b0# yF~yJ WNBZwQx>L1#P:BiCh0P- ,-},p{+:7 }L`,,oHL D Xte܋79">^)~7Y7ye?~Ȉ;'+p]#*W5k:%7~98 }= _R[t\t㕶ų.Ҕ^NsO̽{Jb(uZ e)>lEy* 1)N/o<8/TId;b2l7kY JC->n#a{1o障Jå c@S6!O, +w#9ܽĥ2\w+ Sm߽Bn8+^|^ջ Wn{޹TL!:u" uΐDg}hWp_:z»]fWnx|2a<~W()~8'&ܵ_'/ôxOTܻ0ynp, څ/ީxTWaB /}Y԰gI endstream endobj 2865 0 obj << /Annots 2867 0 R /BleedBox [0 0 612 792] /Contents [2873 0 R 2869 0 R 2870 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25840 2871 0 R >> >> /Type /Page >> endobj 2866 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2867 0 obj [2866 0 R 2868 0 R 2872 0 R] endobj 2868 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 212.8422 608.2] /Subtype /Link /Type /Annot >> endobj 2869 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2870 0 obj << /Length 19 >> stream q /Iabc25840 Do Q endstream endobj 2871 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25841 20690 0 R /Gabc25842 20697 0 R >> /Font << /Fabc25843 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2873 0 obj << /Filter /FlateDecode /Length 1485 >> stream xڭXIkd7Wyi/`&4rB;`_竒v; yJT2%߉\=>CF~_Ù>YKE'm'ktI{:?1`Lh > W 0stB|QE!cلt2!QX)C v$јeg9w]cȩ1V*wܭҗxEKot I?.gmr5;SC3fF{]ǐVBj(@h}z!kҾ~E)dIwz@9'tO^E.m]kbȓ2џ?+F}{C>e{c9${Oig>&#-sv .3͉N{pD`#c'L[G1YO-Uq|h{ b](.w)q5bSui ZDSW)'v*m>uԴ"ˠDLu/xO> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25859 2880 0 R >> >> /Type /Page >> endobj 2875 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2876 0 obj [2875 0 R 2877 0 R 2881 0 R] endobj 2877 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 415.825 137.278 426.825] /Subtype /Link /Type /Annot >> endobj 2878 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2879 0 obj << /Length 19 >> stream q /Iabc25859 Do Q endstream endobj 2880 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25860 20690 0 R /Gabc25861 20697 0 R >> /Font << /Fabc25862 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7DЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fa4C-Zݛ7 endstream endobj 2881 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=177) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2882 0 obj << /Filter /FlateDecode /Length 2717 >> stream xڭZKo8W@`n50Ş,e֋"v2p:Rz|U$߂\t2:=_.A;]}XsHg_ N/]t۝;Ok󝒻{#OcL,K61} N.N?hBr p56kx3\?~SNOd6ӟ팝kMl0բ;]?SǾ K3gSk I/ޮ08ca|s(ïvNYKKpqU12ܡo,^gd^s1?ILHK\z2QlV4P28QR2D^`6jRWb7Ƈd1K{-KaƘ!\C r;6D.HzKW'DzwVҫpJEd-|gyXH6r(-QvcX…Ff ?CJ]`A+xm-(|%oj"m,K*v .<|O&Ű'Pe`?sX膕PUF"_m+i&JZ(4SDN}sM`@:Kcy .3BƍB {;}no9bԬPښl̽h-3 V_3ljSAMi &nА*bu7oit"NfkSFue[Գ[t_X6fꦷG2л5S&}%7(Exc)ʾ15ӣ8OҴ6-GLe^r"{iR{T!xd0mmFpsy8',sDuh9 ~$GL5H;xk𼗼zT4jsP#_:gVS>\VVԖf  (5e^A;z2`u8KeXk!lP1plP}ݐ b2+`4@k}8pXDJB0aȁ:;#k'y7-mk%FfVlV["K~Q^hNoEu8]ENNe;5<h:;Rt?8>"Ter8V\c[P|8iw5B&b0T'u-NLV`h Sa,5ӚEM&ď7 4ح 9MJ@sU5ъD5Qòp σǖk͖'xs+3خDUYG.cf7 a&f IO9i͸=p FlO oxұlv3Oj(w )@/jЙ5d57-0^K~5=xt Q M_(MS5g*(3 rGTt?bQGP9tyJ$.ssjTWd3u[[,8!+(y<+3657KYIu`& bHZXY~Ʒ`"v5$/{Vx̀' n"ID]61#K-JTzj(%LgBJoO&.5c#4MdFVneXm=G=>+ޝDM1Bn(Ag0[N> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25878 2889 0 R >> >> /Type /Page >> endobj 2884 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2885 0 obj [2884 0 R 2886 0 R 2890 0 R] endobj 2886 0 obj << /A << /D (unique_56_Connect_42_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (Configuration) /M (D:20210608081201-08'00') /Rect [90 439.225 156.209 450.225] /Subtype /Link /Type /Annot >> endobj 2887 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2888 0 obj << /Length 19 >> stream q /Iabc25878 Do Q endstream endobj 2889 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25879 20690 0 R /Gabc25880 20697 0 R >> /Font << /Fabc25881 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pR ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?>f endstream endobj 2890 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=178) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2891 0 obj << /Filter /FlateDecode /Length 3869 >> stream xڽIY@Q/@)Iç%Ø60-7Uh`d.=Q˷,],,/`Ο~fk1}|\NVSRQӓ]F뻺=6zmOy?Ը.=6.8}F4Abu #wwMyk,q ֔h_Rv04iZ?`JY7id܉̟ã2:Y pVc) 05H1 ?^>ym?? Y%K{| @쀂e:88\Ϗ bSgmִ`o?TjYVi]})E'XwD.ڄ+??C'x~DϖtWʅ8Nhi 647TS^ `ci->ޕ`&\O5Ɏw88iXkJZK,'g1d.7DbeV\ i-,ӮXS}VlZm(vb};b!CD/h p|5)3'yZWyEP F@A >[2j.EM #DMCT)OZk,<"J->R/joN[݂E2ǥW%> ,syO2D!5[zMQ^#!E_1\;וf{[CQ#: tcɗ ]"ZXU F~=*/J _#MseϿ;d|%7kDfPtC`C}4YHUdYމFIr^_BY*_0`=93y))'bjZz@AުrtZyZ|j,2rB6KhF, ֽvK"g :{T?ߍiEMp^7R3c(zfb؄HjIDG})QFo;-;*elVu*}˕ɨ T%? ߓ l,j6jOG?q89Ru9G=Tc /[RwJfӛ&coŲ-cC1E@o9Oo9k< [G)z ߦb-ůpw-r r# (]DbƷ3K/* kzLF!`zK4ZNE3sH[χkF+b: _x%#)G$mZxrj H{ CZW3B#Qb(V\p(Epw2+l kr~D+D8?.7ӊΡ>Y!AAR5_fF"`zY3!9ί+{1 jiHK);(=6$F{E:1 *dh";3qlUf.PhsIv.]FD<Q>W̑dĔK;v:=JO,Q1w&Qw fuBY|Py; ^Ts࿜@7 $.Ct+eAUզ}p[O=W-6.6O\"S'^Y!N 9t-pxL39/9 A75|rBt\YWU ؅w_tH>0$B25o1֨A2PVrI1Hӡ;} GselD69<*٪2Tr ϬZgA 8a~yc^2QՒ@S55>o2 DBnS@C\Y 5 (2pF>LK.|UELb=F%Պ )iI5OBs,H9cdx&9Qؐ(sᡟN4nB*-1S+dY0Ϯ nrc[^+m@ւ";wϥy&r5IMq]Y=HZ/)>wbn\51opkJڰz~gfÐ6^ gG#M(\VtEEos%7kk0~ ٯ)}8U'j@,ٝM(vvs>F ܌U!tSE9YZgCiEk|[QRF x9veϴ5.zopO:B"z4-&<}h|P,jČGt"]W;mv67dpU8'Yk8ʕ#\l%iJd_.Pt3T =m \Ab-. w+8%L,$`8h]6@YMvš}-`̳D2~Ytˮ%6qoY2)+hE8îMGnc/o|>!/@gwumYMX G*0V[;:ԩ}T0,H[; V.P-ַ4/&]\\M[Ҝ71.ULFt|F{V>(Rqf 9~Q5N~ECvir* "g)qd /0_ XJïx􂓼Rl}j{Bj۹|*<S)ڭ ׊̽H2b +q)$Fh%G $2Ia]>+ p\IRqNY*]=QOta4h9?i endstream endobj 2892 0 obj << /Annots 2894 0 R /BleedBox [0 0 612 792] /Contents [2900 0 R 2896 0 R 2897 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25897 2898 0 R >> >> /Type /Page >> endobj 2893 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2894 0 obj [2893 0 R 2895 0 R 2899 0 R] endobj 2895 0 obj << /A << /D (unique_584) /S /GoTo >> /Border [0 0 0] /Contents (report_config_implementation) /M (D:20210608081201-08'00') /Rect [104.1732 587.2 249.4007 598.2] /Subtype /Link /Type /Annot >> endobj 2896 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2897 0 obj << /Length 19 >> stream q /Iabc25897 Do Q endstream endobj 2898 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25898 20690 0 R /Gabc25899 20697 0 R >> /Font << /Fabc25900 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw73thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy8f^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}\ endstream endobj 2899 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=179) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2900 0 obj << /Filter /FlateDecode /Length 1591 >> stream xڭXn[7+p!ݹEѕ[(&33}(rMpM9<̐~N[)=ƜNQWGC}Vx9}~^l YSs A_ڴ6F_@NTPM %}]JN7 kpG{i1O6;]1V`G,:"zc`mN@w7} as&" >d[1v;,y 06"݉@|.c$N%â֕ԒL ++d/@cbxv0$IdBg-IҾ}FXLD#ʼnWV1_vD͐Qj;%#6K>a/aVeu0?b#h'| - NakD^{/skq`tF<,(Z6;u$hغ UtRU$ZDvtՋX|yQP*-e \G 2+tR45mB0F: RH`\|`)hUG*(%Jlsȅ@ۅ%s;Fj;NRxW؇Qj c'ʼ_>近Ғ̵!V`J!y=kRv@j4脚7b(<|f[vц&Ri EGL5E]]H.&E ~F\k-$ C'ቁ=]1,j\gյ.]}-wG;ԝ1c2ޯAGUE^huHAb2pLt6h'iL㜛\V{nYɚbGS`9AzE2MK|-jɘAE"ECFik-)Fm5x I{9&Բx>Y*sS黨ed#+%H,)a zdq=r+R6Rj׶ǭ]%ю3*>Qx>aOҙƘvtLھO (c}ܻP]GjhCg%ԧ-@$YH!ʱNlئ'ԥSӊe{Kf=;iwA=xLV e!4or~E?]˲.$!bZSfW|gGӚ eC/(&R8q!.usyf2Bv䲒 mvټTj./4NI值qzpFd~oU.T֟tOs,O~q~3|<u> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25916 2905 0 R >> >> /Type /Page >> endobj 2902 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2903 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2904 0 obj << /Length 19 >> stream q /Iabc25916 Do Q endstream endobj 2905 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25917 20690 0 R /Gabc25918 20697 0 R >> /Font << /Fabc25919 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p4*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7L4~" ^o/jj; Jt١PϡT[z7}KX endstream endobj 2906 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=180) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2907 0 obj << /Filter /FlateDecode /Length 4577 >> stream x]K W@9z tUwm4&f^CJLrUȠǖ,S{: ?'Qw_u9Ouv,~8pV_`ߺ~%9])|:<{ytJyi<-uX;#Xۅ :k礝n]-\e/?u?ud/2Z^ufKsT-'qt鲰07)[n;V"pZrPR{mL"eCzx?Zm)aP?_`UbYuҽ X`u*}9 (xkr%]/3*HA\J*G$•mn)>\(h~~}#ydf0LF 7zxQL(2 DzCLt0PMToWkQ_+$d9 oy]Va"A(+#˒ >>ީ iԖm Q}N y'.P[`^k0րP[cDʜP kAn|=6G27Sk+<`{$h# ŌydqLF&/̱^ }yw 0VGC-loGtK "YA.#& 1>m(U#G/ o +{=g*6lg$-W,s{O^Ipdv0G7z7s1\I2Q)\XdD9|TcC]Ztl;e aH;C{qVGC<~Rsp%T$ kI<amBT魜*QuS)0`ZZnr+ʲYA.k:2X5'ΊlTuj T<4sUy*_L`(q,^kU|ȉlX1̩tLA%V M[ )-؋ `/*wGV͑Q~þ;3`{`ɻ`?,y*<7 Ĭ2BOąsr+)qg|4lE<ɺ.mF]yUgsęb(BxbUL0 '{lw7^E>c|as uS7T ηGW T$Y^@矨 EqxKun22tʇX.g3{fi?:#qiYkbGi-D2:Ag@Z@R8@@'f0 @%ocS{1D=iۨk/y_o.ؙ WM4,; $"I3adwHvX!BHzt$߄,udNy6}im7)FqIt\\-ʯ˜J A,owM'] .!mG-/׭93.gn-\) nƶsR$){OTd&:JXrXn BǭZ~Gm(6B'4LŅ& ]b ]wmKTjxwƨ&m}gul|P=R?2 pRH;3(w_O`e5/rŊ3&GjZ5jcu@]]9MW]nEg[۳O0'ܺvKw@ nI. ka'|a=Nu(>6Q@fF'-Wq\ծ [*ՓLIcަ>]d֔#M,xע06^w "]h|gϚ,Ɯ26mXVلg.gQ˘(]pkeCs]>ƽF+9;6DQN<]ۂC wD|Õ;?꘿(xc)1ӊUq|cO!vjox+Lx ~TƢ?r#y5;Y>™Dss(2eX+cc_t2;_ czm,etѹѭl4T6%++MAź/l\ۀwq}" ͞z0F[*g8Q[GԁF1㝺ӦlbסT / 7V'$Hdtx 2vahwz_UfdnZ3qf &@TƖ0i_\.,3nOLmۏ^WfXjVV7پ!{K{*h%bv)d&_ ]uӚUSZo{3UV3l'cx~%!\ Xh0,;B9*2#XBh׌$ tlv@nI G߳.'} O/9I~Eڶ?vxDdgݵ-CvSd {3^@/|x{-?rCܛx=IkoQ=%9ԏQ%9_Px m?N-{>n#\.#`0l2 +ǁTk(p{?Gx)pF%pVsE*G+Ɉ̂][2h6.`!=,@ \JĚn X$^ sfqUy_'gPeA6iڨ :68\##;Ӈ0:=RUW1D{NWl޺&D)Leedzr-K+ Pa GM ~ݫih? AыAM>$9N\^ID1=3Jn/[0̫;=e MךM.~|BtCE!%MrI>8qRwqėۚ%6 dZZeL(A+V$ίݿfv25DVtEUI6$2ېq0!<ƹP6Y'&8 a:: ;)gعY@""XtBe=s|]| u+&eqZV@5qKq E{QI_))z!J(Jz{r_Gc6n{ l骗=#< Iξ$"DMMO"Ş&.NgNU/ؖkɩ:]Ռ %Jjz" ')ۓԞtZP$%uMK֬:#KdD/ g36E;ʵ/{6gC=n=Þv旯vKwi©p|L`vaj_E OgL'%v<7*v> O_7EY u丙> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25935 2915 0 R >> >> /Type /Page >> endobj 2909 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2910 0 obj [2909 0 R 2911 0 R 2912 0 R 2916 0 R] endobj 2911 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 358.925 122.505 369.925] /Subtype /Link /Type /Annot >> endobj 2912 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [127.818 358.925 161.016 369.925] /Subtype /Link /Type /Annot >> endobj 2913 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2914 0 obj << /Length 19 >> stream q /Iabc25935 Do Q endstream endobj 2915 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25936 20690 0 R /Gabc25937 20697 0 R >> /Font << /Fabc25938 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7dthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?Q endstream endobj 2916 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=181) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2917 0 obj << /Filter /FlateDecode /Length 5902 >> stream x]K$W@&h =m7Y`iveØY@o<2[ kWJd`A2^~^̢^fߗgl0fN+Mf RsL^7+TޭnW(35٠Tt^AlcJ|5عq {=d+>~ yxL(& ΔkT͙ ƫKXu+t<,yGC|h5HFgg3u#:Iݿ^ʧYc9S%5T=שMaT^cgr<+?P䖹(K`anv;ӹ r3&^lvp!zj̷ZGp !Q4= $nXʵq%M* uc-'>(}R<#%g36=jY?g@3p1s{~&s3>Ğcs|@:B?",H=pJ.phʖ@{΅-L==}Ҁ|#oBn 6{fBIFoP;u-B+n -P~,_|LwDL/{& x0 v'\Gz<)(U7zGsXdJs)23~m7}$9@VF|Ŭw{.S:%S"Uщ(@{9xEjZᅀqC `~m.Ъ_sgu{(HzII= +~60/7K<ⳟ;@]v$+|_R%NBs*ѐ͛&B=fqZ煗G^ tJ}Np0tr}m'ʛD#h>3^V:\9{z Ic#7-Cs˞&NVЃJ~Desg^ W/Zޢ.㍠<~a֗BcRlwG7N4!F֩iއό :nh+Aۧji4oF;yYJ:!2W:dQUAKCqX6 fMƉ-Ϗ8x ->x|bcUcfx/~^A}?r~S_/uoTZqtuѸ/z߲}g+; .lxUg1K]]EO/E{IÅ$LŤJ~"[-r3=»0?/Z/>nT $xOd>t$_}K -CMŶ&EZ7hE€;ぉSUegpzŭ>ۣ{}í7k["oAHޢ=Ma!YQ[-)~x^& texSx#;E\ \␬=̼ puIʉ[`SPf DFW#F,0Z:&3^ z/T^ԭo3n4Ҫ7r3&}'oH/GV]J8uWF\zj/H:p6FZKauQ dgӒY?'dpri@`5Fn߱{֗Ws^%"{g/PIRQT%Skx9@&jff(֙yJ2zB[¡4@lQh.QNX 4-grA7JTL/w:Ni_-¥,J?D6Pu:e>S{ݶwjs?M>ռTbuڭ)&,fH)Vj7pCJ7J/kLضd8Bx}Ԁ OIH]W `$LWM7Wp/aM&10Ibd=L &d̤m&Y(A9<{vYLj}0*4ё\a L0wK¡@@hH}PCvb3'UB=;pn0rmw"uIч^^h|^StVYiXS+ s,߹ قEua)ͻ, ߂Yl@}|tXfXgA ؔDC`Z> 6qe`?|0Khw)DV:H#O5W<ʞp7lCoi+!:hPnuL-KpY.vN7ķop}D)]+ocu $yژw f%tS./ӂ{<\Utx=vp&tMF=V@3{{0d4'd]\k#C2ԐʹZ*n~[L kJ W{O85;cCt/%]OM\KO?nŹ.fڪC#@|s"I蒵 k" $aDqrj],n07xD݄@kY|[<¦V'ld^t '&r }e)sGrs\Ck~G<bōH"ih[]psI^fdeCxru`Dg}].uʫA:SS_{Vchu|DH;>f[n9F%K3@Wgψ),QicRDo E:Ag "NEJkF$hU"&ޒ`B4(1PS$ (&^mGA/#t~zMO{*G9P/`H'_[_K=Je~`ft3-lEC@ nX6d6ZN#jWKZ6aJB Dfx IВ#FUȊ3DX~)#Ё]u2ۊF.b"3Bu%#[੓Q-yYꄊz:ҊZhT#(j%sq 2 @w$QƆ8A@d77]=XX)C=N 8\Z[ 9`imqJ( 8;ߣ<ّvϲB+Pֵ0RMLr'1)2Fd,Pʦc2xtp56yCL#-6Jpe㼇 {`tcݔo^1Cn$JE?k, iYZ0\=L{^EOb bW }AU$ 0_};֖U2>y6%ޣ4(M{m|s2EXMCXz bE9@ߘ<>Vk-y "~4$XGEB䗌1gE0Pc}?/8*qJ\fMhm]*M< ~s{a\FtV~c5E}'I0&mkѱ54R1?,T+u.ף)#B/_*U̴H~87#ˆorw}k@nq55?TJ endstream endobj 2918 0 obj << /Annots [2919 0 R 2923 0 R] /BleedBox [0 0 612 792] /Contents [2924 0 R 2920 0 R 2921 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25954 2922 0 R >> >> /Type /Page >> endobj 2919 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2921 0 obj << /Length 19 >> stream q /Iabc25954 Do Q endstream endobj 2922 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25955 20690 0 R /Gabc25956 20697 0 R >> /Font << /Fabc25957 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMX\ endstream endobj 2923 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=182) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2924 0 obj << /Filter /FlateDecode /Length 5191 >> stream x{qNZ@23K_y$=ݎh8*v *|o+&pZBH%Gc]9sϵƑY}T#O-h{=>=^n2z!AZscl^^X;XYC R>DywƩS`r虖sz=MgBSh=H6Yd;^J`)Sq~5(ܞ G_ydݖdb$Y_cK$yg $f. X7)?cBJ[v /P$Fv*a!a pˡV,=hVgL&F1 AxI5|pUš2)Wq-) ~' ۜ@zg9Yfa&tmnu&B t R@Z` cHZz0tUX b3^Φ;I RU\&d~sZCnC&v[]ITm-z?j+kGo͹J|Rc d@P`E R2:ޡ"e;% hшڡa:5|1 XuіD}҅· l=9f+hbs?fGd \jB7l1]()p*A m뒘H>t{r%,؎[n qW%yCf]>V6z41+J8)%jД@قr7k~WHrI#Gu v0KaQ@nvn׆:4Z{1k|mX_)ڭ?69$w_1`[l\w1`-/U-:8_~g@{0|i9p!4Y~M) i%O3q |^ki{P*5d9Axg2+,.HƱZFEy_ES V:^`\U0#c'8ioǃ`E5< t2-yDD#u)؅>f"4>8]45@,@Nda \uD8è@HcO[J@.'\GSNѱq0Ȍ8X=u@pd$IX G5: hHK,`' mchFR[c\஀X2!}j n,6 KԈ1R#CfNbB7h#%%4"JTuCF%XZc6)3⃟%;z3 _XuP@oZ[#A0}(j1hC׿?[d8LJ%NC2Z`b&OK. :t"Mxݞ޵5Ͽtco6웵[I s5iMy?+ Y_,9t:Kf &R{Iqa`gh}8g /*s<#5T(}?*Bb-+Z1llVY6.4>_&o] H m9pٛSiCepCBӉ"j l^K`& 2Զ^.m^l{sz%HgLX?ע  pWN4ϫ8* #.5,\b6]gBxzbS7m=?ٿ2,i"Pgj{ 5RFaYeK[Nn0RZ%W0`0>4;_X :58e FY0#i0]-CMlTX *PT#Rcnb 0`9#EM b 50+Mʕ /.gKRƊUXG @b7O2)l1z0}5۶P|tbNu0/]|D*> ;)FM @ "A ri|_%tY̠;/FYDɚZ,0Y4cS~̳l !>GS+ml xj sqj-Dw"ԤGTWgy<ݦ_Ԛ4ŒΰZ,3:2/ +vGźZ-6עT4QY9fˆ|XLXPC{݌_׮RVgvt}ʜ~K7~j2[J*̺JzAcdvtqJ*k@ Q|*Mk'ZNc1PKZ+NJ?pIɘ,C0t_NK1hTl_<)Їm 4V߃vHc8f봩u3zګamfsrv&F(S:^SXSemrZ7LwTSfK=zOAcldK_6"ΩRZ|*+`[giSZ=2t_w`Nȸ^y̼/<>y`g,q};=+5rA 5ej<:qžb_L}E,Uv ujV?Iv;VX, ߠ svyI m2+ne~4O6@\yqstu(6cu0SYg کQR~o|=sXW9.,kxwٚ6WmPhxI NmvKȳ^E4}p}fX1,a[Z%MɒgAieccu%-_թLsqNŜvvY>DW?"h1 eHn G,kV82I*𭜸붼q뽡mJ#?3|.W%>\7T,zvV&+lXaI=OYLarړRsM$x(HE.ryH]"GE.ry@]E._s]H"E^HֻX)Z"E\W).r.Ϻ?""|in_$}B%ɦLN!l`Ci[wLJK__[O6C T``a8\d,d`|߸^ri]1mJ3\]d"Kz8\ bF̿'W(UDo3P ڹ ؕ$E{j886{}_`#Uq\LN$.%KD eá2kKkұt\Glpu3p~luZme8x9zfV+sҜB?+RZIX$x9q]r2QEsb>) W endstream endobj 2925 0 obj << /Annots [2926 0 R 2930 0 R] /BleedBox [0 0 612 792] /Contents [2931 0 R 2927 0 R 2928 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25973 2929 0 R >> >> /Type /Page >> endobj 2926 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2927 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2928 0 obj << /Length 19 >> stream q /Iabc25973 Do Q endstream endobj 2929 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25974 20690 0 R /Gabc25975 20697 0 R >> /Font << /Fabc25976 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ZVthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ^ endstream endobj 2930 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=183) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2931 0 obj << /Filter /FlateDecode /Length 3962 >> stream x\Y$9~g4RWU7Ҿ-if+]YGW lMp8"lV,_Zj^[*EgqM3|S]^OOJ |NAQ*z3J7^ {;AjJŗBvL1)5^y2G7^=/F ,O*WsR.o^?/_0 N$v{^Fcz"?G~cYky mz^Ӷ=Ҙ>{`8d{*1WaZF!H% (ӳdd4>M زnm:w:Uvd0⋭׳msۅ_ |Mb(Cxupף4sJdf(uR˄P*HeQv(=   0vgr(PQ53.{pU< W ")OJEi'/i+1CxW~M 䭙iXZF"&J$s}hB3h(.~C$&@wM=r mM ĉfL`f7ԤApjh')j̖2BߌzN{D°`zjpNuDkEV#f㬴N( Q8Q,j@vc9~lMx(&0&u`S^JǏ0GyhR3uZcdX}X_uV~EΔ&'sCWr{ a+ {I<ҔJf*u.{2y0r™րtt\xf(/}=Ιű rԘ8(3|3ξ)80<7!a!߄}"/'u(QiRB mNmVlN[7Z&X>{Y=;\~BO`2V&KP<bqbZ5}+;LaH=~v'a ԭYL|̶v @o ]8p⑅v,| u ߙ`SrC $V9N1⼸Ƶr9zˢC7TŻ{̏6{sԍǻ ˥ƫgVvWewu/d56P]`><2oW F=Ρ*M%8Wsi#?*Db*JۧaigC`TrTiZ'騾/M-ہ ?0Rx삯n qx)n=Ǜآ1tUp{g`*p}' bĻ6y2MV@n$ 1{M,dɖC\pHFMF/~S0TŷE%,i۫7e>•}97 ^E]KHh.r.9_|$a^/0ϭ*u6|QxkpҘ(߁-,V:*Wkc$]@oM~۔"ޔ"dXfԪ2޵Hmeq~[i++"7 D]kHj3A "`(S0D^C6^ۜejKdFhbҵRMsMMyֿ&mHX+ +]U^ <}$і1{D,'JZ><wɅ>|2H RL*7Rxƛcm=EAl <$K`53&&.saxl<:ܖsZ9& BʕdCr +C"+S1>L,/7 endstream endobj 2932 0 obj << /Annots [2933 0 R 2937 0 R] /BleedBox [0 0 612 792] /Contents [2938 0 R 2934 0 R 2935 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25992 2936 0 R >> >> /Type /Page >> endobj 2933 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2934 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2935 0 obj << /Length 19 >> stream q /Iabc25992 Do Q endstream endobj 2936 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25993 20690 0 R /Gabc25994 20697 0 R >> /Font << /Fabc25995 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛe` endstream endobj 2937 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=184) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2938 0 obj << /Filter /FlateDecode /Length 5477 >> stream xp"W!/uh,_o?uϝ1VسqC}\ R.:ԖG Y(7=>c{)E?4}G\`DC>ot.iB!@2\>/8\KcI NFZ4F']kP]tk*1!p@FК "w #S^%#"<4&kc 0 2>Q~#91GvO~8'FHL= YH3极S.p=[}43kA hPҪUgb6Gw'\Zp$ָ2q_A)^<2ly<*oZa+ǥLL`\ T"TI|a@蘏 *r9RulJҁBϏӮ!ۇmЧ:z O2*rH6 ݕNۤgxk5 ʚ4}<ԤW= ̶Ǥ-^ B2[ώeVXi:#8GїDMR{@!!cz# }s~RNSwCb}!U/ެz$<iǛt'^wSY ~+kp8"6F!њl6aHz1$2(.ʕhc#3(˿rmSGwɲ=L!(Dxen%{u!ԝ&[yI@%~!(@:TO+FؤE|FxĨE%5_謈n&SLu(CN "}K"eڀI*8]"of. ZidXl_.e>೔ȿoot)[pH#-T8Ez._p@$R,`3xO_  @D"~fCPOr2k p,TZU`.C&T֤h H`b>!&6h7*\dnߓi*4`𳷮(;Db*}MM_w‰C`j]ie7Agq;}HЉ/O#]cNk(EL|f8O> + 4% 0@zM}9J .v`A9~_tƭe#M$f{Ǹ3=J+Le0vS'M+KIHԭ`di!Oٔ_[S2f[dds< fZ9֤U"n$tA* ͝\8^G; &cM_Iu^tEςV\:/9٦"7dtIDJIĀ G~t>lWZ Q)/ev]p`:f<(\1JSg()\p-l D 󗁝{.+]J+ti!.Z/9! U%>? ط 0qN 2*m_wR]XIvRd?o'&3-#Ltxb=0)3G)TzW"urR$tO58n[\pIS6#XXMOi>B[OCsB_ R tHz^kO郠(}@۬N:Gb bG&vr%3 n-0s yPˁr;c^ 'JbVk`2YM3ݒuJ̓hI~@ilqfycÊ:Gguƭ*V:׮tT!~C7i2헹aƎo.%flZenGצ 3R {H[O(j)Gr]::%j4ХQ7l`6[Y;CGfU[}mV? ؠ c'TVNil.{M2,yhYm4ȾSn...VՏXv]{T-wo+AH˶d{xJ]onٳYszR 20ņw!/U(ɞh\'rR|0<'CDіH-;nʴ֕Š:n~ו!VOSV۟6|BݸSlozұR:Ht:Ñ=߯wFrpSt+ Qy+r#)gGf;I{n |udOE5tnUƿq slVnFFP7w&/u)w(Tm-b[l38uh;bS~\x)V0UaC2M$nlIzN6O 85:q&>4[ o=hG5NjK1!(7{ Cvsc#|2/,Kv|=4liV; v_RL":7nߺC,Eϼ$A*ѮL1,JZA4#̞]Iu`]yr I0'5AqdX-_T\GE!V*J#(vcN>N6..2x5P<6b \#onj&γsTwrPĻmļc{o;Bk+Q\Y ,2ոw)c9EO>lg=-wHPx_I]+5OG 9MBh匥0QEKT4xT7\xާ2"@R}:'AK=`qEGY hF-wt6.}y {5a{lF~pgW<>rw{*n4:7%|etтOEM\ˎ Z-3}r*&"kb} :Lu"g9*[XDB 1;7><g 8eF1m:^3Z>%c \ҕhCs`x,M-na̢z endstream endobj 2939 0 obj << /Annots 2941 0 R /BleedBox [0 0 612 792] /Contents [2950 0 R 2946 0 R 2947 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26011 2948 0 R >> >> /Type /Page >> endobj 2940 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2941 0 obj [2940 0 R 2942 0 R 2943 0 R 2944 0 R 2945 0 R 2949 0 R] endobj 2942 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 182.6693 138.1357 193.6693] /Subtype /Link /Type /Annot >> endobj 2943 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 166.4694 165.4707 177.4694] /Subtype /Link /Type /Annot >> endobj 2944 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20210608081201-08'00') /Rect [104.1732 150.2694 166.9282 161.2694] /Subtype /Link /Type /Annot >> endobj 2945 0 obj << /A << /D (unique_344) /S /GoTo >> /Border [0 0 0] /Contents (synth_ip) /M (D:20210608081201-08'00') /Rect [104.1732 134.0695 144.5047 145.0695] /Subtype /Link /Type /Annot >> endobj 2946 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2947 0 obj << /Length 19 >> stream q /Iabc26011 Do Q endstream endobj 2948 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26012 20690 0 R /Gabc26013 20697 0 R >> /Font << /Fabc26014 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 2949 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=185) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2950 0 obj << /Filter /FlateDecode /Length 3650 >> stream xˎܸίyV~gmrrr qb%{z!і(z(˯RKxO_d}w2i|EY;-_>6,JY╗/_JԳQNg^K{x*ec ^ ;X X3#,2JG>H%G`sѰ@VpaE8 RE`~/6vq N+@aOEYy]TLYc׉Y”6XeY#H V/.i洨 H/Vb.n扚'F)10xC}-@7P~n9P8BSD*9` [ַi`څ[©p},¹c DS/"x7X;F"3Ǧki֐S&2a?Rӕʭ-:hY#){R2q"IJ4'* -./oE]&gѽNZ@5Pm1lКễu@=bC'Dz\2z0`3I5`_nHJT?"[aD-+È$W҃XǚJ5,O@\o=iXmU9Nr;r5 #oM˙t 5쐘܊bQvVmeP&0 [t>#p+x=CI<ό!0fi޽vnu5KV7'+jV{g $ "JײPYW:OcIcV`V2V{Ѣ`QCWsY+6xP-=cEq6`^]$ġk q{B$[]_W#w*EaJ}vcss\qR]*{!A7\r&Sy4V`SU׼}{8hOJv0gwu߿і r92} Ug`?2-p!  3PռB<ؿmf2z+F"n 5R*1"pFK?ދ˪.V,6gh̜Vp鞍GObv-kb e>rg84YXu_t@̐)S-n7^Ei#&Y]LroϻnĻ8CåGsz7PA֜VldWbLٚϭ-)ѺZ-$VW\ Xn!Ӛ{#swۊT)0lϾM76GF&8:ŕ>җep]fJk E.w4 {c@8x{.|ٖ9=3'-quPu+uIXxD&>rPSjTCCiP7{A5VE9x_x3 SZf7NB3t[of6ȬO/+Kp_.mxgS~EֿSpĀ8L :~Am ڃ]%! ~@S5uz{OGhCuԬ?6iHan#;ݫ84$(+Uc~syӌx%+U~lVAxYͺ8Չ- ~x0ÛE9=qkMǣŦUM'16Fĩ|3p(ghK?q5$H<ʰOӴ˴/J#_#6 9%E=hʬ4Z}8Aס@ b=!׼ޔVCB@SWV Bg S6 LJܯEڤcAm4~$ay(&߹^rxR6?[ U|7/f>5֏j9}%]kyE/A hEB2I4k xr~u"6yK_/d,ӿQĄ|‰_[,^\tW *?م 9/4Z& u-_p®uz_\l1wc>k/Ԁm^UXG*0l@U}^2 VpВNqX kڈ>d`0 ^M]ȱ_b+Kx,&pY\,"˄4kFLHO2padD3D/`$AYn\߫O:ޯF{g{t'5rzTRZ2i5UMiA=+ȑ, t KcRZS9gM$VU:4T" :K<}'@>D\'`G(o Ã2 *ƨ]BHHr'm4R‡5rqY bTO1[n,-j sPRk*kbj3-xگdž+Ęۻ@I)UD}>rʗ2[g.gxx?:1uϣDqiJי4T0Ь}),,2QAINg=gdW|5L$3&Z| ? vuӛF)Ňfl?ܻ|̰sן;ƅt*T|T޲\_ʃ0Ժ7/)뒫_ˊA|EhHXY endstream endobj 2951 0 obj << /Annots 2953 0 R /BleedBox [0 0 612 792] /Contents [2959 0 R 2955 0 R 2956 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26030 2957 0 R >> >> /Type /Page >> endobj 2952 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2953 0 obj [2952 0 R 2954 0 R 2958 0 R] endobj 2954 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [90 212.025 122.648 223.025] /Subtype /Link /Type /Annot >> endobj 2955 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2956 0 obj << /Length 19 >> stream q /Iabc26030 Do Q endstream endobj 2957 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26031 20690 0 R /Gabc26032 20697 0 R >> /Font << /Fabc26033 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2959 0 obj << /Filter /FlateDecode /Length 4009 >> stream xˎί賁iM6 ؙ1l99)@@S>==@wH*d& vHNflǣ>&:?'>Nl{3fv0SLD 0`K7(h0l_`S^>o$N_}1a7 UzθOkh_~RvOYq:}biɌvqF),sҡ\ R{8eZ"VX i!, t_bt;gKY GuElL4>y X.EFOl n#E l@p@"BdO/Rsg̝w&9 j\s,,pl'Ѱ̋ѧwP(ir~Ԁ)lZ *V~ѐK;xHq:gbMve*ڹ Vb`c}gMEL$"?33B.?㊏9Lr_N7;L],ToՁasXv[60 `kA`k6)Ɍ>&ԤԪqͭ1Hۂim5mA[;hK ښA[jԆ֨DqGĮ EM.P oA=>/fqNngb_ܟƹC;=e2V^fXo0wӕ-e+1t\7B2 N4ZHG[&XQX)* ^~O_׀~VGgО3hl͡=s·{PYU6ryhsdGEy8$ҕ^t[8I&k[m(|y} EɲQv$td'DhR9-!gŒ[#1tZHu Dbg֌RLK_Ҋ1I4RH`ĦL(;s׼-[2yCznrWyyn%e24#RU.kGbLqΙ޷!wRչon!$7b͙46>20kk30s=2 p`;ioBbuafEY6u1v$A]xI0S u,|KInJ ?v(%E+[ %V|bYߙK}pT+Wōs׽@\Mj-_ mEUrsp=`WYmqt1xx*| aAM||l:YeG-"4!bt~"5ApY,ҥFݝ[DfNUϸ!`]tve]C_n]A1tkզ,.B81?9\H |S GzN[3v$,H~\h& bQT΃fV=Wua^'7D.1/&9$ nf CPHfA;C+"1g J6A( (pZ U_~PN8t 8MG&֤AX_2RV+K l(3#.{qKs⬳9y *(NI~`~7Rly5LUl蝚Aah{? k0iOڞx=N; РA+6U[@kXtڥOR̺ٯE`BdU[ zA͖!0N)UѲP3d w mk+Qwn}pyXTcV[CjSZ}VZ͢V[VwZUQz'A|zW"'ԃ>d?N)ٍ(gO@}10wdGo]zŭ.mD q[Ľ+5uIHx=$h[D EpY޽$HV1'LCjKR:cfT`r>p/3׃ >mvVTBI~^`xSZD5lY%x:V$$M=L*0Hk*蹭P#nu;KͰVWxsq" ݭRxw|nѾtW>Mp.BMsv)n=]J5r^4z5.SS$6yULgN7J88e_LxQt0I|-u*RnZ_MU3WD(!*icrx|޼aKhYqOm\a,ALj7P{>hWl?PP97} qQ媌[U_WZmnRܰ_J:XKml C<@}Tu_/!8v!nqƙ()$QezOVԊP9㮥M5/)WO7S.ī5VZЮ.JzQ]pHQU-8 4V@L#4sհh1NmKS3;1hDusp,ί?63&t+FXXd>9  2I\V35$ϗS!ú H>(1cFy3 0`y(nE>ob|^,UoEW-P*~Zr/h.IԔ_vfJOLr8uxu@!h,߃uƕ6nfM|yW,XHܥxH.JUfILŮ `-}-%fTQ1 cR*#S IкJ,;:?|{Rw)[;<\4Hm}һy>(Qꔵb@Iu.K.jŠdwĹ0G &Nwn7sՃ!Q _Y֚4IX1@8-" ݗLԍ7=Cyz$볟s Ud#9}2G_B_s; endstream endobj 2960 0 obj << /Annots [2961 0 R 2965 0 R] /BleedBox [0 0 612 792] /Contents [2966 0 R 2962 0 R 2963 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26049 2964 0 R >> >> /Type /Page >> endobj 2961 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2963 0 obj << /Length 19 >> stream q /Iabc26049 Do Q endstream endobj 2964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26050 20690 0 R /Gabc26051 20697 0 R >> /Font << /Fabc26052 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p JЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 2965 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=187) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2966 0 obj << /Filter /FlateDecode /Length 4173 >> stream xɎ+ίs1F@n!%v w流,^'u7Y,־g}ғ>}==y7oߗ}MZO6H줕CvzcV kE*ҫ:}NR5;[2)1qShHug/R ;mQf!QVWgAisgQEG-"ؕ&.7*nET\*P#kTQ7a2Mڴ2KK7ItpbUFr ;AŽMC&f۬]{B{'x#,VYRnLo-F+4$|0izL袲E Tr]ϲRs~ 7Y+-&x)5  ݥ o$cQ 9(3Ӆbע-Ɲ͏4Dncc 4 بKLNVٝ$rG%0AΑa7_J!teHmf4-⦯l~ܶ9vk@w odM`W$jKܓm< "b>_D= KEYr꽌 ^3K% =\vz"sR, s9;$hjB3WLƘd#jО#VQR3FQhDT3LW[{2"$Q->[ 6GAA@>qؐfԓڕ4;b,h{aD:3(ǭ]u"/XsC0:/BZш[]Ja׷2Ҕ..xÖiTJQr\S5긲ulWk:(5(6;_':wsٍHnY)+Y3!R^_QG8 n4x/adewxl}oxc@YISyA@*&l0$Wc9% ' ǫR^yjD c/ ۋUbKW.0nX Z@0W;YI҃hůh7#G+yw h絣rwsd%X :N4Ƀq?YQGmzłF"P+*(uSK-NF\x2znY&c^uY%1uI.׭nWÒsZC,'K=\UVoE*V1VX~ÁPoeV-qRرe5,^ku# 'ւ02@s]*/2h G2%Zֶ@(U_EJHF!61 `i9t&䞾+mQqKMupY#kmeq^Y]A5DOCj-vfz>T41Vw%m*|koIX W12-J֑ &6s`1³Ӽ_8Q5o¢G<Ƴz="n,"y:ɻ#]OmeW1g(dnŠ;^>WY dn`杚LCbzŮ\H_}7wí[;fb ]@lok#p=Sk[8_>.fg_P#CyyRwH&LNKoY;zuK\kפ&HoIi2c?{]y\L;Se'$X⤱\%/=콈ʉFCSީd/Yoɕ0/7t: 4,<_o-͜G  %=A#OLp dt!alG˘_$tR+,J8#qI',> \LS>lC>_A6!슅1K@ ;US]kP?Xc>=9> /O_|& I]Xؖ|Cp4τIxqHǰP,6r!>>Pg*s2bjZ9r~L)iU؈ځki+3d^<`EpQRQL@ 9גgH|o"^lpg^cFJ9@\ p1~[16!D.w Q=gox#:kKDv1V7 ElT˙m;J%Z9_|ʔOoS GL `O*1pO]HkcFX,1ON稾0.o8/UǬ] Ȅ*Kx_XN[1M,Uz Gm.3΋"`6elr]y= H7n#&YGc.Kqx1_մ˖S._KJ_r[2SYb@˛ZXn) 2)ZGc:i9_yyJAy1yy=Ǟ7Ji0$hfI@ ,YN²sL^v4O3|2+K|S_&/GJtXϯt=xL:zCueE9<+K}&鏢3Fie6 ϕy~n.UYhfF%㛅f'vH,#˸+E ?zx} endstream endobj 2967 0 obj << /Annots 2969 0 R /BleedBox [0 0 612 792] /Contents [2977 0 R 2973 0 R 2974 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26068 2975 0 R >> >> /Type /Page >> endobj 2968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2969 0 obj [2968 0 R 2970 0 R 2971 0 R 2972 0 R 2976 0 R] endobj 2970 0 obj << /A << /D (unique_701) /S /GoTo >> /Border [0 0 0] /Contents (config_timing_corners) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 210.1252 686.7] /Subtype /Link /Type /Annot >> endobj 2971 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 169.4802 670.5] /Subtype /Link /Type /Annot >> endobj 2972 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 218.6612 654.3] /Subtype /Link /Type /Annot >> endobj 2973 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2974 0 obj << /Length 19 >> stream q /Iabc26068 Do Q endstream endobj 2975 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26069 20690 0 R /Gabc26070 20697 0 R >> /Font << /Fabc26071 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n4C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶ff^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/} Z endstream endobj 2976 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=188) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2977 0 obj << /Filter /FlateDecode /Length 1230 >> stream xڭWj,7+jh x<";@!+0H=n8&6=RSu /ܔAPP߾~~![m%8ܐc@LO*"ﭯ%g8AexNS5Z+7R ;1'B9ak߭+I‘/3gâ$Q/[Yʴxrgs15$`H']W#lfBh2Mì@`JJW|Y;a[bXC)h}ue:y7w#ls`oad,_ ږT[N@,A=u䂮ej)1Caf$t<11+iʇ͏?3w{: '_lmbQO]1Ygq21/:x RycQ1HNr.4CWbĎM6NLk^՜~foQBjW plvp m,)f]vm̎"C?Ɍ?hv'M5STiWQn+xWl͎I,rՔKET4Κkj G9S6 g\: K2$5}r]-i S5! ӕ닸j`t!Eľ@@Dwu΢%w( TXP Dkh40uV"[$ҥK$!2ӽwI<4!= k3)Z&CxBI~z1m n՛c3Q4K3QV|NjiBى~,#igpY͕e(&Lasnw ;j^*i[ q@^R=frsfj :+7\i_ZZhZnx.7H|/Z~$v"tg@wH;lnQQ"YJ-_l}EJCxK?˟s,NdݕMPLr n.TˉE᎞{!| CSHho)HjwLkyƁ^N7<89r2RY>HR`]ӱ;n8މN0 X*s=~\;ǕT>S{X8\!k83E§% endstream endobj 2978 0 obj << /Annots 2980 0 R /BleedBox [0 0 612 792] /Contents [2986 0 R 2982 0 R 2983 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26087 2984 0 R >> >> /Type /Page >> endobj 2979 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2980 0 obj [2979 0 R 2981 0 R 2985 0 R] endobj 2981 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [90 349.825 122.648 360.825] /Subtype /Link /Type /Annot >> endobj 2982 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2983 0 obj << /Length 19 >> stream q /Iabc26087 Do Q endstream endobj 2984 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26088 20690 0 R /Gabc26089 20697 0 R >> /Font << /Fabc26090 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XLj[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{w: endstream endobj 2985 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=189) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2986 0 obj << /Filter /FlateDecode /Length 4200 >> stream x\Ko$ Wy-`r99M3%Qv URHH=b ?.__ZglJϏ_w'X|E|LnAkpl3xgVQM#vNOLT*X Y=;jac  TVlr.Pyp )n%pGju|4;=yMs,83i;F`X}0͠DSipW`PcH?*mxg[ڻ)`44D ){8}!"ID,@G[#N5yq QN Q WH ;g@ ȋm,ʪw$"HN\t X/=[#~ŭ١jLr4bJ=B1T}*^ iͬ*T?JZ\j՟~Romēr"%f*eRkj4_ڳЖ"[UW|>ڬ%a0jbp^ 22V\+kl53F4K˭+W-y8E{7W.6N%QTLqK3lZ^@Y *$ObO܋7ε;0ʪ`h`aAš,V*l"kCP{k;T&*s*ͮZ?WBУ8'Ԫh)F庙r'JP5xSީz*.U]VWW-e/w*[]Vۋ VTc؞[խ>*K jnuo[]ѷ 3ӷ߻>N0}4quiK) ס%4~bps&G|v&'Ia݈aGz+w wz߈V54l4L((J+l)4)M*@f9ùmwBkGy?͘m NŷlWYx,}" 7#ݏ_EN YʧN{<]> osWQ[ 0]*?^Qip•~M%*}I'34 o:Zk( Nhl-6 l՝kFY 5k.Qe-PoWk攻 y^cߜ?j@G._p; .gئ/'3PSv:tZ-Qg3D\QojyQ_S {IYxc=/睷sn&tٹ4SEjU%.@)=RxZh`.ݶYDk:!||Ѷ}K?kgBʸ9)J_'Ǧ2洄F m?rk1Q6h#p5o KF <<=3 yX6L6s!t-[Յ\t+ޭ.r2X]cjRX`L6 q{<)mfj55vt|Ck5UqAC.XX^7y4x8r5Q.FUEba)I }PNl,âVD:) ZLܤU\f%Ax~yZ/nv(!J8L%ђ({!C010Jʭׄif !ַ- ѦK<,zDl Wm{H̠ܮ#],*ݙ#AA`W 8g Sa7Pm/%]bAz],(ԟ(a1xЪ4ϤKXRKHQn'>B;>u/zj7آLtoNblMMտC3&~=ONh%Ƕ܂ p]7<+":T^i²(IJyEQ#IM/ؗmqt$d3+~fԟI,.沵#-]3\jjo۽+3AR+iuYrUc֟sWl#:<_]!s&9IDP0_7Jqh'btTtoOMOTt~[ڸ.' l>5t,g2Y)~ Yqb8*,=-'}xYi+FM|uۙ.>?; - Ey/iAF;t,-+,*U;y9MCYigHb?'b9r _sKr` e  endstream endobj 2987 0 obj << /Annots [2988 0 R 2992 0 R] /BleedBox [0 0 612 792] /Contents [2993 0 R 2989 0 R 2990 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26106 2991 0 R >> >> /Type /Page >> endobj 2988 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2989 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2990 0 obj << /Length 19 >> stream q /Iabc26106 Do Q endstream endobj 2991 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26107 20690 0 R /Gabc26108 20697 0 R >> /Font << /Fabc26109 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2993 0 obj << /Filter /FlateDecode /Length 4870 >> stream x\Ko#W9:|?em9{r<f.Ujɲ' %bXo|Y"@_!RUIqz^~d{WW)Q+W'yQJmog'dժry+ZG)?Y)<=H(AxzRmʳIz?;a$ğXJ3*c? M㲬2z)>< S`lHߜn!4.` ; $Ny`<4F De:(VuPvu+_tJX00HJ9똇T0kl2jj ۤfдFF6~{׆c&H -\uom˴i-wyejSY "=㙬UiW/7`_UoD(s_h H+"JEXār%Xf"29]%,-M&s )ɋS\Ìy0˲UdL`55b iXuY5(CJ'[=KIҧW8`LSE=t, U\5^J+@Gϕv\|YzSC~OO9p®3QHC iqlX`ȔM0dmW γOyև) z$Eᨥ:#N6Us#I'-wt-:,~0{KyVДԏTоUe+oGL[M_1G GW\j|q YqݷjcIFt\ -\F¬:0 l雌)|cjCuiFϋG+_8z)?;=pzά*lD e, ]9+4lT#b3gaV5.$ *#V%$ |QDnк⇉}ׂ=?}̍v I={ /BUIT ag5`bi ͩ~.W9( iKzsԻɜ>5_zxxp/a)ݟUD&u՛Uex֯/1oTE[6l/}]^m^ư]&-z^to2B.uo]cr芢1$Iy"K.]-Y $ G@S$FJ]+SHvDR*D[9[h"? 72(AsOҿ](T,"5taZ,HnUũּү5a% 'M TJkۃS2rFV8=&z_+gL+B`x&kZI[Эt+m4J)-J V~C~JJde`y[lW“F}[;dxVe (JM_I,5@hqvR *SAerGk&1'f ‚;f)ɞc AmiɄ.obwv{gr*Ql@mf"i3;;~D ̸>*fTԁx|s6"tvKޝۏwI$MK9g*nb>K1k}1b6b0'(MV'('('('('('('('(?]%)WҴ92O]8G5܈>My+v!ߩzÛ)|7anT /)&dsx 9 g;rXJ>_=z[柧1G99vA^N^M -=M8%"骨cy 'jIRnz*cb(A.,bٜ'Jeq;,^C'r*>ݨݶG+lZ`csnm8kNsOA -$2ѕ=i9 Z%JF. w&%/JH lGkSawϢt{vbQy(1_n,ed.-}rx:H_J[>HKŏe8~bWؼq2&=};^{֯5#uY9(2[V|Z46i_Xs~/ f=9Q&28>޹lѓqvmW/{pz>C~WX'RǬPT0tgX2E?/SMHe ) mxS,?ͰLv=߂g~Pp{.v'Q-0ćMY!YItH _Ko@`18z)6B&~|I:/6/J8m|<,b*Cv\L.F7%?ޠ$32^>H%OO|bOOI>S [I>(XKϟq<уM y,rC$ۇ1*3tPVsSϦkbw}7 Xyw QkzDV˗W$Ҁ endstream endobj 2994 0 obj << /Annots 2996 0 R /BleedBox [0 0 612 792] /Contents [3003 0 R 2999 0 R 3000 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26125 3001 0 R >> >> /Type /Page >> endobj 2995 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2996 0 obj [2995 0 R 2997 0 R 2998 0 R 3002 0 R] endobj 2997 0 obj << /A << /D (unique_700) /S /GoTo >> /Border [0 0 0] /Contents (config_timing_analysis) /M (D:20210608081201-08'00') /Rect [104.1732 488.3 211.4562 499.3] /Subtype /Link /Type /Annot >> endobj 2998 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 472.1 169.4802 483.1] /Subtype /Link /Type /Annot >> endobj 2999 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3000 0 obj << /Length 19 >> stream q /Iabc26125 Do Q endstream endobj 3001 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26126 20690 0 R /Gabc26127 20697 0 R >> /Font << /Fabc26128 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nLЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3003 0 obj << /Filter /FlateDecode /Length 1905 >> stream xYKo7 W\`T>zKa'NQ ėzn M0֐Ev Ҽԝt:dYA稝wԐA;kj.!k}6Z O3<;} EM %}ǝn&@Оve`泍%;]Wà|I'p)_@/-НEPr9kH$8kl~ר䥝< "06"aZ/I3uɶZOolkբy7LeŒRM* 2j &M>}_D%7~j2+;qvjZ+T oʫ?^=Op| ORuI4Fs)=v=ڟç/Ɓ 7ler}Y(Ly|"A>`E9 #ZW6a_;Bad1HӜ|8}25;f$>yGg6V$oMj]<6zHAɌF t00^6. x{HZw4QPEGS!,% ضc&.ɻfBΐ&!ʦ/"T7HEzLEȂ؂΅8@2BP2ƘhPI4mlgz;qb ߼iM\brc+M9 l(6Ҙ4b6aLx{.k KB(hDB< 4h R pK`MF2O HOCbrj.|2!.G@!6FJ[ƶ]^|TϵP-&u3Mt<1S!E;uT((",8cz2vj}}{:0؀ڀ),ړ Ysp>sKPԠ==Y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26144 3010 0 R >> >> /Type /Page >> endobj 3005 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3006 0 obj [3005 0 R 3007 0 R 3011 0 R] endobj 3007 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 307.625 118.9685 318.625] /Subtype /Link /Type /Annot >> endobj 3008 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3009 0 obj << /Length 19 >> stream q /Iabc26144 Do Q endstream endobj 3010 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26145 20690 0 R /Gabc26146 20697 0 R >> /Font << /Fabc26147 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$P endstream endobj 3011 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=192) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3012 0 obj << /Filter /FlateDecode /Length 4336 >> stream xn$ί賁iM6 Ќ4|[{6C SU,ŞvlV;,>JL~v;}zj*M?~f|Ln6Akl{2duuxjr{ dlM o~>8|Tdcg)h {oM'=%C3.5K4O?(;aV?OtdF8#i ˜t"xxZ[8<|A.Au'/*R4$X!0\d˱E*,r¬"G#@E * N"9q_~6-CB s, *nr."qQ-]9v1)6`őֳN"I,/TogQ}]og@= '"n㉹P\T$)w &qq`y~kjF,`jgJO5< yNȤyZHxGao4W71y; l=nU @Dn "g|H&Sg#bz"fp ~(*F .p@Y$F~F(a.GZKg'"$NO F~1*֬zEf9Wv[xc({ujowTf?r=mS ɄP1'.up[2 ummk mXEn"}4aEV{ 9]vQ7bN߆Q㦽660LAX&LL1a373Qv" +*ˌo?TAN<i1id,k-ī.¨b(KXO/x#ic9 2:*`Au#N%v\d_Qrk$`ǻ$7&~^ns!psp2~U疋wۂ֏J-klK.O:VSC٪*][ 8LUȹpym[GԝɯoU;i& ʻ(3̭>"_7!?~~JaaObn~BB@j0p?: ;^MW: !TN +Ckz,l+!eiex;.D&0ki!qN(IQ!%F!kj 1ێ vi_ eZ$C` P_ "TY 5]^; s G( 8J@2ǧf) #.5JcY49JRJ$d٭mg)?/Y6fuN6jPb4H L`D0'h;,C@i| ANݶ}ILlTw>.E8݌=buOjO|K%$׃OQu9bk4|qƆHfNeP@YF:7"FLy.o!+:.f:bU'D37q/")F52ey;ՂqB@a\ْkQIɭHo~P&`tπjxZF+쵂eH7P[CH JK^M $ͼnS7F@V[(f^HOKNVn$fQƺ=/%h˜8rY^x>OnNi 6S /i2q:;czчʭ5T</Dx`^1H VD2ު(CAg|fgS fJʯttbV:`Tay&ͺk٦\R>L>O ҂vuQe^;wnaV-Pav6݂5f@Jё)r9fh-u"lzg/4cݜm]pEӿ #mfMV3p u^[s2/&qQ^aYSIxJLH󳅚|ZǂzkțɚNqcIm1_8ش:I[U7]1>uu7أLQRbtM]?]3<'~WaTj@KXB㌯u3kYZS e))I qBŎ(䥼W.F`;*%LO$ޢC^ Yzt~J aK,n.[;I.j5k;b݋" (uZ\q˒KάJ&,JХ[ ̑eG'm7ٛAQǐhۅO<7=a|A>d/EtX'⛴ \3-Jwаk~-2/Ne~8 3A0fmcyA{"}ӄ63 paK.^0XaI S$y|C)5>(7dؚaD. [ ,X-\;0 1E?^Fo/m=@P®B(wKL#y#?̋|GrOKǍ}Ud8(>BhW43y endstream endobj 3013 0 obj << /Annots [3014 0 R 3018 0 R] /BleedBox [0 0 612 792] /Contents [3019 0 R 3015 0 R 3016 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26163 3017 0 R >> >> /Type /Page >> endobj 3014 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3015 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3016 0 obj << /Length 19 >> stream q /Iabc26163 Do Q endstream endobj 3017 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26164 20690 0 R /Gabc26165 20697 0 R >> /Font << /Fabc26166 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`%MЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@%tw)!i\vhw)Fa94C-Zݛ* endstream endobj 3018 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=193) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3019 0 obj << /Filter /FlateDecode /Length 2983 >> stream xɊ#G_gұ/ %d9cCy}bɔTUnL7Y[J6\nsVǠ)C?/K_Vcf)){_~?q:sxg[2\~/\R|NY76<9yoe B6O4hau.*Fs{<A٘t tgrW5yIvxq;x&\#ˉ0B7[ hᆃ. 6+t"&L;D/cZ\f o"Ngr^;ZbI`H4Q?$4bm 8=0xc..p$[`M=r.yfŐ2xt-[[{ez~ϠGn'w}sm=F=$+?!k޵UfcYo@C$Z[E]W"='668haƁv# %H"MAUu@bQr[#ancs4dV49 SY=Įpids *6KMh/q[-&MtYBtZճSOV1[%)EųxԲ,J9M#dezll!OAވ, 1ӕ1Ş1Ԗ&ޘwn>s@al8:$3'ˢɼvlFE9q' obHwC: uW*q k9^͞(w/vY*1֬䨚{9lV37e?Хq(!nd,xθI[ʙsѽ0eh#t~$@U6pm`o)R.Noiٍ^޽3  d=nJK26AZ:>N%9Z r!#9~+G5 BNf7^N^GE+ER\PܭZy;1Oڞmfh^S׊^u7rlZCmjckoe.lBkFH[Z[̌k'f6`N~eo\x+.X4Ji|9?T,ivë%5(@#0ع * j\2#F.ևu[Ԕm{nsM kgbwOB 9.~<|4si껽*ߋMToW7 J<\FT}[ZlhBϿiu8\L?$]Ǘ $?h_>Ì=uR-b[)?' 6|W`JΊCdju,b홈(n{d3\'{T1&ߟqQ#4\kSxI`лsw1mBL7e2=f k˂_Z*n ; azBh~>.7r‚32r}VJ 'ˤ4rzC7m#vdr9OMέY+\S}Wfl;kLT&ScyNLmE! lV S.y'r#J~ >"Typ1Wטc>_!/@W w&ۺԻ@a<ɻv|ECOi;Kl}&NP pCAr`&^ǰ |bBeFC6;pq0AƜ?a8e_bu%񟊎tI!8W3jQqјue<30q=Rg nj]@mGՃ)ʧQ> bD m -K>X&YR'~ص9+;Hй5B(HD] Xo ǓxK<ɫ2Ddϴc1D0QMYL q<6 ) # 9΃.7! Uv$1$0tɳ1]=$p7rռh seMilf6WѸ+CZ49M9:kβm=!QӰR-X}R39 l(l0hχ.pgc}t' pc^ J@e`Ć9`!Sȸ'9^Np= ~p?Ope;!,DW0 &SP(As|ޓ0$=&Ո  TދBmvPšV&%&|I9%Q)Bbc E>i>%_h53 endstream endobj 3020 0 obj << /Annots 3022 0 R /BleedBox [0 0 612 792] /Contents [3028 0 R 3024 0 R 3025 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26182 3026 0 R >> >> /Type /Page >> endobj 3021 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3022 0 obj [3021 0 R 3023 0 R 3027 0 R] endobj 3023 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 292.325 147.728 303.325] /Subtype /Link /Type /Annot >> endobj 3024 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3025 0 obj << /Length 19 >> stream q /Iabc26182 Do Q endstream endobj 3026 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26183 20690 0 R /Gabc26184 20697 0 R >> /Font << /Fabc26185 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQY2~zY8lo0< L$́2hރ׮wg*>67^vqW$MZBsutyѝ/(hF1rdbE0EH(.X*Mw1)GJIx5s剢xy"q-lb@r2dv"&_g_5kDN 9]%yLP1bG QO T>JGܱYI ^v4Lm'٧Ĵ⩔L$u$Ɵkp23ϋ-Yu_/? D?cxyۯs"rԠsh*/S9pw !ɜ3FPs^8:c dT.:I|2X.S(RΠQ8N!`E W۾{w1T endstream endobj 3027 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=194) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3028 0 obj << /Filter /FlateDecode /Length 3873 >> stream xˎ$.-0`gڀok/C&vX_K9J,H$ӷL;}y LO Hӿ?Pӏg?Y3}o|Ln:Akl}3f|~TZ$y;և4,2pFǎu ڷ#OOMa q ,L_Yp:}byɌta^),sҡU9g=g *GE=wsH?GqJx@,Lш*[ʛ9L( l D4(Z7%@j68zO㞄F|vfs;hNnT/(#5f%ϋѧ`Dm4aNPf@z2K~3p J/@G˰6DL=)p3;1AvD0‚MM`/"ʥ}*_o!tv h;/vYm `A"& /Č=2C(x/gfR(1IrBzp%Ln!i$`eYvh mcдU8 V;G8~G%fX뽴z0@ߨyT UY I̬s OYAFZ19c ?NΒŚ݂`ʅJ*YG>HYOqqVDY&v wj/5`mUq!^::>, Z={27, ~s d< SV֊0Ú5LacqhUߕ zU9!XXy ]@]7 EM.PA Byg1i{: ҃Umbys~E&qJ8f#;%!-Ej\qeT1Uy(8S"c:#YK1`w INPGւ Lb%rt1ԢO8P=ܾ FNL?c13%%cQN/u*`gjmУMߩ;BKӿL)&:OȊQU$bWg:jv[#rOi)JX 6b#)ɺlK1X `ug[d=Unipj֭{LYh\shu,Rж˶oc DK\^G(fd4P%Wd]Pbc~m?ǕvBW~ ՏG!{e򦋖dD՜SkͨK5[ Yz;HǢ ̾ۺؙ>-["|^y/ bk26[&c[$+++wetbHK˗n`i5 w."Q]EMǦhn7m{;~J8,j//kw^:OibwӵPk7Z+[ޡKݚ[GL"@rЊy#uS-"Hui"Wݾ~խV}ծ,.Q7D=Zo/kuY)kuY_׬ߖìWzUz[֩ƈ9 1s,A*F& 9#xn Sty g!0 3Cp2ƺwjaR`U ptD]F l-0]19fX+krps?Q:$.ȾF\5m1Gх")% 1E˦P(dhm* F#$ Km2Γwt&v b/h0,/jDzӬ|E] an1 "9)G g7G,7G.ϣi|Ɠ\X9-TJ^WرGh9vBT`q!ֹ%& %#ޕtUNiˑ֌%\۠mI*k949fyhP+>C6GbwAK&K4մ&ߞx-f3!I"GŦS)Ktbvg0w'l%HX;OoGԘL >7%)H,dT0Ow0ϵtǻzS-qw{(2=R>O5~BL̡|eqrk+Eܰ!4Mäkl7±8Nԑ4[uD_Kށ`absr2fqQx :CxKH5A:+5+#zH8:=ص:enž;wt{ux5jDQNnL/h.FkZ/37;PpTji@S(y':u̚yyW,/zvHA>eYP0"+sq69԰Vj)}w{s2j0囂^_Hᇔ\qKfBV-FIK_[KVD|P]qVlkiޗ\jEU[؄EҚUo9R\Pl !:5fUf.zPT1}/26^LI}wxp7J.M-"sEf-`x%X뵡+>ϸ֢qdKz[Sw^r5>}ws?n'mgBu .o% jDX'م3^;x[gPOOIؾ^a(731Mɸ8EQ !mʽs xo-WnӮ`M/{ K!V W'pY볇%tˣyhYaLP( endstream endobj 3029 0 obj << /Annots 3031 0 R /BleedBox [0 0 612 792] /Contents [3041 0 R 3037 0 R 3038 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26201 3039 0 R >> >> /Type /Page >> endobj 3030 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3031 0 obj [3030 0 R 3032 0 R 3033 0 R 3034 0 R 3035 0 R 3036 0 R 3040 0 R] endobj 3032 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 219.2578 172.7747 230.2578] /Subtype /Link /Type /Annot >> endobj 3033 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 203.0577 193.7847 214.0577] /Subtype /Link /Type /Annot >> endobj 3034 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 186.8578 162.8527 197.8578] /Subtype /Link /Type /Annot >> endobj 3035 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 170.6578 182.9552 181.6578] /Subtype /Link /Type /Annot >> endobj 3036 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [104.1732 154.4579 188.3617 165.4579] /Subtype /Link /Type /Annot >> endobj 3037 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3038 0 obj << /Length 19 >> stream q /Iabc26201 Do Q endstream endobj 3039 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26202 20690 0 R /Gabc26203 20697 0 R >> /Font << /Fabc26204 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (?Ρ\j|=B/}I endstream endobj 3040 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=195) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3041 0 obj << /Filter /FlateDecode /Length 3545 >> stream xɊ$ِa $ T 6S=T/~ֈ̪6w!I)Z*[F~&kɤK￈ˋ㳓Z˗SjI!Z+_ɿ2N)Oó;sK35\p/ZӘ%|Q\Mg.W3/U:YwAۜ˿-s^9PT1S$i`-•hNh@_N"=r~R*&007境$˒..\}a>`v0Bk v Ynǰ,>iES]PU:=;hYD'MLlC^* amдq1z3IcYGXYn>A,}ex Z_Je& H *LKA`u\Y`C[@2>):qшPQJf1 d9!6EYI0+JKHa#|%(~XP#(GfT/PSg #3rpFcw8Kj%۹k@B#cYT H7Ո+_n?$j%+]JTYd:%XFŅSIFRXXI.%Q٨A]Π<(U=.PKC/ihLu \XS@kY==Ơ |WM}w:?i¶+4 td珜? ?Y#T ʬUcC/FKN&͂WZu7Vnϐs~ \kZ0)a;P~MkvxˆF3}Oِ5ԽZ\zFIR71V#౧@*ZW)MR`bיY@͊nS=TVl|ZKGHEJx8hf-" in niTg*VIN)egГ~㉬ )^/<:I]& C`Ul ,d)DS" u$9EVPZsbyVJ[ccc2"/Nq%XY Q|"`M*QَFX3DV>X=L^oBh}Su㐬iFY1D1%>$+Ӡs5 GQ8w&?т;Fjp-Y1/yz e z v75obޜD [L>EwL-cn*LVqMϵ{L)7Ԝ'#mpRlS DF \n2":b;)jiQD`M }Pͤ MˇXemHl̑Ma^Ճ2?j+>[$AI K΍:DȤ"tWAJ1 t ̓2̟ѹ7Cg|9Fd`7Y&x7q{A3tlS&X!>$PD}ɑw;i dv`|T#uc͌qtjD塿*V XE.h$jDݦ+GcTA*F9٣.E)Hsȿ]+U] el0-͖)G[.KV@픻; bȑ8jiV0kl0˸K^F#W9-:q{3xkˎk UIJ 8ic-^W8x{8˺L*_K_i8NhrE|p+I%&ELqPf3ZhcЎ m>smSb5鸻R|m55!)F.!n$,0dϕ]*9}miW[\Pě~.v~KiMEbOKo#+:yt pL^B0ְ>vr3n죧1aZIUݎnpX{NN#͇4Z{=~ϰSPކYmkzOX>PֿPа UF.2cHK,w3(ƘNUu٧+x ._ 1Vb ??ȟ vq8^^?_0™oӷIv|#;nӦSJ^;[; ZaeO0 +0%G2fߧ?9ѹ(/7K Uܶ jA'EQK[Ă{ 0@n980eL0lE$tAr1t짜K+jlT"=pOBk'g[˄k[^lV@G}ٶL)rl=uߤ2Fq.\[ #wEVa mby.m)UQ@sDD5hkߖ_2gʼdXK_9bCtװ^M$aѠ>jԓ~h\r&אSu@ ߃ TxH}ŏEPn<_S!S.:t|WuD/AYoboˠC!s O)X vM"Ȣ&*@?R~n`x%' *b"V3_Wphk3hZhgud][oZ6b|7# yF^~y]3yo9 @P1܇<hUHoׇ3_q0c%nV}__[On"ԯWa8]aؼt} ToH~+nk;6*&phȒ":˄4kFLHO2Pa@8':!8rT \܅d^E~[ Rʓ9= )}2˩{$U̒*QRkA=+#5iKnOD"U#*d# H ,F&xq()u jo a*ZHq뵴C3}& BjuҦd;zgho613,a:_=Du2p~lṵM6)Ps~l85Mtd ZAT s{f>Roeĸz"Y5v`ekBgREGNH4Hd_uA ѫCl̀%LjV7,YuqZk.P)r<Qcw-i_)x:_pWN ݨ4Hbr`5=na: y倌9+ !y- \~+) g|iO0N9=CC-Uqo[?spn^ K~J*v|\e$hxʅ&P~\EFr]rKg*ɮ )uc΢"ca endstream endobj 3042 0 obj << /Annots 3044 0 R /BleedBox [0 0 612 792] /Contents [3050 0 R 3046 0 R 3047 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26220 3048 0 R >> >> /Type /Page >> endobj 3043 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3044 0 obj [3043 0 R 3045 0 R 3049 0 R] endobj 3045 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 320.725 147.728 331.725] /Subtype /Link /Type /Annot >> endobj 3046 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3047 0 obj << /Length 19 >> stream q /Iabc26220 Do Q endstream endobj 3048 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26221 20690 0 R /Gabc26222 20697 0 R >> /Font << /Fabc26223 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (?Ρ\j|=B/} endstream endobj 3049 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=196) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3050 0 obj << /Filter /FlateDecode /Length 4126 >> stream xn$ίp_AZRmrr)%qn4 "7/_hwG*v:dU}S?/9ŚcWcrowZx`ۛ7ް{%oGGvN:/gA2vyYS߿YKOzθOkJ4˯?+G`V-;n13w\\P֤CeB bO{;Gh`i{ ֶihcNK{1sθJ>?,*kցP4t ld.P~/aZ|0>?@YӂeoX̯J|3 ,0NOzx~5r(hAσ_,ջlFŏl㛓1p-oAe qžy4:('q/1>8>VwҦ@VMP"5m%thӜ8롉DJ,hvu_D,.*S3? loI$4RmpBg}b5e~o8}?Fw3S;3o\8EWsˠ&ޘ]~2!n(g3S">Y=JMT&/C4Q\p_#wgym{+}\B}`Yr}kϺv-~ľ-/ Y^bOo\ i?/EK~дPߖO@C l`~a-@ ۆEF< e课XW$mR\p/~JB!wKИfZ jlr#7/XONd8NQŲq5i5,/-ϹsK  ҫ⡉Z#WSefLQ5Vj42b!NF-J/Ug 6y^% Q[}7W)6T5HCoXf5t1$ q}t/TtԾZ7"uoԼ㜻 KYM2%ܡ\b^җ\eܻMN$X ~!wuxAr.sDC;ژiC'h3i2+ZYEc?T{YփIFYqC51;`n Q-j?xzިjW&X.cAw$ZR`FKWp=\ 03pm/N7m!py;ҠIqʹ `]N$wbwY}RxG6حuo6hy=WI`lJ5hڄci4nF{5(ݹAU[-S0}Y1*rDP8jH/"{ ]r6gW`b7.]1J.3xE_:-ۼW?,:9jBIHi*J}h)!Vp`Z%rXi| Dj %=OUkM׊gJzG34,[r̓(;QȀKy#}yS1+0[Olfl*N݌K;6Lmq/Vw6c? f<6ѐD,`Ʋ.mQק9yQF[jӜ^ゅd9 De3zLW-M!ѝº1:%6\SGݩ91@hGR}^Vl]lsnPEjBuB%r>S` 0),ւx ;F*fj5ƕL$ddKRPԶ#Dbdz63bxD2+!Zf>@c|DžeI,A?hx2$ݐZo}+hEiae&+\\c܌|B^m_><*[\eV*0}XA+Pp@)J\tʭׄ|.br\ &c|:D~hf2uk pΗLH̠ ܆}.(ݘa;>s `9}7X7XTDz]~&רAb0F)4YU <7k:!%Gh?SudzAUW SUNR[t!"Gp |Au1RM,/ruFzvjBNBGG>ǯ+fy:nE. Ʌ|,BıA`SiZzc\8տкo*@F_7⇔\vK恌VS[>,{5:f؆R![Z%zQw!V4莁fx&]T'U$_ &uެUoqElDԧyO7tEeΩ2[P+뵡[2{v!YT ܐkԮz͡ހqO?\r\ᶏ;W*s)%f0Rop?Qv>hH7U2+#^O94M;4ܙ`b2.B4 .plUS?`ohP⊤{Up>ݖG\^e#Lmcx$_e&a endstream endobj 3051 0 obj << /Annots 3053 0 R /BleedBox [0 0 612 792] /Contents [3063 0 R 3059 0 R 3060 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26239 3061 0 R >> >> /Type /Page >> endobj 3052 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3053 0 obj [3052 0 R 3054 0 R 3055 0 R 3056 0 R 3057 0 R 3058 0 R 3062 0 R] endobj 3054 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 165.254 202.4252 176.254] /Subtype /Link /Type /Annot >> endobj 3055 0 obj << /A << /D (unique_363) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 149.0541 172.4722 160.0541] /Subtype /Link /Type /Annot >> endobj 3056 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 132.8541 194.6757 143.8541] /Subtype /Link /Type /Annot >> endobj 3057 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 116.6542 161.6427 127.6542] /Subtype /Link /Type /Annot >> endobj 3058 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20210608081201-08'00') /Rect [104.1732 100.4542 167.0492 111.4542] /Subtype /Link /Type /Annot >> endobj 3059 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3060 0 obj << /Length 19 >> stream q /Iabc26239 Do Q endstream endobj 3061 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26240 20690 0 R /Gabc26241 20697 0 R >> /Font << /Fabc26242 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (?Ρ\j|=B/} \ endstream endobj 3062 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=197) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3063 0 obj << /Filter /FlateDecode /Length 3775 >> stream xڭˎy Lw,ؓoؗ(Uugl*UHoRUj/1鋬ϴN&8ʿ8|?aQJqJy')ǫ__8?V `$C:*UQ*Rcxv؜ С@ a)$9J#N ./؜iҭ:I}>&GR~@*'+ %8ͨE`P홠x9 c`2/|f 9} Ko) r"@edh;EIfpi*2HOM l:hc\ pu X*@ ՍJHFYAȖqeqeC 0u^PLJ8Gu Id&2j>*a1I @%A) M *&? ABqyGw}e;|q\HUvԃ#Q,PRb킷,*ؕw%\->d*@2NǙxpҲ؈o KlP\3BzmUItKT tᢝmR#U#[h@RY]=IϠ鹹qmM_z~)ֆ>l>w}OaRaۓhCL5cG܏a:%5:iQj_Jg,fh Z9=;jAjד!v]a:*_0)*QbօȴiϜ.)&9ĵ2 O/:=02pxfIv5 UxŻyv_UOм!U7 2/Ӧ̆@Yļ{JS3(M Da"B lE 5Nwn<;>lZǶI/*Utǽ17}*歋ӢQ]] -Ț!͉f]CĦK VS|XPᧇͩCK]y(ܔ~:ʮ ̺! #zx{+,V ۊWUQYmtԹȾzd5J6w#=4%sZPqã-cmv]T{v߄S&pz6 t*녟) ^a84>yLXfIQXȐ t7`)VP2# Cn=Av>eJ}.V?j&j,O9VٌiNkZ_yMgvǻ[m6WEo7f^||` {y XSUulz즽oL}7ݭ&k`?WKnͫ]3mA7:׮ZD.ieʔMznt]/Rxn16!Dn|n7{{MxQÛi*n["}~_!k۟`OJ|fo_ !g8h%d3o?ǯt<1 cv%8D*o(e(+ űnw\Y4RؒwcH6A1V])B$ABp%E 5WS}ēe<6\^\;lR, 8 W=G^dAMgxϝ K,iŨ9lOd$:t٘8=B=$&q)yWԡ1e2 Qā,>m2C-X߼Xs(REl?7p#`#"؄~G:葢sqqJmL79q_'wg#)\tj;ܱ@qʗt^X_uǎAWsA=ᤪo 5&"zTyk҈ZQ7`ucDC30h&o b#m]F#M؇?f"P;;f6~LdO$݌ߤ=gxjO:懶qմ@A,\QQ_$/N偑C`#%2mi\L]*m*b7n$$IPbSQN ]Cwu頰C9 =6v|+Hi=%?RB.I4k5\^TC,h> lp>6y5HlXӹTL7EdFD7!W®͛ U.d`&֤ (H u-He]Gc SnR.ˇ)3ėYj]zMXG*0DeZ /ׇ߰y1%nc=6__[On>ԯC ƒZ0e*S`7^WnwE -8Y\Gb1W,+^S|'"z.k>| Ɉ3G&=]xޅҪ͓A,)2AD4[ Rɓ9=*iIrWz'{*֚*QSȠqƞQ ˑ, t KcRZS9g|H0tuPXY1!Ed{dtzrri!@Kb! "l:xߨC^Q {V4l 'F8q54sӉhL&* Oe%[U܊oܨۼB֖ޅ.JDY wRV3`iQ]V‿[9?!A>D35"nqaKr߸2D 3ɮ TJ1ސQG1g*ܤpNX#|<^8ƥUj=gҐÃ@33671O r|u~?`z_>/"'ղ H X endstream endobj 3064 0 obj << /Annots 3066 0 R /BleedBox [0 0 612 792] /Contents [3073 0 R 3069 0 R 3070 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26258 3071 0 R >> >> /Type /Page >> endobj 3065 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3066 0 obj [3065 0 R 3067 0 R 3068 0 R 3072 0 R] endobj 3067 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 287.725 122.109 298.725] /Subtype /Link /Type /Annot >> endobj 3068 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.422 287.725 150.2745 298.725] /Subtype /Link /Type /Annot >> endobj 3069 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3070 0 obj << /Length 19 >> stream q /Iabc26258 Do Q endstream endobj 3071 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26259 20690 0 R /Gabc26260 20697 0 R >> /Font << /Fabc26261 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3073 0 obj << /Filter /FlateDecode /Length 3711 >> stream xn$ί賁iIc!ic;~Ww4dU^,b NH._^ 3KK6ykϿC_-_irނmoL{CJ$oiQ#rcx {|mY}OvyYS߿ ?/z%'jg\5%嗟]-8+_O,OY.ZP֤C!4^?wo{4sY=18dm\6Ƒ &)PbJUhD#DR:h#[i[mli(˖Dkh;Re j઻m)v@$ҎX1j(C={4Z;湑+5g_B*w|58ra`LI (ts(3kdC#2`0 b^p`QL͡3 &F C8eNSG?IbB^|mvHGwt&2,1zΖaR4g Be W$6c+ykVyECT>4##3^ Lvd{D4Cšn#"!6֏3y6n xDJ| B5>ފσZE0RNuC @e|(īJt5Cc3sn +g3u>hcZ#-,pavkzl]v:pq`fؙV7Lc4-X>2 ueoh7MM!.#{6f5wI1HOz&KrYӲIM4sz\J,%ni76| -7+Kw"ФIV:5JJ_ײx62%"n[5 2=mv5͑i=aT85clVՀXƭF]G'EFڭ=Q`zN<&qmc/JNsH~TsҰ+jEi[ϸk:rV1jr3#ye~湗xWoJ*.vOc2k;"aH`{*H!?MGqMxFjP?C|b> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26277 3082 0 R >> >> /Type /Page >> endobj 3075 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3076 0 obj [3075 0 R 3077 0 R 3078 0 R 3079 0 R 3083 0 R] endobj 3077 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 106.677 195.2587 117.677] /Subtype /Link /Type /Annot >> endobj 3078 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 90.477 145.4837 101.477] /Subtype /Link /Type /Annot >> endobj 3079 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20210608081201-08'00') /Rect [104.1732 74.2771 185.3367 85.2771] /Subtype /Link /Type /Annot >> endobj 3080 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3081 0 obj << /Length 19 >> stream q /Iabc26277 Do Q endstream endobj 3082 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26278 20690 0 R /Gabc26279 20697 0 R >> /Font << /Fabc26280 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x77Z fc}Lh < ӄ9p: 橷൫CfŮc8TRQ 6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~uQF䴫3^JمQQ@;b)^G?+QO T>Tă;6TriK[ZNߖj?+=ʡ|72ْԑȅ5\Zu8>϶fUf^?dFxy /K"r,,dXy tweBC (c%4C~NZu^lM@ endstream endobj 3083 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=199) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3084 0 obj << /Filter /FlateDecode /Length 4662 >> stream x<Ɏ,q: d `fzZoӳICg@c%2`R̪="cc5O]~[ۜY_)M~mytu1_R0Uamֺ< |`?w^ O+yz?3'0\w9ק<~]lsóFӚyq9ͼ&秕غkGjZC_L-_Xx h<Ĕ8e0 }a&Q*:a ˒/Q[>"Hm)$ynwbئԃ5'ĺy”bwhT{`[ɽvr$p~ \$"xm>0Xuj o+\נHU!.bՓ9F0b8-xd?B?]K^,6>2 ΋wfE*Oϼ9!@L9o0UVB? \1>C4_ib]t`5(I룅UڑͥɾS۝N,Wu b}Xy5Vx*噝`F+O˗ 2&2 7V4 |pjXuQYR>6kIcmĸbM URtᙴ'Lnr87+ 'rr >7FTaMx*uHʤ y Ql.*'J&0 Yq Nrjwp t¸KKĀm"eϻKaA JX7ιF L M1s tҍɑ,$g KELVv{V{L5#U&:}hwRy'mvY{UX{WWzKuDx#Ӗ{!fsGX>yIm ic^s4XL:ϴmgP5"6i gI7eƵ:(V՝KKbEaf,"L~ p3j͜jʔTlmj{{rIIEvxf7Uu6rDXg p(Lq#LlK6CCmrn#S&Dt- ȐDB}ڄ.Dof a5\Њ7O1&x1?׿iD<=V_*$1RR5tJ.YlU i-xP$vV(@h2Li a9y$s֓/*s1dx3]E_gy_q7?8(wRcy,<ȋo낃{lY\YwX3|xEDBaoC h "_΂* z'\n6E2=F 3N @ԘECNY"LtWGQr[\6^ ]%уLJA|tEe s^k{@2s @煕զLތ$p7[dl=zPFO@Z6\} ʍދ}31Q9 @Ӊ6f.XUCouB ^|]wa+@;˥;sLm 7 OXh$䜺pil]e*nD{)K eal'6+ H&yA3Z }Zm):̾);ʸSj#Ẑ)23"tU_{~(I]rxiE)]+͇披 N?ikQ$|Ǽ=fYƠ?׋op[W8?ޟ N*@L\0a$ˍoX!EEn@ܪ4d>%*ߠh(8i$Z6\u@6l\5g`jM*d#+d؟E2/9q-ΛbxO!BJ^&}ynPI9^tWO\?] /f/*]Z]9k^4ygҬ[-XصSDcq?,RrO\# AK)m-pZJ`5PqEHW߀U^zA2lBL{AV-Ԧ>%W^I'iUYAnovMp3M7&ޜnIkZ Aʆ~;hi{vN- ҒYeB4*QmZ@ F23)ym+Iʦ8&[&/Džqʒ>6"Gv'Gt޼,5Tvx4K,<چ=VGvyHx?5$7RimN \*dۛ+=qmK٬͘T4ڰ02C!3QD*O_ru5kW(O! c0MK?-Z$]ɉ[JOBq8$RRz7Ku2w!aW7~^'/t'"ɽnڵ*Tfh~^k!><߻.{3?<-{6äbsb*sUD̓1"E?j?$릙Ί0;Mous{.N7O]6-hHv>q}ǹ#La.K pd;пnS ȾO]*>Bb|:_h@ba5%fXV&RR=u2qq=+# viĹIu]80^5"}byBh*z;.\)_6d*A\>u$r_ f B,XQp05ֺ5y޶}NQttDϞJ})A)`^УYє>mgܯ_ {c' hV p@_ \U>,weB5OnLHO@`)U2 1fFp6+6dޗ13fwPݣ '1¿䚄#INٓeEJl3|c뚰㬆4 ϯ(^NЫ,_ǩ^H`禡 UfVmQWʷ,%&x\ǀ>ʶb4Dܫ?mJth0ra=ߨ$hRTwWڟJhy m<30_. 3,+_3Xu"M G3Ѱoes"|#m@f!Ahiȿ2yVK& Yz / J:(B_7a)MV?θiކ Wϩ=ر[mq%յj`=2mboħa#PQfc%~ki5M9qO*~=zuSSi t-օ1/|LMB+|~}?'o[yͮn P'Z []vxܛ`ΰAk<3g}}9lm&|I8q}*VFWmC"c΢"/_ endstream endobj 3085 0 obj << /Annots 3087 0 R /BleedBox [0 0 612 792] /Contents [3095 0 R 3091 0 R 3092 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26296 3093 0 R >> >> /Type /Page >> endobj 3086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3087 0 obj [3086 0 R 3088 0 R 3089 0 R 3090 0 R 3094 0 R] endobj 3088 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 162.7152 709.9] /Subtype /Link /Type /Annot >> endobj 3089 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 159.8332 693.7] /Subtype /Link /Type /Annot >> endobj 3090 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 163.6832 677.5] /Subtype /Link /Type /Annot >> endobj 3091 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3092 0 obj << /Length 19 >> stream q /Iabc26296 Do Q endstream endobj 3093 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26297 20690 0 R /Gabc26298 20697 0 R >> /Font << /Fabc26299 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pfSthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Qph#bwoXN endstream endobj 3094 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=200) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3095 0 obj << /Filter /FlateDecode /Length 1160 >> stream xڭWKo#7 W\`k$;0CѓlQ8 $Ih",>>5ÁWpڔ tB}5|9pN0[֔r.>¼} Q;Bs8L9әwR4q$3/8XCvr-˜@G'Qq)|<~<2IV402$Ud 'x W6|a 1MZ,!y24;-~fȮ%l5:M3_ R)6 8opl=yz]iBnhݩ]H"Zn~>l1\0^p4b-w_0wƌz?KFyimOiЍ&GkzgKM};-rڛTlNh Ne8l-5[2M4lg&ocJ1úkM_Tc6Fo*E)ZD53k~)#g*)[ն'~]YH銺 ]W(6HȶNȹUf[T+ڷfpMV֑$wMjV^N\,*qyNN9T3$~Zc]!l1p/5ZCϰ"%Ĥ[ūE+e_IR'O%k=(5 d3%ę#.4՜u4$hE E}zWzz%xWƌFj (A}WF* qcLb%-B\]Ԅ!MqmPRN޼&!rI䍖i#A>rGGVoJ^r [w3b<Р7ԔDg@i9z΅3{ސ׍ u~DjpmG3IP^ӾEbۺ1Yα>c{FzҦo2@,=v59H=>4ÑM>id:پ ٶ`D/mJ܍@՚_+h14p=y-VQ8ٜ~kmg)(^brqD[ Qmgf>O2.w endstream endobj 3096 0 obj << /Annots 3098 0 R /BleedBox [0 0 612 792] /Contents [3105 0 R 3101 0 R 3102 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26315 3103 0 R >> >> /Type /Page >> endobj 3097 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3098 0 obj [3097 0 R 3099 0 R 3100 0 R 3104 0 R] endobj 3099 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 400.825 122.109 411.825] /Subtype /Link /Type /Annot >> endobj 3100 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.422 400.825 150.2745 411.825] /Subtype /Link /Type /Annot >> endobj 3101 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3102 0 obj << /Length 19 >> stream q /Iabc26315 Do Q endstream endobj 3103 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26316 20690 0 R /Gabc26317 20697 0 R >> /Font << /Fabc26318 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7L{ͱ*DC5_}tw%BJJ‰pT[z7}$R endstream endobj 3104 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=201) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3105 0 obj << /Filter /FlateDecode /Length 3790 >> stream xڵn,]} {99d&]ᦥ^l̛r(HDm2IN_ߦ 3SS6e'k/]M_ަ=htނmoL{CϏJz$o'4oLbOþJ6Hӗ_/{/F~=jןIϱdҳv%D3g7R.̥PC r<;A[a=0,E>q9a[i_ۤ?-b6y mRtpt7<T$YDXu`0ɜ0P!ߢ`'91sl?U^8S1}AS>?s ;?k{zXGp˙-Ey[[3c7dƫ_hln" ,%Cϑ#z!LS¬OPv-2.2[JYDQrncdɳ#$ b;J-[$#ܡ*_05B}VEVGV\V8޹|@2$oNC{_wGJ(G d}YzM`A#QJ(pzp ߒBĦVCpJ;7hZ \9`o1u#J&Юw2D"Ohw"pNT4}Rꋈ5}c1&+%7v#;d"bC: `m@(I l ީ?`NDƣp&$56A(`QƵ0 nW| G:MG&֤AX_Z~M/W}"Z#,18f )+N+k lԐ{h^n 6$(Z?0ԛWL&zf>l/̖Lt O7Z^ﱕZVlC /5ܱ^bUPZ:NZVdtDgj.G?qXO(ա \P e1DźZj+sV{~ծ?#jA;DEEi7E["1qbĭθ0X hH$s11XQpobd !O?0XY|h b_եÖGVU@3laPaT`zUW~ iW3976W'<8G$[|luAlohq+gcr2x.O Nڕ)=hrVPEIst%mD TMP>pFPiS$f紜#S6r !!ã,!<՜$ߜ$I oH[ JS9N{%Y6OMѕ<3|xx'J3ׁԁ!J0 #HHWO/k$ N'{Z<-Ƒ1 ;$5)_jj]Phqpv:`/ ynDۍvtR'vBu -~>:M(3+1V*m' zBYIe-z= `[yTvVgj: %ڂD30 .gl̨࣌(hFi~請! I;XtˡOAR-f0{Jb9.TQ' EƬn({{}1{)3xC["iHgb 6﹃rmFzր[sSwZ+ )A> [!.4X<<:si`Â8y 4jի55e2ob]ܮK5\,j=)vʨ 8g{jW½W֦\]Xi1 +Ⱥ6gevhsai\jvҦ[fƫ5r 5 ZK~T7xxi_mk?kʨo mKp'Y]$%e&kѹ"Pmk0` A&mƀFƀ5ߋ3xJ~6[hGC;m" \,4%/|FEԨvp ?8r vtfWNieWdNYoyF?7d8]͡nV~-ȵm2KyuTeA vEej_ުIۦ-mk YѮ@;I ӛml#ڵ ]jVaG˳/- r[cgL^|" Mk:w`xav o5rޮBWs0lpmEۛz6%+tY:vt{YDܰ骅]MW$yq<VקX/{--rNfkG|'`vL j Ȕ[9 9jx7Vxp|C~hdl7±8_@ Э/€OcP@rOlr|2OX@O:Cc%aú :̕f#s²#ص:vbM@70>^C>`Z2ѥx*W4#`55eC)Є?T:UZ:<=u=~F:Yn5zv"k L ʬbDZC-sq69԰p^UTðcRTJ5:.&!?GJ.lfB5J_x}t',{WbA Y+6RV.},ԋ2[ل+%΅צ7).>T6َ\Fu|&{3W=(jR~͞݋~S-~IܰϤp5Ω2yG{d +> p1B>n[x `Zֹ$WLxC >l^ 0aWIy2'a'x^O ᩿O$2gFo=~?šrȡSjQwUrQ?Tx(j?sw?_7 [ؿg ?]?/ox>9RDOx ,ΰxP endstream endobj 3106 0 obj << /Annots 3108 0 R /BleedBox [0 0 612 792] /Contents [3120 0 R 3116 0 R 3117 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26334 3118 0 R >> >> /Type /Page >> endobj 3107 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3108 0 obj [3107 0 R 3109 0 R 3110 0 R 3111 0 R 3112 0 R 3113 0 R 3114 0 R 3115 0 R 3119 0 R] endobj 3109 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 175.5501 195.2587 186.5501] /Subtype /Link /Type /Annot >> endobj 3110 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 159.3502 194.6317 170.3502] /Subtype /Link /Type /Annot >> endobj 3111 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 143.1502 216.8352 154.1502] /Subtype /Link /Type /Annot >> endobj 3112 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20210608081201-08'00') /Rect [104.1732 126.9503 184.7097 137.9503] /Subtype /Link /Type /Annot >> endobj 3113 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 110.7503 145.1812 121.7503] /Subtype /Link /Type /Annot >> endobj 3114 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (implement_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 94.5504 216.3457 105.5504] /Subtype /Link /Type /Annot >> endobj 3115 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 78.3504 165.4707 89.3504] /Subtype /Link /Type /Annot >> endobj 3116 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3117 0 obj << /Length 19 >> stream q /Iabc26334 Do Q endstream endobj 3118 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26335 20690 0 R /Gabc26336 20697 0 R >> /Font << /Fabc26337 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu8$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$ClE d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM* endstream endobj 3119 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=202) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3120 0 obj << /Filter /FlateDecode /Length 3726 >> stream xڵˎίy+|v{6 ENAO~ŗ$=%JdX*ӷL;}:wf ~_iu4'cO_PgdcrnuIk8xwW+?OF \i]i'm" xl^Z_.|}ڄׅ_-=s/X@D;mk}O7ۣ@Õ%7hV .BD[dE50j{I/ ؋CgsdeZ̲T838!0\;;g (^ h]GH8'FbrL)A=o&ڤmCF[PD{ztP!ΰ &Df?xaҬ#ȡM,#⹠TđB8"ֵaG}"0\ѷ2TmiٺT G&[iA3y'H"dU*얤jeDPGv=./4X@J*u.^)W > >/^'5Ez JjHh\R7bBqEG DB!TEG:#4#A=pV5Sǃ&_YCiP3ց ,@reo7PE $AoamYY'B?X%V"$mIJJzSA.RN,5Y`F2,ky^*6ڕ{n|4yˣZՒfg:X8/isX(W%w=1lYKzA->.0 '?:-Se^G (H>}䞚n*)Yh3_l`m5ȝ*yܜY0 ,[V|n/M啝u-Xtgy(LÒfgs :r~vjh"׎6hTc:dz&/(.p pQ/Ң`2eu'q=v)^FRTKn>xKDb]s>3t'R]UG<$8tͩ94czbO?طmM S5%,Z`VH6Gq]{>m)&>Kkll 6{2 +;|WVrؓ.JU?j$әsb:Rπa%Wi>@gs+ }RH2M>ܚ#%mfR'4A/Kb?Ŗbn`n)?o,=[;"7X9^ur]t ^W =̶ԞmvEE(zɏ/L4]CL=rkTC>f|57yS7HeNVz坴:NʗVv)nw@ Օl@,K\ٮb׭ ~ ]ԻvrUt(& 5D^ж\Wjzw.m̍2W!2B=|˻mmS裙!*oS lK^F+C lfwG4H(4Y,l_lkу,N?G1ٴuy/ wu־.XeO7B_ZW~-YiVécpzuKokR3ck@Z+[4$b1ZgA=E:mCfsזajŊys夣>E*sdśR$3d]L$Ȥ'΋uMu'qSI;[[Yʬ~kڨ ITp2bS #9i[dE@ _ w>N5}e +Ę߷IT9X nc;ġ>ˎ#Nx'S~`ȉzBfEmwD(0+;I<9 6SƑ '@=GRY.gT8=YV0ΒmDQ'!A"owj@wh9 t>!-~k&q D,?s}>ZBpOTPe(Xke)̴f(XTktL:-k`θ!KB!M`!tg^ d sf㽜 "!@9.vӔUuޞ>rh %Cclh(" EXfJʃ% HI*թNaW=ʭp@>m#~pw?k ÉO"={}wT6H0٤&^>{f{*P/1s,#ENZ(NZVUFũ͉ƿ hJl[ON;8'.MuSgp&r 7]ɼ$^Co#UGk|_ )L` 鿐9%X FYg@xh`7]ч4Pз!D1'bBpuH8u3+Eܘ^4ۄ^/rB<Tgli*hEvinҬMݘs/R/tQ|Cp@A|>,8+fLt$ӵgC yU)AY5axгﺃtc 㘮ӿո RN 7}Q4}bIQse@5Q;c^ڴٖ!eQn֎4O%~^&ѭd‡0茂e}˥ipېQ$h&Zg87^+dĸz-"Y 5ce[V(B ݷؘT5R65R ZTBu$ 19`5RVMBB^y::t=BȟXwY~ܟJrȋܟ_ `8%-yqxg!Y~Ryo2L %*P19}w?Uo};}j O—C볟s9[3qǜEEQ  endstream endobj 3121 0 obj << /Annots 3123 0 R /BleedBox [0 0 612 792] /Contents [3129 0 R 3125 0 R 3126 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26353 3127 0 R >> >> /Type /Page >> endobj 3122 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3123 0 obj [3122 0 R 3124 0 R 3128 0 R] endobj 3124 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 354.125 137.278 365.125] /Subtype /Link /Type /Annot >> endobj 3125 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3126 0 obj << /Length 19 >> stream q /Iabc26353 Do Q endstream endobj 3127 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26354 20690 0 R /Gabc26355 20697 0 R >> /Font << /Fabc26356 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pUthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1V endstream endobj 3128 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=203) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3129 0 obj << /Filter /FlateDecode /Length 4734 >> stream xڵ<Ɏw~E0(̬4l> $Oa ߟq\JAw* $kE|bK3KK6XS/˟.~f5}}`ۛ7ްOJz$o'cz5^ r(_^ey\q|[Sӿe7Tek,>U;<),f3-f k)%5P h`1MVkw:nث?]si-:/H/]["4TpkNYLO`P_){ aKhxҡ5eO(kցxpB1Bazx?/vS\.X6q_12=&oRƮ>@5k;ZA66% &ZBuh@J)$ۧT|3sdi]N\wd2 ݭ>5.Ҍ~rТWh p\NA(w(751;U0nek0F`$xլ 蚲B *'4 ڈjdlY{MF][\q17To+[Cpf[wثqMQPC8{zr*˳SQNeyr*TxpMi(jmLIZЀLzOjg"+[c$ʅY<}'v-jIBpÑg e ,##`'È6d6fK>, 4G I.^Wc/\EƔP22\d3 [xKƝ?]+jy5UfdYq9\c`kUC4#^n%]z :y]% Q[~7w)6sݩj*߰JMS{aZ:d/DtZ7ʟ"eo㚺 sY M<9ܡ_be^ҷ\yܻMFF ɜ]dn œ6jpka]'?a#Ur ;NJܽJ5@ۧqk𾅼!u'~Jw_HluأBG ^{yHa(>)Ixj![kC:H3w&C}T}A 8ү%lU( hHAmLc{( b%>j91P;:G60~02pp#R6N+ͭ:o!Y@8ky# xw(9JurceehW. ۸߿|sё=뀺n7| |%o2\U5u`"&hgkQ٣A<}^ 6NAhKA&4C_^/=<dz&$/%LI$šmi L8x:Jn9<L)`/hd}Y*wh_Ifj@i&:R fa]P`5_2Ux;9w(@b"-[ )~ !:mpB2}F a$mcy @Ft|wPCea籁lg1!?!\z ~a04jYf U(('0D!p!l9ϑO. މι,9-x0ۅsӉ9ɜcrXC ,M$|uf8#ٚEZR2f 6[ax̓U#hpiЏGqfaK?%,mtl/vdXm,7zL8iGJo2ac[:ڳ̆HTZť$lfX[Y78e\_݈'bJ}oN;Lmnݵi+yi+Y !NޔKiuP܏sko5%.VG G0ŦQ EIQ2̜maP(̠,46wqbFVvGaw>dԈQ>2W󫌜h[Z*@>U ĎDn'nvɫb32n/qu.l^2ق:a`PhD +-w^O_4E *spfE(4ݦ0 qDқŁ1`>Bl&q*kQzf&DzoCO`MrWwXmSQ]QOgvoYmm1шVFV`iI52zmdȪ܃@׃ )}7 KI3$[٦k6|bF-~`N띧kI!kU瀉y[PwbE;VzSh{f>f7gy^V*19səjtyiGN~٭tc$sb6҄[:a!1=g`o! [K^GP ,/]m2&El~4G7ydqCPvIe`L$fS~o0_\V-~@6^iKjQKU{YeGwGqi +de ks|E׷ܜgA%goXۆfOdwoеkI[i)U46bL1M&ifLJ j՞E{l1^y7DQ-:Q\HEA4 E-_8N(z rrSX7 {>:,Ng),z=UTj*x1kFf.xj;YT xJ ~xDr?944*`.8uv> xiWA+V>eصtItzsu4# yE~乕pWwB,zQ>L 9,7|Tn4~$;q ٵYC%xJ 6 >T?hX9&C_:Y8&j ,ĴmGyԅ|Eq1RMruFzb` *\-ȅ"|ׂ_ẀN( |(Ʌ|̳BıA`SiMJV|.?䒐[ΰ4D 8%寭>E^ P꘵bJ$j>\Ee* EW47 drĸTYd;@2 l]ZaHa _E7{^LY}#>p+lsh߁5syH"1Ӑ22୾a%\Qo_jii\uf:OgBm ˺:܆3U ($gy8i#/WQ]tr)מYKB|2Ks/-];0V+?[pͶŕ#Z,e*Tz?s;ycr[sI^לe3N۫>,j endstream endobj 3130 0 obj << /Annots 3132 0 R /BleedBox [0 0 612 792] /Contents [3141 0 R 3137 0 R 3138 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26372 3139 0 R >> >> /Type /Page >> endobj 3131 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3132 0 obj [3131 0 R 3133 0 R 3134 0 R 3135 0 R 3136 0 R 3140 0 R] endobj 3133 0 obj << /A << /D (unique_223) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 135.3848 193.9112 146.3848] /Subtype /Link /Type /Annot >> endobj 3134 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 119.1848 211.1647 130.1848] /Subtype /Link /Type /Annot >> endobj 3135 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 102.9849 179.0062 113.9849] /Subtype /Link /Type /Annot >> endobj 3136 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 86.7849 177.7632 97.7849] /Subtype /Link /Type /Annot >> endobj 3137 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3138 0 obj << /Length 19 >> stream q /Iabc26372 Do Q endstream endobj 3139 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26373 20690 0 R /Gabc26374 20697 0 R >> /Font << /Fabc26375 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3141 0 obj << /Filter /FlateDecode /Length 3587 >> stream xɎίyp_A@Kj  ɉ'ؗkV8 Y"&Tw_1M9-I㔢J R0|y+eXW()X s:>\ք2o.x)k#rZ|Vq Wۺ b(,$RYld q Ċ(2^DSyOiplA&_"~U}Rx\w"XHn𾩤˿yؼhC␆ I ~LWPfj.,)ycb,?H>PLRyAMEBU,jxSn_'s&6$~x ^9άȈ k;BJE@OeӃX!p*/0ècdT#= *Q/.Q4>j ~~T#{E/j1@oU]Te Ļ[NgՕ8]Rkq\Օ[T/s@Ύ˲\g6юaGa01d(1@<"Kae Aޥ3'B( `vLs˩b x;,pY+> VjehLxƅV`g Z+" }XfA=Ȇ>(p/F%VVx܂ 9vhX͉D.LϓTlX@Xqb͕>`#U=VN22ŏ0/atnnOmT#orW4ȿ81adγ b+G4n7McK| /`r#zd^XݛsH+nMQ**13t7@NFi% ι 8%=>xiʦ@F\)zGZ޵@ J/\sq8 s S xgԳcs0eT]KDo^|nenY6:Oxțqo ZcBથ/hv^B0u@fs.D7؇Ø%82]|*3!P ˮhvOiahƚF{U8\A\wب."$zi*΁iڐCӍگR넉d ds|XϤmW{r/.{aշ0VxNz}|6u~ͼvkwbംdmM_CINI/HjSdz dt%֚%[`+KE9"EĘq Ǡd4 Fܟ{'2'u:H#c$``y d ,hqym}ɩ q^vNOY[XUkmG>\oՁE o!aQl׍)iN$*qlA缪S>.,ֆU1<ĶVNinjQp*c"װvW.&ʯ4L1i.[k-* $7c>G^ k h\kuǁM9t.QpuZzOP(]QɃeJ/5Mv򇲻f" 8zK2¡dyC+krQ µU^bhl;8[rvl59 Z놩Lù־ wh椣6?tÐ_;б3(_*rJ{<}\"tr%T^u"MuI6i~VlߋyjH{ΘnTFI^+5vM#7+Q iݵϮ gϖϾﰗ1(ڰd|TJ6csZMߝטRi#~_g ^Wugs>2{:@s)w.$o]b#c׌5,;[G׍"gOsT|4uf?֞ʼU@z]KP\f< g A;·v'dD lfd5~ߥ Y8T8O'+^Xuf>Gus~1&@ {y/ȗ0c U4 `gl @tׅpGs~tDzz^ѝVT>{ kCiGTwYd8|H hyw-)AS =` v>o Y;2` j Cg1Y[g e U`ʡ{yAjZ#mT <8шڒVǝj%<ehIqVG37њFROk(|a­Cr׹ |jL\c,2IWL  z_O:͒A_dE0(7ri^r.rs㣉-w+$k~5ڢLenFAJJzƙ-Mb5m52h\%uEX9R%ῂ~~AeԀB|:MDbUG@7 Uy" [tx\~.xC<Ћ"x&`P62Ã^Ń]yLUW{ZhW`S^ h!Fǣ2 TG(\*; qJ't٭C0=CKe!2fx#*-zFwT߸݇nFΏOiض291xΏ# E+ha GɭKkת Dc1Q X#G?< ]H ^Fk)կ >EJ%Oȯq\"FPQwޔ Joĝ[Si z,! ,ʩt^UGwƞJUsf;#GuT1n\Ru& )L0͚RKYȔ2IA򯎗| 63|S˷)D˥5JO~~/ p> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26391 3148 0 R >> >> /Type /Page >> endobj 3143 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3144 0 obj [3143 0 R 3145 0 R 3149 0 R] endobj 3145 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 192.8057 709.9] /Subtype /Link /Type /Annot >> endobj 3146 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3147 0 obj << /Length 19 >> stream q /Iabc26391 Do Q endstream endobj 3148 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26392 20690 0 R /Gabc26393 20697 0 R >> /Font << /Fabc26394 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7pth۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM>Z endstream endobj 3149 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=205) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3150 0 obj << /Filter /FlateDecode /Length 1135 >> stream xڭWKk$7W9ҫ%؞CnBNxC/URz& =W*}ZjxH\=\^ű98SlT[St?J\n.w9#u`*t"$0#1=rK$/aDr >ly157|yn.'f/f ؜kE6` bXB0eivU~MfQ讆%%I`͌phY"Ş\\y+d <3gtu -#j#.[$-7?Z|c5>a|vQoREu4Sp3&Hʳ OWD֣sAjzvrΦ>76ۛ*7mg 'qP2 -Wl=J(t |m6V)fU6F~-'17tkJDcM5zQ2d[v#O껚U ʮ+떗2L=Li%{j GRo}'cXIF./b)Qmqi\>K:Qg[ 9XYvr Eዽ/dE;Vдtxh%:#M48Ե"fd(.)XFp!Eu,TDh 35ҚQ Br+1u 2y_L1h 0J~X+_L# y}WcI[F|K>G7uWk/ٕP^+iIMVlq/4kMmܹR=o4GQ0U\tigr%F>K]=F#]>cj'SPA$Lwt?9yE2Я! e62 uNUDA>(\b[3&1yEƋ&=`-O;!l1@ϓ`lXWbjMr QnȾt<6މL0IrDL6U's.Akq={ %W+šm)6D6~FQZfy#}Ӻ endstream endobj 3151 0 obj << /Annots 3153 0 R /BleedBox [0 0 612 792] /Contents [3159 0 R 3155 0 R 3156 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26410 3157 0 R >> >> /Type /Page >> endobj 3152 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3153 0 obj [3152 0 R 3154 0 R 3158 0 R] endobj 3154 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 247.025 121.8835 258.025] /Subtype /Link /Type /Annot >> endobj 3155 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3156 0 obj << /Length 19 >> stream q /Iabc26410 Do Q endstream endobj 3157 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26411 20690 0 R /Gabc26412 20697 0 R >> /Font << /Fabc26413 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ ^ endstream endobj 3158 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=206) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3159 0 obj << /Filter /FlateDecode /Length 4162 >> stream x\KWl$R`mm@Oہ1`*V,JTzvݤȪW)r4;WXː0!߷/?X3|Mvu~ ~ne~~NVïɖ_ ||TlV}h'5ɋ:L=|0 8/Q;|[ffGL;~7Zee z hР>/q1 ,BQ,Sq0s?x&H#(@uF2H-lh8#C;h0` ~~!#eABe ڀ8vD@`BgKumK \N>*XXf"`4 IH !C~zZig977ֿ]9)0x3GtUg?h;.@`01:h8/ \AV&"Hw%zO]*&^LD8qp1p2Z͇jog!Hχ j Ɗ%/(\t:wQľlX_u:aA1gj'əQyffhy¸h &\qj+NRjeiu^e:EeiAl(*FɡZZgڦ\jj8YVjܭW>]pvuAq؇{`W`xJzu%9c<ࡣZyS\;I`ѻ-/LSI$۔\s-A[& q-6ZL!B!D9!T'%'ȝ3k<'_[ds_ ^0τ\BvzT)M*8EK~/{ΘF2KdNIq=5(:{~MQzhiz*D caT)dak6>ompD[an>F.g_zw Յd;¦2mOʈ+l4|2XxMX!%FeyEfDka3\FlD?H4 "= 8  ,gJ],4]πMWc ېq.oM9}ז+ΖۍCnt+n>fŒQs/f@{1TN +Kw +x_Sz*&Am>wNfdw.,%6ig 5}K\UzcvqU~srؑ4ƨk2UXoՔQhԵ<ԥC`?Xm0X.z?lhuLϻ3lC3~5=mL͛k6y@@_oD]BWܞّ! ym#,ڭwzMY DZ-{5RrK!G}>uPLc3vwVo ;Hj=>݅>2]&!Gu͞ Ǜgs=p;^魗gYC(S?jr+o '=]UE@b[Q$wQb8uBIT"U(f[cG4 kkY R'O%듶'~[t&jY 5:n4,&YJCd[ 2gy Y4Fn.Z%p|B4%ecS*Ǹ4wG݂ZmA-87P7R|:ýP "?_:jՇV]ZC= ju֪oA=̙IJu{KUJuy|֪`b[)Y "`EU]T{ISNv";Vر)ҫ;"rkQf1dq0:b.@) i"E^P/\q=(sQb]n0Xÿ>Ͷ-TiYcKr\3ucFiE=$*p ^eVj[U kkmr.#lUxv@GpSBQ=[hw[ݷ$,Lwa>88>xvWnJn|%Jޭ)jxCç?UɬR* q3}lk# 0thw6Or3#5o\f5Hx'%*W޺"gQ0_*?t,7>-8@0/x3GGSt"%i\gA m;!&^ >$dn raa͙L6 2Ďx[\Ұ !WQgeŲgj7aKɣJ#'ԋ]хԌç&!Ʋ!92(rDpXv|\iM 0'3^t𸑩zɤu\G;ٸRA% DD5%UuQ,J}u<0EԦyaZǫ]&6\Fbi b՟ݟƣ+]5廵$gR 9`#f,o߲ˎ ̀\>v%n4?.zKq ODFr UL-.qq{Ap_o Vr<9zPIK_Ҁ˷{ nK )ze 6aU kʊ!,USٮ5D|iz φ/JkStsAM*Y6^[; 315wni1rƸOaGC!𷴤Pϛ JqNv.7aԴhK%Z S+-dWWQ'iaK hCSO J^zJ[zZc`)2^Z3N1,ODz[.kD;90 &%4 GpϜt"ޡA: cPw9Y q~mNw$^R&YZWu %4<lT\OS[RVV%7pԽWcU eHSKppuujJZL?NpOt 8SCCB@FG:hB?AC7Nk<~q|Iw/7k.Emhߒ9@ x5zӟ\)O'o5ً%Gfr=egߪs:>qu65S@y>1Fb %m2 +Y1Q endstream endobj 3160 0 obj << /Annots [3161 0 R 3165 0 R] /BleedBox [0 0 612 792] /Contents [3166 0 R 3162 0 R 3163 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26429 3164 0 R >> >> /Type /Page >> endobj 3161 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3162 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3163 0 obj << /Length 19 >> stream q /Iabc26429 Do Q endstream endobj 3164 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26430 20690 0 R /Gabc26431 20697 0 R >> /Font << /Fabc26432 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (?S94C-z^lM endstream endobj 3165 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=207) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3166 0 obj << /Filter /FlateDecode /Length 5987 >> stream x<ˎ8rw~RH4PYYe`oiOe. x-ef1S"%`E-;OHz>8D]xᶐnQ @U~Q~5I۴(Ro-R RtJi]Q#ʮƹՆ@o5%kT'|ŨJ|q%00P2W jcw[SF0Mhi -WTZz-ք`7uy͘ h{[Фft[*h$&~QvWuOirV&Ѻ4>Z.hr@,Ajٺ>S\b՞6\*H-'x@bIc^b@ T;l\C n°4? Zҫ P׶8iEoGނGSa^F\fz "ͥ{:BTei(,fq*yR3 2w >D{ı9:Q#ReyV]Q BG~M!T`ĄϺBo$=!:2,Z8t8EJL 8@ Bp4dJ\Iq$&h-.{q73pp?^h(R*=`pWxy%`CМE]d$q|52߂ -3x_pp޿ qX~ PpupZLL9d׆v!YA{,#2R7>PwaJNRtWZ1cx/ހWh{J(}W\Fw7)p꠆uZtT,XE)n&eOV3q ;m'F6+%REn({xDAPA;}26aO2#;г&47F#fEC0әU *6%512{ۍZ62|m5Klk]9W-$R!|,#I9F ^:QY'':4J]V`{:34yݓj֊kD1 lYJ I,n ׂ#]Ӂ"KvBET&晽}Io⦉ )>>TaS-ў ~5Yݼ1}g`F1 Nvh&G q6- ߠ s U`0dm1T l.[g%[ذHG~+S O]2{?e_^Z=μHGhЪpD8^ C*Ltf:!~zOdP|)ߖuw:u5La|Y!Qὐ&l,:0(MFT&TDu,nS0< | *XcǝS=!+6y"Țӽ~܋pVV(N~nߓH, J<\SmGmH;ɮDsU7{ ˶(>6j7I:zʞVpʙjohhi;4H2{N ەZVVVVn:Zupִ%-lP.awE"aX3Ȩa$MDY.> (a<1W0XEjzW,\ [}O*֑Rek<^*1{#N eM@dvsތ39;wV• UH qԨv6wئaZZo.0R9*o/Gwu'-s卺TӦ# t(aW 23 D„V<%qt>JnE9QE0d IYʿ/=!"(wՒ0Rȑ%M] ? KSaVJZ m nU+;F6vս]+}&`lgB4IdT߄榹b*)Ne(~WgX %X140)p04D L”^Kt9V%Ai =N8鈉j@DnżFIO蝂rѭR@9&u6DM矽]ԭ@G27ʺ:@*(Vq wCP Nb%х$CYr:m sUa,2 ]do"&=ֽMjݢC5esҭV>NDK4*]:ˑ<=RGZa{[B"LmkYL :bOi{iEēa|[D8ԟ,ƹ)oFˆq F|&6CZQnp5h^ $O@*YUlj@19uEܳȣJmPG KD=9 kz1[M}[]SSuN0 ?ά(ߎ :0צHf^@v~u @T8>iI(}n]KmRsQgiy6sA?TMSdTcemSTM[[{[ܲۢ[/n~ۈ+"J3=S$B!C*{~30)&~kJB6l"X JI0&Nҡ߉-g, Cj?pjWnoȾ/YC&js=hNIpLMH\Uet1^B?wm l ]}Iv?6Ё|byDŲxX<],V,/V,#sXdŲ8V],nW,/X*wCŃ%|^pRQ_Eg;́I UJNLde (xX$X}Y DNM)w dG~#F];țWPgu[,x0ezqL6]#dt7Ұр;s=rn=qwjhW ([Y:OM8oe,~f]f"%OXd\HYWGz1F AnѯּohtFh1zߔ׍b~>8r %cn60AQDcP%stLQ #e6'eH+uqG}Afo\7VmH0x*UB0NJGVfZ?sOۏґ[UATs`$M3_QޱhGHZsW(.zu.,D˕G.6q-TLDRӂ[]d81gVҌ"sE\ܧ_pc)`a)5m͑o ]хQc՟xp <'@~ڭ͹hS gBîl)϶-c.rަT.~6[mEYvEzږolgi;UNT>̶' t!1.Ӗ'n lz*0gV#X)_G>x#dꂹB2*[x$?UQ=k讚1t<&{'q+m֗Ā$6mqTXyr:vBCv5I {V#zK$w XǾ7`XC;g8YCz*WMfþB 'Sz########7S9uNd"߰fJQ{PjvfzOS9,axAS}2>}4c?!cvr s>4*v9璴><"z&R@t< R˾d]dsK6'*w6_ȱj~G}؉܈Gq+՘q KcDn5C*x$י fsTei@&݌v[.k  Tb(&'5s4ݮ\20}7+部}dY$D)<쬙ƣ;ig O (~ȱ[% 9Rn*ij̍!0? #Glryj9Bhr V5\ڔ#솩NУ]3a-}O(kp_$#=54ӱr yKPL{Ɏonԇg+b­!t )XL ;A5ojO:Z+a/)[D5.-.怹>|a.;)wQ#iM7aF pu6|߭<ޣKL5`V~An"g nm}×ṵaDBmAL E@ɏY&MIZFzQԉp fj bLcpz3(&t)`0rL'dDj_[+LD7t+!ŋ,_Di;7&3{\G&M1x#'0_*3jPY`Dž]~P#wZӣ|q*}5 _/8f~$O}#x* X-b Lg?ōSuƨ<8V+2邿mOG[r5cik"S)LR ;~$i>C0CcBG$Ò<~a?#~Gۭu:[ 3,.fJD(Y׷L2- 87uӥoe@R.g{-RAuمfD? Ob+֌6ynC21}fG}`ٻů9}b0oLTa@ZeZ0+pYE<8~ѳB$זQ3 g y怌~R+w]O{!> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26448 3171 0 R >> >> /Type /Page >> endobj 3168 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3169 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3170 0 obj << /Length 19 >> stream q /Iabc26448 Do Q endstream endobj 3171 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26449 20690 0 R /Gabc26450 20697 0 R >> /Font << /Fabc26451 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3173 0 obj << /Filter /FlateDecode /Length 3866 >> stream xڽɎ#ίـ*@h%uA9>MbLſp*I̠U6ROl/;4R&ᛖo6,JY╗o_JRgwx~<ɷ?`-]LJ %-uTJOp(>{UEDhiA$3# G3`^T.:ĊKF)8b>ب#<Ľl{#}8yyFT5gK`)UX<@4:ɎzTə%iog4UCB>8>hxʹ08-*90M\rrx .Ej*;V]Z{ԛ Rqi.`,1U9Ҏf; b* wR0Kj'퀿&鋣2j *Co@^bxT$Pu,S=R"-XBVuܟ ៸kD%Nm3HY$euhyT2@;Bz>(˛S%;\3.3A~' BT?R?zj?~+֋-NɟQX__I@Z2`4ќXx^vM_˱_F2AT {(8#X^&t `MA~f0aw45Mvaa=  #(X{ W&>0ya/a 2Leư} {PĻ> (ekc FS#2ާ(rlBXF^{LM}ox7n!%3I#ddpbّ!Y[(5)ZOTNa\s (\)A P܀P j ;Ũ%t@B2r C,JgԀ_Y5sk^^!O C5EICPD/ F'.Ni5LjQ hwA}5H@bNZhJ*b+w#dLA`_&ȹwC:Rw @HT)|KѪ!BΏ,.Pe ċZT!CW0ŧyA;,~[&˘'o1[~W~W#fez>$MjfHEPIeIsشBZaNq9nj6!@PnpLtcUގa%}veәM"d 02q;:gj㊯4\?úw⁌$';;\NOfCJ⻊lR+vVzk,$b2,XwpMM f,h ѫwږ}\mڊ*[-l5ز;ֺF.g{Ń}h yM\dgG5&U)c@.&l0AqzǞd\ޕ|%DJ1%]삡 FsR8KPX̒l I ]^wJK-԰tJXS!VWuS4k@&UZ$@TƵAUB^AuUPĴ %$pN5+2jIR}K:9˰7!M/{֯\aߩoC#Yk’} 곮gM,U\fJMP>sϭ CQŽ-6h_o jUsGdh[GCŗܡM|^.cܢ<ӌ'qzk  ?pٿT>PLH|#Car;{⺅zgqŜ5}ܕZrصxw[#xfY x][[* VҜfޙRGS:oS `[h8E7=y0F11DI@W_bWJ!q˫qOz=,*03Ovlc*"`ԠJHѢz3 sk\[[$x#9NIm:x fN0/࡭YM60'1dHg~o;R*?pL 뷫^ǹd%h]3nnjٽKθW}b;wn]"b`&bQ,'?0TCsc +&~ө2e@=ݽֽBRko3jmmј]W!f\ ȬhFXa) 9On=}K;MflgG .IйS @3Èډù$3V|2r~lDfdz@cSƏ=H';€rtWFep*!19]9uZVȳ>5WZ V!yE`T̪]=)8{nUU3cIs[-I3ڔJiBpþTƏs;lL#~!iڇf+ńO7C."ٞf} $nH^[_r3HpLR@eE19?Xυw ~W*9N}S2ߨ@a>qN%Tob).QzpFGr̚>H_ =aeB-.c~a#GHunH HY˪Ü:Ǝ/t[?ϟ`% scv`G%!4\]~'Oդ4D<%ol2[[MAHJTLktK gJ!?K0370Nj)-O7Ђ@%'*ں\EA-ݘ'y乗p7%_z@P1܇>q,:UtyWQs2kheBu wcY3A<:: r@<+ !zT z+)Dbҝ cR_=x> -gC?¹۹0sM`%+ܡBNʜ"?Hy= *Ѻʃ|%.%H,1F }KWpUc endstream endobj 3174 0 obj << /Annots 3176 0 R /BleedBox [0 0 612 792] /Contents [3191 0 R 3187 0 R 3188 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26467 3189 0 R >> >> /Type /Page >> endobj 3175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3176 0 obj [3175 0 R 3177 0 R 3178 0 R 3179 0 R 3180 0 R 3181 0 R 3182 0 R 3183 0 R 3184 0 R 3185 0 R 3186 0 R 3190 0 R] endobj 3177 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 155.1032 686.7] /Subtype /Link /Type /Annot >> endobj 3178 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 154.1957 670.5] /Subtype /Link /Type /Annot >> endobj 3179 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 159.6022 654.3] /Subtype /Link /Type /Annot >> endobj 3180 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 177.3067 638.1] /Subtype /Link /Type /Annot >> endobj 3181 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 161.1202 621.9] /Subtype /Link /Type /Annot >> endobj 3182 0 obj << /A << /D (unique_422) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 174.8427 605.7] /Subtype /Link /Type /Annot >> endobj 3183 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 187.3167 589.5] /Subtype /Link /Type /Annot >> endobj 3184 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 562.3 152.6942 573.3] /Subtype /Link /Type /Annot >> endobj 3185 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 546.1 166.7302 557.1] /Subtype /Link /Type /Annot >> endobj 3186 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 529.9 154.8007 540.9] /Subtype /Link /Type /Annot >> endobj 3187 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3188 0 obj << /Length 19 >> stream q /Iabc26467 Do Q endstream endobj 3189 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26468 20690 0 R /Gabc26469 20697 0 R >> /Font << /Fabc26470 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ӡ~1OE) eA6\H&7]N8jeAT  cʙh;QLdiNU$R9!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]h߄}j]֠zfQJxpf%ehwm-־0Z|fOr;Z:[hj)\Όl iVsl?7‹!K4>S~Y28/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3191 0 obj << /Filter /FlateDecode /Length 1337 >> stream xڭXIOc9WdިX'ޮXPaB]2vQBgU `J(U yIYDI>GNڔ=$KfTO4F]7Ii`x|#8U^~By҃YۢE,ȣuyL#h;\Љg`y)('M#HLL:镎 ڲ mp>5ihv8/^el6DZ__1[4H>[H|\Dc>XgMʤ4ל>(FLJOpIr bD 俯Ѭs+ 3Y <3ݎNsQ9kJ?F$-sq\9SWd3Զe0q.ꚴs 1L4 VNG,mNkatu}@ٚ:E`>0Joc˕xRu!uʑo%r=Z27*G\W ]i4S!h;Ag팥Yx:#}N"xF>TL(A([|)&Ҷ+#(Ѫp`rL׸Us)p+ul)Z kh&?v؃Ԕ`N>yI0qg++TjSmRDT\Rb /`/GH\zt"fTj| ’,C endstream endobj 3192 0 obj << /Annots 3194 0 R /BleedBox [0 0 612 792] /Contents [3200 0 R 3196 0 R 3197 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26486 3198 0 R >> >> /Type /Page >> endobj 3193 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3194 0 obj [3193 0 R 3195 0 R 3199 0 R] endobj 3195 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [90 386.625 123.198 397.625] /Subtype /Link /Type /Annot >> endobj 3196 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3197 0 obj << /Length 19 >> stream q /Iabc26486 Do Q endstream endobj 3198 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26487 20690 0 R /Gabc26488 20697 0 R >> /Font << /Fabc26489 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM^ endstream endobj 3199 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=210) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3200 0 obj << /Filter /FlateDecode /Length 4941 >> stream xàX`vxA9`rjMN!R)TVΆ|oOw<cx&K}޼6 :/`Զ,~c͆|DqpCʹ is%@d p.GG@WW` Ry|&'ƞSBYz|H $ 5)خ=9,²BOdE VzHz0Wg5rzek+׭G PF3G HcMN Mq縯GL u%qk%r-qԵY$z87WR)Ih},(5 }$+]+6XץN^thЕ5 -9HjJ& $OZX͢K޲ `ʗ֘c"=˜$`dL?$r\V"d BF6i $[a} }g`'d> `>=yά2oSځWy1kUf3k//S9mg9A=/ޟvMD=gƩ-0uoGGoJ?=zx. _)ktsOW=ːS) dV M_zI!I_qx?F͞hYHaa-4,*I`A?]2VŨ";{ױj?Xx>4C}%5c0 =CB]~]pמbjZz@AzUZ9Df- WSefLK lԱjfB0`m+7-y8Ge.ݘA|*51SWEE;6&ǰ.^,(}n?E7(y9uAtbx9`=\3Z=|bpdL(}֤e87| u)9:\L\AtuA)$R C)4^.^st0"j B%9qM rY2Ne#iDx)i$ #|32\^a$B&y`<*ABj!q⁑s 4J gɀQmP ;ٌzp4k`tĩ*L,SnV9=J()uC\di"KU0MCiQŪ5J`B)yV+fAͼfwvxI/ &''ī=!82$)\5I=p!sV[5 v#̓*J>w*EeOf 0 EiA5D 6#s;y<;;ߥQkjHzʇ)%G8Kjmp\Wczzř> [`daэk+1d"}8l)a$LEg.+?Rˍ G a~)Q/b ݖMd9[܄WY ][6(0K5wX1y=.0%l%P4rqClkh5OB|Hu,5!bM,hR1%BL w@&Acy }@8Td<YX>D^1ˆOь&g^] C՚7u@FS!Mg;'ϳh_0C3D] EhU&(J+"0G"` f?QԉCh^A J*}g0e"UCнX4H1`S%̎N@(Z 8'/=Ag=bI?& I,K:~D.e|snX *[v(dL͂!TĂmCfiU:h3Be0ACg>]Pà+IKQ@^;("͠@bWZj{\@ȣH׷za94Ђrq$U!i'P;z2`2MǖĀ4B=5[7ZJntߵ_G+O̧0UPs`\P5-&,^_6}kݡB(2*'= twÞ`5`å{0\kS,'b6qi@. (5e i–2qg;VbIܜG+L'_IOR0rͅAqwo'l ϖ"8fo=Iɮ;Ğ7,sh_m6H7CT=ATp1e?௰(pxBl~O: Η6|,|sըi6F[>u5odޢpcltaa<7RMG~Xkបʁ @'DZ:8҆M394]VuX7p ΢::*' endstream endobj 3201 0 obj << /Annots [3202 0 R 3206 0 R] /BleedBox [0 0 612 792] /Contents [3207 0 R 3203 0 R 3204 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26505 3205 0 R >> >> /Type /Page >> endobj 3202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3203 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3204 0 obj << /Length 19 >> stream q /Iabc26505 Do Q endstream endobj 3205 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26506 20690 0 R /Gabc26507 20697 0 R >> /Font << /Fabc26508 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pҐӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔfa%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?* endstream endobj 3206 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=211) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3207 0 obj << /Filter /FlateDecode /Length 3816 >> stream x[I, Wle 0h`{@ni;eؘץ*EQE*W\; I/SYޒx6\)[x!%ܕIǟ_T Zpҝ>_G~ݞi }N?_w; z?;yz} 3Ј.CesGo=e}}˱١9>ㅑ/jػN:(;A6L 9FN94i6g{O7.aeaDXe+ Й+"u  L1$DP!%P_a}<gx3I$o*/aYET%$!C/xJO] ;6B^s3S5Q_G oS{H<{F_{q8٥j* _VǼ Sh-,L]CY~W,yjM5u=kO8*`=/$mAtVpgUd*:/w׮WU`vF,hg2B[5p*6X>(uH>دMlz9Ji|ﮤ: g.A/o$l4$達Yq8;bYQ=/;Z ;ps0vA 'r$]8QAAWd:n֐6= 1 &kiU4UFٕӵţ48D#)eޣYuu1py8_ut~Rş3T"[n..#cɊv0WN%Y90ASkDne$iԊrW^*rRkWb{Ǫ dK H1a3":¥_D,'tQC 0}5a ,5|" Q8KԐ-=;rR8܆>waJ%CCU)T)mUQrNYZH2 mᓵ‚0/>62jRёH(D͡} FTͳ] f[YD رz9PEOh59-=*~h1mbZYh՗5U cw.73Bl iS]\'5ClJ=ݑ4ϔyNyR:*J9);{gb 4?e6ڷ:IU_4VUًfH@Y8oeO$( J/(I?(ǔFI}n[\L Es fV0 |g@5|_ {(E! !- G ۭ@6Z%Pn WGXv":䬬Dj* w4gfZReqLTqz=17W(8o[3N?ww0Pe֝iNc".b]sډ;dlύ aRH=(]R~-eΟbLJ HLsq,8`a Cbu@LE7ʤMl,yf {̠FKq)\MFf2L-V^qbc5mZ jhxj֒&Zf۽#kJm(A)LQC=OL!>3*@"4IJru kb9l! /Xh:G~OZ6ӂw"*]m%d\W7% ­ojoyVz-u;xvs}eJc}m\6j&CĶWall^1:` zAŒ4l߻JlS9wYJٌ5H͆MMN M^UX%I=K>Nrﴮ J=0hEiUT_ z\G#hV.`kMfa|(%t,T*.JAnf b.[[(Vˆ'#[h8pyUY7z4n\d@2J32JºzZ_ۥVvR沆A M@u¸uy͜&\?TK-^ fʾNjlij=jq~Q, heEֹ+CQ.F赔 H6d|:o a[J߀]te7kfs[cN9PkC0Vm, K%ZdQLzR8zaRn|)Eǟ$x߹PIMxo?x_5oϾEJCALͿ5 w4arS}A% u+gm0Z9sSe A(ݲ*i=w쾜\L%ךo|e{-MIXσ\LV% _.wk*Xa,qχg>Of,@ooI}msR2&¾ -w@o=gs. 9_ةz85,",L]A6W';$A+{J|]3z A=.FSy~n4"59']LTˎh yg#A_97Ӣ[j߹W$&s]0lF~˖Յ* Dz='CߪB݁K0ح#${n尣,b_I' EAo" ;~rn+-TnW+ ~2c؀ !gT4#҂$*ںL\!Eٚs~7#ydKR&.=o`wW9ܦiz$Jo0jvlpW 1 rӐ*.RQ׷uiBe$1Lw:γ0Yy"2$r t1P co Ӑdu',,&m嚡mrCakg;q} +cD-PLw:-5h˥C4u%@a5#b=+̍]yw"5<\ 9Te|&#pki2jaU)BOk cS |R.P7J˄"z%@)V/Nߑ}vo":ݰ YktA҅uc˄dmLEW6IU,xmV&G XjVN _al&{ Vut vmD?ю1> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26524 3217 0 R >> >> /Type /Page >> endobj 3209 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3210 0 obj [3209 0 R 3211 0 R 3212 0 R 3213 0 R 3214 0 R 3218 0 R] endobj 3211 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 144.0317 686.7] /Subtype /Link /Type /Annot >> endobj 3212 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 138.1357 670.5] /Subtype /Link /Type /Annot >> endobj 3213 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 166.3397 654.3] /Subtype /Link /Type /Annot >> endobj 3214 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 165.4707 638.1] /Subtype /Link /Type /Annot >> endobj 3215 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3216 0 obj << /Length 19 >> stream q /Iabc26524 Do Q endstream endobj 3217 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26525 20690 0 R /Gabc26526 20697 0 R >> /Font << /Fabc26527 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRNЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1X endstream endobj 3218 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=212) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3219 0 obj << /Filter /FlateDecode /Length 1219 >> stream xڭWKol' +tyI2"EUͭJ_sL&MD ؘ  @_j3t!BG8>.jDu98o>s+zorA#ݠ,xAkL7oG}դ;W>N VGj[p1Q?Pfز:تB)R?XCxh v4l)[\QLZ(KSrY73|KƨJ(/ ~Q/tJ%Rb[|ј]$!Im6] jÂN| tC,vSgrU'uci iK :rBS"K2" :H؝z$$-7ƫK 7)lWFY[ty(~ܥ[xm Oz=nR9r15qNt/Ч]1V R"[8i6kq=_jQ@~ j3_A[e]h:9}R+%_oyXbSc3*)+[v| ?ByBG+s =')/機92Um3LUs^ֿ J\ud+0|SM/#lNm K癶ġo&Qː ax, UۥhRXd*[h.Zg!ZČf[jڭDpn{Q'̝eJ$xH:+uOAĠb[!baSdD?]riXVweaeX?FNs0S.mHۗaD3ьm_#ވӺo.oJz@Vº5n&?x(`{!i.MF4vHʓq#A>򃏂)WVڠjäBSqUVZjGA$ hx볦W1\.уGXZU 5lW4MN*O ;}S[Dejk6O˗4ɉh/%H?B<'c-C;jl@BZo%8I-d Lu@-DxS'_cTQ* k1%p=y< XG`W/=vFz{brr>{8BT]LMOv|&) endstream endobj 3220 0 obj << /Annots 3222 0 R /BleedBox [0 0 612 792] /Contents [3229 0 R 3225 0 R 3226 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26543 3227 0 R >> >> /Type /Page >> endobj 3221 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3222 0 obj [3221 0 R 3223 0 R 3224 0 R 3228 0 R] endobj 3223 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [90 283.125 151.237 294.125] /Subtype /Link /Type /Annot >> endobj 3224 0 obj << /A << /D (unique_56_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20210608081201-08'00') /Rect [156.55 283.125 195.6385 294.125] /Subtype /Link /Type /Annot >> endobj 3225 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3226 0 obj << /Length 19 >> stream q /Iabc26543 Do Q endstream endobj 3227 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26544 20690 0 R /Gabc26545 20697 0 R >> /Font << /Fabc26546 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pҨЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}7 endstream endobj 3228 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=213) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3229 0 obj << /Filter /FlateDecode /Length 4061 >> stream xˎίـd>E 03c!i;v/ԋӏXHzWH<}̤ߌm*}f ~J4u<'kϿS__>M{گl5[ pg2KJ:.:ÔO^>oD/:o7Fy˚<ҋvEZW3f_'߅%g4DD&h-iPW'd)c֫{GEl8}wG]Y)>PK?=ws~+#1+hD [S bP2)("'£"m2+%!/2hr/ -yEkE"1cל㖟x|#s?)Ud:C|h֣XiBlz 䯫uw-`$ lP~0xY}l?D%Nض?(VjzLi(O*X*ZxXWA;R><8Y" +(bZ2Q@->$'P10rDm ՝#˜np/r82(A{.2 ?bq64kzqmlb9:K`,E fw7Q{ۧ:n0VyD+  $\I^8qp F) 9< >1]#d刀HAT(+4DkA%l;rjZgƾys]h]w7ƜƸ%`*pP{yj~0?.WuF~wuKr]sCJNկvC-v CMCt[d#Kc8G\6J@'^9bx\,xo)Usw1Vi,8`"{ᗮ^zq"83bЁ) lKG"@R *}|2,WG~A':Cއ4M6`ùFah ^ؒV&`!>=OBx1HzpHooS5:m#0s]p w.ha₽{/!QB'.w-jDzBh$qWs)̷O?He\|N!1YVuoyGKԷ%?b77 fS w4 x?J-`R|y}Zl?pI.j+4Z.}ZaZ^jF ߐ;~p5aFB%-n Oŝs"[)+ LP3:kuy k;F!aD89:&bQ7 ٖN+=x  O=_^pÂTˋe]0MᶮZbG}ޑwfO {̻CE h߬NCU}u{Ic#puYu[Nr;Mnednu 냴',#>W.H۬uQ,HL"=%A[ fƝuklA]rFh,oގWq#mT.1dtMZ 8Nu%4 :(oKZN' JAdM痔:=ۿNrg7|'Lğ%rVQHgDG.uVT ժ,kjGQ) 6Tʔ*S.ĢU!c֕lP ,VPq KG9_\,ʫ+z-VƢW%XZŢאOJ^ ,ye3K^ݒWPSlwM3LtxKN٩) Vᦺ3ŝRWeZtZ.>K4 -~a'VWv]+*= ׹T^5ԤJ>aT[:|+9dG6pԛ Bо~v Ԩmj+ w`nx9v:!T:Wd,~$;.튩 {#.QpMz#_Z'G.DklnEV+,u wX<TʦW0⩼)8 '#Bp@0-/E5TUkeM8gu^j[bwgXgs?ACK8/GF ԧVLZ#!0>̣x[E頻*C2 _BQw~Bo'@{E0.9cpbF1/vsK( f5n@ۥ4%.K,_j{lwru/8?‰Y|b"C><7)TՅim,mcp'&!o[b&i>î_Ok]ތm?gǷFc䅸cD_6s㵋`Y܁ pЊ@e 7qѼۧ\R>]My|C GvԙZga7; aBgm_:3"ēQ#SuchVx G)fh~ n8I7Aİ :4gbҜw ]|dso;p?Lb32P`أ:i7Y\xh4Cض`ч yLn7[TbM@e\u Ok(RBjOtS G<O_&EZ.mfD^HIK)#9!٭ 2AuFYmVTV:]bk=Vl!<6_ބ9R\PU6BFu|*{=(b螭/x/'> 7n38rwf\];;ƓPڂsCroooq.ϗjʞJrv[qÖJiwtnS[3mR1|D%]ч#^g4?9\s(W)s(eIZ=+ɸ[z:{o*cP=keEl+(CMn˷rKF.'v=cS9~i"BX>,z,^ݕ endstream endobj 3230 0 obj << /Annots 3232 0 R /BleedBox [0 0 612 792] /Contents [3241 0 R 3237 0 R 3238 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26562 3239 0 R >> >> /Type /Page >> endobj 3231 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3232 0 obj [3231 0 R 3233 0 R 3234 0 R 3235 0 R 3236 0 R 3240 0 R] endobj 3233 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 257.0653 146.4737 268.0653] /Subtype /Link /Type /Annot >> endobj 3234 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 240.8653 178.0272 251.8653] /Subtype /Link /Type /Annot >> endobj 3235 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 224.6653 144.0317 235.6653] /Subtype /Link /Type /Annot >> endobj 3236 0 obj << /A << /D (unique_131) /S /GoTo >> /Border [0 0 0] /Contents (read_edif) /M (D:20210608081201-08'00') /Rect [104.1732 208.4653 148.8992 219.4653] /Subtype /Link /Type /Annot >> endobj 3237 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3238 0 obj << /Length 19 >> stream q /Iabc26562 Do Q endstream endobj 3239 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26563 20690 0 R /Gabc26564 20697 0 R >> /Font << /Fabc26565 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=\ endstream endobj 3240 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=214) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3241 0 obj << /Filter /FlateDecode /Length 3112 >> stream xي$]_煒u4tUu-mL}{֘Й. ;CuRD(LM/XlsF/)M&Ooό|2vhtO_ﴉYIGjc{/ \* -ue.+*'q@}/ x/ \lwG~gq>yXlůVT 1k}13 *z\0PK@r71(| 4s*eKJFDP0.X{0C.yE@VB|{p"/L8|xJ眯 PW7n›v>DPlFuq>͞rrL4L" FnwbHwkC:j=d/*ُQX#ݾv !a'xީRBi 0"@!LW%J3`4IsLf*0a|;Qv9Šv蚞"<B/-3ފp^=9abF< I<\@E+8,7x@;?V#U^79g8J U@2b!P㤱GLR- uA S6{hihVp.ѿycK݌|/dgׂ\X&80;ZY21z,@Z CqSk<4K`ufM7i5W v#*!4vFKV&-5!Ͻ \L>kuNg.A@x+#f˥J1T@TUJŽCs2RNNZdvQ F6!|T)g Z0KS3m-A5ʠ=rWڤ(/q(qӶgo s5kOuZ|o.048jk 2eȴk<`8?(4 s).)tަH]bRcBvCs+i=[Y_@c>]Fr3F8mV v/Qo*sD&No*787vC"L@-uGΘ$>\FS7Zd-|=^e>֐1x*ӏODoJi\&]x 9/?OUM3XiU:`e?~ࣿÌ-b{|Bgw{L^Xai $x߫)] 6l䲐~#ov:BuGmpFRWP[I̽[I~?90$Xm\.3tZ9ј_JuiY3RSgƽ j1L4vl6NV_Cu :i6:';`CCCz"˔# 8sRGt˄4kn(V+]XTH x?Bͬ!]~eb R4׎z Y=q~q)ƙU)$Ҭ<j.a':j_گ7ۇq]X9@!". =WöU)4Vb|TPKœc@&*K\E:-=T!DEۮ_\՜X @}].i{Xa,\!Vꙛg@3}QcdL/ka 6Ǖ.0G…_WDX,‘Y&H1|_1BF-\g\B`h:hAn7IiXrrqM|33x%^~x^'ŋ\gj*7aw{hh1CA`fCG:Q%,0 "cmV`(d;HLy %<30&fDN<聸CސфhPvT>'F χy2mRJ&T,|8qIp}Tv$h$&?v=a֏R!Fd#4NJiYgMr 'a[HĨSqˏ  P36l{6'Frj}*c =]@v8_jSrN*֞j?'ҝKKjͤ" f20,1AC-?J*p=¯]Cb%W_xq 8~SD٘i޶CTvb|ZT0c?*sz|iv/cT?0o^Rz\ʭ,#RTLdѐvQ endstream endobj 3242 0 obj << /Annots 3244 0 R /BleedBox [0 0 612 792] /Contents [3250 0 R 3246 0 R 3247 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26581 3248 0 R >> >> /Type /Page >> endobj 3243 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3244 0 obj [3243 0 R 3245 0 R 3249 0 R] endobj 3245 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 334.125 147.728 345.125] /Subtype /Link /Type /Annot >> endobj 3246 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3247 0 obj << /Length 19 >> stream q /Iabc26581 Do Q endstream endobj 3248 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26582 20690 0 R /Gabc26583 20697 0 R >> /Font << /Fabc26584 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0yE;!%]vhw%Tsh8r-ZݛD endstream endobj 3249 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=215) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3250 0 obj << /Filter /FlateDecode /Length 3924 >> stream xڭ\Ko6W@ZI IC&^`ZݭYE*zHV~Z*7O\&KɤJѿWF˯Z7G_J('Λzgs{Qp婋3*]c} K~E|߉sdy2Uzڍο~OPTsXØOh6+\62ޗ0$1A~&?zvy!3 +A(RAHCuxiyL Fς9cA1*\ Yx_Ϊ3/k .`Vz&T@zQҼ,qA~  <%^ӗ4 yz{|eh[0ݘMk1ή> ;#N3s~~N5C{tq@<|`x|/Y-8Z@=bk]EX v ։dP1| ^)^(۞aS]vU0K0iVDiqkՙ >wh^_΀E~Ez܄ ¨m9fA%AOvP6>.0Uè M*˘fw%h_j.Wt붫66}p!Z/ ?b )+ʿhJWi!҈V {#B?0s& 8Js%"wu!9~R^ynO{6+Nl}/xýWX֫|=»(VN,q_6ޠB&q nm?  %$_񴩴Ev'or/}4U +Bb)TkdI-*2J5r lfRG HGьoK?cG7. Rϟĭ@nSeT(&X{%:TB~`eq Jf)usCebd/Bk+m2B4l溕hVZ!*L✕wxŪ8mURW*WصÜ q3lߜ"!'Hz5qЉjRCG 7$XDU)e)vm$ dn2w.d-s:;|4{TnmQ):jok+[C-ΡZlA-?jG_ZPZjqV߄Z[klI.UOs!=S]HoOt!eX/{["F@ܨQLWSƄ9ʭ8gd@L9}ů: axyCf׸ZVX O*hENf`_-b(|b|OaT١Jzc![=>{[ox/߹mh^Qq{8>W|^G9>/KH7vPgӅ bA -dbHS(3_]˜v&;)c!ۗaK//]G4c*I;CҁG CNKy9#\v^a[^#[bBWEt+x)>$ΓHH t*0\ʍ( ƝyI>}L{ '#ܨwUXW37rYe]|IBsHإTY^?Q,z{ HrH@R7ȹxcQ;La' NldV^IhջU 0R1{y:whϭN̍ ݧmyuDӣ0Kv lIP~+|pJq1/",q$Nƌt笟~OgB&Q́įRzlӳ^6vbe;@zLC*`xn.1E[Q;,R .W_넹sצC˜ԑ4ksڣ~pgY9>Hmu52:mh vwl ʰpK87:ڤ%9 8 5,95γ#6jlF6-U=jE#/ע-K`pY /Dk6.c=ElI<(Sޗ " M#5(t] 9;D>E%ޞ {㣜+ʙzI0d HuՈVYwxugWbRstaהny KIJw,#&XxͱP,6,*,M Z|> d)̦5^g9[|+#m(x8;aҵ+{ l97A j$Q2Eǭ"5>)P$zPc.ZgUswíXʱ/21UV^|ڟxҐ5ƮyssG7iߥc\{SoeV ft:M&8,F您1?G ,eq.D3Ld1&'̚ UDN7(pK8;/s|]JCxoysqιyO|`^`[wqu\EZGv6M!jrBU1-!(| E.ZRZѠVϾTw(|^kC*>^c&Z9?P]t&YSS7JoAnO/#kێ0+MeK-.{}!qj)_B<O}JG~m7 8r0,dt3 0j 3IONp=U~7gc#)Op\hɸeSjZ: m7U|a!wj?kJ Ug&9=/_+wy~B;bCF뒛Sm__>`NI->p endstream endobj 3251 0 obj << /Annots 3253 0 R /BleedBox [0 0 612 792] /Contents [3260 0 R 3256 0 R 3257 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26600 3258 0 R >> >> /Type /Page >> endobj 3252 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3253 0 obj [3252 0 R 3254 0 R 3255 0 R 3259 0 R] endobj 3254 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 105.2269 192.8002 116.2269] /Subtype /Link /Type /Annot >> endobj 3255 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 89.027 162.8527 100.027] /Subtype /Link /Type /Annot >> endobj 3256 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3257 0 obj << /Length 19 >> stream q /Iabc26600 Do Q endstream endobj 3258 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26601 20690 0 R /Gabc26602 20697 0 R >> /Font << /Fabc26603 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej9h~ۣfiW!g3 Ģ@oEM2R"y_QO TϬ>J#ج ]v4LmGtD'W9F&Y:)WIkp23ϋ-YG'Fx|1$IjQ=<<9C99? 3/S#9pw !g9g`Ni)QXI"dzQEh^@P"Π*x P@E W۾{w endstream endobj 3259 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=216) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3260 0 obj << /Filter /FlateDecode /Length 3642 >> stream xn,9@W/@w=@n!%o02zU(;)Ujo1]wZz'WE__Z~CmXR%h|}+eRg .xpEACjp1K1DϽ<(b:uR6TYU* ^ -CLaI+DjJ#F$u 1/Q[+^0@"=€W9?+pg境 y)u^4/">)65(h#SƦiL\\J6'bT8!.mN3ZJC?qpda x?*_ Z4ֵqN+0E)&ӝQ`r RYD_Y)UAٞNbmG )Y5lm3.qX$-^d19>K=0췥~Ԥ%HH <%k/CW1Z`B(v!y--OM-Fb/%v+hԊK{W] (HΉèel IiRu(Ƙݲ`<ّi0DmExW"N'Ͼa M7ap5wtZfvS L੺L#;zt!3?ZQu<~_f&kf&>]eژ!щw1Ox]$.9Y!dZit++:˷RV@Ul+mYlwa,msFPM*fiiprqku55vAG$Z Uq҄5G:xs+n'~W#)๕[ hrK#Rg{3.ɐIсU .b / t])|< ``׮Vcɖ-x#oVzV9*\c*`MUXv OR 5ts[+@1E]Fl-ۊc8]aB0{ȱf ƚK EM)Xԕ*r&j9׊<$n Z*o1=,.TF RoT2*L+uV3a5#e|^203Qت=!2f4%B> H_Nt@{aaˮY;㬿פ:kc:sҹ%L54|&,¹[)mG3:Xݔ7L]yƔ 'o4+gpyCw0f1Q#G$;[he@KئmQSIis۷ZW?J`s^+$礌Q? !Vϱqu)){ףb %vV6o3WVs$oFIcQJf<_>ybE /:7] ǿ?ɟL/EZ$Ls2=@|}'ixco_ӢOh\S-xLMet񱸈;Ѩ]R$/kb䵀^۱2 1pk-bEx 9sQB[,`uHA!ILڞyG>:h,ͼ>.q SGT[DT</zC̯Ei*w+ 1 t0C9wԹ cmEnM#оC5z!YWʂeAzV깎r'a!|%:z~dۣc;hȠz:llXȇlb#E7J˵tƴ0{D} Z)&9 JUewDW7t}+]9_6״KN yǯF u y,O\J68m.@϶Qhyrz8mBIWNiZ^ Kcq$ ]bP97`;7O'yԷ#HQpr +(1ׯt vśfѥ!d\L#o;9`f!f ~ @ 1ˇ1Oȋ5ȗ^j]ܤz6/*,qtwm/ƌ@Mh wg~04-tk;_?[O$F&}U!7t}%,yE^w p\gb1WO7_1 [<9/Uکg҂U=߁^(/A?'x"%814؎c,h>G}OlF)^GҐ,‹B@&dzXN²r@<)3!|1W?nxg-nR 82ƨ?SpQyb5qᰦC*B19}?Yߝ߁0d;Q—[Rݩ$"QhҚbU endstream endobj 3261 0 obj << /Annots 3263 0 R /BleedBox [0 0 612 792] /Contents [3269 0 R 3265 0 R 3266 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26619 3267 0 R >> >> /Type /Page >> endobj 3262 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3263 0 obj [3262 0 R 3264 0 R 3268 0 R] endobj 3264 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 162.5502 709.9] /Subtype /Link /Type /Annot >> endobj 3265 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3266 0 obj << /Length 19 >> stream q /Iabc26619 Do Q endstream endobj 3267 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26620 20690 0 R /Gabc26621 20697 0 R >> /Font << /Fabc26622 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`U&thǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZN=p9_>Y#rU %uaT(P;b)G+QO TϬ>JGܱYIZ)6{ik_KiR'Sb:tD'W9FF-I U4sm.\gy46//d"k,`O6OaePD99 3/s9p!g9`V]8` j2*WLj$x2Uļ!%$. (> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3269 0 obj << /Filter /FlateDecode /Length 1121 >> stream xڭWj,7+jhҫ%؞Ev,BV0HUԭC FGji  M\ APw73|;p/0[֔z.>Ҽ bz<@:&Ph#a[{~qm|3oě[mͿzhSW~$ՓǕyՁ;_!ϣsArzzrΦ>7ڛ*7U8L2 ͯWl-J(t  |m6)fh]6鼖ۘ ]%/Z맄#1QG5z)g*mV]ۍ<ɧj֞ՎnО%tTvMYQd1Ie6Oسfd3ֶ~38ud89IH[@\[}Wud@rXY6I5΢rs +htxUNZF!TF'J]*-kh`H,"ejN:{*="`pVZ!ra_n{u]ƺzL7F*C:.ӈB@ߗUDSthķ.qSs%p ] 峒Є!LqmPRNؼh3!}^/ȝ'f(>r~^W, ܼb]ȷz5TyȢJG+NSvH }0vJDyC>@ldV~2P1QMY?^6Ku1~:O>̇%=iLWNw\],'1鍏'K$1uV:__=dEoD$K8 ?} _,Ȩ endstream endobj 3270 0 obj << /Annots 3272 0 R /BleedBox [0 0 612 792] /Contents [3278 0 R 3274 0 R 3275 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26638 3276 0 R >> >> /Type /Page >> endobj 3271 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3272 0 obj [3271 0 R 3273 0 R 3277 0 R] endobj 3273 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 372.525 124.1605 383.525] /Subtype /Link /Type /Annot >> endobj 3274 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3275 0 obj << /Length 19 >> stream q /Iabc26638 Do Q endstream endobj 3276 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26639 20690 0 R /Gabc26640 20697 0 R >> /Font << /Fabc26641 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫)iOջ]p)\T1Brt~AѣDI`2R(.Xc4ECIHE+E̕'R(fZĀ0d/5"]zPL.O5HA?hxfxwBD>.PkPݳ(E϶ff^$jQ<<9C99 3/s#9p!g9`Ni)QXI*d~{QUh^@wP"$X@ ( 'C9 h#bwo endstream endobj 3277 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=218) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3278 0 obj << /Filter /FlateDecode /Length 2688 >> stream xڭn8ί94Ijo1ЇƜҝ ")N G )J?k Mȋӟ/¬A C߾ӣ9hg~s){x|4&=9֖_[wҺF1pŖVk+Vk[v+Q=(&gi)0dftvIVrڎq^$9X1"nwK?'@Ӄ 3,N,'Lg̎L,L G&y <bUR0: ]攜i&t4Ӎz[2/!Z|W퓶Yc&/CU՛Qt\@ֺ9` cXw26<g|p 0z.c1 d]%k\YFʙC/q LW uL W2pb mfIEJңtw/r<•p 4COf(dSPE$NtRw8\T4gJO YA}n*ڨrjiB^F#t:mYUuἜ\ڬ`!A!I5 ed{ W,pCC"#- ׭JK: ΋br{Vzrekh"qS +Wyu3uի& `d|/Ύ\ O{^[@4++ƣVK߭&=*󲕫۲!UUe@ea2:k­^ca F#UXFMGVګZՑP:Z}~'/+15T9,6"O!PtVer߾u}\kd ǻʆkᨡ6G29G#o-fknA#"ժgiDWhp QhݡDre|D;NQ¼ST׮T!^K~#50=[@K3_BH68ĚS; t#tVbfRߓj&Gޑ$*'7 wRZ2i!GFO?SU[je8ꆸq` pI^V437 6~TUqw, ̊{3nXIv6/6!+C{ Ouxw&*N8ji5&a#N-g%E$ڣeJ$4"ǒO,i ?|4{m72LQy QOmieu"J!ƙb]Gɸ̺|.wE&lBi)ri{S ZrنJ\M;3޷EBAsR3Regrі0e5E U~bd~EKc7ڇrxŗ?WVR߮W^襾9֛GGsnW- [N:bG;45wƚ~ > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26657 3286 0 R >> >> /Type /Page >> endobj 3280 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3281 0 obj [3280 0 R 3282 0 R 3283 0 R 3287 0 R] endobj 3282 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 349.125 124.1605 360.125] /Subtype /Link /Type /Annot >> endobj 3283 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 349.125 162.6715 360.125] /Subtype /Link /Type /Annot >> endobj 3284 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3285 0 obj << /Length 19 >> stream q /Iabc26657 Do Q endstream endobj 3286 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26658 20690 0 R /Gabc26659 20697 0 R >> /Font << /Fabc26660 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' Fꧪ~f| pYw.Z 5J8 [nCfŮc8c.@f!r!suT+_PtQh2 ALF Ƙ3w09G蝢 jxyL q-lb@r2dh~cޅzPL%?VTAF QD GG~'DSsAuϪxpf%fh{m[;0Rccbt{E&r;L(u$R&Ɵ2Wp:3ϳ-nYM~7‹C2O-j}'dH5aSq ܟBd#,K)_&U|B5_}JԡP/T;ݛM endstream endobj 3287 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=219) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3288 0 obj << /Filter /FlateDecode /Length 3984 >> stream xˎ$*LOOmr0r~ң{g{DIER$%4񑊝>Nfl߷e'k?Ǯ/䦗鏃>>Ll{sIޞ}P OcO/N/+сv2iK*hg\5%_+8+ߧO'"/}䀂x=0B QX:Zp"O DF<_}, F8$JW fDBSLr8|~W%iLA;c KxδxafV# ܸd[gBĻ-q&JeRk ,/-5sK  ҫ3QYK|j̬``PXq%\`kX{͖J/Eg k$(.I[nBSRljoTf]猲;5+w׷{UبoԽQzK]ʊuh%㵄;VX"*}]Uƽʨ(au߲qͮZ֫qyqOաeC{@5`qkżaug^Nw_j.%GU*;`d&H{Y= ]}'znf]fOluӇn0{oCSO0%[ѵ06*`y WsCT1.K 6(}-> j>*":@ځ&FgXE0[ 8LUȥpy;A׎U?9d3$#gT鱟;ԍX5dR0'˩\O0I[ah݌˯-L: Ҵ!UϚ ЉX(2E 5qex&waH9wC?S\2L ^mrȳa  }-ʒߑuҜVDJΜơr$ $Fe G$We!܍DjVTVdX44<元,<8z2nQݣk9䚰T)iW$H:' 9DL0n\!+DFU`T|>Z$V;:ZZyU*"rÔ@asKXx;YjB7AoB7lqnĕc`֧%z#wZ).uas9|m&Y W?x=GܢmAdegN- Q1tӮ$'fJTf6)!#LW>r6oXjQz&&0 il+f5zWڨ}jq{skjJ5#޽G ‹t}Wow#Y0̤*ׇr?f6&-`KƓfB7 0 N?6<ؼh I3Mظ@;{ݝ#⨁ZBl>Dn&axߓnݨ(|͹u,vd%fdY|-rQ 7 ]ۄjeεm3%Fke"2b^<|%PqcƮL7'@<&יZaɝ+8}X@Ps1#J\tʭ1΄-j tko+EBɞh?4e2uKgᘝ_D m&€/fpA݁dOr22&+|)eK%I^XIUX_/$cB ue䁬. v?Z݆|T=_Uݯ|ʧU>j *ݜ:.pa |Fu1&YSSg~+HOL[&\qTj@.h}Kv͢wErыÑK+\*Fsňc L,d0qDash-}OTQ1ӎrIFgTѡu+0,i>!%RvK@Jʟ>ED^#j(uZ e )n-ǒK`^b+fU\oM .>UVَLFu|{T9(jR~ᳬ͞݋>O\_}wpq6l4"9WQ'i1;Q'zmN$ ˰K;gv=֫̌j+t>J giWLxC=<00l}g!I}/S)ӉIo/֟3OxEyJ4c:q~=GoypŜGeNLumC"2]LpqodqYz endstream endobj 3289 0 obj << /Annots 3291 0 R /BleedBox [0 0 612 792] /Contents [3300 0 R 3296 0 R 3297 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26676 3298 0 R >> >> /Type /Page >> endobj 3290 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3291 0 obj [3290 0 R 3292 0 R 3293 0 R 3294 0 R 3295 0 R 3299 0 R] endobj 3292 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 460.9423 148.0577 471.9423] /Subtype /Link /Type /Annot >> endobj 3293 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 444.7422 138.1357 455.7422] /Subtype /Link /Type /Annot >> endobj 3294 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 428.5422 150.0652 439.5422] /Subtype /Link /Type /Annot >> endobj 3295 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20210608081201-08'00') /Rect [104.1732 412.3422 139.2412 423.3422] /Subtype /Link /Type /Annot >> endobj 3296 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3297 0 obj << /Length 19 >> stream q /Iabc26676 Do Q endstream endobj 3298 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26677 20690 0 R /Gabc26678 20697 0 R >> /Font << /Fabc26679 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`\ӡ~1OEI_jcȅ査=0f2 ZnE.FP(BBq˜r)NY~IdJW3W( 'Uk9dP!{oN(Ͼ=jֈvr=CI]%8 @F fgѯ'&DS3RwlVRVM^RuTkI>U}QKRGB3yk|\[-48יq|mau3ͪ?l?7‹!K4>S~3cy/ KiܟBd#Uo.U1" ^_/|v,1/sH IC H1 +ϡmтGŶeV endstream endobj 3299 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=220) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3300 0 obj << /Filter /FlateDecode /Length 2355 >> stream xڭYj$Syұ/ TU|0sj2_"3T[m#ReYo=_6'j8zr\\RM7gBR]J+@BB0'8PVѴoIN+N&lhM?.Vc<"h>AѤU{q|Wɳ."PN`jt>bɋÓ^7xƹǢ{[|omw*϶͇c\\0G7bH;aޫ|lKp^/&uNǏMNX;gZ;H[ZjݻpǐCM|ՄlQC2&2M ^COKf&GC@Z?-~-lb`?(!:/EzQh V0mG )>|w 2t9ttE>H_O Xa{2Z]ܬ_RY%1H `h|cRQUVCV{gnD?rwLL.I,a!gOMr1QԺ҆" ʈ){KKkw:|)8 N!UjZZx8xre-N} 8vǖVCGUR/[7N0#kY1$QC; T%ۑ-(D QHdHNDb-e^0\ـ׺Cs#Iwʁ=na@N`C虽 2Uq/LqR!v5Q|ށ:gv*S)ՇJbjRf]^`\@.vcHG3]PUNjv߾uGd+QhM^8:5ӡ'ͰMO#WJӳ=Jh,JZ9_~Ro-oBnFRGh-5\3~wcmH&qqRR4~C&;u^sOg(Gd*0 l`2\l'7^j;80;B7_Cty1SgM62,^%/pw!Cԗ,X2 0Hb#8lyrrÔwě[O/DyWBm_`Ga0J#0*6QcӅ_XZن Nƒ[Ҵ2K [؉"O(;w#Uk4`pف+@;%U3},;ᯭ~!D[YGOM3~Q'b3V`vG. L YM|D6c3r"g/ܫmcm?/=:C~A*w%ڥ(~;љX}\HRڕַ4Lí3ZDM9 ;]xo;'<;(Ԙ6Lӳ~œuqDpB_+f퀸K֚^n;΂7d- [[xT8'uQGmiȠL-Ȇrq즖67Y3>,9G>Y endstream endobj 3301 0 obj << /Annots 3303 0 R /BleedBox [0 0 612 792] /Contents [3310 0 R 3306 0 R 3307 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26695 3308 0 R >> >> /Type /Page >> endobj 3302 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3303 0 obj [3302 0 R 3304 0 R 3305 0 R 3309 0 R] endobj 3304 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [90 325.625 151.237 336.625] /Subtype /Link /Type /Annot >> endobj 3305 0 obj << /A << /D (unique_56_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20210608081201-08'00') /Rect [156.55 325.625 195.6385 336.625] /Subtype /Link /Type /Annot >> endobj 3306 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3307 0 obj << /Length 19 >> stream q /Iabc26695 Do Q endstream endobj 3308 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26696 20690 0 R /Gabc26697 20697 0 R >> /Font << /Fabc26698 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< + 5pZ [n`UO}:c֯޽:H9,h!*p% J<*eAT Ɣ3MwҔHNIx5s剢xyRٸs@61 Ej9{zs2@}giBxg(7FI% uGZAF 飄fgѯOMɧ5UG)ȃ+6+)C+p|ֻ^k: -?=%CO4i.Ȩ%#_E3Wp:3ϳ-nYm?EŐLD}%l)l1<a%xp4!l2g̪ 7LAMF|B毗b*;9qRsh8rF[Xݛk endstream endobj 3309 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=221) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3310 0 obj << /Filter /FlateDecode /Length 3923 >> stream xnίyi&64nf.ԋ/Im\D*֛EVi6Iÿ/i))e'k/:$}Q#Deo7F󤗸fxKpff'e3S'3R⢵Y% d@mZ\DZG {Edxq2Qtw~d)q>PK?<w/XgO^'ʮ c !aLGMK>d;AE"3s+$ JqDK\;!q ' nP?Y@]C5`> Q(W1FKxZiuo"eM@ J6$~`xAQl5h>)2XSo cǼ1`5T:`훏:#X v3G jȝ4㿮9xMJBF`pg1$_K[)@g=x&WTqqཥPm9x+x<1!0(:d;qf˶.gJm2dwX}Wm'z|X~+ 2 cCQ3 !x\`%DcXlEUIaP]iЈp< Q #=_ tw<24Elf@9͢y'_}Ϭ rJI$:A_C$!B]Mr8fܩPj/O KGhLu3-60  \^k'Ļ-~*eRR{X^Zc-긥;+k GWCgfL^KԱieĕ`8+d+-K@Q[}WgiVitUH]Xf}1 H~uޏվ^i_{}ƞ$IY 2$AšCSRw N݂ecmqlv,9c7qXOfndg>m*RY{ԩ:h<7nl=lw1[V1{z#uf_af2[}L>/~41&.QL֮փoa2vI@AÕyn%AE0^XVB^,^X-z^3HkÁ7ĶCT'n>dtM[@8Nu%4@hf [AbʑQ,Q/nN6vHY6ܶUinwY+Lq*#QIעa_IT;#H}ʖ4f娕+>vjQӈQ20UTPrU%,KꁒPscV Ǟ7t NK'75 )huuPҦ6!_*v(I8ۿ/t F \Qqi[@A:%9V̬BЕ %ʧJF%?Գ"NH(ZZƕBڛuI-b*JחN(xk#ٖ.R^SjDzM(ihssXz8~5IgBA{-P/6YqcXiuV=Q"͒y?&dKޗU[9nT=,^wxOa 3R 68bm$F<V÷oU+^k@Ԓ30J/Z"l>K&C=Z 6&וp yV{La%'J28rQ6u'kjx]) TYsPjA6gz܁ޕ2tFQJ 9n@tV95iq6O'ZۙVSc#U1"L< t0b`v;. nOVn BX:mW6#lwI$v`7U%x+]6w:؅.CЎxC)eVz;*uyfV;a20?^X}Z+e9zNO(Kb%6lU _=(\V\yeN~Ŭq^Xj\17oS+d)jౕ ź|^[DqMg7wlmNz<frVҘ&]%KԷ-)f54"D–sssF%ѰalDX}wv7zŴ:e<(4*R0b1=MN Κ ָЊ@Lvi]nҢs|"?y;Ջ\gl! (ݹ`x @ @,ԼxЪ1LHk;. sO tVY'O4`&ݻnɖa34 GG{B4c1 ]|bu (2Z-|wIi}MvQ`.PWț= Ɩ:")8:zAU;ozE[T|MeJn,CpT'+n5 e囹y1 \-/8>r.xNE{^^\# |6xJn(zssuOY`Si\Oi=I U1.JjOtNtp .狴\vK 7֞2⩽vk"2uVì6TV:$sRk:Y{.؂&XO2ǹT&đj62ڭnWޛAB,7mQLY}SP~D&1l3i@紺9$Z 3)u+_saKwо_^ R6h/Ŵ>䢼X:"cpa4讨sNwҁ)d>k?SLv! ~$WӒ\]|~U4xEQށP8Y+䭉FJ*轱9/ / _>%g!yIǒE /N endstream endobj 3311 0 obj << /Annots 3313 0 R /BleedBox [0 0 612 792] /Contents [3321 0 R 3317 0 R 3318 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26714 3319 0 R >> >> /Type /Page >> endobj 3312 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3313 0 obj [3312 0 R 3314 0 R 3315 0 R 3316 0 R 3320 0 R] endobj 3314 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 424.9423 155.5267 435.9423] /Subtype /Link /Type /Annot >> endobj 3315 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20210608081201-08'00') /Rect [104.1732 408.7423 160.4767 419.7423] /Subtype /Link /Type /Annot >> endobj 3316 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20210608081201-08'00') /Rect [104.1732 392.5423 145.6047 403.5423] /Subtype /Link /Type /Annot >> endobj 3317 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3318 0 obj << /Length 19 >> stream q /Iabc26714 Do Q endstream endobj 3319 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26715 20690 0 R /Gabc26716 20697 0 R >> /Font << /Fabc26717 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=c_%ee8>϶fկ ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}7 endstream endobj 3320 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=222) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3321 0 obj << /Filter /FlateDecode /Length 2285 >> stream xYI$ǯy d $ )̩c ݗSHU]^DE<-oߤT_UWzǬAKCu9=?\-z2MTO/cS1cNΘ+l#kz0!^ { I(V]U/ Q9 tu|dw\hLń/DXSB"l\. iCq)Fo9FlʩLW XP|Z)m\bԆ,R{hQU9ldv m&YC򇶸$m"#Ltb2`m**%jtɦw =(?1]te:+P "[El 3>i8tPLaɮ!ædLBmsup"h|m6neJ̐ufK Mx+Q;C^/&캜eL҂N;G;.;sއߥ䳐]§>.9 3!53'LFo֑p(eСLj9tSRYDl1/]وso 8߸A70a:XK7{ki~r<;K)I/ׂJlԒq\) yjuE!9e2Q;O+Dd-oj]:J7VPf}]LQj7N1ňRH(yEx Gr V6I0Cv h<ݐA.>- S,XȐ67ߊ %i)q* s×֎T>vVmNSFe6@T:ï(vQz]z=!IvOWet +<~EO >Qg囶64e<쌺 z?z~;3y7mkU(itx[DznI>#'wei0-GL{.nge/Q4DEk0:e١K$̏`[qSS1x-wYvLUh)PU{ !ۈW ֔eFz=2Y~ݐGN}rxݓrWFPN[~{=U'@׷Ž&l"HԌOof7 AeBN+q3f &^qKHQ Tv+ #7|v)H:okhHzWy8j1^lg B$P4KQyjnCB;8AA#2&RL endstream endobj 3322 0 obj << /Annots 3324 0 R /BleedBox [0 0 612 792] /Contents [3330 0 R 3326 0 R 3327 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26733 3328 0 R >> >> /Type /Page >> endobj 3323 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3324 0 obj [3323 0 R 3325 0 R 3329 0 R] endobj 3325 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 319.925 147.728 330.925] /Subtype /Link /Type /Annot >> endobj 3326 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3327 0 obj << /Length 19 >> stream q /Iabc26733 Do Q endstream endobj 3328 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26734 20690 0 R /Gabc26735 20697 0 R >> /Font << /Fabc26736 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫)§Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XL5ۏ"cH&`K4>S~3cy/ KaEr63po.IU1" ^oOcj[}K JC (aN^ endstream endobj 3329 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=223) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3330 0 obj << /Filter /FlateDecode /Length 3662 >> stream x[Ɏ7+x6P9 &[>`@Ol\rjuf2d/-RV;-N~֕fu X$:~S){Y1!=3c} =*d]+;a;_'Z=8EG{ 䟴\ L[윬Gg(zm(8sMPh{0Hb4Ɲp L43T23-'.!/9tF'c}Er!߰hQo?ܡO9DrSS֘vI]C>f D ʌ)1h @OyLE/;"H]  5Oh~ ^!W-^Zlq{5eW{+ͻeXҎfsz\nӐ~|#͸}EصhߡϮ6N_  z{kt:G̝3ildл hty =K;'Wt7sP =7k:M.:jfi={`/☍3ajN͇ݩ 5f4O/ oV4*u{j#վ2迹(Bz$3ud;]pGyTq#&Lzum׹ngߪ+fYw_57 gdaO@5k1+On vRa^ F̀!Q6pTJ66ו2mDhᴌ@%3KA5vBlHN\|'~TmbC"܋g>?2g-#=8)YVPRT ^,q)=Ab΃OQ1fT̵8DbT-⺲@YBbnC"Z>ui!ݜdtW=s=%>fl?g-hn2B9ƏÄ7𦾩:0ɭ e&;)U7?B} r3eA R-+VfF?u3,a'iN LmV0s G 3OA%}(NL"VbIG:h9î4u!~7ʵX$L"E N? *<0B5ȆO] Cmz8"GfW$F2?% < GV;cfY + ^wTL׬IEk+Ϻ`ch]/4\XHז͘E¿v|ocKb|k^l$;zSQ昧6TEP_]NZX5D2 NKU)9BqfXE!pRL^EX;Lykf<~8>Ne ,'` G|s640N*kE踈yu4^\m%wK>2_KAvuu&1WyW{ qrnd!a qg`6T9ɔ[:M'\dHSTv-8M9P𮟊c p~憴C! _L \zg'W09)d6󂹜B,"EeZg?fSA:Vg@)[4uX\y$o6R1.Sj`܂] qhz@Ra|S5ޤ-)3c8jğh.VȤk*ZJ&n_glֹ5 ! #t; "fcMJKp8HVa #5#I-l oIqCy N$5S3!sLlƹ|eHiWq|%ܒ,)InZKD2"T%[UNra1sOVYϗ*&,8qN|izƑMe eG?)tn۩AQ㐗o9Gqgۘ26Gg?{G٧}wqml++I\5 +\ABm/[讇O0]WC60ДxE0|QH5"eO; ^L3`X+#ЩC[^sz$,E/S|.8ˏ5,e߱,xW0&9j,*zYVW\yl}ܥǻywo3tRr\qs})~jkc]j;7%yǠPT4C Ԡ}<&b?L endstream endobj 3331 0 obj << /Annots 3333 0 R /BleedBox [0 0 612 792] /Contents [3343 0 R 3339 0 R 3340 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26752 3341 0 R >> >> /Type /Page >> endobj 3332 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3333 0 obj [3332 0 R 3334 0 R 3335 0 R 3336 0 R 3337 0 R 3338 0 R 3342 0 R] endobj 3334 0 obj << /A << /D (unique_351) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20210608081201-08'00') /Rect [104.1732 279.1462 192.9817 290.1462] /Subtype /Link /Type /Annot >> endobj 3335 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 262.9461 206.6382 273.9461] /Subtype /Link /Type /Annot >> endobj 3336 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20210608081201-08'00') /Rect [104.1732 246.7461 189.8302 257.7461] /Subtype /Link /Type /Annot >> endobj 3337 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20210608081201-08'00') /Rect [104.1732 230.5461 200.8962 241.5461] /Subtype /Link /Type /Annot >> endobj 3338 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 214.3461 204.4492 225.3461] /Subtype /Link /Type /Annot >> endobj 3339 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3340 0 obj << /Length 19 >> stream q /Iabc26752 Do Q endstream endobj 3341 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26753 20690 0 R /Gabc26754 20697 0 R >> /Font << /Fabc26755 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3343 0 obj << /Filter /FlateDecode /Length 2950 >> stream xZI6W@ (4PKWyq%E*U/bC-"{Je3RgU ^e]c:=uq)z|&fa>Yh_,%I0jxaQ%pH L]ʣ h\O7􏁫OK F9Z#Pv@:8p')\9iERm`]mpxh}8H$0Up w3K"_=LI 1b w^XqGx.iq6K(ĊLti( $ta BdPqA7JJ(12O{>AB'uu'h1&}>;@AVamyQ4>r\IxطїP귉epr$73=^?[*USp,TXBt,*ƕ0 FFoȘ`^s\ki2ċ!uxM I#H͞BeN;JoWuBrسN5Ԧ ۯBtfy1R|ud9kȕd/QC\bˇiD dx5bߐ8m[lސ::9!T;Nf91[}qLM&^BVMVhw*֞elj#!S|l5!6IwtIX@A}2jnYlM5^7Fk 1Q~9T/zj:}]$GK^wcf:`7Uo !r#(oJb .g1_@Yd0/) x4d)䭩!tdME#NV$T&׀tcܮcA+UL=32Qras^âEEJq*&⦊# ~U#{j.F.psK4dN.Fm6nO`Ǧ{[5{j67j(1QR^ bG]g[UTR-ɦ j(!I+Ae+Ua?I߶(Z>I:H d4XJ=[AT{@;۹h#J1Ҁ5M?RMn0xݙ~_]b4<8yXHHx||(gR,n{ú)tAǾVtwǮޡOwmntQ৩q5{,Y{dS]cl٢EC2VUN7'M7h!y̺w QKf1HQz4ƒ! Ͷhj-ܞyk,>F١,/Pk ޢO墲ve/GO'.a^6 *✇j?QV=}wYWJk"%sU."k+%J$0nlPI/R-d=tJ\r.dRiqHnBHMҊ(.# BwlY4i pZ؛|zGzm=bdP"D4.LO6һ 7w4.~ A[/<{b#;N~Q#Υ>r@{/WM$%T mx']ƐyX5Uh_ṢnUm3W(ΣX:~E xuچC9L:$!!QJf 3%5UyԞnOE?@qY^aoء|ܤ) X'?(ݒR 6ӨUc 6{(ٳ P|&hwH1VҘ.XX&a)ৈ`oQ8nYng{]kx`K(4M#=D@ҮMZ \ݗ|\YJi+yd^D-KK (CܹJOӇڑhu=MqsAϘPppLtdӭU^@ vM IY/~2uKLgigIO AԧiE.blRrY ;L+W |Gt:N"Z뛺~u3hu6¦pݥt'3Hz4RS?Y괶T#,u-u Ӻ&)a9ʐ'߉?y&3la}g_JH'X75L Š#b!ei]b ōY^e+usD‘l5\[4m35>Rэ V M)|C4S|si@n֮iifd홞Du0dQ++ (+|[.N] M+87dz& RX M5nPm[V(B ûؕTz)>F0%deI~aI(bVϩBZ"z7<3j'Q?CY|oh&F1xjM9Kβ~s]ND󈟌~چҪVҰMn s Aa;O3\$?+p?UGܭ,?d&\|+H{E'~ZpB,o8;vѼ5TX~Rma߳AGƆMUG/"ac͢#4Fs endstream endobj 3344 0 obj << /Annots 3346 0 R /BleedBox [0 0 612 792] /Contents [3352 0 R 3348 0 R 3349 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26771 3350 0 R >> >> /Type /Page >> endobj 3345 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3346 0 obj [3345 0 R 3347 0 R 3351 0 R] endobj 3347 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 292.325 147.728 303.325] /Subtype /Link /Type /Annot >> endobj 3348 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3349 0 obj << /Length 19 >> stream q /Iabc26771 Do Q endstream endobj 3350 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26772 20690 0 R /Gabc26773 20697 0 R >> /Font << /Fabc26774 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n*ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛKb endstream endobj 3351 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=225) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3352 0 obj << /Filter /FlateDecode /Length 3739 >> stream xڵ[Ko6W@ ÀvmrXib1^`Ň`-"U_Ei _t:X2Yx Ys){M XB{u]wNSY~VHcr&+WCAfNeGf6 WgKƯ]l)Y2g+D?1﭅+{ @!Џmg~amJ P/Vf^-:Bߥp ̩Aƫ"Ls2 ==jR# i)9V;N@e!2Z\K/)~'hH[c笱/Ul -/ȏbrO1G_ޢOӫ/%=*`s2\IQLWe`!S{{xyxIxy,4f(Ȳ g2<=`FAuP!zͦY6Y6I0Y&k\v`A K2H=3 P8|a]2n8ga{;%EfpD_γ75lNV,n^|N0'`iAo9:"C$dŊw|T^{nܦ$-yWp\-wQvKbԹWLT>V+~u.鹯)M`F\2"!` vz :B$^5joꜙ j=渮Nj2uꕭaM{)EbE Mq1= 8FZib{ nL5l [تU;vCqkf7CPU z !D`|o yPb&քD' 'Nt,dNl/cHg{|[>Lx%fYGVs`m˼62 ]>;3>*z|P'Y;2>!Gq +37Z+SVߐ6=܇"=j #Z{s?.GGmƐUGevO ='s8}ks;8K5mz\db-Z4쩺xUWiexAPQAlP2hcisYBƒ(mB-קf]͒} +ޱ" x$ `IAdm`\>߻Vf 33w̮oL/3=t΂DA'53mJ,bc?Xẋ:3>?{ -uzdPI T!ϊ2Ճ|ߜ|3Iљztxn15{m.?n/4h.p]u vWW*t qOvlB`zF})||'xevGx\o6Z缊m3 ƐC>{aD@Xqy܋27 Lg!1WB bpf)gw5kӯs+:+w8q;0֊ jPV F r0[:am(ous" NgLzbRCt|7iu4Gz q1ra0`zd^3}Ԙ^uG$%l2953䘣}vW "{9o$R]́t ',xlzR#v!1Rv3pzf*/7ļrԟ҇`9s$rjIWLԫMs6uA_0LIIHG%H+#[(9ȸA9ڢoE<*zڠ7yZ6?te $̰-@㋲~<>jc%/͙R^e\1/!͖A4ӓfjy Պmyɼ&?4jW0f)0lJk[ PftֶXyMsLYdɞOdup٦RHwi6@WZDPIK'6vE16 40 DXˆe.{d&uL/s vg/9KMkV6m2 '>0$GZ{sb,e|>jgp BJ#0CM9BV1ɂ dq>IAƫ&~j3Wdk1|Ƹ?pĩf~;NN+iEiy6C9W9W[;Vf]]DYZ`Gv>;%7WN;c(sѓ)r3Ksa11MDb`K34i26Kί,H ], TdN V+&2Sl$eauAH[m4f#eP+ƚq%صG۰UƧH[lU \fJ׋7AbtMMY_fuVzSFJHJHZBGG Ht K3 rҞHܥxH.LhaJ2 G[UJ,(z.)Vdd*|![OV SD|[2<+)i5w/":URPN*Hs/6X.&,AF _D8R\T]T6N\FB톽59~~}R6VceO3m.@W%_󵃜~Ά'UZo,}'۠8 Btܤ=Vp*$Fٍ U#~'CȒ3<kgJDzZB_$;Ͷ,~~le8E6,xt3cx2W~ڡ*rN*ؽu9mNF Ӑ$ FM3 Kic ?av endstream endobj 3353 0 obj << /Annots [3354 0 R 3358 0 R] /BleedBox [0 0 612 792] /Contents [3359 0 R 3355 0 R 3356 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26790 3357 0 R >> >> /Type /Page >> endobj 3354 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3355 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3356 0 obj << /Length 19 >> stream q /Iabc26790 Do Q endstream endobj 3357 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26791 20690 0 R /Gabc26792 20697 0 R >> /Font << /Fabc26793 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3359 0 obj << /Filter /FlateDecode /Length 4284 >> stream xڭɊ,7@(s34<0?LB{.UaYʔB#$E.;G|s)b$鿿`姛^\>~oCB[ǟ/g!T|Y,< ]pW/__G@V\i[޾,Jiix4mPiDzj!70F`]=A)Z[}7Z }aUZϸ )|YM_-+ >Nާ~/l$NZ h7z 8ǝeH\{Z ?LM*wNiӤ0S5`!q@ XW\ @AΦs5 ~e"Ƿ&eD`GؠS~z/]SL¶CipA"q]%KvZrԄ-f-M:@oZʽ6Pk&*C"a@[:(:*H++ȍB#qI5&6e*9>^V*]N++)fK^;4C;Z<8Xm Yj'53DI* ?\r#=>(Nj]@S:7Aռ,!\˭eKP;z Y9s)'pe'-4> -ʩe!(@r;@y b9]1h0Iד#5!Z E:;J%kQ@IW7w#=^x5fjTh HBTrTc{9h!Rs&SiRwȺC\%F|WHU P(BnQvdAۇ:a`AREicUj!%eF@# fƊN3+b'2 ̏jyՒMwג݊3Pλ_-n+Fb}VHR؁sUTgpzzj>ٴX$Ҵ_)eMadX|j 8: Fž~+d;!2#_sfqMOi)V KJmԬDz)7tR.0)(sK7.^nnE7oo8et[(nqYִߝA7 cc?vOvP/9*Sp$|ȝ k!~πmme*nO<~vXөt}cr: FeN%᫣$y[f>ut N%%5DuHl܃mQ-=[@Oi̡wV_^w:p#, }zx۵nk )ƝuCq ي-R@4p%+ V1U9!Ⴚ |j9`6l?\-S# -}RSy~QS#g 3 ]ɑz.9"m7;o\?Bquk]sCSoO9qjV&]l gqs[%9Tdk5oŅY t){|sZC}o}v2=Fꦺ7\=YJnwGWX ^HǥRncku)acv^s2ưؠfpny:&QE/LW>t‡?R?XK}u!:6d0d 4eh'D]Z%es|y<_<-W@ 0J@He~ yj$ʵp$%d0̻+Un@dODB$麃tcz2Uh"ZHL+i!b.6:(M1 cO9)n<{8(eߍbsX& DdNww+oX1#b ĕd7 ckZH?`S)^&Q-w=+|mIKX/\B+?̯SW7q*dy[zS}+B,':r.HLP}9Cj) ij@Ĺ)A%L%\ J2.j}-flR95%J/!`@Mж#mFX& ,7g#ytk"2Yza,Z ڬS"Jex-[U#S-/.1βT0Swl2M+XRGmm7eyg5> []#Bo|Ԑ+yKGw4K,=Nb[r4pW7yrYbhLpDLeCRt,93t̤;g-𝾙+V46i\/K}6BeJ@5bމM#!QMHq{Br) >?U^5_ʓia4d,Bիkݞ A^-%^w雩 K /Z.> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26809 3369 0 R >> >> /Type /Page >> endobj 3361 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3362 0 obj [3361 0 R 3363 0 R 3364 0 R 3365 0 R 3366 0 R 3370 0 R] endobj 3363 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (copy_bd_objs) /M (D:20210608081201-08'00') /Rect [104.1732 138.5694 169.4747 149.5694] /Subtype /Link /Type /Annot >> endobj 3364 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_instance) /M (D:20210608081201-08'00') /Rect [104.1732 122.3694 201.6222 133.3694] /Subtype /Link /Type /Annot >> endobj 3365 0 obj << /A << /D (unique_394) /S /GoTo >> /Border [0 0 0] /Contents (move_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 106.1695 173.9682 117.1695] /Subtype /Link /Type /Annot >> endobj 3366 0 obj << /A << /D (unique_346) /S /GoTo >> /Border [0 0 0] /Contents (update_module_reference) /M (D:20210608081201-08'00') /Rect [104.1732 89.9695 229.6007 100.9695] /Subtype /Link /Type /Annot >> endobj 3367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3368 0 obj << /Length 19 >> stream q /Iabc26809 Do Q endstream endobj 3369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26810 20690 0 R /Gabc26811 20697 0 R >> /Font << /Fabc26812 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pV"thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 3370 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=227) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3371 0 obj << /Filter /FlateDecode /Length 3261 >> stream xn#ίd-rrd6<f.nɲ=.4dbHO_'3iTT vi4b>.Z90=}k6k}Z_~%baz7@r74{^kmQV,sFME>Ls o ȔW?2#c ʉ1MK\IۍNfJv4':o$m.Ua!Yۅְ߫`bs# 2Mw"0qꯈ(+$)de{#Vİw"Pt$EV;!j4n<:ҥḰ̕-K%d:'y%{rZX:uDe@-r"5"#%Fv-F h8mIwGFRk1 !";CPhu^ȘhWMz|R_'= >Ow#D܄}-9}e 3Ђ*x-Me1/Nvz=vcfc:thd/g6sQOO"?ZX9=)Di߱ L}&m:0kDs3rj88m܉8U"`{ l){kfQ%bPf[d_w +]!:Z}9k|\r)Cd1WMB_9pe7 ste^ PD Bf7-otؙhg:{8&Dɫw0ߞg.-x=ҿmlqgǴԾMm}ȋo G^C zQ,z32McXؕO 4-^J la_v!k'g#Ewm nv9{h{uyH㐤N&HMjsf{-PaO=&@!Hb'޿՗|AxsGa$B1OWV)(%Ha}tk5fe fHڐѐR1h2:?%/"M \XT0ӆ1u1շi'[i *QrUuiI]T0s2ԅ Fvgmٙ3ZHk^u2n|s~m~jpy&^ k I%`6u]d6kq*z]VͰ_+6"dΑ L(%i,]+Ҝ vvWj F$$Uꦸ4pq_@ʕڨ\ ^KJ["bU*][iuQkmeLGwJw0 -()C|Q|f6rj,ߋܴ&c ):2:B<,UEfdCUt8{rx+jƼxD7G%e^3S8o7*ui ]))U $81xTJ WsZd!_sk͝C]Wn9:$`U8 {$PUEcaVzd|Hb¬YD~FGǏ;=niFvKꝣ{S;g@brPd~ ϧ9yZmsCry PAlK`Y$~ 38 pZ}lc/1y5i1V#vD7w5{eviE\$jZJ/nɕʟW{6Vdz|phء'rn4 2ـ_1S*R{<Op?I!}ȎI+ /vœ\lk~cD2c=}w`zlt6ܘ4ۄ g6!8ABA4Њ@9%*ںܤYÐOwc>_ O@GwumUX G *0}VMEg;+3Zn+&n:֎0:CXg[՛T] 0el6o1]厂&p;x6Y-E{K* A_sz2j\*y 7$yHT/d# e6dUa Vʓy= )MYʩ{$U-%uFI]z# KdH_EPtPO}g6* `2< +2K4W@^<_ X%&xzGqc':]kUv#GJm{znhڢiJ$+SQjj_tmOᨶ`@!xXy!cuzst_Xf&Qccٖec˥E#HMP1D1xn|Z빊lHf%4ָM~m١k ) bgREGFHb>&+_HXzas*ПhNnN9!fІ -z+Ϙ HN3ɭ_-<|MW>|_^x'hl-䏁t"^GCЮ /0𝄼LI:GBxjz~$O+,2_qҦu\;0H kU#aZLB”}/Re4C!|I8d>9;EDG`N 1gQ j endstream endobj 3372 0 obj << /Annots 3374 0 R /BleedBox [0 0 612 792] /Contents [3380 0 R 3376 0 R 3377 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26828 3378 0 R >> >> /Type /Page >> endobj 3373 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3374 0 obj [3373 0 R 3375 0 R 3379 0 R] endobj 3375 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 339.925 147.728 350.925] /Subtype /Link /Type /Annot >> endobj 3376 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3377 0 obj << /Length 19 >> stream q /Iabc26828 Do Q endstream endobj 3378 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26829 20690 0 R /Gabc26830 20697 0 R >> /Font << /Fabc26831 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pV ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$j endstream endobj 3379 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=228) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3380 0 obj << /Filter /FlateDecode /Length 3936 >> stream xˎί@+|LLm|rZ~ŇR?)^H|bUMfT Viu2'k/CM_ާ~ǧ`5P|o'5شњ.!:?Uϵ?&'͜&,8}A; Y39л5?Bϯ}΀);{A:m}R0Lk˴:KiԡfJ+BFBN04ɀMt{3% sKxdyN(s|PkD.Kez˱CŽ-IT:YHe@DBbm9Y{1 k:km3>JkF?FcH5~9^᡹PmeU IY3k mo~sXW.j :zѻٍud]u9˙[K jݑ+׌Bx@ zn xtȰnMY (']\ls\xԜljn ShܐR]LEd^aj͉0&!`uW[mژ2m23zT.Sٲt0k'&' 9 Z/$q / ilF=uI3O€B :$C7rёmD~e &VʎXғk1gPn '@Bnȏ凈sv=bש;g޹ՅIr E|'w[֬i Z [.kh~l;xL:ܶtl;} q1B,E4kA oW,K5KN޽mCmk}ݽR>D DIj4} i"Zleb! v},wg,w a[.mZwҳ[Y;u-/1S%5p:{Y ow.43<;%mD!2M )dP<8Eo0z8Z)Fpo1<@^p,lەzU PmPFt WLf5V!U;BTuG@BT,+jZ\^bjZCAFZ9dP:gjUY,!fũprV ь 'Xr]-J+Yg :yY% .Q#@+ԻവnTUH]X*f{bQ͊a+Q e$պ^I_/{{7ⒺItbZx*XXU>lVFD K5ͮRUGGwcq0̞]ώnM\E܍JAۦq>BHȺϓm]v7 W/=$v7Y)ث>Ab@[-bO["8Ğ#-ľ~mTcez[qk`?< 9 ]i[A\a + B9+gp2nWluK­etx|ܼ=,:v;f%hlĽ¡V$k3yU KЛA}%[ o¯" Q|Y} ](m}C7m'%[fW%_p$X]>40$OڎBm'w@LL K-hܓ$^]!lnF==;`ϒQ# 6#a>5rgΰrcYpEE@QHe0 En-l+rrxM֢mi$FV 'n *Q\&&A5TQݡK pwmEi+?Sb*cnSnRr -k 6 &喛h:,>JPUJ^>TV]&rd$da UI]&Vb\mP8> &ki#Vz3gP䖪1u=8i+$K{=st#*F (酓.ι 9q.}#`Pi)e U{і э(qXQXY ]K'7f@|1vrV2?=cSh2ߩU4.qIHU—[.}E{;곑.ĴMv`Q,f%y!QyTVXnJyxF/*4:+d&lK$P1 Jj~{PUA8|>ə#w19ѐ_e8<Ҳ@sg֋-s ZvvSz)iUh AnIsFZ.á$oƢX,hnDI,edK"olQ~_,jH)AZ(JhfË6EшW7k/G439׈βm/[{SSEO'GcUN6*%Pfok̚X*ZĂ+k9g_!IՕ"DX:\ 8*,TwGw_ʲ!˫[jn5ar8:0 xÍx'MnNi 6SU ( &"C4)m!;7W}baN YF#EgpS'U:㭨 )6qwP܀AjЊ@9R,a>pfͷۘĶ1W#^]]:c G]X Gtm>@9$Px&J\t$ʵ [ IIfqe"Ol;&X7g˰y6R53h7,DB)3xJA=f)8W% JӟFqRx8Xޒ xikT1cN ĕf}M8uIm-W)ut݀>TtCԣזTleDx5q|H52׿ˡ!?:Z80: jޗ\jEU'k[͢ϑ4w oo8b\Pm, :6&ەf.|P1еWE>C30~hp7iqKXi^ oHxWKZ:L,c  d3_ĒQ`~́_3֡|p88r[˴d  T<ۏw%|}cW Lnw2\˱l3c΢P† endstream endobj 3381 0 obj << /Annots 3383 0 R /BleedBox [0 0 612 792] /Contents [3392 0 R 3388 0 R 3389 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26847 3390 0 R >> >> /Type /Page >> endobj 3382 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3383 0 obj [3382 0 R 3384 0 R 3385 0 R 3386 0 R 3387 0 R 3391 0 R] endobj 3384 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 343.9577 182.4767 354.9577] /Subtype /Link /Type /Annot >> endobj 3385 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 327.7577 192.8002 338.7577] /Subtype /Link /Type /Annot >> endobj 3386 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 311.5577 182.1687 322.5577] /Subtype /Link /Type /Annot >> endobj 3387 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 295.3576 179.3802 306.3576] /Subtype /Link /Type /Annot >> endobj 3388 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3389 0 obj << /Length 19 >> stream q /Iabc26847 Do Q endstream endobj 3390 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26848 20690 0 R /Gabc26849 20697 0 R >> /Font << /Fabc26850 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nTC;fũc85 e!Um Ln;|/(q21r$bE0EP,3wPtNUD]IeNW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)^G+QO TϬ>JجhVazצb/mk: s[({L̇h*$S#2yk|Z yp3%JivP(x Ph'-:A/}T( endstream endobj 3391 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=229) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3392 0 obj << /Filter /FlateDecode /Length 2891 >> stream xڽɊ$y ڥ$2r6> >cL2i%kibNE&4d?toenfR`asd$fBh㒪JIrU]e1v!J[o$EŔ\FڈcF8ElEsbs(:оh$TЦT\d'K#qi4O炄U R&*Fny'w$Ž9lLP=3H sTP¤Fbȵ^Y|Ss%nbT# EN#KGi)}fJemEe<WdPxM/ s|Y`[Xp}}ey7$n|l}#niinWz"\Ffԗ/Ő~SWHbc.b,ߍJj~LIjJ W"WrǭLE6GS XyIuU1Ǟf|"3r;佧9 e&D<[ls\qfpGڎYmhzזWg|áäӕ?28/SM%Z>.5g#}hKd .[m _ )= 30#UFUfx ^cz9nHCЧn5[TvW^--$cM匼# FrY\se|IaeI};I̡e }3YȍS=ceG,0,qCF3z! 3K `Y8qH qn -J>Vҹp'xqdEVZ {ḟIl9r˶Ă16͸c +4S)IW{vk{GՇd R&+.彇-ojA,K8Nf~oY 8yjmh׻G ?}``3[sp鯿/xY1%/n2&P}起 uojFa?27]9b+ ;Gks]l?h6'<i&t)vu4SI-yt>c {!`o&lxYh.\s1ň'q=D+̨+++#̭"|8\:?NO6G ]DC/`9B)>B!OV.'R3dڎX2]dN"A=drAW R^H,Γy_B  1mt-Va}6C 1W[1/Wk ϫ̀zL&@'=T a897=`x upb'n4eQ?H3N5LaX2셔1l&3__Ւh.EU|8U-%$G2k) ^K1j \ $ъiʜ 3Ty9#g:1F{M( QIiRO8jgh5uM[ WI@dIgT/+Ӥ_uNebU3MC#(cyDy }f'T`*D<}Ft49ԲWZHEFaXꈶ)ᒜLgn_L9ʼnN Cpn A z{L?Xv!Dc@v('BG>/nwE&h,zfM%KUJl¨Bko "+HR}Y)?aU$/^,,R"FuPL0f j\ՏZ [/ŸLES oHTpCc/t5H-$e;! y^zƥEjΤj f[30bW⡳)d܃"ǜo=<ï>HlaL(8'x)( 5]~x6/° -4  9}"0W]J  %rC R,:VA|,Y4Wv( endstream endobj 3393 0 obj << /Annots 3395 0 R /BleedBox [0 0 612 792] /Contents [3401 0 R 3397 0 R 3398 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26866 3399 0 R >> >> /Type /Page >> endobj 3394 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3395 0 obj [3394 0 R 3396 0 R 3400 0 R] endobj 3396 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 3397 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3398 0 obj << /Length 19 >> stream q /Iabc26866 Do Q endstream endobj 3399 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26867 20690 0 R /Gabc26868 20697 0 R >> /Font << /Fabc26869 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p֬ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3401 0 obj << /Filter /FlateDecode /Length 3597 >> stream xڭn$ί.s_Aԭ6>9c`۸UWhJUGjE_R Viz|^~<Śqk1uNkB}֚զhlǦcbx d3:-8ӳJ\#,Aao?,ƒImMf'e /,EY.ZP֤CR֖OXwu(8c\˥?KKBLgmbGmý@^RU #E*7zz->|E_ h&.CaYjy-%cWu 8 !k})@D& zxct#ם/iM fδ! BT[{2lջ"y ^`Zf V1S(ӑrtiR:8CeVdwF!ڲkv)jX|=V H̾j.t#݌Rj"rB"7 D=7t{~'&~eIƂ%7#إ؍jF ug sZuꕭ#x;U C':/ßx7:K(h` |A8RByb{@=#C㸍,/K\6+AEveqIoKSsKǻTFF++=4f/46%ђťHn\}',ˍ'5Qep~`V&_q~pGԄ_Ia 8.9ӂsڿBBC`}#xe((là+@k}؆' @9aьP5@T `]Lrċۍ+T P}P'"1̴zjB!S;ׂVzkSFҁ4U*ZVk/F -= mX4(G518f )+.+[ lԾkgB0Pˍ[^nU⒔])MJNuA|*53S苣wj&MTK'Z2jhoKNHtײbtUH kG2o S'Npy\SWNvs NoCZ7ٮ&Ѝ. ؞/g'n}kƫoJ7~afjݦ]^WH;Զ+xǼ\m ڴ'ØY s61{1v^5&1+tTm^-Wf:^`xwmHִn^"/θSwMAqd{o)L塣I/"/O}M@_Szb~٭,RĺqvbWOU"8{:{ȰcUv]Pc*OPcؖӝ|fL 섒 x3 sW֔JzM1-竇>ܶԫ>d)y kkjtuʘ$?J3&4j,iO/dd0MÎ ZF:`صtI:ݔ'Ֆs/=ЮnJ (~Cp#P>U1뭱%.:2Vkcbq:JS&/GzCwpa[e̅cq,fFgM6+p0@ ;>`W ށSI, P؀w2^uW 5F ;*0W(5c9ص:GېUƷa|Y1`Z2=<<9wt14#դkZZgd$%PaTj@S(]sCbݬyV,:CZQr Mu6f͸358kpvˎq8+uBːtR }:(ҷvU8˴$ޮa?\0V6"d΁'"iGx$o+HXl?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26885 3408 0 R >> >> /Type /Page >> endobj 3403 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3404 0 obj [3403 0 R 3405 0 R 3409 0 R] endobj 3405 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 202.4252 608.2] /Subtype /Link /Type /Annot >> endobj 3406 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3407 0 obj << /Length 19 >> stream q /Iabc26885 Do Q endstream endobj 3408 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26886 20690 0 R /Gabc26887 20697 0 R >> /Font << /Fabc26888 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTΛ7acX`~߇]&`j[i8-y-xvw7pV-ЎYzrv"TYȵ7$G5UJh<)*e2 f *c*h;ɘҔHNIx5s剢xy"ZĀ0r2dv"`IPg{ֈ.{R(I@;bt+ RM%4 <~UxoBDM>5TϬ:TWl@+p|ֻ^k: -?=%CO4i.dKRG"rk|\Z-: ufg[XLZ=~7‹!H5j4>S~3c e/ KiܟBd#Xr^(`s2ҫcDA ^,s(RKvP(y Ph ۾{wr\ endstream endobj 3409 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=231) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3410 0 obj << /Filter /FlateDecode /Length 1494 >> stream xڭXn\7 +p1<<K1@EWN7}LEƵD:")Rh-~'jJE)e:\s25dcmΚK`֦1g|u/ 75\Ɲnh0G{igKՆ> N}aHA/fP^iIFKAN C6D|pbXC)/5􉷱,PRJbq]V $ ˢ - }N(D]h;/ꋶɱ,g칎$MON0?e/dSMk!AL _t| Ow=,?JWN}(ȂSj,vtCݳrה%9"Al49"Um#UHUHm $e-avIWqu6J@> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26904 3417 0 R >> >> /Type /Page >> endobj 3412 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3413 0 obj [3412 0 R 3414 0 R 3418 0 R] endobj 3414 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 358.325 147.728 369.325] /Subtype /Link /Type /Annot >> endobj 3415 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3416 0 obj << /Length 19 >> stream q /Iabc26904 Do Q endstream endobj 3417 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26905 20690 0 R /Gabc26906 20697 0 R >> /Font << /Fabc26907 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVڰ//d"W-j}'2gH5{ _@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN` endstream endobj 3418 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=232) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3419 0 obj << /Filter /FlateDecode /Length 3911 >> stream xڽ[Io7}#!Id钿z-CzeZzg6Iÿ^Rӗש֙))W<|5n:X w!;۝oNZƶ~ٴzlT@lO?_gf=e #WWMiT:9 MzθWkJ4o(;WhV>3n2K]PCRÔ\Ak0+emT\Nf)ʘ1#cwSqNx$ok6H("AhlcD2#Š@Qnz< ^O+L2i²NT2^UfrvN'`~9=ҽ 'h~|#= iax[fP cy 'i5f #1d30ݹS]u<txΝGyqoo(EL4UՈ@i\>|.OKdy˱P/f(G;WN'+'[ PVp!l\U aVռΥdz`Q1o35:}@{f< x\qQb\6cf m^jϡ7c`1q4ӞC=TNd?~|в1(4hwϝ2n@SS%A1\Fx -|p]J-tUҀ{Ni_IX5 =`UuH(,f~(om⻺hAQHBCEXD\ַ}ԏ"b" ;cou>8+l&fj»q 1oL7е#@x &(la ZȓmCp;b8Q4CeDVJoT:fEp6(6J(Il PA9tIg=LAݬ*_s-x) j?kY58NQ岡ԺvZP7-qt!0g kj=OJF<9*g--Ӯ2.@Ȫ~֣Kj qYao &kcjB:M-Q/1,v.Kwi N HV&UUK5:cW-υZ7:V;GL;Kk_d5Ң~m$El$:qP3"QjFטZzgQ8$8ts.v1Ww ޷#GQ#JC cT.üY]|]@>9j czPCSmGwF[[Yq;{sb@n#%O/1kgcSӠA!¬2vNp! +1T9پ"a#ؔVh4q%;/PsWiUc_8fk |zJV(l Avy*`*_FA-ov!o7G"H7yԝPs/ 4Į{Ru΋Oc87n$n:A= 1X:i>(b .3)LgaoS*f(/}uD|g}ȎJA΋0'h.x<69< `}rRE;.L/SaJI?)C93d~%t#ev-'nҬ9ôϹr>H|Z3?yEԙ2@=Ti0[;_Pp@~ilr+9`3b}J;m2zG~4;𬛳e< pL4 ȭ&@3A>:,p eN?b( .}'t&bdM]Y_P<)?T@:UZ%x}|;cnf*WD,=;i i,RıV l0sĩas|-H'iPQ-:G '|+i>j/L"%n)[y e%/x><݋HF>9k6 9NR/6Xo&Xi&7 .>UVN\Fu|톽u ) mqElgb\_|p;?{5!\s* ([~a572z^I>&9Ao{};o8G}:~쒎*oN(/3-Bu h g4 3`NG='{%ixC"˳~_~krù % ×plpr8T9f㣼:_#a!~|s2O-5HOАd1: endstream endobj 3420 0 obj << /Annots 3422 0 R /BleedBox [0 0 612 792] /Contents [3431 0 R 3427 0 R 3428 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26923 3429 0 R >> >> /Type /Page >> endobj 3421 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3422 0 obj [3421 0 R 3423 0 R 3424 0 R 3425 0 R 3426 0 R 3430 0 R] endobj 3423 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 233.3423 202.4252 244.3423] /Subtype /Link /Type /Annot >> endobj 3424 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 217.1423 176.9712 228.1423] /Subtype /Link /Type /Annot >> endobj 3425 0 obj << /A << /D (unique_369) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20210608081201-08'00') /Rect [104.1732 200.9423 176.4487 211.9423] /Subtype /Link /Type /Annot >> endobj 3426 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [104.1732 184.7423 188.3617 195.7423] /Subtype /Link /Type /Annot >> endobj 3427 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3428 0 obj << /Length 19 >> stream q /Iabc26923 Do Q endstream endobj 3429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26924 20690 0 R /Gabc26925 20697 0 R >> /Font << /Fabc26926 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`5thǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]d߄}j]֠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3431 0 obj << /Filter /FlateDecode /Length 3591 >> stream xڭɎ#ίـd2r0|d=<~µ$۶QS"7ixK_:gd2_M1kEFsZp~W<_.Vebo:j6oNj}lO "%m]Ʋ墒BwIZI.pO$Q/˫\O,D'mpg ;| %6nшŹG|.k4<N'I-Cr" gC7x_ۇ1ķ*9H=^bE=~<%<&v dQM@4!tcfW 8B$\|RVGK*,ĺsj+LB FMp.6iu{y﬉B H`xZX<UJU/oTop3w25#8f+c7q~H*%Pmy2<(I,"l )XxݼkbP YH3Ys)#K38YzN- ؍('b: cVՠaH@iEPaoXi*L(tU;xJV6v,30qvF."Pqi⊝Eb-)]U^uD:VX]L1K;NwcˠE?"v g=v;@/;آ\<<F-WXr$ 6 )@,dm׏gn?%}DAd7MJJ((NxK vq{ȥO?Z"O[g9=+B7/[v7mފFKMudvȁg7lɕmϕb@C,l 1X g Ph3rC~+-B]/>tX+/S4-'+ K Gf䱮+W_s2ug2 ])r-leղh _K1,LJGU%jzi\#c8ͷ P+8LYd;MgV}Nֽǝ`Sԉj^㯨3p ťi̿;؍fa"܌uhkRAm -nL;I)6U*\@YG[bs-Z5*Z4ljQ[ Eq.Gk6ة{j1Zzf{&"x5Nm&CELStSH^쌶Q\}˞׺5:!gf9-h8^_} 1{r $DS}3dǵGM_:[ V=Ǧ˪q1yuĴcfa.5koks"Tk$j^@0EĄt(@bhJuiq?⳧tϦ=C9n~>{Dׁ͐ナ=nM e( K{W~-E(o7oѧ~tQBb\pF'BE/ ]rbQk˞>Ǐʷ0cG 3ԅ>meCcMӘ{wmP ]u G!3a.)$DۻhsѬ-pj}#|<-jɪ2wVK1xۚBuE,Y/| Ŕ<@c|mә=s>@smuV%nӰ`|ãZriZle lK9xxmG.c"d4@hdȬ.'Gp-TxØۈmL3"Z ? \.iH1MiuʀzN&]vXoߤ6OuQY:-4l X'- |G4k&pÍV"渄}Vܗ\BZwWZik !xy'oAчoe imG,D.X+ѿtU\MzAT;yuwMX˯v!c(u- f04 AED]G4,b.|>!/O ϫxu,=T aV3o;x|Xpw J#ne~X߶ l_Mr]eLc .E[ p@W1Hg5E .`9}p1!=[=b$ysRA͉._C`& Ul@x .L#h0]Rɓy=*)2g4U̚* Mi=kȑ,  )Ӥ 89o6* nE @,Tء @NF|Mr]bG(ۂQxqѡB~Get5QTvlOtcgTC0C|siܬai67.o!фc]QlyNȥih##H `b?{[!FUe;@r+ bn F^Hax* wR@#%|bfK"+~Q=A XԖ㿪\AJ mPKC/>RI>PEx덲[RR\n~e&JkzICN@f`#,|g!S@ y>.pvRByiu&?xqOܰ]*Ƽm C% 0R|XLP@+?)szRu$蠨iC]M܋&r}*Bbgcɢ! endstream endobj 3432 0 obj << /Annots 3434 0 R /BleedBox [0 0 612 792] /Contents [3440 0 R 3436 0 R 3437 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26942 3438 0 R >> >> /Type /Page >> endobj 3433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3434 0 obj [3433 0 R 3435 0 R 3439 0 R] endobj 3435 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 348.325 147.728 359.325] /Subtype /Link /Type /Annot >> endobj 3436 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3437 0 obj << /Length 19 >> stream q /Iabc26942 Do Q endstream endobj 3438 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26943 20690 0 R /Gabc26944 20697 0 R >> /Font << /Fabc26945 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKd endstream endobj 3439 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=234) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3440 0 obj << /Filter /FlateDecode /Length 3779 >> stream xڵn6ί940`]r댁9uݗyT2.KN>j>IÿT Vi㛺q5_Pcr>NB}֚nզ`lǦCbx d\{MOLO;>y #OOMiT2% MzθOkJ4ӯ?+;W`V0=dta)%?$*Q5 ekm X֖VhEvJ7<ʸppfqGڸ[EBy`Xe:?pl?H];j!r}RkjRzR7JVWKkA*1q1VgtCxr”vI<+jsCL1*K 4(&|=Yr[WYKb^8v@C=٫,*:[@8LUɥpy#{ELȁl`L\ź Aqt fxΟm79i L<ⓓ{I@ o։G!ί&w-"⫴LkiѺ[~Wooz2*9"e9^ fV([yn'>\Uקk/Ye赓}R#Qż҃\[0s G26=w֭2$'#]Nչ1)9^_@[}JtIc%pKyŪ%-$k q46#nH&^' P='c6ꯙ\$Bո9K/1Jkq 䒴=e2"D|]%faxWޗ`ҝFu 6_q'u)N4&N G8qkn'6D&n˨Nxq Y3搷<-_}ad2!lY\b]1mNY%6jL@|WV)Rٲэ Z" qUgF\\Ҧ eTm[TMc!o04oԻs(ݲbdJ<* eC=74x~bBV %"h(EV I| Q,pǭڤVw\u̹q3.ݘ9!b Sf tL3KL|yG K8ʮ-d]/ [.rEF%9CS󁧺\J8s٭SŐSYĺvV,ɸu`Φ`QA erFs 6a>и҃pz >T*ܬt  Re5CLn m%zN45GibИ2nE(GpE0]uSf-ҥL PN6VPgڝRid-7mcw7q}XG{&aIaR>2M̨!'b&wO8#qqݜ\Amug=Dw3w̻?yu5mnY)g4 k'|[R*f*'Z,:59%D#F!)yշ#D,w+ B!D?I:gi0=O 6N w VЊ@%Ray6|,:| yE~zKTglA9o@wmLxd&J\t$ʭf,6CD23Lm7$6{zCwPa,Q,U8&o^H̠ f! \, P2GXz.>8W% JӇQl$c%AuA| ߦF 9 ]oU <5k:!Kjo ]ðf%wQ~%);W9=Lbj'a݉aF@ endstream endobj 3441 0 obj << /Annots 3443 0 R /BleedBox [0 0 612 792] /Contents [3453 0 R 3449 0 R 3450 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26961 3451 0 R >> >> /Type /Page >> endobj 3442 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3443 0 obj [3442 0 R 3444 0 R 3445 0 R 3446 0 R 3447 0 R 3448 0 R 3452 0 R] endobj 3444 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 295.1692 202.4252 306.1692] /Subtype /Link /Type /Annot >> endobj 3445 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20210608081201-08'00') /Rect [104.1732 278.9692 192.8772 289.9692] /Subtype /Link /Type /Annot >> endobj 3446 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 262.7692 176.9712 273.7692] /Subtype /Link /Type /Annot >> endobj 3447 0 obj << /A << /D (unique_369) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20210608081201-08'00') /Rect [104.1732 246.5692 176.4487 257.5692] /Subtype /Link /Type /Annot >> endobj 3448 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [104.1732 230.3692 188.3617 241.3692] /Subtype /Link /Type /Annot >> endobj 3449 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3450 0 obj << /Length 19 >> stream q /Iabc26961 Do Q endstream endobj 3451 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26962 20690 0 R /Gabc26963 20697 0 R >> /Font << /Fabc26964 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3453 0 obj << /Filter /FlateDecode /Length 3182 >> stream xڵɎίyU4hi  ɉ'~ƭ$u{&Ud߾QoY. :\F|3/?^Iq/((g>Wc /DzK]xAXV Ю`*ƺ`9u p羁q-^q%xwI1y?MuQɄy^O ބs>k.> mg޵`=00 GK F<4Jټ rvfa% /g+7rxm*rd36YP 9Q͈ ό U i\Ά%ՅJ6\xʚ&yu}UZy]46yrYGqWVjBFDZ˙4w}{g$z.pO͡\ګYK]"hjBR EGEe/ǽ69!5۲ҥǴЕ}8$"aY6=% KɁ\mʋ#KlB"7$C]Qd6%Y[]Q+`ڲ#$Ҥemb$ﰟB=/!-Rp؃HQ"f8!Fф<0wUwlBQ_| JW{m,M_M%͚aw!8VH[:r@I4ȆdS!\{x.r䮎L^ݳ?-(rcȬ#|~Ls`?ĕsTn؂aa*R= 8$%BbW =Kw6Lrnl~4ߊ'CxB*krlbFir;Aih g|s3қ4UwSY B N{o3zOwR5 ZI@ֵzZ?"pT1u k庪!iA݊٭Fv?շ1g<ٵ<Z5y5Z^Q\}eOk[H25aNK4GN$һHb$jjxT&qyQSŵ|rsAV=ƦӦ@w3ym ;Ȭ>mCs&U0f ~V'[ ch.͋œٍ$Q7{QU5ws*^woz!:5pwB/-GX)knq56y?6Ww[7M^;>e0l{Ūh.c5ǼwtkYl^G e .Z)24pbZo`&[ݰv~(x~ V4':sOySn*`!q/mK|,܏ $T6䧚W:JUIC;%\\wlCqBͪxj>t)[gmJ51uqAٰ5\ 44F)AH n-j簎*u i@^@+ -T K\51fC"چi)oY%37S=:`(ܨ`Ҵ\Wdu&B_Ww^cm=\>[ xt/'s._ҿ)ߒON{O 4Get8~5xP;QjAW3?O}=_$m FW@d{@GiJ=?/\jOC}5Ů+I {9Pl]@#'it+q+s(L+Xڳe0 tj{<*qWz"j^dU<ɫpW!^m5B~G2 RG.4BiB~`Y)K |87fX7nΨ}f48aOm+'QN8|~:2M}aM%kUJl¨Bkw "+HFJ˴hϪ겊Wq[ }¨^ꡐ,j keY\B`왪d\푖I;b:$*帬rNZYF*"d*x NR~. }3D1whymҔZo3ia rǦ{,dw03! #\~+ ~+˜" \Q=8'x3y:`+څ{s$ ;BXLP2^֪k{Eg }%rC K?,"S=HǒEC2 endstream endobj 3454 0 obj << /Annots 3456 0 R /BleedBox [0 0 612 792] /Contents [3462 0 R 3458 0 R 3459 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26980 3460 0 R >> >> /Type /Page >> endobj 3455 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3456 0 obj [3455 0 R 3457 0 R 3461 0 R] endobj 3457 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 358.325 147.728 369.325] /Subtype /Link /Type /Annot >> endobj 3458 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3459 0 obj << /Length 19 >> stream q /Iabc26980 Do Q endstream endobj 3460 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26981 20690 0 R /Gabc26982 20697 0 R >> /Font << /Fabc26983 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p6ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMXh endstream endobj 3461 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=236) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3462 0 obj << /Filter /FlateDecode /Length 2725 >> stream xZKoW<av/Clf`撿zQjq#H"(JV_uY.I~|SGSǯ>9ۍ1!݅܅7ߪMѺe\6.vz+r'B3眣_ܹQ}''M7VfN@>ٚgWqڮqq5Uc |fzfP4c=܁m㘆t[T "chܭ7 c=E~|Q;|4 zGlW甜MhcpK _|>ik5m?~jYլ,`C19}mC@?Qd߃~_`~gC@ qoA]?,Bx-Qc'%3AIkP,䶲w^'e/1ezc @hZ} m9PRpkgSR,-ш(1D6c ,En.>*$u H+2ÐA"}Oh&Չ˼PYMIdZ,-r,0 G59@'Dg\!n qxSbRL|g(!c5:&Lg ˀc:qiniԟqxqӜ]$0t fs(Glƿ9ѿ12DjEM֘B8g:AOɴ@C Nt2ka/ +u\˲`'^afF/U@jj 4h%kuҜaA,sqTԦM-,] k`Ur2APeHDqi'[[ `y;Z 9X*и][cBq|DCh9-oS$F2o@UwBH^CʕD.THgn~,*F@ */$\3㾡k^Cw5?U(K ̮a VJw"^{s%=2|[-j/ܪAv ߷5j1cp8 QF5ac xr@aݫju9#V VFPzUQZ='hz^16I2& L: PTdwsXzcUhPfV5nXJ'u)W;*y4m_u] t=^ ʌCm~8:={悁݈߁QVu%E3ΛX NM/ Gڻ Q^ʆmbXV6RvJQ)=}.7 N&TY2^9ŽG<[yc_6C1něBSݿPsUV$mfi{Rqڳʮ)}Sd=)=0R"U#UD1R`qĞ i @ hI_0) h(Tf9*ny2(+1/1vOYg2.ɘclH_>cgsC s)bb?mx $y'* endstream endobj 3463 0 obj << /Annots 3465 0 R /BleedBox [0 0 612 792] /Contents [3471 0 R 3467 0 R 3468 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26999 3469 0 R >> >> /Type /Page >> endobj 3464 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3465 0 obj [3464 0 R 3466 0 R 3470 0 R] endobj 3466 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 3467 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3468 0 obj << /Length 19 >> stream q /Iabc26999 Do Q endstream endobj 3469 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27000 20690 0 R /Gabc27001 20697 0 R >> /Font << /Fabc27002 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3471 0 obj << /Filter /FlateDecode /Length 3701 >> stream xڭI#7Wـr/P@T2= `{02ߟظR [ 3Au1H._ 3KK6߷ӧX|s5ejx |Mj{2ߦ`1wJk@va/ZK.jg\5%]'8+.?3ObfKZBY1tL4=im8qe>a*@_.qVa:pe:7wN5Wk#ԟZ\\6kZm_˟?u^V ,SY \ng~?3&Z"2Uk8#-M??,<Vj/@aZBkջRʛp5$gsF̊]xV@$5 8(;?(Td D̵K>!o"$ ]5z~MN᷆p0Gu`la= h8?Hwc.@kF5RP>gAϓrܟ,2\6ѡBE6:ӉwK!hdsKǛDFF+8[y53e2$X R! pBuoՒFL߂M^5)ty+&4"ͦ8};iFUjj޴UCLI^-}6"uoԼ5wItbd&|MԵ.6m=Ճu=ԊƏv:f[ x>`:] A!-˖XݜbXm8b ,X'u;T6~o#x;B!_cUjU\RRbONÒ\pJǹ:E&PQv\һeOew>n7%F[kSL^qMئҀ#lu3[Ulc?΍zvp[𰰑*j(Q)QFp g= 9 Vd[n_U@k3AE:=벉AFr|"CÃ|X%1*զGjq9uG{pãF0T5~'hZm#q_G[b]SS LP|i6*- 1UfBV =(pvGA`>廲xtlNn+H@l*q0,8G/-2'E WZ0<6v6=9)%e*(Ҋi␭|yʦ&˲U=H@_ RkZؼs1OVV.n lg%~vl ]ɫ邓-,r~Rk:b^(cc&{xMM#[崸 \bp^VM;_:A>0l;UcbG.J ~ʍ oդ2fxC׺{UaFU ڏlxV#1-y9 LxWœ$a>*!ͰeC \v>6ՀfYr?9yXwvvxйHE2mP5c -41.ovv1G1x fVGa-`:]e"[=B.zV՜anLZ%SMWI 0~@R{ ?TZn?[ I1).0?dqʏj[ٯP_V2^jex 7&z[djk(Β9*"*=/NKԍT5/;5;dΡzt m%pKb-dQ/CbV}]ܚR 6Ө1b}Yuܸի>d5Mi6x k۹k肧&ꔱ0ʧT&?`,pc&>v0STc{WQ+BHyn#7 jM|y3#x%~zARӇ) x$'ē0Q#Un&9Kvy~mW_5a8[eܼ ,3hBYn֠ށ`WX XQI, ߭̐oI.;<$_C 1ue䁼0@yZwQ>|+ʧޫ|}IE T&eE]£;30ɚ?ˡŒ| W8ux|@!h}+^bnVMyyW, 'ǡSr ]e6")k6\r7URl%,k74HpAv2n7ޛA6 ^Ny;::UL n\T\o<>ƝzmTdS>t?O{mk]85R, ]_#,t/E`ؓ2gRm b솁KGwʁ!O ( > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27018 3486 0 R >> >> /Type /Page >> endobj 3473 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3474 0 obj [3473 0 R 3475 0 R 3476 0 R 3477 0 R 3478 0 R 3479 0 R 3480 0 R 3481 0 R 3482 0 R 3483 0 R 3487 0 R] endobj 3475 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 202.4252 608.2] /Subtype /Link /Type /Annot >> endobj 3476 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 581 181.1127 592] /Subtype /Link /Type /Annot >> endobj 3477 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 172.7747 575.8] /Subtype /Link /Type /Annot >> endobj 3478 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 193.7847 559.6] /Subtype /Link /Type /Annot >> endobj 3479 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 192.8772 543.4] /Subtype /Link /Type /Annot >> endobj 3480 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [104.1732 516.1999 198.2837 527.1999] /Subtype /Link /Type /Annot >> endobj 3481 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20210608081201-08'00') /Rect [104.1732 499.9999 171.5647 510.9999] /Subtype /Link /Type /Annot >> endobj 3482 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 483.7999 176.9712 494.7999] /Subtype /Link /Type /Annot >> endobj 3483 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 467.5999 192.8002 478.5999] /Subtype /Link /Type /Annot >> endobj 3484 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3485 0 obj << /Length 19 >> stream q /Iabc27018 Do Q endstream endobj 3486 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27019 20690 0 R /Gabc27020 20697 0 R >> /Font << /Fabc27021 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3488 0 obj << /Filter /FlateDecode /Length 1553 >> stream xXj$7+(hnBC!+'{3s*U=0ttC%4R"+ĕTY~-M$D| ?[U_\nyVd eWIZy~ʯ`Cշ {T7`ݨsSUIA❜lmo|NTk% `/\rJZhV!&B,Ul]2QLΤҫ`J"U "D&2Z"J%bЂD&).2/f>ȋkk/=pze[Ty y@w ocAMF;4Of`)jS,Gr2FFbm"6l,` 'eT_/O(hi|lpA"*jgYzӈ\|)U@E_,4Y QdeBnWX4!\I,Riʲ9.d'Z%F5*\5s9pt)k%̡qC6RNA8R}^|3rWBV ҮSq] ї~ 5ȉqfW mY _r#ԛ1hWN̓O|P5ԡ.Ѿyp OT|=8H^ZOB:^;08Ӯz_UE Gjdi!SS endstream endobj 3489 0 obj << /Annots 3491 0 R /BleedBox [0 0 612 792] /Contents [3497 0 R 3493 0 R 3494 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27037 3495 0 R >> >> /Type /Page >> endobj 3490 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3491 0 obj [3490 0 R 3492 0 R 3496 0 R] endobj 3492 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 319.925 147.728 330.925] /Subtype /Link /Type /Annot >> endobj 3493 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3494 0 obj << /Length 19 >> stream q /Iabc27037 Do Q endstream endobj 3495 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27038 20690 0 R /Gabc27039 20697 0 R >> /Font << /Fabc27040 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7Ӭjl?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3497 0 obj << /Filter /FlateDecode /Length 3577 >> stream x[Ɏ$+xPid6>>,ƴ߱qɥ$Tgr _A2RVwGk1b1п→gsϿM}rHwƄt[-ZiWғu^oѷS˳OFc@Nфbi쟴\L[윬GfĘxm8sMgh{`8gzļ{̜1ˬk1ʅySJ΃<~E 9!:8O?4hBk5v.ҿPl <0hk <c~30r~wP =<NјG769brlDGy\2㧐k>OLxMRy?r?>b0B0&P[Xwdݟ(ԡab~v`_@A*1azٽW ҭcD1i"U!@u²B>>))MO(1 S~n*>>J6ÁѸy,DHW;D gJR p}u#i~ ~^OAA@ۥk` D`3S oˌ&ǖivz**fyP&8dMqCq/3ҸHSC!{ Fpa/Ӛ̫@# L6U}Rj 3:ͬl@'T:a0aH`" rgeaݗbp&^ /;rԊsyP6^bA2 fDžd wv9]uv[chO!VG.xYdgQ9Lv' uy ?6evؠ]{(?e:3A{R:[ZOѴOGȭ;Utŕc?'4ReXYj2W8Vs$#y ӛczeY>hZ&6 fj)_xY3S6떤Ӈ[EV}(q _+?Bd LhU=, DYnDq@[3A| [VOإGg_t UgjāwLDtĆ/@FazzHvle]낽f`9b߯Е"aY\LAF IPg=NzLHI l ި2?`N ]RQ4`1VͰñ#W Xډ'ai2\6Z2\nZ1Zj@ ,HV͚xf)2[Vң+j!3#D =FKs'd9[VZ>0Գ$d5JCVh\fSt蝛\ {qrռQiߨ{[t.e:R*eLVe*sYUƽ¨SYB6g&j1cp(.9: Kp;jq𼦼ú{7j ~jjP]tP[fZ};Z}juV_۞`2!=K} VjBNڷ/#FW ܦ)UB=FC!ߙݔaḙa |0q;b_4sr|n^@uidCQ#DC.Fti0]19ŮX+k/n{q o]hYǟdQ.rx ubqw߽[m:~Ouf'U[^Eu3SӁF 1ڽ'v|Ok_E{} նxy.܅X^V$My*~t InQt9\mC%KtcO$ .->@ v($SF|$KO} VׁMӠb%0"v h;9p7RdžÆ\DW2+Gu1uĚMm=n!"svnu/$jY9cS+&8Oz Bm42_8CtgInz!O mYX6ʇ5Ԡ%`\&bҲdĽ)fIscQZhJ-;F7m)9V:) i_r*1a}gVsVcU:7)dZGQO-ԭ)tݠ/ $u=j^PcV^Pc~VD^MoDuE7ѡs QWb&Mc-+X-^)qX|4Ei,yɯFo]"xvba㒃 F#ZK;kYޣjljk`_wNR,-ǢZXR_Xxc|{Uj\sYX=>Vj׎9ǁͥo*]]Z^o#D)n^ j Pq* ŽVr0R7Sstj-.̰1YcC,GPng,f?1)䂛,=*,'` ;y2L9mw*iE.ies9/WkZf]:s +EZG*4Cr<@tuC<62X eNzRNSNH~z$|hdLadh63_y mfFCV1bb'3JQM!:,&efq(5?}.rRT벃m$}Xg2HlǸLv. QVAz+ʧ^|}H[E \f XO#xX&YSU~9<+-l9ΕïD"ݪ"bcOܤ%KNFJJ Okꫤx|^aXQ)%&&`3zB3ӑiGCR> ܒvnIpGokZkoczҺ'x2BTCs֒+'ra'U묗P\ef{S[U$_ uao*EcCYO|ُ|O@=,>0emưw?@?!xުţ+5Z(nFOÝě%fre t53 m;akYv·+8z=`𤇸/7fԡC#f܃}9O{"g<y_}>/S#tFigEI/hWư&P`<|) sG.ք1*ʜQw eJS1urJ[;D'[nW$YaFx5 endstream endobj 3498 0 obj << /Annots 3500 0 R /BleedBox [0 0 612 792] /Contents [3509 0 R 3505 0 R 3506 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27056 3507 0 R >> >> /Type /Page >> endobj 3499 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3500 0 obj [3499 0 R 3501 0 R 3502 0 R 3503 0 R 3504 0 R 3508 0 R] endobj 3501 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 334.55 172.7747 345.55] /Subtype /Link /Type /Annot >> endobj 3502 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20210608081201-08'00') /Rect [104.1732 318.35 192.8772 329.35] /Subtype /Link /Type /Annot >> endobj 3503 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [104.1732 302.15 198.2837 313.15] /Subtype /Link /Type /Annot >> endobj 3504 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 285.95 176.9712 296.95] /Subtype /Link /Type /Annot >> endobj 3505 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3506 0 obj << /Length 19 >> stream q /Iabc27056 Do Q endstream endobj 3507 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27057 20690 0 R /Gabc27058 20697 0 R >> /Font << /Fabc27059 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pZӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3509 0 obj << /Filter /FlateDecode /Length 2945 >> stream xڭZKo$Wl`:|?a͌@n`:ԋ֌]nvz~UŖC[m^ruݞY.vcO1^[EcS1z1֝ysr[1 `|o.GML[V-9jga=Uk%dL\L&ۣM?}9-E7]Sn+6NGOGJ{|ؽEuCpk:&xPl6Y \|EBfh ˔Jct rԴL>!.}~%e%ժ&#C'S4 tp=X[k2|492^/;u@m[.X¹?;gهf{\glFKq0 ! s+a«SIO%vLWa΄@L ~%+P;Q3!Zci@7ɈK2L ~2J(^SUfU+wBD"j\=`~IHCV^}%8VrF>ӥ"9\~}"ݸbu@<~s&h]_V7diSe2ZXxQ#kg#" yɡvۡn`׬ k]bvÒ]3q8x{tRֽn{QΚ%Kx=%\ucPTvgӨzwnFn@ΑZI]=֚mތU?,6=T0ngGkls]w͸nEBHE_G˧ rg2~,t2y*h [Nu_~ Xz\r>%ؖxԁLq2 LqW_i [dݧSl#:v]UغU;UHFP}S%]q*w *[M)pꥆFB%GKe)ޯ[]Ns $i`:q0U Qz"t=&ڙG΂f(ߧxךۼjYHA;8cb|'kZYkye[Zn{$D 8A|`$E<}>O} ,v@&4wW8 Q5h5B&1av1wM)R^qwoFZٜKy)hh.Za, [޽ƾsҽ7QgHT7~/CguAFC[ӳ*K y\}ҰfM[I[ެlen՛ =×e?~֐|TJN/W.!~3?|o:-e?Sr8N0/||y+Üy9œ:uǚ3v}@"Eo7X8h|bȁ_"|+w%l:U+C_vΩB_6ՇmG7xi1ٛ>9??0:?lsE巜ktf-R)>Bpdv3)YY2P}2w0L%*6Λ5P *'~ju@ ~π7)"eg VD:n 4h}6C+s^s?L|a^<2M3Pݥ~C6P؃=>T|׃p9NІ5la2[qLJ )"y8 rZa] ̞4k߸Z^URA8“Ń*N8"߶ :qj@m=0 K2%Zi4 Bm`ۘT yfdj/>O:ܙ፰rO'e1ccY=HmFBG3<a{Rz!#k`F bƱQ*G=gdx`~y'hy齮ZZJ}% 8<`#~:a%fܣ9kN3+\OkGe:(Z|_B ]aƂo9||?ܛ΢3O.tA5UދBmvT%4 d"n(a+ED*k.MMe1h endstream endobj 3510 0 obj << /Annots 3512 0 R /BleedBox [0 0 612 792] /Contents [3518 0 R 3514 0 R 3515 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27075 3516 0 R >> >> /Type /Page >> endobj 3511 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3512 0 obj [3511 0 R 3513 0 R 3517 0 R] endobj 3513 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 305.725 147.728 316.725] /Subtype /Link /Type /Annot >> endobj 3514 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3515 0 obj << /Length 19 >> stream q /Iabc27075 Do Q endstream endobj 3516 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27076 20690 0 R /Gabc27077 20697 0 R >> /Font << /Fabc27078 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePD^>yP endstream endobj 3517 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=241) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3518 0 obj << /Filter /FlateDecode /Length 3743 >> stream x\KoWl{&hF]{rҮR*V3jYruU,~zW>b,yZz',}"O''>M Oo7Jp+'R}s4ފUQZ7sR|$0&#Wh~:x_TsXYYm#<^,7,4~ + 3 ` /sT@`HX6^A)sG2pyCYZ(/b `;ʳRǛVP&5@bP ?J|bYL(DJcy' 9@ hぎ("TxBɂ5> (s6A~S~P@ 5efv  >oFN]{{9u!̭F;-aN :cSy7vvD `2&`$K,˾iz?>=4 \Ks0Ǣ́r0ƔԠP#?;.˖,eY"/P#+G+*Q܍9 R+]ݫ38i2Ƈze[~iP .'v}=ǧgg?'p? G.Ԝ$;GE~86th)q!p]ٱc ϏQr^pNY}|_\|vq䝑!+^ ]? oyfTX>(@ѼLι ft-yqP71c: Ve֊i+4ܞQ2k`fFq+39']vWyQO[Zyd^UU?mb?rb?rb?r'b7r?E_X UUV Vʬ.R]M^-3Fi_ }nM?[v,z:{sN=* QX5)8Xw#0/-pHi 2zSg Uw_ t?PY-,R|?eh0:jž9ٌBЯ1A ;K3|5 p5xީ_lal>D=\ FOP׷-oxpb/-? ujXG왲ieCe{sm6+?:$ǪуdL6$ЄR'$Ϧ:[~9 ~a^LAWv{e2wh4q@u<|ul1/?Fj?qo1Ύ(AU*9_)ʋd=*; ݻNI}}| |^-|2s;8[(0i.> 2Exp]lߴ\Ŗ+jȾ*eEV9$/1%:T*XY4D͒laN 2, { *Xkm`Ka떮ͶaVɀMg1sVZ>`Uh♓UqX7*.UbU3낑 q3l?G|u&h}%?׽^ZstNT d`wr!-K Bz{z Ya_N yw"/m]=[!tu7 nTykʼyƐ-2xiג;|׽OҊ5A K-kkj ^09cC^m봕YDe41^nV4&嵮3M5"D8H+m\r@`x vFgvА ˆNJ%:D7jMQBVƛ1m馅\1ɧG%g%ZP+ͯ2Bѕ93r*ߊh[Qд#GӬOWqr#TqFfiQX_?t , S6G$qJ-CɯqUV%o#ܑ\pɁ3Â1z`5^Dr.AuD[_G_-HBVԃ}!-ۅH;DڭȘd5t n+P [ c+V>jא{-P9) l5 9e8W`Ƙu!j!aŏumٔP rWS FpЅUi0PYˮ+gQ[E޵Wuhnz?QRTP|tqތL{T]XY=w pRaġeg޳waK46ҖTJ6bӟΡm B O1h! gRv=zw9nXLE@ziz z{Y;54궛mW:aqk[yZa RU)*xA)4--RvzE)ec!MF'ex[)ח4? !c*6tauR{o]* K%oR5$[`S]gl#BV60/`jwquEZGNmD) xiBa1t)i*4l:\Ͼö{"p/3l~~aU3†m%8?h 2VQbҌ9+Lfvax%%eofq(2C"3`!^I XRu%>{>q[ljCޭGsN}OVڐX;9d#,\H/S9#~*4t,t7SHa=:iUUn]dbbOԤ&KJL\Hf=PľRbb6̩&c#Ԓz Td|A{ΉnjT+Q6/qax5W}ZBNpsژ4N?֜R㩵QcnݒHt3]qV+| y%EPLa,KǪ8%xpYp^6eWۉ5ݮA>,l'6۹֚8+'x>Zl"/vg*JF76Jwh(e@i٢KgN9tДwtר9tX\Òxt)F4r`)؀ ~I(|SB_S _ɸǙv'oކ;u*k>O*@ʜ"}>L~MJCuɵD:Nx% endstream endobj 3519 0 obj << /Annots 3521 0 R /BleedBox [0 0 612 792] /Contents [3529 0 R 3525 0 R 3526 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27094 3527 0 R >> >> /Type /Page >> endobj 3520 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3521 0 obj [3520 0 R 3522 0 R 3523 0 R 3524 0 R 3528 0 R] endobj 3522 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 206.7539 172.7747 217.7539] /Subtype /Link /Type /Annot >> endobj 3523 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20210608081201-08'00') /Rect [104.1732 190.554 192.8772 201.5539] /Subtype /Link /Type /Annot >> endobj 3524 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [104.1732 174.3539 198.2837 185.3539] /Subtype /Link /Type /Annot >> endobj 3525 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3526 0 obj << /Length 19 >> stream q /Iabc27094 Do Q endstream endobj 3527 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27095 20690 0 R /Gabc27096 20697 0 R >> /Font << /Fabc27097 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3529 0 obj << /Filter /FlateDecode /Length 3390 >> stream xZK W@W~9998}ٿ^U3=lZ*EJ~Z*[F~*kɤK￉M3-_wmXR+/_Ig.^Q]kisi-ءUΰ$Jޙ%< >sp-2mS(FOϴ}bID\)J TC$Wf^TEby}^bk/<>ExdsU8RF>q6flKJ5A5]VmaEwrM$oX~^u`bmUi <3{LVx'*. vv*DmMx|h(w^RD*;|vc4Y瓌$pwJrIQ6~t.}u [iiV;<SM!iljmk1yWN#EPU :ť x{KA&X8 1Tux)+r{wVRiW/ *)9`eƧ >`^~0 n:/&%2KXǖKā_G`!1t> hd8բ 2Z8;=afQ.a= ;PlOkbZ:h {` m Dr7Z6\\V#&su#kL6-:r*1 bYw":*ΧŦ϶` ҈Y'FYfs +W KnI[ C8[TLL`"|~]fl[17`2  +>iHaGetmA ue}MIޱpdqW$w $7Qf RleYMy|$x0@ e1b96]xX :m8rb&@|l^r?%: JۋF iKUX.Y 2$̷DkL~2M}%^ƝD:7FhAo Z4F')NiA&']Kݐ毭"f'UFa:1z;i㠁/(JSgRJ=lKL),!NKg#\XsӴ5jqC 4I 6iyɹB8?YۛL9HSJ~#>6nr2{?<Z5 iut APƒh;9ꮄ~wxf+K7qG`cL}K\p=оJ{ļC\#p" 2D'"6ՃeLK) =5h`[Vk2Ҋ ;?[Sⱶ{wL*cbsݘ[2;Z+wqR YBammm4@{KH ?%tqi܄葎fiqd Jn+4핃mH8x;l.!upRlPP|Mnasrt]0d eRtK@&p; C.{/z`D+YBxBrÆpykc WEd{eK ]"'2.2l$HqqVV264fka[, Е'SrShh{#)(=OSC9 (Na;ʯ7q}MW'c1gyɜZ,xR]-ʶk鐿r:y Bv^-6@U}&_~w /N|24mLHx<<_/ߡߏ -^ PF:T߸FQT@TW@vfMg'm#L|)+GϨ7|pGu2ݱ[gB|9/v)0> "oAC 4;Hh}qJ<9*֝8D?l `u?$Sl=oF Wq+9C {ٽ682G-+JZR-)JȄ+Sdp%z+%)iD` /ݕ3ȿgKluM5âAEi!VxI=n/%fYqT*s'ϓ;t勦o[ƣw?@ĘI4jj bY8B4-O v˪%# Ix xŁ_D KCʸ[c .e.TxPYm#rMH "k>q>b˹|ļX3/2J 7^.-v}/ƌDgM|ŷ-<>ds3Ҵdӭ  ɴqxP %B,yE^ɯ\l'_D.b~,.K:4kFLLO2HaDGtD#`}"g*L.Bpݥt'3rHz4RzeS7Y-U*FK]F#KXIWh^ hh1ǡ&5DUi릦(l$c OEDD,q mcxQFƁ%uJjOq *ZȶJ[j^,%IR=Q2i=>!PKf !3Re"mux>1ݛHNFΏΙ`0$([6NMS_7AlaT/צ7^.)^v+jrm X[~x*edqO=@TFJ@ Y_WnE)f vՍ:V}M:_Q5ۿizi8uJ) U* xaRS|:<h^"ݤ4Lq(4kz=88A#-,)ZC٘`]8wٙ.e^.\SW൲Tqe&RWeȆ%T!";pb>hkh9 endstream endobj 3530 0 obj << /Annots 3532 0 R /BleedBox [0 0 612 792] /Contents [3538 0 R 3534 0 R 3535 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27113 3536 0 R >> >> /Type /Page >> endobj 3531 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3532 0 obj [3531 0 R 3533 0 R 3537 0 R] endobj 3533 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 3534 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3535 0 obj << /Length 19 >> stream q /Iabc27113 Do Q endstream endobj 3536 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27114 20690 0 R /Gabc27115 20697 0 R >> /Font << /Fabc27116 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p&RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 3537 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=243) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3538 0 obj << /Filter /FlateDecode /Length 2617 >> stream xڭn7ί@&@n !ؓ{/[/6ɞ, "YUm_:Y71beWuz~2a!e7Ƅtg+|vg~}lnykl83oZ*/~xT/4k&+oCIпjZɬ[lMVrB赝jAǺf* Adgßq8B`t[Ti Oa8c E:Ј&!l-<B qx< nUEf[olKAfi['&@b]]Sr\/c"ЉM.o1ZCJ!Vm]F&oS3 e5U[Ca hB 垾me݁@w{>bh&MػffP y 5 XmΑ6׈Wk}mB4OO<ƣ6.65h^]]bcFw6dw&ns+E;yx93Y 3W7DF$c- tou&HDo˙g[SL0yrȡ@^PmxIޱVaMq vB$p9\Κ5BqF,W z:b (J8ÿ\ߛh ИԬqk !Dj.)#.Ht133tze ztAЭdtUR@{9e2nB+s5`FA!S^KJ{u2cW( #S1IgmE 9dio4*Վ RpfڽBnw+7VjvCo#M c)݉f/Fޙq۰O`;-,uQ*&Mh>vg0[%_ +MyIzU͊p]-HAZީȮ6g ,jx0|BMb`JրhNV; $3 ol""-K,v ^0@|O"0'`e*a?Ř)%I`QHHf|@`eW1LP(@8QLg=PF5vsQst9lԤܴu0l/#{5mAtaדawl` 胚2[2Vң Rǡ!*"uRtAL^ĵ(#f4,8}Ҁ Tys3# .dI걪~T"ߋ#FS}G\kwbbd*eIʼnf>lJjoUB,,\l1%ՠàǁⴞ0QCAaVn8RR ԎuuxSީdySoMkvNWȣ0gnwk9wQnJXdick{}a)lߊ+?P{?.Xh{;J;f Gy&K`F̐oۖ ͱvQ5V:zX#'><ծ$rXIhS}t;+lZ.<f>ӥ q~;=#me)c@ ֲG<ߤxa5B&`i^X2Zr)B,':O}` k5yL.P.[VxQ E ;tR7ҊH5Ѳ̴:qX ڱZrnGj/ 7B"zQq&1IȍDn _X}G "g̠'f@}՚!,LG;A pac2c<z}V!r3۬#9EMN#̞ed&'r5d#`,:0E_c:x:#t4é'7 HztR2i1GfO?Sb#c>?{YAPWv4TfRUsT @++>G=O:!z}"gVx^ ,TH ."Z[-" GZJ0):$2A4L3ISzk1xm0ͧRC4#S+yVnepcLg,;3B8B]2Qt+'0< w]E&bB 5f73˗䲝 f o 6ЖܓWG%oSK6k,Dn/#mJUI*a߫ajc\km+s>6ƟJ> > vose99pMz5H*7-Mn<-)0BtB9Xsy OM>wdyˁ9b)2/D'X 6ʶaۥĻA&Dq~mO֯M-K ^AS{B׆ŃcݐB(a-EU3^`>,y endstream endobj 3539 0 obj << /Annots 3541 0 R /BleedBox [0 0 612 792] /Contents [3547 0 R 3543 0 R 3544 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27132 3545 0 R >> >> /Type /Page >> endobj 3540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3541 0 obj [3540 0 R 3542 0 R 3546 0 R] endobj 3542 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 410.825 121.8835 421.825] /Subtype /Link /Type /Annot >> endobj 3543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3544 0 obj << /Length 19 >> stream q /Iabc27132 Do Q endstream endobj 3545 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27133 20690 0 R /Gabc27134 20697 0 R >> /Font << /Fabc27135 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%ЎYzrv"i,䪍A ?wG?{`%(`6P\0&U$c"KS¯"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OM٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3547 0 obj << /Filter /FlateDecode /Length 4046 >> stream xڵ\n$WY@s_=-@Ail0H3c˥^H"0>+DQ2?EiGy+䓝c/ŵu7TKk#G~N,?AZ]7n 61H<9%'h]j {)cy.pϑU@r: sΠ!~Y/ jPCFA%21y.T3[E0fw8݆*F; ŽduN UwySQ}q3UL4eUgW 5*R@=gkBkLR)1\k,ٻuKMqU}4ФG3L;ySMu[\sqS *0e3y(Ôl=xP|aHH5Nm4^l@AȃVЫ"z?ӏ #G62 gɏG<e,gbo\K,>+Be#¸B"aO=?X;T8&-[ۇwϻUZԾt ص Z,It[|'wz#,4ghGH"\xQ#+l#hBoף"C?5cT]x?@ſh<,]`-=Q. P/ ם~{I\)/1S%9S\~y -_jO`F ā7J57 QX 7@ 5'ۚ( w"pNhn2W_$+ˎ6.($!2LHI l Q_9@ƽh۠jVc/\&$b5뷟ԭe n9dTl(*-|h-= _U dYS|jY-!aiprM22b!N[+=ajaLnĖ&4*ɦ8;YҐWkiLzf! t/̖TtԾ7"uoԼE#KY-dpJrU{J_rqlaԮBw [wĺ\ 88ǁŸ0ա_T}[5ֽP-ǠV{PO?jGVWZݯCި7Vwk|OiĘ8G񘀸ހF0%q!2\  yAB ƍڀ@.wkZ8ʭȫ,55P7Fr;0(eV ]-0]٪xP^ބ0k/73&luAHbW9l4f?=O 4545;FnNݍ5oXʙvnti7<"N^jR HlmIsa[<" ZX|l]j.` ṇ-6Yf<647{zT?e 8cu6*GxldM3d B9To+jNMK^{FBEdc2;[Cnh2-;KցE 3S+]I,KŽ:OlfwgT,8#@>ы> b;4+26WavM=4k75em93TٶAYḜC'^ӕ>Vje|tfs??d$֡:s'Fkcƛ׹\*v;"]Q.MȢdrisӶ:UX<994?yٟIN`qi\HDS=Ѡ~$qi#(Դ'U N+lm@|!$"jPsN suf.[fY ^>mI\"6߰?:iJ<CģktOYu$Ka}€. Lra`%ySl3ξWpІڭwM-Ӹ*\\C o4 Yă?&\䩃4un xvuڧ}WeQt%< [&w^Xۅ{&;Lyd~:i44`9ژJ^:0Y I@]UNZA8Gk r2dL=n)ZZ+K3{+#Օ^vpKӜ GBMɪ6o kV]`iy9֭ΐa2&& 7ـWgp{E}.n"12댇 I4r ,x!;Gju;caNtl.x8MPN>gRI+"HJvM]&nҬyss|yf~z\K3e.iYu7>M ĀPAܘtjLIGqNxBZ 4k+IzmNõJ.ef9 w|Ywڝܯċ7'ݷnzuޅ8i#d+ aB90cQ|>/=qfX |_\H>Ի9Y34W>9>`) " BJwme4<\H{~wKcDJw{eN.lBLfxwg?,]֍ }> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27151 3563 0 R >> >> /Type /Page >> endobj 3549 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3550 0 obj [3549 0 R 3551 0 R 3552 0 R 3553 0 R 3554 0 R 3555 0 R 3556 0 R 3557 0 R 3558 0 R 3559 0 R 3560 0 R 3564 0 R] endobj 3551 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 219.0808 163.7437 230.0808] /Subtype /Link /Type /Annot >> endobj 3552 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 202.8808 155.1032 213.8808] /Subtype /Link /Type /Annot >> endobj 3553 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 186.6808 154.1957 197.6808] /Subtype /Link /Type /Annot >> endobj 3554 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 170.4809 159.6022 181.4809] /Subtype /Link /Type /Annot >> endobj 3555 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20210608081201-08'00') /Rect [104.1732 154.2809 161.4227 165.2809] /Subtype /Link /Type /Annot >> endobj 3556 0 obj << /A << /D (unique_417) /S /GoTo >> /Border [0 0 0] /Contents (rename_cell) /M (D:20210608081201-08'00') /Rect [104.1732 138.081 161.4227 149.081] /Subtype /Link /Type /Annot >> endobj 3557 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 121.881 219.1617 132.881] /Subtype /Link /Type /Annot >> endobj 3558 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 105.6811 187.3167 116.6811] /Subtype /Link /Type /Annot >> endobj 3559 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 89.4811 152.6942 100.4811] /Subtype /Link /Type /Annot >> endobj 3560 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 73.2812 166.7302 84.2812] /Subtype /Link /Type /Annot >> endobj 3561 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3562 0 obj << /Length 19 >> stream q /Iabc27151 Do Q endstream endobj 3563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27152 20690 0 R /Gabc27153 20697 0 R >> /Font << /Fabc27154 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 3564 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=245) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3565 0 obj << /Filter /FlateDecode /Length 3510 >> stream xڵˎίy+|?^ !i sO(V@#fm2b/ߦ:glpJ↑gfzuqMFAQ~NZ_ a|qڄ+Ͽxmz/@t09xP_uZ[m3ޟ&Zeauк.xŜ#;Ky:x0iOsGݡTل%lhwzܽc$8^wv9h۽l{H yʟB~hK3DXaKu'@#y+Gssʶn[^M4Y f5l/IeIQhno#wHnN`#p A$5E0'o=]J$0 ⹒ґ"\&KeOzv|b & Q{a(50:B Ŋ#+u~bf`@t y(|'ȢNͲObvU?Z)*aa 'qe,̧)Y.|0R.1UV`Y48g :OUkܶ%Ut '/ɹ:"S׆D|-Gf5ڄg ;b +aJY)MxYZq4iwU?=fg>0>6"uZܞN!"u(8 @io(R\Ou:fn/-=ݝ;\suAsUC[\i>(MuX#q[{[bcW.Cڅ FRBjspFiنghs +zI+;A:KuzfO?ճڦp5ٚ'4{c+8[24aΒ';&9$/xqo$(4 nu~ s`>|fbK<`ꀩ=KlW z v)[5\)U;sO٢6˖U] m\8q.fߋvCxDňu~/vQiшrZ@}.qAU~L:l:KZ5S֏]M+Lk=^.?m9^l 2;֠uUeSnzJRmU3jx6Z޼f?Vė[htD49ŲNW?ifzeeYf C 5ٮ8G Ft/p\%wH`$jV; 'Iz[P߯^YNQi%KRkehSır`vyq #uI">|4v ܲ]|KLC-k({qT;9ب;[ܞJb"K; оõ!kH#klխ+v^RTCȶԡWM^L/L/X Vuz$&s-f޶vlVFF,`#vSkR}#]n<ұ /aتmժi [ҫVg蠊(M=amw0=4XIƕ/_&UMDOz$\_^o`Surqr6 D?ᜉO_~9Ÿ:,aY!uHNu^ 0cߏlh*O-E$+hE]:ҸyrjcXc[47va\~ג;_k!FC]kH =y >D`eɔFL~'Q+} >~뀮MD}8Y ~}=躗/]u~f+b͡6OaΩӹܒ!oI&BMGiWotXyΙT) U\UzṘuɄgPr_S+tC#c}9u˜ak &Ζ|NīdU1mƬv`bNK_~u VuU~n=gqr#):/gt7O-/}j=A"hFNugӗC;o'z,7}w2$iP]9VӤ)Q9F}>ʁ7'kz녈?])p?Up%[$VyY]|~+x 8~1@[CWx^.gaؙ?D*9=w"?_Pk!=3o^Rٮ~εCXwT'}K Gm endstream endobj 3566 0 obj << /Annots 3568 0 R /BleedBox [0 0 612 792] /Contents [3574 0 R 3570 0 R 3571 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27170 3572 0 R >> >> /Type /Page >> endobj 3567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3568 0 obj [3567 0 R 3569 0 R 3573 0 R] endobj 3569 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 154.8007 709.9] /Subtype /Link /Type /Annot >> endobj 3570 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3571 0 obj << /Length 19 >> stream q /Iabc27170 Do Q endstream endobj 3572 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27171 20690 0 R /Gabc27172 20697 0 R >> /Font << /Fabc27173 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%ethǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$W>lj)\Όl iVQ`^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/}$\ endstream endobj 3573 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=246) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3574 0 obj << /Filter /FlateDecode /Length 1117 >> stream xڭWj,7+nՒ xl";@!I|C_TV!#KU*zojTTꮳ:mUWdzvZ}~Yy]LQ_Ȇ>%0ߵ wQ.D)A91 rA?맳&Кth5MQ;gOoIDj# `S(NOLC̾GolWb$)(k7S5VU:c:OȖ=ySe.Њݥm2Of>oȫ[  տFE5:chANS;_qިaD5ȳA?Ykbx[* 6'1ÉLq^dװ;T["1ܮGTՙM΄*E ˆ4kN?ڐD)R>ńMѩ58hVn?}WjV?bf,RͷO-^fՄIF+^l?Dh䘩m_%µ]{M4 b/S%ٙ GJ/3+x;.?xOFbP1cC˭i:X6n/u]к^ 7cE2zE7ld/Qi#ܭdÌkɯouɛˉ[k/?+qIlq/8k:fzA<7||\+Nm^6 [= ?^Dh (A7:>(2[?vH endstream endobj 3575 0 obj << /Annots 3577 0 R /BleedBox [0 0 612 792] /Contents [3584 0 R 3580 0 R 3581 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27189 3582 0 R >> >> /Type /Page >> endobj 3576 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3577 0 obj [3576 0 R 3578 0 R 3579 0 R 3583 0 R] endobj 3578 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 319.925 111.681 330.925] /Subtype /Link /Type /Annot >> endobj 3579 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 319.925 139.8465 330.925] /Subtype /Link /Type /Annot >> endobj 3580 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3581 0 obj << /Length 19 >> stream q /Iabc27189 Do Q endstream endobj 3582 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27190 20690 0 R /Gabc27191 20697 0 R >> /Font << /Fabc27192 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%#thǬ_{9;u S4i_rƠ査=0fcX-LF.nf  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ* endstream endobj 3583 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=247) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3584 0 obj << /Filter /FlateDecode /Length 5246 >> stream x<ˎ丑w~hPi4bO1z._2+'OM ƋA2b 'boK%%iwu/,_:&[~[>>,'.zsizPgc[_Z zj槟^eU}'ʑ]^}1rp~YYKGzθWkJ4_/Yq|aN̜pqڔ&*;tzX\0hwOZg emWgO^x{3é 1r|8%`🁡5F@0nY8 Qp}zXSX ^O0h6./ أg> g"xq\`O+@Mg {{P@taWǣ?n>|Ꮀsğ6 H3-ãvA}>?33w|#ݵptӟp빿3Bח F{ U@ 8jPQj$gM8O^5Tdna˦{tз:`Z󘄡մKuj dC3L5X31dLQf x bQhFkv)I)E\~ċhwD7P$.}Y\z`pavgzla1[3hl]0 ppj:Ei?H0g Tq3+`=PѴFs>2"=Yhp;enߗMIH#{s9-zM#疎7 iSv-%%zNx>rg3 `.YȅGuN#+|wa Y'>]JAg~-73BԵj6MGN[윕HP_ڋh+)<Gr[d`!›9(\}"}߱vi)杁\&Ēo/*0 KngÜRw iʜovr!71#K !F8ioPP_/Pph6(|*ڦ;p"/u>Dz+te_}ic;-H$D$WP쏁z*I d ިoi',ij"ؑS+ׂ€zkx9dTl\jU:Cw[jHVW :(ܬA`>B jfVdHXq%\`MC,#^n%[酸l& A'e.o݄I)Na@Q;5&\ҽZRQ*l?E7ިyŞS'KYM2%ܱ\bPR2&vk%*et^aG~qOա\?31l>/wjHsCBc m+Gf}; 5iR٧Gu\d<wzym/&퐌K[90!+A,dTw?4+NB$/ugq$XN+ x\64i l*F<`Im7%\9aB~Ab63Khenإؿ A,A^D 26K5$aKDِbwQ(LoҚpxnV4]G6_RӮNM:%螮muh ]P80ZiPtܛ+6`)cmȸ0xTA%pF[ۆugfS۱)y5NE2:m!p+ž⣓+֤FY%VeMGzXE/З-Fc@2T"09{XE`ì*f2_'QIL{%8 ,m4 0~;(ݐҡ8\O^I@ߛUhC ݰ·;!*v5G0) ݴ^aX[恥)Lq Gy$gE4rdAeLT)=6.9Z9)J2onu"+n+GS8P j4x7s▵)3)IR 7[i&2cI=ϋ?Y&,RU||༯8Pi!/Q%6w;۴w\}!]=%#,dh*jUja[uuM;[䰖ˑwڅ$7D{]wX B5Smv 7n2+%ֿiP![ҊIJ4k)lëB>vx,J!{ 6S0xܗ7fN 4.[#l!;9jCŚ$gbFa7Q(7r., 9{%Q9Awi"|^܀Bpr|D\K\gjZ= T)<GvR_u*+R.D#UnR YC}.J7_Vѫ mЁ"UvzY&n€3xؠށdW/\(si Vf:sW/.;)$gX@]y o6B:!%u4~Qw'P SU!L|< UQ` ߾*KoNf%b:U:UZ4>|b2yW,'zus.P.pGe6"(!Oq>/U~AGm_\99~‡i9xpn_h0g;-rO+b9GGF>Qy0)M|=$p}kq3$Yd endstream endobj 3585 0 obj << /Annots [3586 0 R 3590 0 R] /BleedBox [0 0 612 792] /Contents [3591 0 R 3587 0 R 3588 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27208 3589 0 R >> >> /Type /Page >> endobj 3586 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3587 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3588 0 obj << /Length 19 >> stream q /Iabc27208 Do Q endstream endobj 3589 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27209 20690 0 R /Gabc27210 20697 0 R >> /Font << /Fabc27211 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`e ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3591 0 obj << /Filter /FlateDecode /Length 5109 >> stream xڽ\I$W@h_^f4з1 ʀ{MR۫ƫ(bhע ^~_58Dυ 5_*|a>.>-?,_kdžx$ZRZwjlraoDr0zU Iߖ 0d1 ֮5x97dU'Cp< SW ڦfְO]__FYZ£68ט"?x@2ߩ_n w0v W]K-΋I)w{V=) H:6 `Kն,0 "o?0aAo&RwkfW'/m`[|_r6+')z[Tھ-A肀se,\o-_~{ 򂣇f@s7'Ⴟ‰p gNDV0Y5{5:W ,a;< 3oXmė@n拊vWry[2DƆ=g y<=/]x_^_t8jBm})a^z)!?eQ_Fj: E.礭xS-/|6/T{[>,yXy03_|픙);Tlgxi=|dž6GM*`E**jS=sFKZ$ƛh,0*sœf 1Wir32ˬF m-QA#}OZ+ڐ )oɅG8AOV.- }Q78 IZ9}ʃݒۘSxߒ[#7= ٢P+`*K)_n"iR2j+>dśG }a zw Bs("C*`-P\n k'4FP#v_D VFZkjM*pT]HN.P9m&7[s1OڃLhR-ktvߌ>Sg0d@A-d .Bn%Gי}d)(1bdiYF+$[lMGݵkq5Y#QF3,.5t܅U 0̊ K>ƄC4Bw0d6c'7IŰ`"Ġ 9 3دcN[&jW0E(SPl*[*Qؙ1h0$\}~ "jىܢ{Sm[\5\f4.fܵs)HeFJ}dSwRnǻ+Hu}%Qг_Ν*Q ~z3u2k^l))P9D-^S"T(Ӫmj6)ƎKﶪ+㟜burCѧg7"0H:+uX50iaIڻ~]N ;V=pʹ_g"^J1@}ԨWNJӜbAyJ a"d_z;IfC1ƜgNbS,#p7 wizH7y Sk3L7]d8Mqb5|/1%b$Zwҭ6(*`Fq21р)u~^pR>75YWS <{* پB Lg‰7.9 JfW'7k0+{C"ˌDv8-XWAŞAk1ʵL q[;.!,Dڲ!$<6F䙞O[Spf'UOQbVS}=A'fbT> #%)Cn.ukC"NbLv=83b }&׫Yx)*^KLNEZ=pۅ޹h۪~:~ N91VhƼ&>I[Fի]JMY0d&JĊQ\tѺD5; /_xy/yǑd72?g;#Dc_C<^["ӵg=Hʳuj*D>ӭr8ɿKY_=7|HUb=#+7+F4`Tg~w54Cqz\^bUbeU RWۂ Umaz&25GLm?}+Ts?@SÉ?oKmz))Kb x`$@bhY)_W[$,VU[+2YYHc[_(v]Z,M_'_}NjetD4-IhV&ӥpC)fo`6TQ‡LQ+>EmM9 Nՠϗ:?QB$Ă)vu i7(KQ3w ;cZ!+_vd|ʣ$L6Fby*U!Ca Vq]m3w{#ūݐn)Ku0c°Y_r?+MXoLl~-ߖ뀟]U2\[@$b]7cn Bڠo/p;ft܏~ЗPӾ>Ylm=.ڌۻ6hN?ubӑN:bN4Qt٪H{϶=yN}_"<*n> _qxX|`gU7q㟎21t+Kp«JZim}2rv ͞mϗ{://|~Jv}w{}Z GJiZ_5uDLn5ډCZnK&U72k޴JQ8oGcSi2Nut6hRGa2;- Pn"oœJSfc/9Ն,A)c.&qrJs/6NHή&f HL⚉WZ@v"^n @ī׆Tl'B/s0EQ_+4hǡ\S&q;q(4t(t%@.H+nFCǕs|oAb#W-WIn2Vs<Vw7ȝl_p,iwr@n.qt8HW_\i<n<>3Zdn?lSϿ+o)/DwzVOkS/4ӳ?3XCѥY2/[|'hhC"h?M7Mv=߂tuWͶ&UOm3BBc6V-HۗM mYx>tIAx1VH* mbv(Cg3~g:cQ{={9g Re0+@mca9tF˳Tt=ut(yb ,|N|2Ƴs<@Qq5"eaҝq=['&T1ѭ?;ta^5.+{x// FM.8 f/,jؿ30 endstream endobj 3592 0 obj << /Annots 3594 0 R /BleedBox [0 0 612 792] /Contents [3612 0 R 3608 0 R 3609 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27227 3610 0 R >> >> /Type /Page >> endobj 3593 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3594 0 obj [3593 0 R 3595 0 R 3596 0 R 3597 0 R 3598 0 R 3599 0 R 3600 0 R 3601 0 R 3602 0 R 3603 0 R 3604 0 R 3605 0 R 3606 0 R 3607 0 R 3611 0 R] endobj 3595 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 324.6923 149.5702 335.6923] /Subtype /Link /Type /Annot >> endobj 3596 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 308.4923 217.8802 319.4923] /Subtype /Link /Type /Annot >> endobj 3597 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 292.2923 154.2562 303.2923] /Subtype /Link /Type /Annot >> endobj 3598 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 276.0923 168.8477 287.0923] /Subtype /Link /Type /Annot >> endobj 3599 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20210608081201-08'00') /Rect [104.1732 259.8923 221.3452 270.8923] /Subtype /Link /Type /Annot >> endobj 3600 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20210608081201-08'00') /Rect [104.1732 243.6923 214.1402 254.6923] /Subtype /Link /Type /Annot >> endobj 3601 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_utilization) /M (D:20210608081201-08'00') /Rect [104.1732 227.4923 216.8682 238.4923] /Subtype /Link /Type /Annot >> endobj 3602 0 obj << /A << /D (unique_624) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20210608081201-08'00') /Rect [104.1732 211.2923 186.4697 222.2923] /Subtype /Link /Type /Annot >> endobj 3603 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20210608081201-08'00') /Rect [104.1732 195.0923 188.5212 206.0922] /Subtype /Link /Type /Annot >> endobj 3604 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20210608081201-08'00') /Rect [104.1732 178.8923 208.6347 189.8923] /Subtype /Link /Type /Annot >> endobj 3605 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 162.6924 179.4682 173.6924] /Subtype /Link /Type /Annot >> endobj 3606 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 146.4924 187.0032 157.4924] /Subtype /Link /Type /Annot >> endobj 3607 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 130.2925 208.5577 141.2925] /Subtype /Link /Type /Annot >> endobj 3608 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3609 0 obj << /Length 19 >> stream q /Iabc27227 Do Q endstream endobj 3610 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27228 20690 0 R /Gabc27229 20697 0 R >> /Font << /Fabc27230 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫lЎYzrq"i,䪍A 査=0'31H[&##((BBq˜Ti, $WJ2'ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 ~UW<7!fZ5Y}'ܱYI M^RuTkQ>U}ɖDV*YZ yp3eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH0I NЋm߽?& endstream endobj 3611 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=249) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3612 0 obj << /Filter /FlateDecode /Length 2966 >> stream xɊ$y 4( *3+|SS=T/~RDFdee4L7Yަ)i>Rl}F/.M./sF˗O}У|,)xgxooE3a AWQf'(Qfx3^lTeWxãtHFdR$Xqh =g ?0B `y1O #f4b#?(,jeyhGD B"f3H|ٜLLJ'_lQ%&XZ6@nD< PZ]iT"TG./dʑd*W k,i i)iP gQMXb>0$ &㌵Λ}]I6Ϻt-!U y^YQ5qAjQ9J$PlWíJ5KY,mP!z~_VS~]Z*Kl([~I.OEwh?;- A C8./WN h>|w| wGk J+c2YCAN td$ `ȍ\_|H1Ty 8x%b7wUSEzbD|>}W^y@c4U5h&8Tr( h^SYh"͈&)M5YtSߜj rVAPH?GKAe™J iwyx]P\mYxGHӼgF9::i $FYC*꼾eEi:AhvZ)>0< ϷNSC^*0!dQ> wø>1;BU7-BW7Ӕ;}B슗uKsul*2k:\3V1!)Ty*U羺d'LX+U?/XC1:Wc bkg)-Ru& y;tZBEȜ1Ia@G=30 <k'I<)*\~09A88(> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27246 3619 0 R >> >> /Type /Page >> endobj 3614 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3615 0 obj [3614 0 R 3616 0 R 3620 0 R] endobj 3616 0 obj << /A << /D (unique_56_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20210608081201-08'00') /Rect [90 358.225 191.6455 369.225] /Subtype /Link /Type /Annot >> endobj 3617 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3618 0 obj << /Length 19 >> stream q /Iabc27246 Do Q endstream endobj 3619 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27247 20690 0 R /Gabc27248 20697 0 R >> /Font << /Fabc27249 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM>R endstream endobj 3620 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=250) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3621 0 obj << /Filter /FlateDecode /Length 3836 >> stream x\IoGׯsԾ=I/@nN 0 e,ݒZdyꮍd}dXKG~Z*#f#?>ʚw2iRA~uhukt!ZQF)(x󾾹\+ĦNVQqւi| +[׭4W|'s?jc~gB!]$rES_ZCNPVe4:-A_Yi,MYgMٰ5*_@x ۋR2/1@ESir)B)ֺp"ՠï, ,udxC,s~c ]L^C0WAeN|7ak|JQb7wR PӴAX6)u. ޵@0 y=̯ 3J~x'Ky]]WgK yEdYSJ搻2k69s`!h1&I~u>ڨTU> #('ĻH365F:C!YE1CZLiP7̢Y;r:INviud't6kS:qm )aB5"b2,Ki)Ug ܑOV<ŝ2RgMt!Y9chp&^g0mr !U f;G1xC/GZy^l*:_s`qryrqryrqryrqryr"oH.3@(H*ʪe8T!H Ū4BRfuu4 $Yƺ:e0C# <-Gn(9VvUt]D5w5 C:;$r@zSCI ;'{͔|*s%8s\e.Zh!74!>荩>ϪiQ?iGZ;:Fv:J2릴WY5r> pܼVC v2hJddUcg~ba-7Wotxzy@k m aa03čg9LG@HVU*2t\IC8olpR{ S@[cQs>E;P)< }a5,#;8{΋[Fv)pY#4=:RxzxF D4-nbG^$A[wr$aA#Tgvci_2\E!1E(+Rߒ 9qQ'`zEhJ*3e 8oMlF.g7s!#M]8хl6 vo'N-z*k7*ax>ALIgN,fЇ4.yĐy+#`S6n~W&ƸWx*jKSZe}yIx Cl)t:ʬ*2q`@`/u+kaGyk=^LҞ5fF'aIc>\ϣ ]<~h`QCv77+{s̕=䕽ztj58g/R\Ih78YF8Z'¸vgkT#n}-&eT?فZ fg=5MZ!$9­Xޓw #Ug6r^ ac֞pUf (pPlf3y Հx1vAX` m4 Ju} `mmtԈNC0rbFԪsNyNp(> U(eJk!HvBBY쏅P3V {/APB jI/Jo}y+qՁյqG<0<1^C^+xC~1qnAkeOek5.,",kF dYAMZSb\@AbV9Lq>?A7u4m+%O߬-xzϚy]w8o#zk!ɛ9]b8X?\wdu)vMW|kwx5jAHKp|DsќMER4_* +] h ˍ +v]9~D,wGȇ!QXEl$Tk(-R,,F$ſ`_~M}T騠d|E9S#!KoM m%H)_ ϡʃS62ܜ6f #LJ[NQ1I$^QbHuZ2g5){R4fb00L*GKSwEj1N\F\톽^Ue8f?R8ދ󡃛Si귟똁)fC 4)m\.qV4\[ 킱Η鰃/zv937vʧw Bm k@@Vqsܪ;X(\w{ /WM3\-~Ozc;q[,sz ! EQ΂+pw*֔GeFw;Y#RcJSeGb0|$bJ3w]ܚ wŠ}#A?' endstream endobj 3622 0 obj << /Annots [3623 0 R 3627 0 R] /BleedBox [0 0 612 792] /Contents [3628 0 R 3624 0 R 3625 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27265 3626 0 R >> >> /Type /Page >> endobj 3623 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3625 0 obj << /Length 19 >> stream q /Iabc27265 Do Q endstream endobj 3626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27266 20690 0 R /Gabc27267 20697 0 R >> /Font << /Fabc27268 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3628 0 obj << /Filter /FlateDecode /Length 3695 >> stream x[Ko#v(ֱ́@{O13DJ왞ꪯZ~_b~/_v.1,%CM_Ĉ&Xol$-p;,Lc.( x;=ivo#J>ZԐ|ɱd/?d;Q{pv?])OhܙC*p+sߨ= ,inTD^<;g埒Aj]n 台c$<߱gz [YEMvVe#zO;3 he'r)\{xj1J 3(6 (ȝ؎=wsB6$Pg=l1&+""Ƶ]`[bį\ "lzJvu*tXyKF9Nn8+6 PSz ϘXAok 6WWV--9-臼_rZC\9xN&[@CؘOeOOޓY Xt0G 0J ǎ(ZҚYכ4Rl4IgfjDtW{yz~\sbߩ`#m#KbJ}.ssp~oْli#BӐXsK Η#e($pGSl~BWAjH ;̑TRiլGYt,dq.VGeW)no#˂.|S|&-R^Hj+mXK*^VvC-yH/ɩ $#X>\VNˇʥ[1O&&;nbلVY( %"o (nmE=dbesD끑, +rpp3S%9U;vUm a xݭuTn\8;'z.bbڢ. @VQSSՐVv9m0`M@u,`w pfJ{m^l7v|NrMY0հ4jqrmHOymV36{!öX 0iufky9msrAG1s>ˆ*-ĆhJ[m5(rQd&.E֊z{;uޫ539R[Tg()f{I " VWl_Ð c pzS׬v,[şζiMY1֛0ڤ̥f娶iW>e;tDp{ Lw>J]Nq%6Gtt@Uw_h)J7R>y8"9^ zrJʔ 1榅5k2{>-bk~o"lgNdAڇ#hl8!dKhmxjP#G~ۄy6af;1ty)؜ڈsy2Gc.O\MowuhKB+/o5f'Ȱ(JN2HVA.GWQuj5;8תд5>~WLoީu;BMVlc4ޢ@ĴxbF^E|ՉKs&z)6.\l`}un*G±gj. <&wZVP|Zy ! ڶz3nk]u1r"lZ7|CZv9la&uU4=1Lpsz-z.y`+iO綝/%Xq?]D?ssLW|0!HVNRųd0ՀGdrAįK5VuVij˃ue__~g)C8t<9`9qi2q \\9_|&b^m_><2M3U׋Gv4CDIxȻ|'b6,y } 鳎!iS1haf2=uqLwY˿x#2!r tq0QƜ`"Cf8~+&FYY:C qm.hW4kJespe⑼|epzm;8:<݆}\Rԭ>⌡Z],آ/+I45+O¿.YyB(x$O`~֭g:oEJ)GH<8ȭ: E\k\ f8_p/dt\ꋍkכl*Cv$1~IMa`oEcӽt~ElD?y193>_rB͐&%W_!5c!#*JE*peD1s%{D!QgRي/t Xdviׇ|/p gch=GsV&eo3`^Vb: ΁` 7G<glW>§}?'˷M-m2^Ѹ1{|#qj1Ň({<&5Fϝ{b"Q7D1P! %Ҋ!'c͢ڻ endstream endobj 3629 0 obj << /Annots 3631 0 R /BleedBox [0 0 612 792] /Contents [3639 0 R 3635 0 R 3636 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27284 3637 0 R >> >> /Type /Page >> endobj 3630 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3631 0 obj [3630 0 R 3632 0 R 3633 0 R 3634 0 R 3638 0 R] endobj 3632 0 obj << /A << /D (unique_75) /S /GoTo >> /Border [0 0 0] /Contents (get_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 427.1154 230.9757 438.1154] /Subtype /Link /Type /Annot >> endobj 3633 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (remove_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 410.9154 251.6778 421.9154] /Subtype /Link /Type /Annot >> endobj 3634 0 obj << /A << /D (unique_77) /S /GoTo >> /Border [0 0 0] /Contents (validate_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 394.7154 253.2397 405.7154] /Subtype /Link /Type /Annot >> endobj 3635 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3636 0 obj << /Length 19 >> stream q /Iabc27284 Do Q endstream endobj 3637 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27285 20690 0 R /Gabc27286 20697 0 R >> /Font << /Fabc27287 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7paЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMKV endstream endobj 3638 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=252) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3639 0 obj << /Filter /FlateDecode /Length 2584 >> stream xZKoW|?aF@nɉ7|UEv{Fan_=ȑM;m@ҼE:SQ_U's:4dc-jI6/wx tAɢQ|D~}Tu}騩Gue"ԡ.wwRIQ^.Y:2,m蛨QUPyslMY/ w %RY[{\uÈ9XꝠ#(Aoj}Tb40&J8[OdSa2N׋N<>bSvsȪ'{DS")Z@nñVn2d-SpߝńsFVeh)! .0 <<[vAyUE}8;bv1ǘK2I?G$H%! oĉnhO ˈ}x(ha;ޯ*Gr_=c_Ucɺs2z?jx@T'q8fQ}Zvy<2W}5+?1Q-TVuJsՊV$tjeEq>XCMgÉ\3²]V\pW" #f x M ZǶY6+F* bri+ax"l]؃@'cY_s1T?鏴bɹL0r˺T .<맯wRƺ@\ȠvmiT 8{IK??UKF& G(t'1z~,g:ĨvӽHwZ<=Cs+CnGuSnJN  m,78:K[pxyބ߂fZ 9&DEq)q+n%$.]^TW{?VydKu~}U%l2y"r ?cjy%]lpUcG kD^\ro&D'&mBwh}|-T3⒑dQ"朕j;G#|^gw=#i(/d}_Vv RXz7lh|_f~Sf>oƴޘ3C(f؎R+X͸i A$\5f ܻ2 \hbF+Pͳn҆ h MB[7SS܅<-%t߹7ϒ}9<;JH?`Y/\ -½ 5z-gY?cZ{;r֒Prء|r==Gгoo&gI5Nrn&L}5!'AY_K"?ߌVΦdNt #ㆹCL=8Œ T\ꓟr 1W%.#[tXaP]X! 2zr};/ۯ ۼ+}ˆ,ު$3'm*ո+{A?QCG>+V Zoj~N]n;uzw/gdsHQGW#SQ~M*`WK"fO?``J]W)L3m `C&eCj'Z:oM%0.5F)',㤠u !˳ r)\lBPthŢH>!{^vGr2ru\7Yڃ/]juA}EY̘бR_ht@tB0cb^Iv&搘ZASwil0ՋlQ']VdYM\O@?LʼGX$R=fـzӨ 荸+ET_>أ;NE9ڶ -S߳ZkV=RڕnirSusE=)iknEF#"U͑m zePG;^IO^WspW OquNF .Л`{̲'llj/Cghxiu,C!V.{RzTTR RziZ)>ӜUDZW(~+DOO}Z$c2i.蒗T|\ eSc_(KN|uuqKy*i%- ].lQ/4IEq oT7=#'|tc.U?u"DqM~砛 Qt \E?ɯ1[;/X}.6v bÕ c}~ojaSXdq7%s"XiZ3⩏ 똤jB`YϞ.x.Sg]I0 G6|G16z_laxukET9FE tR0^nqtQpxj endstream endobj 3640 0 obj << /Annots 3642 0 R /BleedBox [0 0 612 792] /Contents [3648 0 R 3644 0 R 3645 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27303 3646 0 R >> >> /Type /Page >> endobj 3641 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3642 0 obj [3641 0 R 3643 0 R 3647 0 R] endobj 3643 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 425.025 124.1605 436.025] /Subtype /Link /Type /Annot >> endobj 3644 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3645 0 obj << /Length 19 >> stream q /Iabc27303 Do Q endstream endobj 3646 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27304 20690 0 R /Gabc27305 20697 0 R >> /Font << /Fabc27306 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Z endstream endobj 3647 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=253) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3648 0 obj << /Filter /FlateDecode /Length 4483 >> stream xڭ楓'd~5P 5u#|}y@].AȷoMX2 M;|[SYwef'[Lw7u)K([ҡ_l۰Ko{\`~4~w<97:WN.e4FL[;C[ܧ&6h61- uv X> u6lC]+MnASSW=D Fa43f^,|\G-{lObہ'rɪ5M\}f{ BgX[@(ZD\gYxNv#Ao4?N[_OXrŰ`Nl^iN4ǒг72^?{: )ƃo%,U,[n4]}A[ӀmDCrҩìF<, !.X;;pVpWodnb,|##Hm68h&'E{H;z5[g_o7/3: "&9&͞wC?w+lV&=]ܒ)rZ lUzshtOK"%8VXLI$j`+4 G8y>ie e03aa2/Ki5iFҡN iD;C;4zKxIPw)p!Ö";%H@KJ)hz\ OL50GX"AZp2ߠke:p8A. ՠM*)C'.@U2 >u`o0,SCA,&Z. 2U 'Ƣ$(ifDIZdNHn7fqfh^;f+`2febAh^Ĝ_ <i3 C^Gjk@GV.Mv̠b p  /,lcr'2+w=fsMxA)\'N=MviZԻ< Bc\>O@ra]}pP z36-♃k.l^ɲ_y ~f]?`1~jsͶrR^D*)M& 3rjprbgì^"tW yKq.rV74މ(YĄ"t]BftG* )S}mV9;S2KMvwTA QX"Ƅ΂ٻvB[4D3|J`MVPq ̇#jpynn+.[R"7OE:X ԌrWZC 9HYrc.t>aX;Y~,`%llˊ4޵9$XہiuL:;3u9ZjFcƝþJk;eY[YGP"v!# 3>V>k>Izr 's+vwUz;U>gqJW4歔j F?hb3>K1#uaQPcsޢ-7[K %2ZZM뼺7!:RI\ZAuv}4pUy#{OB[X,#BƻXلSEThdR>S<,zV:q@{:ͮr`Jh>/>+7nk߹~] H>?[O/z4:n΂f}@8^QUDeytu;vKۅc\MR+yʹ $ uo75$m\qv ;ۯ+ɄPu.|1L|0.AbwCS1uvŗK5OUcݮښs\Fi0 m톉SĂ .`3͚coN2VIo![36iE0Rv0urdsTŰd!z9:@•x(u#GYI][ׁDѫ#͘'Yz]Iu@]+0=F8#PNh-Rk8ym4BwH;nM6[.PEO[ibڛ`47?L5Ega.?AF+s=Y-^?8L'R JOX[cI©y7 =أ'.W6h=:H?TG·#|׷Tm|XcebxMS_Z.[M,z\4to2.ULF6.ۍf|P410^t}Rũ>IlY^3-5'H{1 81>m٢V31C:< .-c-VJk:M}4TYZ&_o iڮ~˹^{c΢?O endstream endobj 3649 0 obj << /Annots 3651 0 R /BleedBox [0 0 612 792] /Contents [3659 0 R 3655 0 R 3656 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27322 3657 0 R >> >> /Type /Page >> endobj 3650 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3651 0 obj [3650 0 R 3652 0 R 3653 0 R 3654 0 R 3658 0 R] endobj 3652 0 obj << /A << /D (unique_523) /S /GoTo >> /Border [0 0 0] /Contents (delete_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [104.1732 178.7463 232.1252 189.7463] /Subtype /Link /Type /Annot >> endobj 3653 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [104.1732 162.5463 217.4127 173.5463] /Subtype /Link /Type /Annot >> endobj 3654 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (move_dashboard_gadget) /M (D:20210608081201-08'00') /Rect [104.1732 146.3464 223.7652 157.3464] /Subtype /Link /Type /Annot >> endobj 3655 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3656 0 obj << /Length 19 >> stream q /Iabc27322 Do Q endstream endobj 3657 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27323 20690 0 R /Gabc27324 20697 0 R >> /Font << /Fabc27325 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 3658 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=254) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3659 0 obj << /Filter /FlateDecode /Length 3453 >> stream xˎ_sM6 0F 9998zѭFuCl[dbHߔUʋSgU X2UtZOIFGIٔ1cx~R4a$Cϰ?`hN8XxwI{ eɈN OvtA`@G`'p;ډ׵493/ -<*Ii"rİ5;fMc?\"+Ӿ#;Ɔi.C|P>xc|i |+GDIz uT6[.~YrguAK~m̆crI7&A#$d u2`xt誑ޗ蘴Kq8J&2Z5m]ΑUլՔ5_K(1-nfkZ]]KY1=ƳDWLSӮu7Quݰt win:m1 `##:Tt)4 At`s$Ɯ9stx@hʎg`(ڟZD ~pCW1ɚ$-"ND,}&1Ղ?ąH%9g7FEOBwt\ ,˗]‹:ѱpc]7qO20Ay~7\{\1kLqF7 -bkq'v>x'3|6͒,Lb2whZi&KX,tmY5ƣd)Sk3Z6¹msL63p5F,^z¹&0wB;{$Q0@ydT+z:BJE؈`Yra[k֮\S,: g3}P ʕ_g >sdv*@8E6;FR䇈H̋aohgРtXV\ӐuvaX%IzV6A,b(%b4gI +8$2ԭXS_գS|yt<]yp2Sw7$KޡjVg!UD56ё:-DUq(46%C^yu hI[%+ }C9>n[_ ŕtL5Gaۓk\A*^i fFs".'H9oWN0:W Tv,؈3lf;:=\63iTgӞոXruC]Nq=Oυu"Ǔ^0RIʛ/ivk 2導 e\Bk% z6i%3mS]~$)Cз^_kXi|s[7Vu;!Vs+ږK]2D 6mR8<2myms i8'0.’uJ!_O*sPؗOO<* j?G\0^(o:KgWq+-̜E`K:|qG)%ǨF 8@ lQRW `d]FS%OXiUlItQpkq )U׾dk i|+Zv)9'J{CaBh\nkaU'[J(,7& f(!$O6%MqBw $5\s=.JJn&$jtXO5\$yL?6KjCJ^тX#ò !+(}딙V k1k\tBtϳ0ˮ<+Šx|L֟X㴦OO~qY~='j c,T  DO+p 7-fCfIuҠ%pi T o$ш?7o(+ -.mM#Mko'*[*Ob(4e-aٓi-{H4Jvo3Xu; %eI߉~~BMb@CY >YL0t}S@,X~j}E4i!}f'<|*N0 j_͂#GZJm{z<4KmѴI$+SQJ/גLKڴ |(/ְ /mkLo} Du1qlu0Yf[w"x!-WMWD&h5uC?1Ź&%Ƶ[Jl(۲CֶsΤd%;ȝ#|*W#n+D%/~WPO+<_C+T̒칕)~z6S48혎@qHpCYbʂx $+:ch=GcOr5ҍJzIgcnK}x7#tl 1 Bxk 3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27341 3667 0 R >> >> /Type /Page >> endobj 3661 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3662 0 obj [3661 0 R 3663 0 R 3664 0 R 3668 0 R] endobj 3663 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 372.525 122.109 383.525] /Subtype /Link /Type /Annot >> endobj 3664 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.422 372.525 150.2745 383.525] /Subtype /Link /Type /Annot >> endobj 3665 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3666 0 obj << /Length 19 >> stream q /Iabc27341 Do Q endstream endobj 3667 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27342 20690 0 R /Gabc27343 20697 0 R >> /Font << /Fabc27344 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3669 0 obj << /Filter /FlateDecode /Length 4021 >> stream xڵn7ίsp_R9sr& KUԒح*no|$kEÿ>RE ViOikOC_-rB7ۛoڴm/Q {?O?/OW</>=<5PX24U;<), S`cȼsyZ/a eM:5e=hm X֖ Ж aIwqlxdõͥ:|P؈"48O&8 2sd@To>BLVᠪ1Ne)kց]~u 5 O]ѧ"`iִ`ۿ?~)ŔALh g"H Z_@H&AO\4K?KM]q0|]%%rzQygoso_8[Ry.bh`IJ/YagxBI7FwJ`7I Us3] 7*ygW!󩓃4/1S%5@]qs)J1k!Lp<R6|0 0 x&P"v<24E^lC9Ef<_},K8jxJ IV8+T=YAA=i\e `۠nVc2П Y `R x9d$X6..sݍbn[z@^9 !dnJicp^M2V\F zcӨ p^nԒB˂M*=*ty+&4\Mq:U PViWzf؄A]_ĒՒ'u)ҾQF#ܝ&]ʊuh,2EGJ_ȸKkT%,,{іNj~q' ss`돘{Ph54cvxP)kw6[͝2% OgLޜtF3t& 5x:C.1_<̫6thc-rQ8y7n8(a[aEpAF H贗?/aAH*ۈD{P^֝/Q O g7u?kwSW;8QWm(MDH#fMD/ *;b.ꑪTͨ=zm60Y媠NR:aMb;bb%*ә`Q᜘m z]fF!㞐~ ֽ\'Љ4w5B p9ZVpn)ٰ瞂wɳevQe0D~ p]:tLuഄ1DlN>wM1eVWlp֔VR%m,RŽ" ո3q*y`!Vln;pY":/!4<͢MS^ţ/a*Y!kmDK&\a̢G Ai)2w 5i˘(c pO`mQdi!i }\b^vDy͸ RKA3EqduGQ5ekfI&Wvo4_Unoa'NI6kZlʅxhRMbVu /E1CvKjp '˖WY?Ep/zWtCw4ʜB?%7"WZ GS0ǽqhk+KŽ3wknI $Jˈ<X>Ѫk 1}cnqRr9d,Qp u8NA9]ua 6۲uvk 7QJ]^qвEz&K ަ.z99t۵ŭdFnT)vI!A8 ۬}4)ʒ'L,u`t,=$##Ō#N!mpztW. Uso *hwzy!*&XF*,_tH#.zf/&<-w/[h nlvڝEh-o 1?s=퓺*4ݛ5dctev+=~Σp7r%}faXi!{Ʃ.شݰklbӲ7Y$ƦqPlZuqj7z/Ysc~t)+ # ,M{zkpDyT 5m.$&_K2&&ۓ O[S*f5Ɠ'_V)A.MH6Ԃj&i-xgt{')aߣStk.,_0w aHvWG"atOaK:pVrǘ=͘'s/=^:c[rQ|'#Pk;A NaEGqMxάA](m- >p^dl6Sᘝ_3!2&pBXtc@z]}bu'*eNߍ"8K)[,؀_UDz]_-}$sԫ@]x o6tCK~PTw(*_'I|]eKxS|Fu1dM]Y$u/ ? (U0xH7q+[^CZ©6e$q8U49԰AZn@v ¥:3>zmxD1@ЭNďZrai؀\jǗ>F^D2URǬTVԛRK.jJ4Qb—&J .N*lH.ALvYE:x\\m_9Z}T+?~:M{դ0T\<{> u ^s\dsT3Dչe\c{Sxpר~}P7tI> Ծ0g0d/`Ծ)twF?\{".x>OKi+"> B-O0vɐF8^Uwa7ܷMgtĸmo.NuƾW."V_uYXל+EON d΄ endstream endobj 3670 0 obj << /Annots [3671 0 R 3675 0 R] /BleedBox [0 0 612 792] /Contents [3676 0 R 3672 0 R 3673 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27360 3674 0 R >> >> /Type /Page >> endobj 3671 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3672 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3673 0 obj << /Length 19 >> stream q /Iabc27360 Do Q endstream endobj 3674 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27361 20690 0 R /Gabc27362 20697 0 R >> /Font << /Fabc27363 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -C |뻒0Nˠaz ^ >6W^vqW$MZ\1h!9wr ģ$V-l!`aL4ECIDE+%̕')gƵa(RɐىP|}yԬ9*{RvaT(P;b)G?+QO T>JGܱYI ^v4Lm)1m:ɓ#-Idj)\Όl iVR`^d d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2FaSA9h#bwo* endstream endobj 3675 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=256) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3676 0 obj << /Filter /FlateDecode /Length 3816 >> stream xڽɎίـ@hA99MbF;j!EJn3PUdzVǨGw>9=z7&Su8Q헶ԆI)UW~|}y9s ELSN0w1^= ֥s0sǗᾌSL1Ao&`1.Ԝ *BqaqC Oi@JBːY@N>|})5?SJ6Mir)MXפaDay5*@ O<Əu7jh;cpO.HJip٘4hNCex,l࢛| VT*a)̔Uy$\@ރVi9Pzh:d=ՇN hdc jT=SX laqg4=VSJ<؎`a/yQڮ.NkZe:doG!~*Bz^q  =Rc '-?ɘx1Ҝ<EQijH6OX  w5h Wmxnq վM#w~w EH~X |\|gG޻g&1kl^&qT;YI=Dv -9q5"w%}W)L,ąĞd p 8{Г; -pufJ !LA x슣|g6S]|':6RPfܫ*a0,yFKI{Zyf /Ú s@A$9é6vƓ9 ~oLn8WdV_6.ќ/8,:2^}H8s50d)n U 0JN8)8ׅ-23V mO6Np8Nc'Zm [š]kSb"PRW;l#i? ϖ,Ɖe~Tu;l]5@ N]Lܜ:L[+oޚA oIuڡQa5 -f}stB\d*(VZ;a5}"#ʵ0gtz6j e̕jGɓ쟀&7̟IvҠONbV!Vɬef3"׀75|v2j&HPO',Z:B[\zuV.[x^mYGLWR4R[jc\PDž2>,a)rVLw=n15o&_VmS}PK<¡e5)(I &f;4Cd )J۔)-|(^SV;)[2pi[:>{J̜O}U$A0\%Pjҩڢ Yۊ#YE0`f(G 19G6hor2' e J/Cܯ[p 9fAB*k{A6I"oVP]dեTAj+6kz[Q[,oέ[7t_V|bg{8U]+WI׏'cʋg5g6MAOJ6;h9#XasH8,2Uf$Ձ("k 2%M Ei '!.u#rA:bqX5zְ$o#)_/8 j+cS*'e „^{4[﷎up퉮5^>b.Q' px*߆?ʲ ؃d]Pi9a TbwZvVf&$8Bf瞫P)3bDUp'K}sEQIl.bx4y \bI,%j><>{40qΉqLj4rB`S4٠=  x)PGvמHO:a6HkXg}y qYQ~_a~[E(lDAl]𳇼ƹiȓ:g6]LrT顎Nul$K~vβU1RčFĭAD\j arK^M? 6pu Au4GoGƠ]4˼y5ڞsGIv& gz[Ns>澐/7hjJF^6t|/䃥v 5kݱ;KHQ6՝ y}U r-Em͕o/~a@s;)g2NfSKP4Gi}4~;HD>GYYžS}bh[?[ɻ鷳ś=m[Q^7?406(N]w鼷髅-~Hov] Ζ>߫[q/K;O9_?8)pdGäFi݉B} f)<\Rƭʤ#ao̱Z_O,u#٤!̜o/њTa1qp&\q 1l"q ymLPO=p?];v } 002 ʴjxpOïvZ$8{ϰ < K瞄l"scS,pb X\=Rω= zԀgݸt?1PGc) e}AlE5gFOC}xlCO~4e5E?~A0{ݔ=:ᝒTcL~ PCrbC`+@)' 2I651ϐȏ@RM3yʛ VMGwW0\ ţ1=PZ .<6lyhI8Lztj#CP(M5" w`&Z;%ð |˄T-QpqAi ;308e_F1+VK!\EA5A}_:9Ke ԕ{fLhX#)X:{[f~\;7<|5>D X"r@Ũ -Kh&Yӣ,o|+sZV_]cdQX+\"zR@R&;:-y[eWw aٿ -x.#&tapIv7T|] q ѓcdd[a)H2Vgmj6De3p~F :[ F|? Y/&X#oqW q$8?4RYe@rvbrM+\6jn%'i]si(bs/;:f8lmdI/Hzt|/G:Zh3DhyH>~|H?{_HOh?y=w2j>Z3ReMcY2k# XȔ':JR.G ڕ (F^3/yO8ZZPҾA_7°\T`!Pi>+soE~.]{dj%r]rS*Egdu~;XhaN endstream endobj 3677 0 obj << /Annots 3679 0 R /BleedBox [0 0 612 792] /Contents [3693 0 R 3689 0 R 3690 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27379 3691 0 R >> >> /Type /Page >> endobj 3678 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3679 0 obj [3678 0 R 3680 0 R 3681 0 R 3682 0 R 3683 0 R 3684 0 R 3685 0 R 3686 0 R 3687 0 R 3688 0 R 3692 0 R] endobj 3680 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 517.6999 203.2722 528.6999] /Subtype /Link /Type /Annot >> endobj 3681 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 501.5 194.6317 512.5] /Subtype /Link /Type /Annot >> endobj 3682 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (delete_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 485.3 195.2862 496.3] /Subtype /Link /Type /Annot >> endobj 3683 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20210608081201-08'00') /Rect [104.1732 469.1 185.3367 480.1] /Subtype /Link /Type /Annot >> endobj 3684 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (implement_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 452.8999 216.3457 463.8999] /Subtype /Link /Type /Annot >> endobj 3685 0 obj << /A << /D (unique_541) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20210608081201-08'00') /Rect [104.1732 436.6999 149.8452 447.6999] /Subtype /Link /Type /Annot >> endobj 3686 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (report_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 420.4999 195.1652 431.4999] /Subtype /Link /Type /Annot >> endobj 3687 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 404.2999 180.9312 415.2999] /Subtype /Link /Type /Annot >> endobj 3688 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 388.0999 165.4707 399.0999] /Subtype /Link /Type /Annot >> endobj 3689 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3690 0 obj << /Length 19 >> stream q /Iabc27379 Do Q endstream endobj 3691 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27380 20690 0 R /Gabc27381 20697 0 R >> /Font << /Fabc27382 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1b endstream endobj 3692 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=257) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3693 0 obj << /Filter /FlateDecode /Length 1954 >> stream xZKo7W\` %ͅ:E!/˕%Er o3R4R4Z"klJEi*g":|C>$iz/? iQ&NQ_nLbz7wx"GmŽ5^oq;ǛL8\{ FfM|V_ʯwܓՖWI#a@2#Z~ p:\j>C?PZQF球SF iqƺ]d vv7;舘K4= `b.n{ʢQl.Z,97?G ,CyJ)|a\ 6xb šb$M^ Ĭ^w7Y:Mr٫o,_(f m?*+l>&f+Dy.cÔeS|X2,foXm Q& )@ݏU}OF7w%/&2MIDl 3EyϊeZBĄT9z,6V>ŀQ Z}it k;`tGot ۰9Ҳ89ލc:K:G9N3qh2X=,/zMT +|JL|  E_X&-Զ~*tF*C6dvqY;:b@b#ºBKG_$<) x@OoE:,B6.0i8FcAL}gbA% ӊ7M|j `J@XK4=I1B 2LЂ]&) ]2o˫ ☼|yיƳXv^Ow OcmAkA!^paD\5a?ИXtӓ1*f2h+f`Cjb*t|i:-c9zEAI$@pY,"*8RDs_,HCt K?19|̖ NMM3l\VAl3xGA5!I,T9iʜF !8mͩHH="Uzl[}3qj5sL¿n0L0O|3:#M䪊 &Q%f-6 ="|r Ciʶ0֚DC䈩B=E1W⥢'ZSeglaF\x kX$?׈Y8LV̥C +aLocXX&B M[' Ēql pX"sȿCE(W`?o~pPb^t/x}=^IÚ*؁؁)xCvمl9+ }=%F(prW*-FrqL.c}W(Nwh56a5][uq\u:jӄ/Wu'TQȾwΩݕÃR{apWbAhž!\ʹ8h Ӡqw&هDҢ endstream endobj 3694 0 obj << /Annots 3696 0 R /BleedBox [0 0 612 792] /Contents [3703 0 R 3699 0 R 3700 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27398 3701 0 R >> >> /Type /Page >> endobj 3695 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3696 0 obj [3695 0 R 3697 0 R 3698 0 R 3702 0 R] endobj 3697 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 372.525 122.109 383.525] /Subtype /Link /Type /Annot >> endobj 3698 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.422 372.525 150.2745 383.525] /Subtype /Link /Type /Annot >> endobj 3699 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3700 0 obj << /Length 19 >> stream q /Iabc27398 Do Q endstream endobj 3701 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27399 20690 0 R /Gabc27400 20697 0 R >> /Font << /Fabc27401 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3703 0 obj << /Filter /FlateDecode /Length 4153 >> stream xڵˎ#ί& F|[gֱ`'%*V-ZM6Y,ֻLf$uf ~tOu8|5?䦏o?y:X o!țׯY[ۥ^humr[\`_׏K+N`Sо~zx?M_'=%'=kg\5K4o(;a Mvn2#\/LaB@ :x&@`NZ3T,kKug{V\v9¬mN %bc;}_gr?xX >Oh3 &N_5HeD>1k}O[˹8R1i@T?O=PD[ m牚vnIBm%РDw ϳ63L !i2FLD8зl7ǞhqL1 !31830##-A]ߋy2H^K K}LfY]P#‘$^t-IF]&XqԘW*wBiϣUAuIǣ/>v`P( 0yűR ͋<:4֤0d h D:@A] tA uPsd([c#'hЕ*Zi^}HY[Tc!gMoWJ~f3_uaekq [[!B:KzR|t~vVp֋uq[UaBx^`2뒏U?W nآKx[d>!m#*`R;CJȥ P};2Q8wYΞ3YF q!h V2AN;{`bgDJMX#$vG!,Xh;DFU7hatt;'T*Gohhim(^#CFCy\ xT+C\(4=퀌+uN*|+=cNdͼXCN 6`ìfWKM { ӊOnM-X{B٢oK'4c;$@|@upQ%$Z'3@Ǣ0e͝7+u #mDV$8i4/b% 2tIϗL $7ifOZ39j'4n eQۚlUAPf]mوw໷cNx7lmOX>m-q/ m1E%6Gi;yАҎI(\؝^樆4vyxF|>7 pMο,[s~J Dy?:_̜u{}xڄ&XE"9^}+;\XWuÿ! I_K9БK*hY[js[`r)UvJBt1<,ǝnO<V4irgd-y#L˥%\Z ~/x~&=CvUmbaNDx|P1Qct1PaLfk{t:w:,8 JTtEk9BVfم"y(t$OϾkjusfሜDf]&B)3DA=`gH8Q=yx'iuw5CM֨c0Ʋ [@\ x(֬i0@iRWnrrBEz7#|QkSoEc-`ʵ..M u{yK^0t;8 M)zp%&7aiiHϭnsVD2XAuY-֡,W2K`;O YeRS3ط- MD,&Mv4 )t~f;}PCR~sw"/ 9-nNEdkoצ഻SxBO|B7/ HM;^~*BOݐ-'ԅ۾pBi0dj+⾑f-Ȍׂ邋 8>M endstream endobj 3704 0 obj << /Annots [3705 0 R 3709 0 R] /BleedBox [0 0 612 792] /Contents [3710 0 R 3706 0 R 3707 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27417 3708 0 R >> >> /Type /Page >> endobj 3705 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3706 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3707 0 obj << /Length 19 >> stream q /Iabc27417 Do Q endstream endobj 3708 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27418 20690 0 R /Gabc27419 20697 0 R >> /Font << /Fabc27420 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ-$ endstream endobj 3709 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=259) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3710 0 obj << /Filter /FlateDecode /Length 4895 >> stream xڵ<ˎ#ɍ<PlP@T20 aS{gFK2Tjӣ"3`Mf^* BokVgר?[^UBJ*)Ƭo_Rj+sO~GH >>iULE4g<<)/E3I"y#TO M<-,Q9j5wO?˔^7\U`azZ٥jNT!ʀ ```.5.@[ %`u~fXLp,] :=-?ǐP ~k~%c|hsNX_iLȂ;S晹ᔂ0:]O fKs A<tSv<8 Ѐi"VH+Cʴ|k$ߞΙ$ qXvH<}DHA\y XuST -2dH@^׿/.@p ς 9i#R*1ܙI%y##& IK2𯊂&NV}r/=J[>P;cǔar#d>7Gq5i-zVv Ӳ'jL`*d:gm-+-)07X~9pnxYUn8e~D/WR:e$(VxTh}P0xp.u6,mgAVErAq؂X2V =]E+ry/{no|;T9]Qy;߷E-cm|V^;]}_Q  ՟w>zB(ekFVOQwAάUVY&h)TVU!U™ }XpI X\K[V0T΂*GG#&:b4t*=?]O3+T*Ty!UE'O#zkV㰫iN-&34t\4ejV U-\֊T3\rz{;骚SDl_ԖoTww|N+ :juݣHM< &nMwΊ,IMvQH{E%t/9J ZR5?IkfbYjs)vYΙ}D6&Ia8BXΌ%umު$.ÒHV2Ӿ#G}!ӛ52!q7Li xXu>X@Rv0vUf:Gp\#=Y3AHGu޲a~ vqLB93(xneŠNv&5^Iu(eУrRfRh[>-1>r5:Ih T> 뎧1[o4s;g'gq4Ii}nGuݮb@lh}$\}\i )jGkdNas.I) B1=cDfBgl8 7Lbj2cLƒ)mH\ʞEv} A:[@w9,[l@dcܜKI,q4 6}]}bR 8hO8rnU?us?o ,xxPJU kʃ-"5*R 5UUcP#V){ p;s%B><ۼBz5ѱsdvC9yXz$T#IGЊt^S"B@>-mi6T?q5./5+iv(a~1hLοtvqb3.I:hbOU  Ef};X}u1I Ln`ez]pze\ cO2E|8=]VPG"{9==? \kvf~DxAܒQJV36Q+~S%\ 1%~N!=dhr;CM8SSpܧBq6I;BBQ\d!0afXAPJʤClA` W|$gzm_j՗&áVq`̹#hVPfj|j%LR>=KB6/MC-:|NVns waG2 I6ѫ3rReeAPTݎFǓU1MRq5KgkUf r`St=Txh0zӌ.Y͍{uSpGY+hDm;ʎ_X 5ui`9 4B;YXӄRYlblTsXɗdC};ړUIݸ޷X~ߢ'_Tys^9o^f흞x|=R4Rs*O΢jZI$ݮ]qxtev}$ Cˡoc7i"j?WC彳֛UgS~={_]C>Æ.b3A!9i0Q7U4N YJf7;`] C YB\ Mt[:Ƞ1#M@̨^+.c*P!a{ˌ ,ܥz6t SpuBhs`SO۰(y5)m(iC#ؤrgI M1 ;dr~]/oۍ84a@Pڐa=_2p0IfKnF`DڵvvV`;n,݉l%` nTW΍eouXnBY.-`[>|mKE+юv"fТ/./Y|_SI[СH?֕ &P>Ry<8Ϭx*qnhdS u˕W.4ݶ+Ti(HWf3@OnMsG&0yK慔v4/J=#t"]nF :Ki@ Ϻ~dB.uv Y2dzn9:8fmIe;&x&{~eD?/ أ}.qs~GǐLDJے69D,4!k.1RKQ` p1²ىj՜喼8ʖ9# p?߳93Nr:1h=GsKw q%=`p+L@g(tm$;E.y%_n>>5oޓg >'|S `x6yEYLL{\;.L R/ƹ N_ To7|ÔP5y늀EK۵ъX̍Dp /KLI~EpS endstream endobj 3711 0 obj << /Annots 3713 0 R /BleedBox [0 0 612 792] /Contents [3722 0 R 3718 0 R 3719 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27436 3720 0 R >> >> /Type /Page >> endobj 3712 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3713 0 obj [3712 0 R 3714 0 R 3715 0 R 3716 0 R 3717 0 R 3721 0 R] endobj 3714 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 203.2722 686.7] /Subtype /Link /Type /Annot >> endobj 3715 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 195.2587 670.5] /Subtype /Link /Type /Annot >> endobj 3716 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 216.8352 654.3] /Subtype /Link /Type /Annot >> endobj 3717 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 165.4707 638.1] /Subtype /Link /Type /Annot >> endobj 3718 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3719 0 obj << /Length 19 >> stream q /Iabc27436 Do Q endstream endobj 3720 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27437 20690 0 R /Gabc27438 20697 0 R >> /Font << /Fabc27439 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3722 0 obj << /Filter /FlateDecode /Length 1233 >> stream xWKk$7Wh xl!7@!'zCYa!59ĦGWuKE[ POguVǠ%7?A[cOk >oPNS= ?DG+B+9%}r6< M`l*(t6!"wtP賓u9ܝ[X]Dbq%C`;F,{A"Wi)e+}ZMoN1!Bԍ r zXUHNu_DA1QceWoz\+lz{֏ב3ؚDLP+bhFcw}p w꒼ywӨ jXXG.us3heب38T|-4-f=*'b}G~٦ک!6lܬ 0aeZMq-xIE`s{5@gKggBJQS[ِZ/QQ ~s3вtj7jUam xWI # 'U2^Y}7\%W_""Ѐb)(=w/5bR 8xvBː\BvH2_d]<58X31:~k6G˓4Q!t$0B(#w xЇ&?bǧֺ$>&o0vrx6ŧZ#v}6} \e5aq ꨸lyܷkm,] CAnַ %R#DJ35e'88t endstream endobj 3723 0 obj << /Annots 3725 0 R /BleedBox [0 0 612 792] /Contents [3732 0 R 3728 0 R 3729 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27455 3730 0 R >> >> /Type /Page >> endobj 3724 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3725 0 obj [3724 0 R 3726 0 R 3727 0 R 3731 0 R] endobj 3726 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 229.425 112.528 240.425] /Subtype /Link /Type /Annot >> endobj 3727 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 229.425 150.346 240.425] /Subtype /Link /Type /Annot >> endobj 3728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3729 0 obj << /Length 19 >> stream q /Iabc27455 Do Q endstream endobj 3730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27456 20690 0 R /Gabc27457 20697 0 R >> /Font << /Fabc27458 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶKX endstream endobj 3731 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=261) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3732 0 obj << /Filter /FlateDecode /Length 4525 >> stream x\I;rW} f3 ɀtwDp f6u6ZJ2Ɉ Z~,jwRfI8~YrV˗?xUc`}0˗˿}%<9WllO /bt+&T\f2={oZM;|[?~[ է*2J^-猘YԈqkJ6ť5HWa`K R<j_.~ /$&`! FJM(tq_RVy_e $pC 6/l!tP`>%9kcė4 ;G1i7EIkNᗷ[TkX0_U(BG@<F@ @^h> Y {LNCS)gO<[]J`CިML2P"ѓ˄9r2! Bz`<51РA1B4>wQSfaPAxŋxLP"U(ڢOE{Q%7q,Yܕk2+Rkۍ  ʀ\BYqWk"|V7fv9 yꙭ`,XЎd5-4|} ê [o󵒫ob,n 6h_|L?SG.>F# ,y7IIYu {rșA̴wŴZqRCaa)|8@[9Q( 8Y䖸FmaHBlO;¨rWָAA(&%yXGzOiz>p]v264Nu/!iOe@ -tyTiӥD C#u~*5l$ъErV]J{k˒ȽN̯@Tԑ1Ŧ&+'|.[;rG0s +WZˠD \y3B }9ZK+U y-\Xj}/wbhyG(p6x|` uש>ֱcltH:vϹDYYCR3Vds,@g4)#oa Pm<ֿ Z蟝;rraQ!7)a%ήh3IɛFX',LSwVLw1xf5[)*";ՀlT NX8Ǫ/@O4jzzF0KGc738"Xf˸M8k?}L|_s銹O7'?d8,7&(N)ɺE 1"ΙqA\y8ُx0ez֌d_9E6 qqkW[UבوQ=r~ǃL|[uy^ScMy]EU} āDfY)|2l3 ZXE7y/7$"HN\d X)_^% 6tW|G;`<Ѡ& Wv)T)!PBW+rͰZ/%f! ȅk–#Vwtʁ@r>MGUʆR+rdsw2Z%V). 5f]wƊ!3f J[)1w> SZ|rUHEV?Mi{I6md5J,6B33q]AaH]p=D¬qd}3Gw`"EAǃU,:$JgB^r16[[[@fɫd-AFCY Gy8'&G왌VY`߷7PwX,#F~v˛-nͲڝrm +֤hejh Fdk^1o^f-gSGxyf=MVwpqpFf8ZՄc(Gooy131+&dvtɈl^Yf1NY0IR~eDkq^ar- hG'C=s6/DPTz`nM}1OXV|ce妞,*q43OqfA`w[yֆ&"O׿=)q9jί.i9aYu``=6~_L&vk|J;0N:yee0Uעp3їdoFEXU^{`>4SfpjsNO._V[L|(uL,Vx{._Sw掜ky\CqWŽoJ+|顰ۀc|gƗps:CDC$JӧhNxi85v7qxMF0l3WCGoRՈ/KGHѥ)TH#dOND/P GQqȮe*V ݻ9xI®}Ъ829]Q*^pl +pv*3JV=|E*y2۰1*;zLuw}^*V3=J޹z"O2G{cugjA_qITn"P)<pK fkj,D񵪏X"lXy0z_CSUs˪rn?>kQ;qf>hT!X'R<@pYԣQH Nm-Ȥ7ZiZܽDG,Xg+ZI(#]\yjơ [M =F&tpF{ A_h-_ozO3sQ'I=[t*%3n>Pi雷bMU2x8ul73S2%LmFtKd,t~pA dAF0ʇ8,B6㢆s۩`vADIXB9&&;.;ԪuBIVx(s0O(p;Ky"N3,OPg"%`2nGDڭ2횺N\U}b/ dgiӚ;O{iZp͉# - (!SniWYuI&wyZ7auיvnp~[#7yDny.&9yD6y ^vX)cx :D#Az^ם{"1PsE3%vnLYs Vg"hz%~;'5ޤ){ λEl5Z5O, @ *]Y*P2{g#tJg˳ bANJKd9q(b'x{81p$ےWyk[IȚ]EpWBU(pYR{boOUR&KZ둖̌pSrjoKDeX'XK֒.e^H endstream endobj 3733 0 obj << /Annots [3734 0 R 3738 0 R] /BleedBox [0 0 612 792] /Contents [3739 0 R 3735 0 R 3736 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27474 3737 0 R >> >> /Type /Page >> endobj 3734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3735 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3736 0 obj << /Length 19 >> stream q /Iabc27474 Do Q endstream endobj 3737 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27475 20690 0 R /Gabc27476 20697 0 R >> /Font << /Fabc27477 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫5çC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl yVڰ//d"Xl˒5_@ʧ9 ?d#98` dT:I*dz)U.s(R]@p%4CZNZu^lMQ endstream endobj 3738 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=262) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3739 0 obj << /Filter /FlateDecode /Length 4242 >> stream xڽ\IW 0hCn4CKf'?S[t~$T"oX9; rω <,w \oUqg93ܬ○;s.څ }{Y9[vesƵq1"H5{M6~^xޒ/0'`%SAxq~kUTܭx7ZIEؐ&5,#Kx.O#AVA㝌c*w}Y93MϝMqfm!$.x?N\h+\2߈i@&-GE8| *K-T4LiIh2]U8Ved>9Ys{@ *?Y὚geYyq/ 7GIy2ΰH.b8UOQH~ʣHeacuXDI\v,*x־䖁 dÝpw^~ <fyIm0q!. ?er9ILfBpX$'ql *h@/!P!qYVe=9NhyЁԹ[_%[Nڵz$) gA{OgS+=%ι jle6gzEgiUیm@ q\哅,Jf$$KfeؚnFzM ɲ 3dy2GHk zG; w-z9 |fe ay Ԝ2ƷPghl8٤MLc.QMu筊"\l94P鞜dN%H)B3\r>"ucHS &EroLII(Mĺ)1u̳r[A)4܄RR8f ?\-r]#>]F9,4w&3.8Yo`}"L75TD̞!0'oF[N> S 1ѭ8ڗ}AAT kH"koj!Pq'$J'xR3յ VCu˨RAGSF0]DbybPLC}fCEA.Ft ֧fD/T_1At}X%Vg~ZܱrD&mW*O9 S c}kP"6cnz);`kRi9,H- @.Nlh^-Za/ {jR4Tvr_i#+2m'XBT㛵 A^A]i%Py`Z6'@5T[%o<7{%ZKԭwԹĞ[evxhgR `E*-%Ȁ ,[]@$N?򤰆iۨmqX۴&%"U靶栴E=W*64עim4yhBE`W %B25;4qCo= EV) !~t^B^}%/4[pR0n0׶Fy/ojW52c6\ZS+aCMU4K'ʙ 06Fo ʙqm/F붋95b6ZZm; UQ`Dd+5w8dyQ-DerpWčmFjW& [@L. h8 (İ:.d(086ܭReQ\TZs].=س.e'ԃEҲD><*RU˺b/ԙLB-u]ߤG}u(=0:[(/"PcةuTÚVqƠЖ>K(ä^T.Gh+,mhXZ36z3 oLq{ѻ}'qϟz\;DWTTh߰U@LelkS5I.HQ[=iNX*;O^'zDI¼Mv|F1b88uDX@JZba´ ~G|^Ȯ&YM۔A璸-єm-ۖt ʗaV2Ic]bbS$^^(V6=m¾2$p1^szk=´qxY^ ՒZ]6o)Os11=y4Ws6"n`_L%7K'I`- ?aÎ=)QaO~rN*(CyE6MKˣ"䙱5)ŝW']ycE|?lv.ǣ}}%`X06r>Y8ۼК~ip0hK+fIkÔXS6fr%P{^⬙Kk 59|Z65=e#ŲrO`Om$mU w!X}o'@f`{u"Sv -h7AMzoqf!ԹIjj?a6ئYfOij_Tbx$Ʒ~b|˳WcU 0@l4Nihωt'/qr4tyRD~#.u6N"Δ$R#)]Qg-SjF.Nq*b|%cG(NtCLۚ,d:AW)8Mi>ql 3+)ĝi !qF:Q^H> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27493 3744 0 R >> >> /Type /Page >> endobj 3741 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3742 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3743 0 obj << /Length 19 >> stream q /Iabc27493 Do Q endstream endobj 3744 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27494 20690 0 R /Gabc27495 20697 0 R >> /Font << /Fabc27496 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫-C;fũc8I 6-$.p' J( Zn\f  cR9N2&rS¯"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_M٧j gVĉwlVB+0knT-=&CO4{rCod%#5JVe8>϶g5{kEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3746 0 obj << /Filter /FlateDecode /Length 4195 >> stream xڽ\K$WPz?h>ػv3^=c3d)S)EHZ$;%$|})ޒc]3|5~,JNT>J&IK;*)E|F50 :,i,ʁB!aXB1 (hȶVF:2BZHN ܯH3m`f7ʨ񰾜9KA<f` 4y]H<  Ao2)z8F1гpBnM}Be +QWo0 #'k⥪s Qq NJ;{8s ڨzx Ϯ` dzp@ SRB'/Imrvٛ^|_|PƬvO*Ј% مCf5S8h ytpUHG$vk$ޏD@Z*A+Pු;2CRx^)x\[C0ZimvSd䗟uPr¨XךeUJ5Dt>iwǜN% (۸2Ȝ]֐(8Hkf Ni[z=ha']`:<e@Pt^ WI!I{t4y%2ɁXOVi/ P(*n!Pm.]TnMb-mM>ʌl) M<'՜n66H)^3q.d~kVm|ˮ>7&5mn>~wu+9cftT~OS;ŘUm?s$q*}޶Ǎ/W= zP/ybx257Wmve:H\o4:3}ݕ @Ff*[<&xj\RoXm~q}qgV܆Z4z?RNWMXؿ9ʆUUZ5_*>6 BaŘk GV6qf nu]Я˹tg%9պ[]?ߎ"UWV[ucMsrn2<]ȭkWI܅Ljdu0"v)Ku߽o8oomX:dtxV##B4XU2i4bk ]ۭ0G|p ^{V"uls掠XO;OlܓI$rOg-i.SOg]jFĢ+RL&6=fUDVDs('&5oJ>R;$:Vnp0mvU.~s vض4ϢRFOfy:oάJ="cuC幝o‚ Fv t6lG70Olsx͚k΄vLdv&#+g2`=Ǘ\rZ[f,*C4Z:+9dL;fR]TV<FV:ڇ1OTMܷAvCF5*?փ7xHxM;ڻȷQ~vpv9_u?eӦ!GB@t˟\?Ŭ!$Gǀ;qU{?ㆨ0ݚt_lే/C\:59Xrc\ªn\~]~Pŀ"eTuhAC'إu}pHY%tJR0 =XEY;1 ݣg ^{|2gS5._|%\o+eg:Iė%_5k endstream endobj 3747 0 obj << /Annots 3749 0 R /BleedBox [0 0 612 792] /Contents [3758 0 R 3754 0 R 3755 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27512 3756 0 R >> >> /Type /Page >> endobj 3748 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3749 0 obj [3748 0 R 3750 0 R 3751 0 R 3752 0 R 3753 0 R 3757 0 R] endobj 3750 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 133.5386 180.0512 144.5386] /Subtype /Link /Type /Annot >> endobj 3751 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [104.1732 117.3386 200.8412 128.3386] /Subtype /Link /Type /Annot >> endobj 3752 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20210608081201-08'00') /Rect [104.1732 101.1387 201.2592 112.1387] /Subtype /Link /Type /Annot >> endobj 3753 0 obj << /A << /D (unique_101) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 84.9387 187.5587 95.9387] /Subtype /Link /Type /Annot >> endobj 3754 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3755 0 obj << /Length 19 >> stream q /Iabc27512 Do Q endstream endobj 3756 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27513 20690 0 R /Gabc27514 20697 0 R >> /Font << /Fabc27515 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pVЎYzrv"eYHUC.$G7]Nx41r$bE0[R,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}$` endstream endobj 3757 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=264) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3758 0 obj << /Filter /FlateDecode /Length 3908 >> stream x[KW輀|S m@n C$A`?$)YvdnY,V}H 7XK۠unHqVYwsR0H;n?lm D6aO8ЋeU8hyp'$J:iO<ӑ{ `)`KimC:á*tF|}HCfirⶍ(ed$@Baύ,k'$JaB nIFkwYsʜ6i.f௛ O#@Ѩ̕a8I!f<qаLx,sad4SQpmnTگ142st :b}mhie15% gnLA߫ 'F~,D:ű=~]d5;? v!Lz`"t"0b@8b}nuEXPr1Ti_j14Sfƒt8ʳ'{wsv΍qίVMh94a;2iK32:`W1U=J['x%;hgpv`=l=*9CM:LjGN'0D^{N*%C z! ԴԢݔKu8t1GVUL@` /@0k9`C֫x"a'!t*},=/xX4nGjO#x*Tip5NV4 ڲ WP=ZRlwoᖡI@D/g}K]h o|~c ӹ1ÿ2כF.T#omP?0ĸ1(v=NjgI?Nρ!8\t8MՊypLH1 |.ѓ.)=,Z_"вF#^3s:Ng?*b!!=rv-4u,s-7rtn?xcq~cLt cFye-1Xpv[b޵\w9ݦa,;:Ԝfš/F]Oe+1ϰ؊ŮV=ɆyyZ{>=B?kyے3r> e.uiXƯ*!L Nj;Hv2[y/ވm\/'b( ,Z$0]2jh 5NN 6T6\\Aו&zNm@gmz6B*\hL=Ҵ'7mJoeiA4=fAɏ PCz溼ky k+w5S*Ń )L@9*n2g_dq *]d5c1@askG7Ր԰Im 2ş hح)B,sOPڙ Ro9s0RSQ/2IYdD=Ĺ8:jwH&H@I+iDrzJphrӝpLylfY]\H,=2%J׸Ln_ Ys 08Ξ@*v8RYZug'[V槦ՌGڛ#̖3~:wg⧼_0ӹiړb[,p/`pOk{,F-*.czbG5BU/QbRN4=$*e ]9럘+w~R\.}9/qRWc|M#{'\&oKx\k!c\A“ՠN͇9woehE- kHޫxz'|Ȑr)ϨL:D#/?%}|e= avMM~cۭcC >6%.7|mEr(q^}e"5y,%W{>7<2`6nf#pC lj> xa P>wZhgrQv6ź>dyowJ!=̈́M읪4oKWnlyS6uOލvG/PتLwB]=;1~,Xjּ"R7J6^_ŕi,4yoȝo$ ՟P}\ SӲ"O7I0W{)[(梛l;]~LiF5 z-{.U[R |<(ӝf&-NptRiَ)=`Z^ߛv؇,Ʋ3<(X;[@+`{Zr;37:Nt:tÝwoʬˆr%Rlɵx><_.B3&p?OQSԧUJzI*X~-m!|}ُ3G ~E^&Ǔ'$}~I $~@WW(1ZSw(=_D`'7Y [)Uܹ9=?|X;zU[?l_L7*nQ4htfѐ endstream endobj 3759 0 obj << /Annots 3761 0 R /BleedBox [0 0 612 792] /Contents [3769 0 R 3765 0 R 3766 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27531 3767 0 R >> >> /Type /Page >> endobj 3760 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3761 0 obj [3760 0 R 3762 0 R 3763 0 R 3764 0 R 3768 0 R] endobj 3762 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 177.6092 709.9] /Subtype /Link /Type /Annot >> endobj 3763 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 191.3372 693.7] /Subtype /Link /Type /Annot >> endobj 3764 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 154.3497 677.5] /Subtype /Link /Type /Annot >> endobj 3765 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3766 0 obj << /Length 19 >> stream q /Iabc27531 Do Q endstream endobj 3767 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27532 20690 0 R /Gabc27533 20697 0 R >> /Font << /Fabc27534 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 3768 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=265) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3769 0 obj << /Filter /FlateDecode /Length 1201 >> stream xڭWj,7+\zK`#G9l, Z0W]\GE)sg yqM^~|EwCWx|< ?Aw 1W̠ }h9W9(e F a3\Hi;t֪d*v5V^_bk'۵Hq_AN \(|m1Ś)bw-Ҹ)!9 1 ߵh91LgBYN!+GEaWҞ%*+i |dnTTd3ڶfe^ԉY&^Ms֚u9]OUvH@, A\3XjRAҖiu_Ѩ䘩O%¹]{U4i%>&fSr]]Fd6|P0}EKq:cXTi332PNwe<, fT^FLL[gG,ٸM?nhaWƭˁ[KOȏNarsn7 j~Z #HDY/xATO >8=R{o} T:f zf."ԡ C!f PRdKzT6wy\*WTU?c,y:8z} >ҫ6u%"Ձځ*> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27550 3777 0 R >> >> /Type /Page >> endobj 3771 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3772 0 obj [3771 0 R 3773 0 R 3774 0 R 3778 0 R] endobj 3773 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 386.725 112.528 397.725] /Subtype /Link /Type /Annot >> endobj 3774 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 386.725 150.346 397.725] /Subtype /Link /Type /Annot >> endobj 3775 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3776 0 obj << /Length 19 >> stream q /Iabc27550 Do Q endstream endobj 3777 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27551 20690 0 R /Gabc27552 20697 0 R >> /Font << /Fabc27553 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pVethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1d endstream endobj 3778 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=266) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3779 0 obj << /Filter /FlateDecode /Length 3862 >> stream xڵn,ί賁is_ƀovCs x |.i~nus+kcX|[̢ _ ViykϿɡί/?irBh_>/[Ӄڴ>(qobu2uLg֏ ?=5?PX24U;),? -f k)%5Ј}%Yk{'nZ+(@P V4e#i}KZ&y 2&pWJ`+LD +/ϑ 1&#r{*^9F햓3+Sȕ*cE 3`G] ny>*e/v]}E, Kb3 u#fh[=[v# { FF4+>f=`/ MIs%f-An c|j6*y(mD)A9>JkN]߉q۾n0N5NyT1 G·8x>WqqUH_m %q05:g'GW;1gP1S~%5|n ztyOB (lC#4 )bPi~ 7keRoT^Lr8$WT{(Dшr34^VoUH@*pV _Soӈv[iy5UfdYqnP^c`漏UjF,UGte"8tr_UAQ-@;K-vk=DX.fꮳUİ kvHjID:)Oʞ1bOi=X&P/XzTm.7aQ{͓Rdd~O2:mmt : ~0%UEOA\?R h4^7d=ryͦ7M5VpVwКМ'nk٨#Un IZoQB% j/ RP{Mvrr-ʭ!V}g ®V2 "؈u޲k)yvEJ;Soc@1[`źQ:hYdry?~%p$)H VOln3GxXaUӎ5ٴfa.EYE#z02U[ ]M~$tm] slCvyukξ9|}Og oyQ5*@`ˈX~ D,Āckle1 6*\p\Cq=k#u8CH.ь+4zD W>.(@kmw 0q1ѥ<72j`5wnkj f0Bوwc,5fI'>0)'h&W@\v}h [Zk -S۽`2"hz/ݴi;/n4qotA?έMȴOB%.dI HpWbRdfKJCB`/S%?dV<_PU2ǃ1}lQ/V|MٰTf @ҧm-FMd4g7{_97Ǵq<14f1D4]RNl[7-gYlt 3̠9yomYI%e+\RGLM^UWa8E8L-w!f''Xzݭ]6˛vZ? cJx6Z+shZvÆgtnxc7;OKhFz;APܯa-CMwkplS) X޻ S0Ls ;ϐc;˻VPh7 @Xo;xZm'p8c$re-ъ1z4gM"ePWNa3_y=?يJG>u:0ǧ"{TOX>|w >mcvw|;!NMԳڞoG{Kn"ˑ YL";5'u攂АRi4PFC^$]ҋ 0"Ji7i(cq #əP.uUNNrƺM q1NEC̕о5Qn=dhW}Ȏڵz@ 4j_-ssNjduQ9AgﱫuI+zt%@(qw@+H n7pˌcn% yE~K իTgla (SJ<H NaEGqM0khͰ&7pDwP1֭2l^cr~] N/D߀e(3dWX xmF)<^Kj6`Z2QZ3&94[^j5ueS3u$fPԩЩum!cGif #"`^pH/\8զ<O "%ZSE#L \ %Z**fTB-:!`[TB7c=ίZraiШZkZcѣdTY/lY&%FQF{]mhfoGsk[]1.TLtM~vYu )x\Rus$CD??(Bg2?g2 ܍3ޔs͑p#Os0{6裺z"cŔMƷ{VmQio> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27569 3791 0 R >> >> /Type /Page >> endobj 3781 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3782 0 obj [3781 0 R 3783 0 R 3784 0 R 3785 0 R 3786 0 R 3787 0 R 3788 0 R 3792 0 R] endobj 3783 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 451.2384 180.0512 462.2384] /Subtype /Link /Type /Annot >> endobj 3784 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [104.1732 435.0384 200.8687 446.0384] /Subtype /Link /Type /Annot >> endobj 3785 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 418.8384 177.6092 429.8384] /Subtype /Link /Type /Annot >> endobj 3786 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20210608081201-08'00') /Rect [104.1732 402.6384 190.9192 413.6384] /Subtype /Link /Type /Annot >> endobj 3787 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (remove_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 386.4384 198.3112 397.4384] /Subtype /Link /Type /Annot >> endobj 3788 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 370.2383 154.3497 381.2383] /Subtype /Link /Type /Annot >> endobj 3789 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3790 0 obj << /Length 19 >> stream q /Iabc27569 Do Q endstream endobj 3791 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27570 20690 0 R /Gabc27571 20697 0 R >> /Font << /Fabc27572 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w7 endstream endobj 3792 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=267) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3793 0 obj << /Filter /FlateDecode /Length 2260 >> stream xڭYIk%Gȳʹ/ E0s|ꙶ1OĖK%"2/#j runmVǠ&C/ӓZ5˘N?=?cA >Y>O.(gXEN^bm %ByƠt=*cJbnYT0Bgf~1mәwp4!`¸Tf}4F7`-QHGt|G.\Ih|  Beֲfx $^NXɋ oHxM^ö1\O*h߸ξ;6oc9hk?,%ql-YA aM&rЧb'| <}M69W ꁱ5r&fzhėGfSm~vEyMFOěh#a bP,&^]K{G?bcΡ$cSYAw o)2 hQ7G&iZXs3&T˛ Cl.Chls!5;a .Pjbѳ}GIVMIײ\:h܋A/jYղ5mOkMCK_x_ `,mB :A9bAmLZš6#wF+~U@,@ GyOZ2DA^o@/`W y_~5$6'C)婆5 !VȝϛECz&oGd  Aѿ`?yTP֝sF1kƯ -&!s NUJ8#=JrSe 4X°B8rIEBK*v&DJ7"VD1 $D%d5aZC VFЋY#>J|N;~T[8yo㼳ݩVC&S\MjkK4ލzPǷ5W5|fQ^?<2]uo8Jߡ43չiCg{(fpHLzN5"GڃR 7d! /\Ǽy9zӿ튢!vwWeO|- b n[CHQwQ6JoUR-#@񦴩_,*e*&mf njuĸRRFSd=)Mi[EF;"U͑_[,uCZrL>?cxYi0cimgTΰn"L%'(h(J_hĬ xt' K㈲zoKE`HS˨kFc&2Q4MD2R^5qYhZO dxFVd!x9eXuyߢnce yF ! /̈좜 q|ސMwCE&jBQurq Vbwؖ ԗ< T6#`TY Ȇ*ϼ6Wz O`xk7pYn/"-f0ϔ^]e;h%wHtF"vĈC3$)E>oϛ~yɓq4<z]ۭ)4t{FQaWʸޜgxI'xOEmNWx'7 W 5NWVg1ؙ0h'}N^j5A14ȶ!})8dY0`,;yǞD? endstream endobj 3794 0 obj << /Annots 3796 0 R /BleedBox [0 0 612 792] /Contents [3803 0 R 3799 0 R 3800 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27588 3801 0 R >> >> /Type /Page >> endobj 3795 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3796 0 obj [3795 0 R 3797 0 R 3798 0 R 3802 0 R] endobj 3797 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 349.825 112.528 360.825] /Subtype /Link /Type /Annot >> endobj 3798 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [117.841 349.825 150.533 360.825] /Subtype /Link /Type /Annot >> endobj 3799 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3800 0 obj << /Length 19 >> stream q /Iabc27588 Do Q endstream endobj 3801 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27589 20690 0 R /Gabc27590 20697 0 R >> /Font << /Fabc27591 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4՚Gp#?$_l˜!E_@9?ɜ F0P|sL~rU*U櫲`ѼΡDHI.*x P@_Ћm߽?>h endstream endobj 3802 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=268) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3803 0 obj << /Filter /FlateDecode /Length 4281 >> stream x\K$7rWY@rf& 4Uݵf5>-ƴ+3dI_A28ӏNȫ}L%N1L,C~MN}Ut)ϟ e[X[_|=[W*i_.JyvQf_mz~S4Xyx?M N6 OgdUfQ_⪳^{xf8'cd<|Eeyÿ\6ߡвbZwJ2}/RkTͪSr33y ^CH!M2V .MO& U ^ ~+0ryw `:`y[jkb_uݺ‚Byt*^\"$vf7v2iOnXv:=%qgc@;]m&}k^= oi ;) QOߐ0j@O 1?ilxm]U :ǝoX89Q7*O`ĥRQ tIP7͒" ģ+)V 95CO1cET+2kG9P2khxiMfTߕ֣ q>hz 8@cH=3UÐKH* ޖ"k 蕐-V&s3(Ý8o F( '-j W48E/.+ڴlc`֐z>wAA@쾦7D9=#0:gB6.Ttɜg`,/ب@#Cslߒ̃'4jo՛X NKUaj y*Ɵ;Ķ#<<3@_ ӟ1GŴmQRFЂg?E>W Xwό2oi-cFDz'ň4IFzPu ??'Y +e{)<)Yʕ)8`+"S5Zv~c"|bII)>NIҥ:ڤAwQV3΍T^S%#&^m$j̄JxnSчmˣ(t|$NtPEX9 +/-+Q_'Ť(R_/@HpwGd~Å/D=nhYjb\m'gϭn;4eos?XhKBe|.jva%g!%%)Z]L9tƽhf\T}1g0 A. XO5a۩2*\Vj2sw-աe:Vu6 % ,m>!sa̖^…-RxhH+uKXi`LĞe+UhCUqZ_*.+TrU3y ~v HE;y)Ҿ^ݡӤXYEG\r1`n(;ռ2p/$UصwVUXOXoyjAs2Osgpc<{ZfHC/52Eη-> LH6pԖ؃fj@ԳVW;zcom=xP`9g,vbD? >ޖ-/bm(oΜ6@30mӮG9~Ld%^I+nڃ~P{NK8)K /%i-@s|@3{R@\N7Q,Y#a\U 1kWjƯjXe 8SX00y9pJGXvDnM Fz':~c7IM |Q봀2HJ:uf#:!4 qSU!$*jPe@1f=AI%DPY e!1L<{{o|c;HeLa萱2;Y񼲃) Q#nq;;/Kܩ -WARo'"0mӶ7 3tI ;6yggRu=k/ bFcphfbzD6Zuc=J@`5\d3€L G#F#(Kδ$ k96ov⎷1y+1dUcltLV.R^IZx(]ի[񶥶 sQ0{PO{:`b>e2GNJG,7yhQH+"aA16Dm6| u̹s>=yO|`^m><2&-LLWi98sfڹ(GE "W>qP'U3a k~#RIш6( cD+ä63ߧԑ t\J̸o 2;2>!K,\,&;XBβQĠ ,PW&ɚ9ǔ:h?Pp}л zx;7Q>.oD-p^ Fy _X&YSQ7~KxsskM!#+0up^wEĖh7ɉY>-,\(:9E,l0sĩe}.)u;łLoTbbV8㦭)q_䇤|%HpCAZI[)%:5,[(#Dեg5Yr!F)[Rw+lȢ ?P[)uU$^}'tbou1[:N|b|/&jnUl@k6@g%Ú8I܀omvmp(wâѽ3\\h7.&T](=U-UL4[ ?+N /t XKKt7bunnhsr?vEO'k!m\=7x,ѷv;rPD\ cx.#T0kUoYi)u7l)' u:c#IG endstream endobj 3804 0 obj << /Annots [3805 0 R 3809 0 R] /BleedBox [0 0 612 792] /Contents [3810 0 R 3806 0 R 3807 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27607 3808 0 R >> >> /Type /Page >> endobj 3805 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3806 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3807 0 obj << /Length 19 >> stream q /Iabc27607 Do Q endstream endobj 3808 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27608 20690 0 R /Gabc27609 20697 0 R >> /Font << /Fabc27610 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV)xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3810 0 obj << /Filter /FlateDecode /Length 4080 >> stream xڽnί9@10`Wց: w~Mjs{[D=}#kElߖL/-I#EM<-~ۯSRTIAi&:IT~\\puVp/:H<} ZiRP*Wx=˸ղ|tP2%x.q8,zZEJC`xq*0}>' 2ZU M&+/+| >~=ѽF O/\?GAkTAz FB3'5+0FS5Ij h.YrС"`#Pm+H7=TQuH(<ΤO]U;_w2`G[^@碦M jOZ2Xr0ǮLU"e{\À>LUVOH ljqnMgyߪ笯;=*hW:19If*=/L–(c2U P(LƅTC DWS GU4w]!+i CѧJmUWyOo}H])JXTq_J񙟍j~-hx 159hs\ˠHtM&BF>TM+ aD0c92 М_ž1@djA `R%eNCbF<ƎVj5I{YuhI+1E/w˂y :nCJLiNI;xd7~4(ZouI8n<g;21:=#tiikn$Dyת%?̩x`ZD1s4`c"M[`*f|NGtNT次BgݣE5h٪؍GBQ,iQ }/uR`X`z=3nStՊ| rҹrҡWM,\i?TW DQzڽ36k䆮_ /@4&E"1pb̥~"}md *$"^Zmϭq~9\+wRy-p{ߛ֧=)ɥaf֙R59Ȭ%"7Kp_XPdޙ?-_"/=V2r[iTӚ}[}_|~;o 2u`[xpe>+㚾%|=DP'R+(RiDDh#cnx^c^Ajj80XYc&zSHC+8؇mjaBw;d o T'3!:젦8OI>^i1_Vi(/[sG!+:A2SB|Fp&P9nvX' 2TxI("T;N1N:aGH; !m? 7@Qc j2/+xCK24M P~5j5il rɎ{c}\dŭOlM@ؐ*┣m\Cb_Slٯ9^ϝ@L3}EoǞܬ=Ķpþl+#5AO-\ *nido7~vOȞͺcTN:HUs6 ʅͿƻ9;Yz7*īZ\zcop>T^`s,zl36oyl%%{k.<5bZ6QDL7xfǑ,bKdDn쏈OanFd}JsQa/WG%q6EN-w̞ަS+yڛsOC#nnd{GqŝAaQkJfZCJ|? &߼nenN^xs+m57V睤gDR\si|lBBPpBAo Q akvr^o1{T~_㯸tmp  5Fڸ|:.SКGފ=TNݹ2@D#-sj[Kw,2Hn *ozXBsͪTи4t3jKS4ۊF7/<쭞//|sz^O;;z8=O(# Y%%*nnCKa:l8Aw~# xoZ+/VƘI4k5\*YЗCWt&8,)&vļ6MvxH+ҥ*&<-Nf(A+Di"˯˗}8,0%ϟIHn2'*::\G`YfG+ŌypB^QCpҘ(} Y!XkX(В*q`jөP6e Ű!vP LoLa3 iQg:ޠtc;tau.8e?br$;> "YƎv@'bqR=yIHj\nBU~z-WOܫ|5,9bфK|Eu1ɚԿ͡>KDPaX#"pk;WK퍒6.2~$Ub"5e, F0_dt\mb*`YkAӂ{[!E'U$o &u{A}û?ZlKp;91ݘsi(bs?11cqWx0A[eLe{^K٧1}{ {}zBFG*S=T xSώO=;:\@"4n{~Vt;ẒR peβJ @33c#QB1Oꨴz~= !e{3r5S90߿Ÿ/Gzzk?a rSõiWsM`d}9LP"19E~ 5(,G X*ʑy—뒓A >,Z_ endstream endobj 3811 0 obj << /Annots [3812 0 R 3816 0 R] /BleedBox [0 0 612 792] /Contents [3817 0 R 3813 0 R 3814 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27626 3815 0 R >> >> /Type /Page >> endobj 3812 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3813 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3814 0 obj << /Length 19 >> stream q /Iabc27626 Do Q endstream endobj 3815 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27627 20690 0 R /Gabc27628 20697 0 R >> /Font << /Fabc27629 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)>t\gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3817 0 obj << /Filter /FlateDecode /Length 4378 >> stream xڵ\IWl@2xh` C$vx.^q[(XW )OOjό?!oSiSST$o[>^5Z=}m*&BE s>M>FH4#*vBơKK髩1KOCQ(nyi$ ]5`qJ(nC|k/wT\cאrVJŶ٧G;*[-:b?ަt ij[#k kl0W[jW!f'E4"+l-h>Oby6ms7[Wmuz .zUd8khV˨;_V_z)1մzx5_d6^$J>/tԕ8fU.ZPXP5} # %VDw$n!E DqqUN>HSAVוc6}6#D*Fp,έpPK2xy /CW"D P{~[a1) װ>n#UkHcq z1ZEVAXcCsvk{SZcαh[Ý_dxyܮcx 6̠lَ{_ڭ-tX}# X ;5nc}ӥ-C6^qW[pa,Ԭ5, mXά[F vo[m ĸՀ ߨޘpu>V/K5>*G:ќ@<"aE(>.a}l1YbOI 6UTr'`幔yeM^2!X[@!=Yף5CSE=>iw]T޳wfp>Fqq zwg=g.AYG%J1dY>%YW~(O'q-`U1]2^L_]YY%@gnHGv9*U(n3vuQ{9{d=6  0 MlyϥjH:D'͈PC6`s|&>n\JPĞdR~EzEF\(u˫VPn5Iő_ɌS9~(Gv&:|hp4.bw SuvÝfy^/|'dc%z/0ѕO^c lȟ#>|,QqO3oepsVTtd#UAl|PwGcMpV;#UTw#x%gc]=#wϻ Rځ]\~} rO~Cű o7f9vωYB^u@  q̟uA5us nz ao?LOxN$9MofX<[Wk=.fHз@_~E]t|vmxr+bNL~~~($NC -8Q.wǃ:S.OOS>/O {ɎvqLmZXɝ cZa[?(9clĜp¯h & |kH_oqt їul$f`&ݻfUf߼j@o"śnf ^d֋ 0(cn5˱mȄ&;5ҿU$sPӚ@]ypGhַ4@yZ'[g۽וoz|UkK*G-P*&Edѿ__P]Tn&YSWKo¿ue :qe~\ИX/ܙCA|-hXtj_7<%QdeD{T̙ &(U/d:Ow_/Tm]Rn+td HIC;2X'`[|_;Mn8x]֩tn FX04Ǜ.hD|Rz:p \;,Z endstream endobj 3818 0 obj << /Annots 3820 0 R /BleedBox [0 0 612 792] /Contents [3837 0 R 3833 0 R 3834 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27645 3835 0 R >> >> /Type /Page >> endobj 3819 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3820 0 obj [3819 0 R 3821 0 R 3822 0 R 3823 0 R 3824 0 R 3825 0 R 3826 0 R 3827 0 R 3828 0 R 3829 0 R 3830 0 R 3831 0 R 3832 0 R 3836 0 R] endobj 3821 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 510.8923 180.0512 521.8923] /Subtype /Link /Type /Annot >> endobj 3822 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [104.1732 494.6923 200.8412 505.6923] /Subtype /Link /Type /Annot >> endobj 3823 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 478.4922 187.5312 489.4922] /Subtype /Link /Type /Annot >> endobj 3824 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 462.2922 145.4837 473.2922] /Subtype /Link /Type /Annot >> endobj 3825 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 446.0922 177.6092 457.0922] /Subtype /Link /Type /Annot >> endobj 3826 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 429.8922 191.3372 440.8922] /Subtype /Link /Type /Annot >> endobj 3827 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 413.6922 145.1812 424.6922] /Subtype /Link /Type /Annot >> endobj 3828 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 397.4922 144.2737 408.4922] /Subtype /Link /Type /Annot >> endobj 3829 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 381.2922 149.6802 392.2922] /Subtype /Link /Type /Annot >> endobj 3830 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 365.0922 146.4572 376.0922] /Subtype /Link /Type /Annot >> endobj 3831 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 348.8922 154.3497 359.8922] /Subtype /Link /Type /Annot >> endobj 3832 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 332.6921 165.4707 343.6921] /Subtype /Link /Type /Annot >> endobj 3833 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3834 0 obj << /Length 19 >> stream q /Iabc27645 Do Q endstream endobj 3835 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27646 20690 0 R /Gabc27647 20697 0 R >> /Font << /Fabc27648 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Qӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3837 0 obj << /Filter /FlateDecode /Length 2319 >> stream xڭYKoWdр^ lƗDu3aϨ%(z߄ڃ}zZmNyrsO㭤6uEo7;9>ڛa>~_n\>:"]}/,-\;7댛#pQf`__ 䔝;D80Fҭqp _G|;\gN >$sqbL>񄆩܃SHsfiv0Fl. > PQ1 A҆]cX2{RcCc B%X>*UY?o~`.I?F(t3OD (06mK 4 Tܜa"K'ek޸ S>9 pqǟO/sKTnݟ4vaJPáC S2kY 2kt>e4^wNPILHzG;A(8]g=<[>$u|8;ӷgڼ7(D;f1SD23bi97Y0w㩸4J|?XᡜC=C<Y!Z+=VR1H[PH$D?'pRP`YY'^'Vܺp%tY8!U#"0U%R9>X ]:/Ql FAT"W䊲=†GԎx](uYaz8/IYeY^>@+sNY,{cnaKx!ud-ogo}d{ʪIYfmF-JvڣS]s[.kiʼe^9:8 |N쯚LbfH(~xk>[B3V")O:ibٞnBx/I]/D^ŗJnƥFWGpL +C}H ,@/jAv{JJi%yX:М䙨ณުڗ-$'_fܞy'FQʃ\ ֥/Rx/U((.PEL/*Y!f zZQ[3A M&c/[LDo=kr Vy6xm?$*7հn?2^jXbݾ¯S!7?m5W՚-nS 92ݎGF`|0ıDž?-'5d<o懍s=Ӯm )"sS_A"CIEF fs*7Gxފ+}iLyCA.g ؊;g~ۗ _/p6$:PZz[.o"77%x OYg}oʂ8*;)})!B5LGGh:j~Ɠ +#R.sœ2)3f-lHVG'7;06-l6'2?fkQv$n"";kX qو+hgMaN# Ϫe1qXJ@ xS4if*(*mi{6kjG=K UvMYS(1Hi6NXf+"Ռzi {yJ0z$5奚€r@<äuGoD즢XYX<"~v$/3 kRBʄ&ijA'VMI`08ByV[(qҳشDÌ$DL٥-aLtڈb[[Y0.k:ƭeZD᪌*RK+2Q DTy)X7Š P LH1gO8严! i%/b[5meurgKml 7:e5Y7__;HU;xJT9jėT褃O=.遷T$f8dDC$8SǑ>G}O;酥r' {r`%9׋^Bl|*~KwpU1܄&c WF&'X-6/-K Ǖu\{8ly7!>(okC_zrPc;Rp51Q)ƒ^OسHoם endstream endobj 3838 0 obj << /Annots 3840 0 R /BleedBox [0 0 612 792] /Contents [3847 0 R 3843 0 R 3844 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27664 3845 0 R >> >> /Type /Page >> endobj 3839 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3840 0 obj [3839 0 R 3841 0 R 3842 0 R 3846 0 R] endobj 3841 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 296.525 124.1605 307.525] /Subtype /Link /Type /Annot >> endobj 3842 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [129.4735 296.525 180.4255 307.525] /Subtype /Link /Type /Annot >> endobj 3843 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3844 0 obj << /Length 19 >> stream q /Iabc27664 Do Q endstream endobj 3845 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27665 20690 0 R /Gabc27666 20697 0 R >> /Font << /Fabc27667 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [nROջ]p)\Br!sut;_Pt2Qh2 ALF  c̙h;IHt(sBD* ^|ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]h}j]֠gQN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3847 0 obj << /Filter /FlateDecode /Length 4533 >> stream xnίy1|?Àv$i6A00s離j{7!iKb$Z-roŋj:XU}=^p6_W8o_0qY;k˝ ԧMO_b{;wէ |qy̾hpZ.Vv#U߿\w1#U?2+,0|Jы- -6r/l!x/Juxu'{du gt[?'6haIS/U^2xuM 9?#}D" p>#Gc%^EZBr`Yߖ_~(d@>1)b&@*@)q?/"K{+_'itНEC !^i6_bǯ0)F0B4&p<8>OxHWxzNz3pFЙw@/(DP[A9 #H,8W+#P+>r5Zt d҂>(9Ц*L0lL#>(B`Y(lnK.FVhEp6da'ajqb%W0(];Wl/C2ZWl[=6Y=:U'hgIK WOj2A`6x?dTs6yt͊DN'䢀W$<0NXڋ;a6,r3ƈ#W*sL羳}a`{%vuy;U$dח&vjY#Wib4c&߉}b.nxč&y#%j4cD#,&6ICxW~XmpC^ZB_mYG0=jjdrd< dIA63#~/>Zq|Kӳb4@({~+-U/_`xqgX#o@vAHyDiWo g8MDK{,I]E.Қ Ez߯Е"`slU-H6J08~JC43Rס\&-QpNؑC!5. RحM&݌`YTԚ/cD-QKt( 4謗.S:S'gaCeHb%1-= k ؾjdp`u+ђ"(mUE.LcoXuBLW1c6.7l X˗H,W$^I_/{7:, */U2q˅Z &-h{D] n)YGGscq' fOծeG\3wui\͐'RﭱUmI|loAjK}:rQ>Ljv\)7IK$K{LHb="6%v}uӧCr=!5ۑЎY]Ep!#8{Ip^y~yk %$"18P]zWMos&00NZ^0?!I2")Ќ&}vKgq^tx| \Bl;+{"-v+ FK(@K&XY^*ȫ e5SlK ЋƝH99oy{J뫪-ԥ"ZqLh|¼єjvk^雘64 =.??YX[OLc%r& vIop)IDSYy/vZȁK5Y)ߙܡ.IɽҞC׬q'ZP8sW{B {9S4bl+s++m"y`L!K &s:T`B #dFT !Szg.$6 uWpǺt;JLZ=Nl$tm^*ID,}Ӹ SF[sY -Ӓ<% LH]}^6:TB5BJpN#$n?+Hx~++xpphDj"]5\&CyV AՔ@]yp"IQOr0#X2#AYQ_MsZ(dz_JS͍ O,*?~oeEY\0k!!?VՆ EIkn5»iufBGnY}K\hX6_BFNL5M,ơZՌ S:u-SBSB@u8jg>޴{i4^~“-(MRYBs~hkAЊ?☑0 !^ CQ4?FgE87 A&bTR0Y@Y!xdf`sbzQv^xUcBN`' vc2|a)(ȣVtu|Hhet.jE\ -^F-F4e; H>ef2оǹV)[0B塢[7L5GBqi^(1ڪZIhP= Im3k'OS1\s̷ء5" \[ij(^*wI)_-q !M@A XًB\{W YYo9fͲajKݔ)%E)ǯCh}*P %&\T56 66,Uh|>Р}5v qPq )tہ B':Ќ@.'9bƼ> fw Zwv EX ?qݵ`|R=Дm1dkqYcȧ&Qeh0Yr[kKfr6YwxԤ{W4 u{HL+4q.:(MdU$<F1) ܙgH|w># WP5QqM-YalXb{O#ؕ:\nBE`q-7+|mHKlX Xz:_ݚ1|AqxMM׿&xZ`h_1c:n9fqw3PvR TXx\ D{X3 9T&cII/5@S>TlR _R퉰3@O6It%3sYHKZSZ|mq~x*e]aV++r ȯ%[<UДuKx*||˃#YֆD$nu&d^F 3_ueDا1 _;exI܊저.9g薁&V\J 4Sβy-uX]=ʑ=es`*{O}\w>۾80 o/Y>f2IC<_ھCa#\WOao9ǐg\`g'l5(L]c;|W񜞵s5݊{yNק `Q0zʧ_7=pM0SqD8K L#&΢gu endstream endobj 3848 0 obj << /Annots [3849 0 R 3853 0 R] /BleedBox [0 0 612 792] /Contents [3854 0 R 3850 0 R 3851 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27683 3852 0 R >> >> /Type /Page >> endobj 3849 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3850 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3851 0 obj << /Length 19 >> stream q /Iabc27683 Do Q endstream endobj 3852 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27684 20690 0 R /Gabc27685 20697 0 R >> /Font << /Fabc27686 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶f5{kEŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwo^ endstream endobj 3853 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=273) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3854 0 obj << /Filter /FlateDecode /Length 5599 >> stream x<Ɏ,9nw}E } T2@z> Tv{0gIZ#rzmxzRHE)6)?1Vݖ4V))߶}۷_{WRPW~A)\tJŠTxSP};thbj_w~<<; ^n~ƵNfϝ)$->+ힰ(F/v-?^ه2|DP*әڋ^)VуzS~=̻˜:k휴*c)CX/06H5# (=O@q2ȂF༙6 lj 5$,^@;Cӎ_^L0䋐Fe॓)ipv/x  qqi+`2pɨ J`.,Eg!ރM:Et)C"Щ;,R'HUsYr\KQ%PpĈnD2RQl ,Dga#"4@Wb$hc^Wq\͔;U3/Mc]J<ͷEz#_P}MbA`r|F ަ-@ t0 {Zc =2uT̔?"7A(@tU=w[=!?ԴBV˧>#m\ue$=m0G":4Lnn#0Ub̨̆ ҨYt|`h=mA`Kl5kɐ[.NY^00}6[-lsi4⨥vD̼PxdalrWE0If3F'j9Nv5r`ǥ\0{~Պa^&`j7#/?0t1Tu6aތ9ۿli-- 4 օCPĵ~ N97i2v)A $ a @Km%jiVACqc˜.u %cdfj>60Yf[+u,5> rpM*H)4D7[;Q\5r.F[h 'эUH f >y APHC0{3UkVÉ^Ր:yR;EWfkmH͌H+U‚?yXpdV$r pZqi p@|)!ڀQ a( E׫Gآ92p{ByފmA)=NR#`*a:~~{X/`ųcqs:Rg 2şl?'$w=9:)+?VOwH wa2,T# OEhKTc&X(, &hp"JI}X+EI*.`|ߩp8d qJT0 ]޵ } egH.R@$h8 p+AZ D02 SOԿDAx 7$VkL5pKŵE=|8՚| VQSTڋ(qrc p DZQ}&E\h =pOհD<7mѴ .nzdm>VʿZ l D/cP6^}P`_@`o(1w` 'K(so6r0^j ˨l(aaW#KrwPGsK ڇju+b'oy YWD,^FCaN(WbXW%J+=ԳܾFл3Cs$j*[k45HV^S$?tZ3%_hcطz+Pt~{D=cih+ڥt\D9ܖv< |?~ѤN&9-zݍ8-6 }Ƅ%;7h$J 'P 4/ /bPzj,΃ﺵ(ŕT"6ՠy`Ia^Y#gୗP޿ߗf&yn,:CqJ$sNA4#`cFo.wE?`L树CU{ݡ[A1!%`M_}冐y $#Ե Wbʨ\.-b)s%(J X׶h=@xVD 5 <;G!AOFcYVFV[4[^1x偌>밀+&>e e z?kW1C'TdF:i"SjoLˬ1E{s{71w 3LMnb1,dHl71$蘯H#՜J9WE*V U%wnW\#aQ,GʣP:+(<r@FFm,q&4By:8 ב4Tӌb,qV8{e< |lE\ːڟ0c#vUF`BK†lKG3$fMR8SzX))Ӛ?3abՙ_И][6=TMdKlhXϴ` -XE\??iI NwM#ף?5]VL 6Jj|bl:df>sk.VȡRM #I>?E|M 5yyo K]~u+XMkh۱ sʘ/jc.2_Uj;4wOԀP]1PR;+ |"v C{yY˞؅y;uޑoo [7WKr(Ż+6]9+Z <&{)b-+y*3:</^SYGVnlwOgwc{tt1<L] {lج7`Y8t#3{^|tlθV|+TFKh{d\2zw XQrٖ8f1.0Gj5ehNEDkHU 6yz`JΫrhӌҜl3ҁ}*J˟ads^k~d [C@vC;+@Q`N|)!q=^#|j`p5X63;uO~v#S%TTE(iHu A "w Xxb\?I[̱o)ʬ)[TٺXbtj_jVGAĺz'UWbӯj|phT;"1JB9^hӑ_ר'zW$3-"l,3F,<`TȦDw)zl=?E9L)Jԡ9y>SψlWd9=>1qV N޴&}O-^LPo}˶$ƽ(,g a0u5 [>|&fZ;Ts/ FJ2W:1E33' 4{ǀPT̨,Pa٨+-qZS7  w+$Ah) \;Jz+?[s=N;3 9q#b"7k]==oic: gF3C;7/CLC03]ICpTVIǁRԥL3An:3Vs-5)a@GRס@ 3_|"!Gm{3KEQ.EYK:.B,3*OC{!$!u{)KvC?ޝ.z8R&0`#C#|5"}Ѱؓ㩃s_6_ #7_\q}tdDϵZdDw᚝ƙD{?'uWhP$R9 32.c16H#Sܮצq82>B>B |ѽ%/Nڡ~#Kh~j-_Y2U>3\@/ z۝Dk.q0#)ʗ8ӅoaǝGA=.o~bSc2sxԀjz=ӯK4u]#FCF urJ'*vyi38&{:˘[,t|~F|@ s?򯞖ٵ>xqüvKsAcq28Ijxzb=C0zPx4Z*zx‚~oħ}L*?up WSnb Œaۆ]{Lwl4JIJ[/dt6wͣ}Ac+oHwCg_EMVSan>lOMAb0d9P 5 Ȃ% *4p[h,".tt>@eOu ^{--k2pcݍ|B^o_@R* `_e0u?1LnZ"'a"%A!p`l2b+%6\ &q,nhb2tKm!gۿp[&. 3e^|8/LOpQP(f]+DJd+U.2R#┉jUl5c8p?8:E?݂-_`2IcBr0 >w q555-^NNsO8`:V;82?iQbS/(Y(ic.A eR8Tlxqmh85wD0+xd*[l Ɯ 'Sχ%\6f@xR)Q}`݋y1:f؆2\A2 dc/6XS1M,K DRhƓOlH*?a\F{-:jޅBKD?э6V:fqx%|Sf+\k4}-Mf^> 2jFC!7ɟgouNʕNGW: m|x_9EU,_YY{ endstream endobj 3855 0 obj << /Annots 3857 0 R /BleedBox [0 0 612 792] /Contents [3865 0 R 3861 0 R 3862 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27702 3863 0 R >> >> /Type /Page >> endobj 3856 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3857 0 obj [3856 0 R 3858 0 R 3859 0 R 3860 0 R 3864 0 R] endobj 3858 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 388.6731 146.4737 399.6731] /Subtype /Link /Type /Annot >> endobj 3859 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 372.473 173.3797 383.473] /Subtype /Link /Type /Annot >> endobj 3860 0 obj << /A << /D (unique_344) /S /GoTo >> /Border [0 0 0] /Contents (synth_ip) /M (D:20210608081201-08'00') /Rect [104.1732 356.273 144.5047 367.273] /Subtype /Link /Type /Annot >> endobj 3861 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3862 0 obj << /Length 19 >> stream q /Iabc27702 Do Q endstream endobj 3863 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27703 20690 0 R /Gabc27704 20697 0 R >> /Font << /Fabc27705 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3865 0 obj << /Filter /FlateDecode /Length 2436 >> stream xIk,^ΆԾh !9⿟oHHrFkZN[[QOϺ9,oՏѐaxugk Xx)'y ǃE?X5Yo#D plm:tym,{YNlp|&elⲠnոZ\ 10<Y;cSmГ.<߅fމ|tt&% G8r9[G'}X?UGX;%\;v?Qqe\I[+S>K81`䂐Y&^\LuX!hrbCl:(^"!Y}dà)?<ښGe<_~0Lqe' C9\0F,f!>5;G1 C̡ǧsikl#{g cM@&1&mC`x/pX{FĞed|SR) ⓉQgv#T6J_ԂE05,ryz2Ŝ0Pf9ʭϯ#l/IsBZ]`=%eeKUFpƤ6x`&A>jk:DuBÔz'L鯆)/(_wI՚|Лlڝ+fo¦Zv^)>TH.-mTFFpȄ,}Φ(_!'DվXR_#mFj̧A]%spvUp0r3F'")L.@ T$})]{BԵ^h- zHQ7DZv)6\R"R& t _%Nw #> ̕Ag'? |]lHbkĴ褲M0S 1uf "4݂BnYRQNYGjjhU ]W\&d &!e0t+hE 9lyrrag՞xyhWJBMX`G.0c2@{@_{e@637^^UC ibWLga3;zU[%p79=_#\Ffm&5w,_k$!z"o$ю?6eN B{3U v l' }W5f)F8Wpy2#la6FJGv[fK3nJ`G;$ 4mex◥9>}!Qu4r2+6K2W ^QP X;xcxRBt^Ӆ2BqGW-ӰS"%L'^W  OcC0Ce<²iQqrz xGF1ͣA~ѣ: Q( F btay[N> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27721 3873 0 R >> >> /Type /Page >> endobj 3867 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3868 0 obj [3867 0 R 3869 0 R 3870 0 R 3874 0 R] endobj 3869 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 200.525 111.681 211.525] /Subtype /Link /Type /Annot >> endobj 3870 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 200.525 139.8465 211.525] /Subtype /Link /Type /Annot >> endobj 3871 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3872 0 obj << /Length 19 >> stream q /Iabc27721 Do Q endstream endobj 3873 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27722 20690 0 R /Gabc27723 20697 0 R >> /Font << /Fabc27724 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`UthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7ӬFo#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM1f endstream endobj 3874 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=275) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3875 0 obj << /Filter /FlateDecode /Length 5185 >> stream xitBo>7߾dlx^6 X؅YQGԟZ٭/?՗M/_w`SоyzxjL Mz%'=kg\5%Uv8~>3dvqZ02'*5433w> ֖a * <> #s%. 0CNixQ*9Pc Ȱ2hhʿ7O(ULJ`}?.LF9MqoT^2\ABN>BDLF6vQ٤&*1S;1 v)e)3j Q+,nB d}5B1#%z=<QwNU(v;|;l o9 BaN˭l 5bOBC@p 9,r@ s";sv)H/@LyL ag.qW</"q=^{ {Z8{#89,3mzlAv2F;3[d5N Ys[@V b*)6أ`V+|'hnh!ٷX U _iMn+6jOMK[7+c)cx/e}e?ToHUe$(]FCV,ϻdOo"3Rez^M2pyL8t2wK\ӱBhq=/0l}4D( g\1Ȭ+Ð  죰)›a]0@'0<,eC͚j-6m6^ ;՚Lnp3 1"v恲]g^c+~œ >49sFOY4ڊז&ZKBo|#-%~ ThWq Kdr{1_]n|9\~o;u~}W>23Tn C'y8D/TJUv/=#7=H#f"'B^B Gυ'|M-bD=p 9?w~ v18y1v ?i)>rS{YkǞaXUW\*NߞG|\ww^ r58O]T 㶍}9LX{,Kl*U]]e,k@=-/GwS%]JPs5IWqL8wufޕ]n1\Cٱ?e|Sވ! ?Y?Mrcc &Jݜ28vaDA]Cȅvc[V3~x^b XT7ESGeA&NT P]QLxľ^tnz&Z; w/Ak4d;;i;Ĺ|?_o C>łUsIKx8G9%ss7j՝[gf:g1V-VO`GX>_p{^j_ZQoZ[c#՝LH&dO4!*^iDhDn"w"d~Z;Z:[iGhG,b~o1\}X7>+w53;l> Cn w,\`ϐ9PoE}VߗӴך8G ׭B`zAQ) &n˰lnws X ok3ZTlCԛ_VdVVNsv܁xP`8D&7,Ĉ1N %X++cs"|[0Bɾ^Ǿ`E !xpS/#"xods=Mi6%R<H-Rf^ 2)# uC[/jըQi(m OEhč\xSܤ- YX0D젢莬|:f &#:5r [)i #tJ/_3_rQr*0Op[[.QD57SR'Ro3KЫD}x}61ލ!$&Ux ^9 [:3Ɗ##W ä^ &xč8tZ=^o5̷ ~{|P ܮh[ګXlBOm6kY;%"0֍#:iT>ʝ<.T1V B.C3mmmsQWwřGiݝu\-8eW`J۱B^KO8v#8GnV+W%*q"eH<,6wXr[#7#?>ҌB510 ( 8(as}R⦱7`Eշu{'_ۘD JF}0P͔k}J  @&чd* 2/!9I@$4mn@adVN^iI}EV:Qf.#EpruNx&~zK\gj\.=o P):Rӏu(XWRP(qґ*t)Opc-m%kӄW^ﴞMb4̎Yu[ Э/ĀwfH$0y/% 2XpZfԋ*CoQ0(:ԕf#S2-: z"qTSCʧު|C]l(Z8h bL,W~9T&u8ux!h}C::aM弼+Bk*9qOe6"u.nYítv>m89%^{%W ] + yqn[;(w$OI޹~[ȩ\aLK5a.nö`m+Ҵ|XqMʾWzI^q73ۥ3vi~"6cq[9I{ޘM endstream endobj 3876 0 obj << /Annots [3877 0 R 3881 0 R] /BleedBox [0 0 612 792] /Contents [3882 0 R 3878 0 R 3879 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27740 3880 0 R >> >> /Type /Page >> endobj 3877 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3878 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3879 0 obj << /Length 19 >> stream q /Iabc27740 Do Q endstream endobj 3880 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27741 20690 0 R /Gabc27742 20697 0 R >> /Font << /Fabc27743 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ7 endstream endobj 3881 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=276) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3882 0 obj << /Filter /FlateDecode /Length 4995 >> stream x<ɮIr:`v @<@| =|${H};"rZX|IT1*ط"/xQ-_/\Y[wvЋ˗q!VW!!IJmu{܆x_+oL_x=ñm7.G^q7 e /yƔ!-f %^iF,_~].`ϗ2\/_ E7Fl^{ !q.h7 u@# agZ^H`.AppV>]1,GII%xopTqO. .JnVL0!u< a,ǁn>[.>Ĕ4[{[Bu rq cQ3*Or"^(.Fgye^ddu7/QE.]"&*H1aO4 MD wʎcNo, -:6RT "5@pkn*3N}0 RN8L":p$P୔l"dHPxVCKj xM"ԍ!|ɷt{tt^Qk$Q*`H#$R۲a Yg~`3t0VF2K!Zhv&LcҬNCOv(4)7MF qDљbeY\$bFp$q 5YFESTBgC& g@<zѻ%>ul223Qj㪶+nR[ka#EŠt Xtw, c۰CFGDA{i\ Ȑ(A,.H6*-+,)8E3kBZ2ۍΪ9Sdy&NX[4#g;RF4U!Tlnz@#=e#okuw>w)$@`}yA?DRLwK=HlG= _|"@}KKpW& Ԥ25 nB}!sE͈uN^tVtwinEUXRa,l'P&Mrq!1*^jlb00-ыcay#-PHA yŪ.Jve;Jcmb 2: S &u 8:)>X հ|j5@r8,Zz{,v%'"QqµDo?TYCU!OP$zu)&>bk•jMht1g")ui~vaQ'N:o繶Y*DQwdqysgJ>e\֫WQ3}>g+Ŏbj&ghTg-d*)@M"{~†l#4A'}" 1nb iɓJYܧgA"WJt±+\Ş@Nu^s S'͖mY(oUxB3uFoK8aN–#ȳ5从Y{ afcޠ{2$X^pgvK곗6dWc ]f %r :/>D *'j}Y<Z 3V4n-@^ )?K]lh䫚Y@Vgsq$1bjs0=oik@ˁ!}zkz%7l0m  ּl)xSbR: pxaZ/OZcV<9JT|&Sڪ*_ȰV*qz\}#2_?HqX"`Cb\ܭ Ř??|-@eUt'VE<1!}$/o`@|=.H\ZiZOPxgq!%AޞH8k'` WØT0{GsW ta/hUF7~mnTdrפ/vtOGHJ /Nʀ^f@;|N$:R)1,JЖSi\z:qr^rzټOoniz{,#r/ecP4de )1LCiXtZGBϏ*_WzcE46It"^6jN` mﴑʙVpFθFǐF {a'r48Zy'f!|y!^Ýfa RNy[#Ĺwke2a,ZmeYRJ[ڷ&y+,8K -ogYj"6 Uwh2+aыn|ͼNnD أm.)itΧG0j࣎˗t:݀hTN_$G -u=I GX V훇Ϣ[ :ec)Cl;\wjyzfh,Z[Yy>{nBp2ij {h5GoĒ_AvMxgZ큅=7'|Uy| IR_|a7<-Fj((p8;~[[\{TϥbT> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27759 3887 0 R >> >> /Type /Page >> endobj 3884 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3885 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3886 0 obj << /Length 19 >> stream q /Iabc27759 Do Q endstream endobj 3887 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27760 20690 0 R /Gabc27761 20697 0 R >> /Font << /Fabc27762 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`LЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fa4CZ-z^lM>j endstream endobj 3888 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=277) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3889 0 obj << /Filter /FlateDecode /Length 4090 >> stream x\M3 W̹U}z}-==mR?5c{&A5("R]~]"~o,\YKgv~_zpO.?gBE n]9 W!wqB\/BZ!ޮԖ,/~B-D|J#2< p)%yB=]Wz-?7V%y>1)'Q&>.xind3AŃ1!;8"JyEE8\X ܄i95p-Kq Z"]O@ڢ^i;wby4E a)]iJ\F ׹9JJ&=PD~>KH`Z) IDzCS̪H\y44m0MOzW^]ԇωz8fed,+ӹ&KOt_DȚU\YNō1X̩r'i2"D:Z.6A!>-XpqMԺNvYH]NGf+Z㠷%NzIjl+-V(:bU/Uqxt LUI>o7:frؗKvŁv&G͕1*EfH:c{]t:svN%>h/Q xlX&E1Cf_K k!&C/'e砵٠WXҩ>jlHfB7|br]G ]O9{!_tnrV7>_Ӝ}vR?3Ԧ0.TJ2=Coqܖ[m5<05MFѥ,ydbշ+(]T!/JόxM*dFɿ0/ʈ&@. A;R QͭK[js!? Bt/Oxe4N6Iⳅn f9~NnbgpѪ,' (]֔#jHBl!6F6* zeY @)x UųOe! uYS-΁o W ~(ZLi$76=u m.$#+J/tE*/ze>8 ʲ;Aas2'IfCEgF9``Nb0Ri!mrqJ VnGbwR: c<䀮0JVt QUT* hׅH¡)ݚkbYEk2:n]Қj:*e5$uqMse4lhZbkb9 0}YF[η#K]6S߃L`mJ_W R`1 \+O_yy G!$U\"]#!p耲X^E4 Vm:WgW!h*tro~YS0#bp ]>S<^CO$]V99-1%V 'b~CAie=~ET\8*0ޥbez ؁xĥ\*%g('ycC,'a /j LP"u62Jc:` t ?uS)$HxxZH7kp~+'rvQ@ӭB7 Şiayv*L[ǺyԕΪֹ: PIXJA7|31=(3Kɫׯ^B)rrAC)dFh~wH9. Ol#]ۈiiF`jp\U7 YõSmG.?yeֵ!-4"郜vYX۴Tu< qc]m8ȝXk4ָ Dj9$ɭh*vrĖR`9~qF k)JV~`ϞlNTulNTJmփn䬊 ל!zU=;Vj% fbDw*N-htr\ oETL;j'#mݝj'A(8h%u@ứFJFu$}cO(@wܶ1k=G6Yy'4;Ƙ,O ,(Y^b=DٽP@nix*5<˽;?;"勲pZigc~Z7"78[zKH(^8X~kZH>1trw샃&2"u|S f3|uS+V_9km]E}N4Yx8?*/ tb^YH^`\Rd+V:N鴏vŽȼi.vpˣlxqr ,{U+U"1ZZ"`~q Dw(\] s_2?_/'A˄ÃVS lJUNfS)ʘN44XryfہpS >Avew-7r[CgO=z[wmӯ>#Xt: [U4W0ڲ\:9c%J*n*.{rb>ͣU7RVEW]P&>oW6ֳqcX448.de[;UMf_ݸ\&JJN?gҞPW{eAwV^=X+;Jb[ q-.k__=*;Ed~#Xp : v6,0*G..DpY‡巟/tej, cX~Z~ئ A#gД4#w`Bn`9[s'>0fO0zwvWH/3n;rO{(n4+'!,;Dnmȧ&Sm=k@n6V h@jue=;&i:cwH]Vi"]ltTbv0's~Y"Y ao/iך MONc0FNk"nɛW֎-54MCh1>A-=k|mJKT \"s0E[/ Eˤkꚴ-8k2?y Ҿ !cGm#ES EϙPHC! Ig=CcuwFb8ɿ\В)1Gn2jn)呩$[/\ |^n>ͦ>;i4Tf^)=>3 nM$^ Ʋ8:HP*3oiߚ穨&,II(z˓#Y֦L$~NMv=˿uvv[^?~`}1"v*6V>^(D࣎Uh:< X6?MvB 9Q8TSlyꉘb ҇Ƒ2>c+p?3Dx+|y9/g !T-ΰzf́"ݴ>og$1UY0!?=8AЃ^MEA2 բKx= ^5gHexD}Vt_gXl-SdP$◌#OCƋ0iԗ4+%i endstream endobj 3890 0 obj << /Annots 3892 0 R /BleedBox [0 0 612 792] /Contents [3903 0 R 3899 0 R 3900 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27778 3901 0 R >> >> /Type /Page >> endobj 3891 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3892 0 obj [3891 0 R 3893 0 R 3894 0 R 3895 0 R 3896 0 R 3897 0 R 3898 0 R 3902 0 R] endobj 3893 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20210608081201-08'00') /Rect [104.1732 155.481 167.5827 166.481] /Subtype /Link /Type /Annot >> endobj 3894 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 139.281 164.1782 150.281] /Subtype /Link /Type /Annot >> endobj 3895 0 obj << /A << /D (unique_444) /S /GoTo >> /Border [0 0 0] /Contents (get_generated_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 123.081 207.9582 134.081] /Subtype /Link /Type /Annot >> endobj 3896 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 106.8811 144.2737 117.8811] /Subtype /Link /Type /Annot >> endobj 3897 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 90.6812 168.8477 101.6812] /Subtype /Link /Type /Annot >> endobj 3898 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20210608081201-08'00') /Rect [104.1732 74.4812 188.5212 85.4812] /Subtype /Link /Type /Annot >> endobj 3899 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3900 0 obj << /Length 19 >> stream q /Iabc27778 Do Q endstream endobj 3901 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27779 20690 0 R /Gabc27780 20697 0 R >> /Font << /Fabc27781 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3903 0 obj << /Filter /FlateDecode /Length 3871 >> stream xɎ#ίـ  C8v\yZVgO*oj鏟t:],v/A Z>ԧJqŘx4&yӣO0M_q|x3\#8MЙ.&@? R:à 8>*|Ld H>|'8)`+toR|b8Csqۥin s83,~$"mATʔ$4YX!M̳ 秇5.IŖ)AsBeQTLq5Rph4XU!@M6\&Dj&/L9L9Eޜa wt+Լܜ3J'uWCF}@6h#=qhX]vwHaS+ g [7.x*ZR8'*=w~W齸X??_-^R0: wwG4S`Q>iu4^ 'š^to+G'Eeyz8?*Pv@ mQG]V 5h tvE ء<8“1"å l%/ԟMD|.L5lCdp}0Ƚ {}2*?~!@&RyϦ+T(fKou2ν-dd8Fx°OC}f<.'$hs!pȓ3H]pa!^ 1cCICBbwvwe+)/l91ϠT֤;]Z|EGH;ŻDU l {Gs {"U\|70=HZvum`!BɣEPY*CU6H R7i_)԰Y]tX;j+H4DjDo(iэ(N\qޢ Gz2E7X 6wbluov[Kɶ٣[3H#*n Ϝ3{Gqd=9wd[S͊v/L3AUyW ^ xTIdw8$R^Q|UZELB'eZlRHe*7 Xie5ј&T_*0 ÂXnЙ=5Uea#u!u`+B1!> BrM<,ewTWC˷nm1CeR9vyu"\Qg?PНkvj99]n_=o\9K?nt u*s(Ch]yWc0EǣƤ3l>x4t%ȰWnW8}+s ,u2LaM)rp%ɐS0օ2et y=KQ|bvuЌ[Sso'PXOfŹS4&};8aYU'L`ds+bH-u7a`&:C'-Yڡ0WHbg=c3kqPgi{jC{ɡ@Fa_ձRSrT^\E_gBzE*wΞdCYrӹ"h;3C  _9?vۿ_Q.w_`]!.>BВ!NV+"w3ˋw;b7h6kX;y/~a^eeT !|.dP" X3bM@+1vm]nd-nG y-3nrg|Qz>'FuE}fS| jzN9tvRRTo lX0<) zb˰|}PK!pO`\BbঐYSp_gY 7NH/15$U,> sM UK_] <{Q㪵G ,]R'5BIiROسjKh5uM[ ؓ!,mi%Ὴn?zY&5WY!>͡YL0tidy @Vtx," $x"-{A'u e,#GZJ@ 6=nH04Km0%\8OUKO;=32Ź%,Y7?{Kc27x:B[3(a*'ωQvytu(R4AG87^܄\\kTɬ&?)- F^qx*&/ ~\4RgU5YEO=u A+^gS?agx9Iɑ2amǭG1R>N(?#advC?-qQw,.|< endstream endobj 3904 0 obj << /Annots 3906 0 R /BleedBox [0 0 612 792] /Contents [3913 0 R 3909 0 R 3910 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27797 3911 0 R >> >> /Type /Page >> endobj 3905 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3906 0 obj [3905 0 R 3907 0 R 3908 0 R 3912 0 R] endobj 3907 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 208.6347 709.9] /Subtype /Link /Type /Annot >> endobj 3908 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 208.5577 693.7] /Subtype /Link /Type /Annot >> endobj 3909 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3910 0 obj << /Length 19 >> stream q /Iabc27797 Do Q endstream endobj 3911 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27798 20690 0 R /Gabc27799 20697 0 R >> /Font << /Fabc27800 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`U3thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7㬖5ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}cq endstream endobj 3912 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=279) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3913 0 obj << /Filter /FlateDecode /Length 1183 >> stream xڭWMk37W\X\I` z z(=[SHόFZviB iFG|hwߵ zuq])hufcmԔmg]|_]h\ ׿36:GObQ|ϫbvOgFGyY@yiBވo+Ņ&4qŰ[)M,yw9qt%k%- CX⡀-yMR',%}^?7Z|WVͰ^ D\CvztMiD#yHAGo'/riCFS1yZsOHٍ8'b8Y^z[:Ϙcn5܍ŀjMA46=,mAXkqI'|Qqjy=HbWDpVņrqDSC#<~!H_,]B endstream endobj 3914 0 obj << /Annots 3916 0 R /BleedBox [0 0 612 792] /Contents [3922 0 R 3918 0 R 3919 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27816 3920 0 R >> >> /Type /Page >> endobj 3915 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3916 0 obj [3915 0 R 3917 0 R 3921 0 R] endobj 3917 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 263.825 145.297 274.825] /Subtype /Link /Type /Annot >> endobj 3918 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3919 0 obj << /Length 19 >> stream q /Iabc27816 Do Q endstream endobj 3920 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27817 20690 0 R /Gabc27818 20697 0 R >> /Font << /Fabc27819 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p.Tӡm~l1wE1 6.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYGFxaH&E d_ )2̼d9 M \09 `5ǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lMQ endstream endobj 3921 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=280) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3922 0 obj << /Filter /FlateDecode /Length 4026 >> stream x\KWI{99uv7\S$Ӷl䴘QK,WC,oRKvxoдN&$E!__N-n.D+˿?)³Oޗ'ꓫoX=hS|ajӋ|>PG^O} p%:!"?};mgWk:O?@E) ^IYm#܍Y̳U~f]XaRJVy(=:HbWʼ49 nR)@yG;^X6e# ?z'o!vm `'=ѨTT˿3[pݿH%]T Zb8n \ F%)up 5k4J}𜆼> }=w%MzQ;by\TVdL̺hA%ur˩eׁ[36NXZRPLB׈ũ(ʁZ( OG =;FOjކJp0T6Fr\lh(m\@iN7 a(K E\UWT:K yTYG =0zv/n=rc73fګ{k3D]ǘ6.iPGn8%h#^t$O& 0x(Rfj Ls / g&ZFan״݈dO8vĚCVoy2<ä*OE8Ңﳨ*3&#]^7O0ǖoh.Jj&@{;K+N[a֙nMbygm[a>j^zݔxY[Nɼt&+Nϯvzm]˙Q_n̅3p2pٗj<:W@4UU+oIDjQ\WJ_ul̴[߈., Ӭz nLTT!P̪j'4!]rVw<}6 g. BOqn-SuT(,RbDDȥ V|!YR\p։1Y²dk.1gx,uKR_i0dO2SQZ?п{NVim*Tt)w&Ba ?8ɐWh zk%N]TBK 7>YT:" u^Eǭ©]B dn;&pKb^t88ǎbЏ\DI=$*ʖs:ӑ8/vcFɮfa n([\{Îٷ;B;BB^]F%\v4X9y.K_؋8Z.୓̶4"/f\j\iNܺnO' "mypnr\2q硴U=,* l#bQAGhjUV4!* 絽rwJe"`d̤gc}>x ۴PStFdd#?/ס%kGjm-oBiXϱWYl<]|]~?57^? Ł=@?]Džk^ Ίo؜ "LȿFdMl7$ʵH_7j `A_/ieNy aލt1Ih59TL87/ʹV0V^[O PJ]R'x5.;ta p~Ԟ[5DዉK n`3l:e8HRw~ t3dS4u̘\'oS/Sb~np%WOj|IkT RFē yCsљL7~%q.bwh=*~A'E\~,7jX *z޲, Gj/+9 L]/()FH7"#%2CjƷp+r wN䥸" E9V)%VFsD2"8/T&kU&-)je}n)bBR[o8'VƑhMem eG۩5ۮAPF}.ooќ{tXk#~9N)vvyv|WWI/ߔ_piӼNN-'5IOsBV1P&G,'t9]XGx?.Ŕx=?> 5kyQ&RO9OpU/w*|5\kӊɰqZ7jiD04(_X7E֣7˴+\ ~W|=Npu=>܅(rs]rM, f\i{78 endstream endobj 3923 0 obj << /Annots 3925 0 R /BleedBox [0 0 612 792] /Contents [3935 0 R 3931 0 R 3932 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27835 3933 0 R >> >> /Type /Page >> endobj 3924 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3925 0 obj [3924 0 R 3926 0 R 3927 0 R 3928 0 R 3929 0 R 3930 0 R 3934 0 R] endobj 3926 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20210608081201-08'00') /Rect [104.1732 149.1771 266.5552 160.1771] /Subtype /Link /Type /Annot >> endobj 3927 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 132.9771 256.6332 143.9771] /Subtype /Link /Type /Annot >> endobj 3928 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 116.7772 236.5197 127.7772] /Subtype /Link /Type /Annot >> endobj 3929 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 100.5772 277.3352 111.5772] /Subtype /Link /Type /Annot >> endobj 3930 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 84.3773 257.2217 95.3773] /Subtype /Link /Type /Annot >> endobj 3931 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3932 0 obj << /Length 19 >> stream q /Iabc27835 Do Q endstream endobj 3933 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27836 20690 0 R /Gabc27837 20697 0 R >> /Font << /Fabc27838 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`-ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3935 0 obj << /Filter /FlateDecode /Length 3435 >> stream xɎ+ίـ:@0Frs0@ANyy.T[8mu,־G*T/1囬ϴN&q|8|ڗڰ(eVK ZMcqJy')ǫ__8ʗX.4fI6(_~+`T>~Gs/%WX"3Ҹ'^qOxaJE@,{@h~ab p晼 '|nixv9MA'X}^B3k%S $ktk&'!'`[|: $&SZ,& wb.!D[}^*8ÛC7*..\N,B@ܒ=D"s3[R)@b Jw%./pa˙Je% ՟,Yd!Ğt]簒IOeLpWf JiIF,~hOdpEޢ+I}ӶM*i&Sm5|ӞFʋ #@-0by:v:+:;]0UkM[R@Zoâ߳*d;WRn vc@#8Rxm|qGE=L,vSZc06-}M|l&E'BFR7kP$?v\Ls- #а`wii+jU|xbI}#ik_ ZnO"9J@k kD-f- q~;+YϢ6QK9RHQģA8ۓ0h&_\+ѝq\swl@m'NQÂ`{N67ƵODzwUk6pIukCzq4q%LHUL!swvYDJ0Ew[3,{ܖq kCQT݈q__w&%jRγ&Zpgp:nk'-KǘmE{;xt?o@qӂuƙ &xu@E5@`S^t6ꗚK 1s|j[ޫ#ǘ2z_,RTTqeQ2LЎ_WW6J )A3 Z N+x;SrNs*58F{[ Z2Wd%GB *@Ia jkΣ&ZCI[}ǑL_vli[b`8[e7LURq%U%3A~ ?X6e/6hY Nl8Fhc\/ቑ!5"t_ZVV1im=zBUGoȇbc v듐ֳ*ꀜ 3gdLP7/w)l~eg&ЩZt7lveC3n^6P Jwn0*3P`Llaȷ\:Pzi͔̺;-5KkewcSkA$CP"2WW~dR>{f:8P$ICohqNzX~ѾSD1Fhp1zJ#`>Cٗ Z &OJńFXQy'w3OcJ!L?Bb7fMAE(|L+-&Os;b~AMvh*Rߤ[@<;,^A.*b"^WH6!N sP7Ђ@%'*: ۘkØ'y,5.r`|Qx6LcF~x˸+&&8vehI( __[O11F!֎})7L{%c __LWn"c8 p7q3ESp_w{Mۈ \سl@؁;&?]P$ Rt^E~5ڢ?"> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27854 3942 0 R >> >> /Type /Page >> endobj 3937 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3938 0 obj [3937 0 R 3939 0 R 3943 0 R] endobj 3939 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 363.225 145.297 374.225] /Subtype /Link /Type /Annot >> endobj 3940 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3941 0 obj << /Length 19 >> stream q /Iabc27854 Do Q endstream endobj 3942 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27855 20690 0 R /Gabc27856 20697 0 R >> /Font << /Fabc27857 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p.ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3944 0 obj << /Filter /FlateDecode /Length 3740 >> stream x\Io%7Wj/@À Na0t=@e6tE}(J"J-_d;4f)wq$qthust!ZER.(x󾾹\+wbUMwovQkzQχJڨy NQtr_)ZE~ѿ䧟'0Fp'#Wh~:x*Ts ԬF? #4+_"3+Rf9sT NAG,<#LۃR2J)yZKC*pf@Fځ::s.]EXwKp!&\-d6; ӂ35ذ$ފAqe,FNh@B3/3MNs6%OZ=Utvi/o(bgi?;ydI,U\"ʹ#=Cr -$eUw4Cj2wfY;kiBDfa7h&$:uK0F-3~ x]iwC>Xm^5+`Հ˗iNƁˈnq7 pz [gĜ=OpRqR"3b~!8\wph5t~i3-z Pdj˻/FD1eRJCwpިh6nP/8E(X#&TmxL ۇӞfu[_ՂtUGΧ選s5R'vM7(ڼU%tUYBq_B3#Պ.oOA4{nQ׋Z _oy`t3+i]@*gxus kazmNV䚞Z=*~L۟JNqyJvi}Nd峄v%$*·(0GK;;?JeM_G $J/qIdf0 'd5JCk\Ŧf*蝛\gAP 0@*:h_O7_l]4EѡYU{Br5Q; ̬!kyda&bC Qjç7EJ?&+{bq𲦼ú3b |bjP]xŵz}5zlq^_[\ח#❌HfDFŻqDшbۊ?aBBP z౔9B[Gw|n7gP"jf1mO9?w1qm7qy|B r;0SCQ+)XC.Ftj 0췲]19X+k?n2Y\ r)+f2'N oaW*Qt>aR xGFix'kWwEǛ5p7ʔOTϲj\]C*xkJH2S"e$=ig1hqqPМ)tAO:fe<_[eg'c0a8UnBgeUC 8mOǾrPY 0Q_X(*sDlkN"UKNhh\imRBZ4Z˳qk(|7( ~h lwP>0Bl' QC[1;*>?EE\P}82Ln/6jAunDH3dFhZS9?Rɧq: 6*W1̓jV/OW0:<)lVh 4m3ekVag0!!"#/8 O KB_B TQ[h'Dq. @KzX .P?d"ovWzB'Ynt &ܠj=qM$/G\Miͧ>]Ӿ_hV-!} X amLki+-*jniC) ]ehxOpBʋMvKeMX@3-1?' ưbh(%Un0G ~ѳ֓/׆NiAJP𭝓)K/lYtLܪ#]L T`7ՋLfv}AC`Pf3@rk. ,3lݱ\AbFJ=K{fcNeJu`WRn>dW(|V]6^c.Z2M! ;3l5U-R\^K<=ұUM!#c +b;Vf-OZ4Y"T)b[Cibb6 sĩ.RΦjS- -S3!Kox@?P\*Npsژ%-Ux-8{(y1:g-ٚ2'?S6hrlRxI<7qHp^dW;unAPEPyl=Y3TiK/Eفl?*ã'׸3z"@DH@kNGhbslw;_^zЯP݇#.egeA:~W#q8>?59{ 'ߑىr9q vH^~dm+_/{?ErTAy̩S*{5T = F,˭VK_0‡$##J endstream endobj 3945 0 obj << /Annots 3947 0 R /BleedBox [0 0 612 792] /Contents [3957 0 R 3953 0 R 3954 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27873 3955 0 R >> >> /Type /Page >> endobj 3946 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3947 0 obj [3946 0 R 3948 0 R 3949 0 R 3950 0 R 3951 0 R 3952 0 R 3956 0 R] endobj 3948 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20210608081201-08'00') /Rect [104.1732 426.6423 246.4417 437.6423] /Subtype /Link /Type /Annot >> endobj 3949 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 410.4423 256.6332 421.4423] /Subtype /Link /Type /Annot >> endobj 3950 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 394.2422 236.5197 405.2422] /Subtype /Link /Type /Annot >> endobj 3951 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 378.0422 277.3352 389.0422] /Subtype /Link /Type /Annot >> endobj 3952 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 361.8422 257.2217 372.8422] /Subtype /Link /Type /Annot >> endobj 3953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3954 0 obj << /Length 19 >> stream q /Iabc27873 Do Q endstream endobj 3955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27874 20690 0 R /Gabc27875 20697 0 R >> /Font << /Fabc27876 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`-ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3957 0 obj << /Filter /FlateDecode /Length 2646 >> stream xZIǯ@ľ@P]5LÜ2/XF(e-["R:M>cb/G˜Տ_ZVcf&o;cS1fZј{~PB׵&~9c2-ɴf5ҿh `L:4&M?m55E[k;Ɣ=P:'14v@.h`wgYVu@"pIdH $,'9wnGڈX!~E<ջ z]lXcM654>@& i6zhIz4jNI %֥;3=+|X$ Wi3C#mgHIw[uBM>Pt7%zT`]GKhb"r-L//ίFVPE܉ań-!F!cu/SVUv,LH{L :# f-#6Q^}eOڋ֚) SM8"́Bc_wdc7k6!9^hj obp74'@Rš %F>$L$J큇hM&nӅB+S_ɝn3'Z&7ǥ*E^$.-(Vm|Fe$sS3[N;奎8Kf%R U eʨf hעu2Z EP ͚vBMIveY FAk5~$75 !"`kmŪ< V'}a?W}uwIk_-A6)&f o")\V 1ܒ.'ײ98y)(ZTgjز䡥G}v2ź!8nsPP$P"1kcnÞ8(*BlpLA 6Y 3{b W Z*9_R{;.*הrrΌXl\Krcͳ9N1k "\MnRYF4D)!t=ZtғNq ;dG y<_~u[ msIKIwf{PWL+ꌂ|L]=ZB!DᾅFj#zqp6CZmG41WvZUƐ?1׽3(L"foZGvzck"aA/@~颃[1׎bO$)%.x}`Ijv(߳1ZF d}Ҁwկ-sgquY~ż(*H0^;ES"Q  D )8#Ǎ0NxE& IBq0 9 B]AFJ G%+9qnAF(EXmnz`ϊ9eOORgZOZ_Ȝb≫:*(~]sڕVOtq&gKQ/@ax b/` ,?,:M0a)h _O F;@`#55?~FWh֦1[G#ʇ )YP}f`,>zUX »ɔp7V8F/0Y=CMZngWY_SLԄ'ro}FΠi;iELM4ڭup9Wws>0Ιן`gw֙[ho4ӄ"ɹhXxoRqgp옹 ӽVx.>c_jM .oCȘa2kqLgo("wU"`jC.0`-HQ{e4kFmސPNlD3kJS9}3Y6.6rxֺ& UQAJK8 s5-R@z.[ g\!.Amɓ_EO/+ʀx◥Y^Lj4tide `V0K:W`^QPމXgVxңPq 4WmNqj9*,m{z25Kk2%ZD}t?lH|s!~5jhp0Yn#-^'ˊ'];=9 GV:ʍ}P<ora|{h?GsrΙ 鮥Mi}^IgsX\0TȒ3novt1k=<")Oи9+UcZ$Q؁<&Uq9{_ kpO Mw{ KqC kiMEb<`>,:O endstream endobj 3958 0 obj << /Annots 3960 0 R /BleedBox [0 0 612 792] /Contents [3966 0 R 3962 0 R 3963 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27892 3964 0 R >> >> /Type /Page >> endobj 3959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3960 0 obj [3959 0 R 3961 0 R 3965 0 R] endobj 3961 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 206.325 137.278 217.325] /Subtype /Link /Type /Annot >> endobj 3962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3963 0 obj << /Length 19 >> stream q /Iabc27892 Do Q endstream endobj 3964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27893 20690 0 R /Gabc27894 20697 0 R >> /Font << /Fabc27895 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3966 0 obj << /Filter /FlateDecode /Length 3978 >> stream x\K6W@ ߤ@?d{r6Y,f/oJncnƸ-D_ŗ7*( /n;4A^ +U)Nsm?Ir3&i_ty>Ӄ%߾ V.!@ڡGJ]p'>_+ꊕ$}z#UÕȿRg\ *l"w%bSͳ-53sd9 s.@8jrJt8O 7ˎs!0pdd\1=gչgFU2sz{y3'B^^+lUdVo5h tPƤc̳sUz^Ž!kq6 t]uK) Qm ,؇fA  me˽k3m~;LVq,AX,D{uØ:1Zbm\\FK=Z&%  Tp%Y۱#/ |ŽrՋһzQEgfᒹt؉{:QpCHAŠ .HHuBѳջN4GJtHBT *=3%!-c5/nRŬ2gz_qP3msE-rζ#EE-2- Zp~ܶ4l,mo[)h{䁔3A#0q  ȄL'ra~fZ)leIDt6vGH*^Q/Yȁe.hDTPK b&KjrX8VF15/a}385!C]z ~q? 3#T,+G yzftyEA 3a9Fk c.Fđ_ѡ]P9̧&ÿhGj4ٶmRi~FMl[zܢDhDdY<}jRR9$D39"G6UD]靧I0YTEpS\% V-{O_jQ9TFhh-s,1LrʁgWMEuK㏇aM/zZ_dT"MVhM}߸ޟvu5x3]}FEfVu(ޤJgN}joښ>޷+sOzô\ubVͫjp؆aئi Z2z/'ݍ0i**xgf?(:&?7ȅSq>5T@`΃y^ְIX3ֺ!}tybs%鰒2ìsR] 9(;[qdD#cJGCD Gh7 QͫUƎyclym fEؚ_)LiZj ]j{7+ SiލKHC˹<;G;AY\.)"㦑ZRVj2%5)X]FSNT85$]rȦWi:uR{/3Lm/Z#Zk-F+W-hC{ WA/m2tD$kS E2dz^C>[7'Inpl8vI٢l=zHncK%ԗw8 R1ЮAMP77lFP7%wPӫP7EMfTGDz+ ɗ[JnkծV&nkr]_lk+(-INӜH 6yi[ԃNdAK;la&]} ދ\[EE/b#O#˥2X<1$>~ݝ{Nȃ=q&M| g]| 9BG3^q6-ͦ" >ԛSEB<7Es#ݜM6YU9.PF(*д s#bVACj 7 :-B"Ê^<&2~y@j73;Ke>]]+g,)4O1>2>>qF4oDp㙮kUNS|XNxjTk$ސr Oa%i_n>Lǃxxoe<.Q:Zgz "b)Oj"Op䌠 \}۟ϥ)ߢT,Ɨ9r"!`+[d}[YLАB<0Je(Iu6[c L3cq=?-:Ť]H m '*W+FwP@j?qOPoP1f#}%Zgp"<9ڬHxCJ[3:leph$N#,w=L\w =1ڔL8].gmiԒY0U":\8c=%%ow“c.N.,/#{SpSC/[qmބX{@ 8>\L`%mEjL~ }yƷeJRt ?7١4*ek"o߱"e-*Då6f u 70$.Cw1OK({XYįZ, ]Lp4iUw=%4F` ެTSdT]aB|8N=v&9uE3g[28 0 JXsL"/؟<]wazTcڀ+T6/xO\>bV0 nFXCaeSf,-<[ AO+:L3/,ܥtƧjϟ7EqEJ|,% endstream endobj 3967 0 obj << /Annots [3968 0 R 3972 0 R] /BleedBox [0 0 612 792] /Contents [3973 0 R 3969 0 R 3970 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27911 3971 0 R >> >> /Type /Page >> endobj 3968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3969 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3970 0 obj << /Length 19 >> stream q /Iabc27911 Do Q endstream endobj 3971 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27912 20690 0 R /Gabc27913 20697 0 R >> /Font << /Fabc27914 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3973 0 obj << /Filter /FlateDecode /Length 4413 >> stream x<ˎ#n{}E@n/;YYMro̹9 xRQߤ& B?zOmnQ-wqv3R۷WߥrwmEJm_nH H \^{FL _7f^rp4kzq~}{M/4>^h,ge{ {nZBK̈]^L";3|ŕ l&  `}?o 8y@0f\o|"&^J^hP=zeeUrX;W"!'+"qj$ ``ΰV#Se )Vp">AʾuGh_Ef)3G&?qm% -5 U3!vUx8~F0ETp6W&kBT3I, ۲Ҽݭ-1J871vJhf$j 5DT"d]Xqk˛uJEs~|ܓ{XPX<̆ HbJ bQE7GI! ]Oƨ]¨ّɑ(5yNv)*Y)Dͦ9$Zcfe%`;iVB5]zL I*^f[vn#xඎ6@ eԺ:wA̦‘Cmp)uKYN3EJ-A6E,#< 487m1=zGWL{+zgQ"]{E⠃2I(X<U&3;kv+d! Kg xP(!nW!,4ѳhBX%vDž4IgZDr=('64sdRw;& =מ^ AκLp+(dg/xyݽ S!CXa,M';AkJԆh4RQyE/ݏKu݃%G#Ʃd!' PUwy?X^1 a< &wՙPbMQJ{9k ~2<cDy2״ۧJ)c3_\T5g\"ӧuw@7gkшifgU=נX \$;D t?6)~a:Qit:r-# 4I{\+Ce&PMYxFgl]aogBl:rX$Ƃ.l7?9DVe[c]!xU ԝ}cEGE?o QwM{4~!WǃU4`К.{aqA?R١9N7WD~4IK=K[o7?E*흅ǽBvHaPE[ƄC#;Ow2UY LV/lߐO{$g Y)ܮC,g yo瑛ehZbNRuY%X&+{4RPw`8+n^l4naMA;~9VM ˩xSY0#^ϛ 3"Kn +x@WY36guƋ[s;Ew}\,W}6.] =mD?u*हLxAم+;QjG-R%LHp> mG8P)fu0d,7ܖ>ı)֧v8eX%"!M)6{JN_rj(Je(9/:]j ؉))3`\ǔ=%~u=>z;_{usy˜ݕeguWsF:qk+$p%ifJu8 LN=&WyN/ d?sٓ:TG̮J۴RҚUzS ByӾL8o9v#gWu-kyG~12$@`=jiŖ;׏Zzn\oϙwö? l蹂s=]ij皱eVۀnNK3vg؎׸Br\cuPϗ' 4}>4߿zajvZ~Cn_I7zMkmoC(9;ٴh >ឦWlܵ.:].D<툹=s{ MЮ9rۆabWtK Ɓkb4$@ ^8b=9> /f/ O$]<:a ]X G*kZs6;qJ80D.Mv]qg׷u"ˌrˆw5U"i!M3অ;pA rʇ8 R(& ngH|BAJC7c0GA+wٚ;c+ZH?`)unBEb·} |חTm%"TF+<~=Uބu՟* *\Y^.p,I[~"܍[d`=vASũ<3T!\)aq*hrSEׂ~+Zȗ-ۻJ2s)՟H^+p/It^'sUL(.Z$Mrݩ#~wd/x,߰NYlSr#Jx+he) %xw8]h|+ˌs/D&4Mv=+Ec{߻[D >hy̥dW"@p ǐLھYgLJi>WJBքn`ڛL9Ny|fҙϬ1Knszf3[Ӻc|e\{)+q!$#`^L@Lw.Rg7"P w >\0^O Tg7{k[s!\%3^%wmWy?Nz)X9Qk!5 X{ }Y԰:: endstream endobj 3974 0 obj << /Annots [3975 0 R 3979 0 R] /BleedBox [0 0 612 792] /Contents [3980 0 R 3976 0 R 3977 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27930 3978 0 R >> >> /Type /Page >> endobj 3975 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3976 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3977 0 obj << /Length 19 >> stream q /Iabc27930 Do Q endstream endobj 3978 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27931 20690 0 R /Gabc27932 20697 0 R >> /Font << /Fabc27933 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.n8}{@ VBqǮ@{̴RS;߼q77 fڿc}Lh < ӄ9pZ [nbӡ~1OE*(6n;|/P9)(!J2Y@#f#1BS4]̘ҔJ)N̕'S Xk9dAc-'Cf'd~}QF䴫3^Jم1&Q@[P@A QB3Wuœ}"juZՇypf Rwm-־0ZOt⩔LHB_c.\[u8>϶fEŐ<}<<9C,%9 /s9pDsp|s(dW EA ^rvT) ʄe١Q"gC9TPlтGŶ>l endstream endobj 3979 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=286) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3980 0 obj << /Filter /FlateDecode /Length 3558 >> stream xn7ί9@3sL2K~BY[wKVl'R7Ƿ/# V>}e6إQx60fmJk'VAN> BWA -Z$π^:uZu=KˀK0*m?y "a֗¼xv81wuq e9yО,cb 4Q,m{|)6٤1aHr] !GO#0Ȟ41 mb~dȅ$ܲELJ;Uu3\1&&LoSޠz0,Fȝ~*9J$轘1Ĥ`Ր̗י!V$;+`av*_Xrs8ZpvB̆䙅\rXRw)0ꆒ&]3M(}4EVeޤmھFP ![s2jؽYV\d}e)Ă;g .j/Ъ&\e*M 7̋Bo^ xP8Md*fNӈu):Vyȅp`PocwÌjb*2HG*h&owpqLc^؛⌽ ^döh|Vb-#-7!H-:viG$܍,wLh@iuzV&;ЯpV.EHUbW:&+L~%;nr!1!{]t9W岨cBLwKfkg:'Jl_(.佄&@͸(>|0}j0C8J샎 ?jFO@,әJx9sTZD$RA&k+15g B—nf8hEvxE۠ ^6ycGw Ѐ&?c"KTiJ(8N?I+?Cܜ eןgJ[V(46TA{~.dA%oוfVjڮDʃQNӢJ>;\lveߚ' [Nc;MQc>y7:Y H y/qYඵyz)n}ntubݬV! s>9E'.f&z/`@]!N9L,zD _S iKLʷH$_hFD{-}Նk HjZr|3oGLg_bMw8OK@'{ʂ?\U0|߷y veޣ--_"->ij/Ɂyr$ʛ; " f#:.PWț= -Fh?Su!z7CUX/W>yEKT}MBr@4?O.ti*Kj)+MHЩe#|D+n;XwA8w%cƮ eYpW!^(c+h0ra&si1#;ʥ35SmDq xa<.~CKݥm(4mnխfZ 9neK1x>-ZSBh>1ǹ<ʭG *h_]'&t{=+M )tcqTlCp+9}s)bsaIh:l1ɮPsK|T}_l XU̓ ߎsM.le]cYLqkO ~e=O+s$%g69ׯ}c!S|끐?p\sW*˜\P &;%"р~pocaؑ JQR]}iq ڽ0L<#e! g=\@E@orN >,Zmʫ endstream endobj 3981 0 obj << /Annots 3983 0 R /BleedBox [0 0 612 792] /Contents [3995 0 R 3991 0 R 3992 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27949 3993 0 R >> >> /Type /Page >> endobj 3982 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3983 0 obj [3982 0 R 3984 0 R 3985 0 R 3986 0 R 3987 0 R 3988 0 R 3989 0 R 3990 0 R 3994 0 R] endobj 3984 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 607.7 193.2732 618.7] /Subtype /Link /Type /Annot >> endobj 3985 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 591.5 162.7152 602.5] /Subtype /Link /Type /Annot >> endobj 3986 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20210608081201-08'00') /Rect [104.1732 575.3 183.3237 586.3] /Subtype /Link /Type /Annot >> endobj 3987 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 559.1 176.4817 570.1] /Subtype /Link /Type /Annot >> endobj 3988 0 obj << /A << /D (unique_293) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 542.9 193.1522 553.9] /Subtype /Link /Type /Annot >> endobj 3989 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 526.6999 166.6697 537.6999] /Subtype /Link /Type /Annot >> endobj 3990 0 obj << /A << /D (unique_305) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 510.5 158.8817 521.5] /Subtype /Link /Type /Annot >> endobj 3991 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3992 0 obj << /Length 19 >> stream q /Iabc27949 Do Q endstream endobj 3993 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27950 20690 0 R /Gabc27951 20697 0 R >> /Font << /Fabc27952 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p6%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?}ʜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛD endstream endobj 3994 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=287) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3995 0 obj << /Filter /FlateDecode /Length 1702 >> stream xXKk$7WZ3,a ''Ɓe~1OfCj@2z}{)ʚ1&GTOuKu);L_Ag=DaŔjK՘?hO덵ڃv2wI-Ꚍ~,uPW&Aʛ1XKa6Ufg?d) e`ΆzYf[q]a2Lc]3}Ό| Uݑ3:^eBx:ޖO='1-;yFRǟ7#ikqݷ(_mXJ 8Wd336X?Vw\\bmѪ WW-)FjFc Ul2T4j&zg+B3 #j3Tp\ƼDŽMA@_K.޵ا Ѹ2 DzntD]mz) QdJE%K>yp鰓 .#4ԋ`͒Di]R'oXWDkkDJ1IܷJ+n4Dke\ o˂/[UIo1U3bp+MFwGsI Y"aYA/Rv$]|w/ESʘ< lkH[5);3cA5u^%S #}=U*ي:>hUMQ'q"M,]D!uDelp3nV L%:v^wXtyz\|E^o /삻jua;E,QCgx3&}qF4#|O1ÌE1=98gRo?kj6֧eeL-I%p5$^jI 8rxﳬHcӨ+E:>?6o:5'lΓ,ub[o}'5zt&]K*0 RӸj{DZFn%[f uD_)\02:'#6Ut,6@ bm^Ads/wM""zCk1HsM} cNv%±MH& vf63 l)= egǬc&Aea!<_cYS.ߟר<ѳH}2i),}_L[;7R(+~&8M,1Kn9peMҩ J\nn6ITҢ-N$,ҏ‘tS}˪ߖ cJH_Z0#RF#]B2I^ ؖ-C) rb<Ȓ\UHص4͏u f15E|ݵ =Yiu>?I#eAП0];&A ; =PEӈ?oQJ{$e~@IM^al9oq)i,2/N 6\7:næJJwU>GWTk(]yqq+aMXMD.@G5XxBS>; endstream endobj 3996 0 obj << /Annots 3998 0 R /BleedBox [0 0 612 792] /Contents [4004 0 R 4000 0 R 4001 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27968 4002 0 R >> >> /Type /Page >> endobj 3997 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3998 0 obj [3997 0 R 3999 0 R 4003 0 R] endobj 3999 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.425 137.278 383.425] /Subtype /Link /Type /Annot >> endobj 4000 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4001 0 obj << /Length 19 >> stream q /Iabc27968 Do Q endstream endobj 4002 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27969 20690 0 R /Gabc27970 20697 0 R >> /Font << /Fabc27971 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`5 ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!t2gԜo.9cDA ^zv,Q9 )evP(y ?`E ۾{wKp endstream endobj 4003 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=288) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4004 0 obj << /Filter /FlateDecode /Length 4255 >> stream x\Ko$7W9@W~ IaMe"nWJ*E}HJEy:I//SSSTX%ӏg;i5}9Xe۝O-ιrgciJvaiу;wP矧k?In_-\eCϓ}H(Uj'0Bi&5iܜRHvriPs A \E4ؘsʩ@z^D8"5W!\7b^u|DE}| v]XZʕN d'xL Ч_@Tf>|?0ud6g  =۴$ f S=!ӄ#3^3CWB*Wm"ؖv 1G2٦j2JG/>Dw";5iWBQ\zi:: U3gV\9(p$.vYձ ފ;$~":m3u E.;QfLo|̏.1[ksӄiyʯ' $|(/ݸ Arqҵ }Ys/}TW^$,P `4$I3:r])&j/0ǐh0[Xʹ* Ը,:bkۧ%dOQᲢTXzZc*XܻU,N`C@靱bAeaprM؞<3|4 suye0'ULbJ]\wxbU6֍JPLڒ޸6p\^fs*k_Odu׼ݡ٤,HnT\bV\d^ڵ( 28M1|.:umcGq'DGkفkGpqm𲤼P}7b >G@-6 PZj_C-nWi Ҫćl&O4!5XY2"hD^Y\~c0DϾ :1r Goa蹞 !Qx\+ˇ>ܶsrx'i0S梙CLsL\܎7hhR`t@tRVMj`حe`rvMQz`pU}-,4~wIߚx_n~>)ݷ?\LѰN >d=|G.O93db|{ AC)MHQ4}U>sHr){8ٳ؛8}19 5G1ľ};+Md0)w`(+by%5 8vrv36l_?{TZd놽rZV\Ԕ#}̲[]8G274sT) EuhI}Gj!ڿ ҌN(VYRc `$ySWH57 pdNPeK]bHVƨ,cGInNac. XJά<)txᬢ!^˰úZG]ػ*5pTu [Ӳ];xe/" Hrmz;~޿*t|ڭu+ uErn9cO_\ĜL;{Ir]s&ݻ5ѦQy L!ZD^J`)A6: qN!IûYL8Դ2.0#blILݐ ԕlƱscIl Fu+ z`UJv^Q>q!E-p!]69s3/.sS9:obO  ]/d<>ҡ F!g:b}"gr܆uI?$PľŁ 2 JK4PJyݰ+(qϹ%#՞HW_+0ˍ PK L`HKRG9H\RkJlۭKg:ѕgX\a_K&<]؄%U|!ĩ\ƃ˂s T&ݚNLv^E"7tuv ͖´}$';;^2FǐL꒚dZr oa9-uࢥM)s{ǧhħ|pӣtḩHqSqWgBet>mO8 &mȁG<Gg?G_5T=qHs\h+1&؝+HډŚɖs*~oy~nX~^\.K@d~Cw> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27987 4009 0 R >> >> /Type /Page >> endobj 4006 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4007 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4008 0 obj << /Length 19 >> stream q /Iabc27987 Do Q endstream endobj 4009 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27988 20690 0 R /Gabc27989 20697 0 R >> /Font << /Fabc27990 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nbjЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?{. endstream endobj 4010 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=289) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4011 0 obj << /Filter /FlateDecode /Length 4205 >> stream xڽnc_Dqh 7rrMſ=RF o}n-/jBoKgM^.fQjyj*%\ny(OKowk)pSt3|z&'%o` ,^ >T^xRi' '/912\E`z".0>a{~u05j)# +D3_@fY b'io%RM܅tUA 6'^׫BLтcX݄!gQ~9(<4.]]nߢf6nL%.|}b0uY3?]|Q.L*G~k5EA,p)^ϪLf+ŕw] &SRܕrgzufMֱq eӺ1ËGNƉ !m \3/;YA44ZZl9Ak_k3wC+JU4:{dIﴽ)I-;UmBK nP"# LG݀nC^ #¶\t~tkzv/^3ʻR#=YId:s^!sR ]qPtAXJ;3 C CVBu8#V 9[{Ez=l( 8F9F;meE4UM|*5kJYi^(GW=ɣO^mrSF7Vˈ? ɆadQN}[R`>9! !en|F8d)ڋԶ{;F2x;MRlA3&JK-5U%U< ђm(4euзȐ{BR_&!!Ҁ3_s],[+%h٣B}+eiU:.h6ģ]X34j/N9zIqaeҐuͣۉ"6}(0^u Nڬ&@oeF`[C"C>{Bj@@؈f:^u s?Ϳ\Z1BhtDiI;Z]-i@:/1Q;]#5/ 7iN%($w,1bFE6xjp~KӀ2Ң_^]Ӵ H7{yZZ`jׅNPFN*}*XN\$a]kxY\ISܝw74 s-^S}? ȦWo٘_WĻƧo4Vmš\^̍MgzO_ԛ =5ӌ~tظ*nR^}qWcZnvJ1AD'+8b)@6Qj< 'U0ɉn2앾(^`po4B..tۋ\Qj=+T'n Jl|~uL |oGTQ X~YSJ[%neVI&D1bR$-AWS&uox:Ynѳ{Tv߮ntjYj<5sI[E{~^~)X'n쪩iCmsl]mՁ =SٴskUq9_]làMnv!hz{JV41b)<Uv(%9/*/FqMcE \zKn||6gvk_zoGi yW\ZQ=ƬRi';|kgVΤVFÙex%Z+N& 4Bû3vA**ڙՖdkc]sv͈O*h{Y})]ɤ`;{ļGM빂Ryu{fy*5Z\?k )ʃX2pϘ9[~[m\So7)lk O+jm'q\.v>yCq0a/YU"].ι4G,M]є] u}n}GXK$b٘: KS_w/ӕ?^Wkb<*:SZgt liA[J oI>^޲8l^p@i'&ʳ4c˃dO|h˪&ݻG>^d$>rcg~~P UX4ff_@>8w ooݵ~t4MIkkU֕Smh[ yVN ^b_' WaMIC)Ĵz߆wpE/yi[9>V.?ÜԳ5HL̘ޚ'@n^ƹcxXxN:/i{K+Li.'Fj_cWڡ2f !9Sàvi8^V.D}xx!֐FJUQŮko {[~݅N=6bCUA ڭ8Q`u$<=%;M={(ônպ߄vp7<a@CUD6dIokB.ʌA{̤{׬Ql;ߖ!2&B84cӞ @0 FKa3vokMvPRu1_oFl@] ެ0vn=@]ýMY-(xTI+|D䀊Ee./gYGI_ǡ\S&R(0t(p%CY?WXd՚O ,;i|_If=C%,!1U_dt\4z*0vd{W3̩vG xွ{ɏ9 nk=’4QNR+剷lmdu5NBffx#P}&E4$J[D#T.4l{ƿE~l"'F\J)ws:BN DI-e >sΐGK9~n|C]Ds)'zq!T% xlo {?r{ K(*yoX~6]{\0IᒌoN&F<$^(:Hham!g endstream endobj 4012 0 obj << /Annots 4014 0 R /BleedBox [0 0 612 792] /Contents [4025 0 R 4021 0 R 4022 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28006 4023 0 R >> >> /Type /Page >> endobj 4013 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4014 0 obj [4013 0 R 4015 0 R 4016 0 R 4017 0 R 4018 0 R 4019 0 R 4020 0 R 4024 0 R] endobj 4015 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 576.1 195.1267 587.1] /Subtype /Link /Type /Annot >> endobj 4016 0 obj << /A << /D (unique_226) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 559.9 204.6307 570.9] /Subtype /Link /Type /Annot >> endobj 4017 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 543.6999 180.2547 554.6999] /Subtype /Link /Type /Annot >> endobj 4018 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 527.5 205.2137 538.5] /Subtype /Link /Type /Annot >> endobj 4019 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 511.3 165.4707 522.2999] /Subtype /Link /Type /Annot >> endobj 4020 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 495.1 179.7817 506.1] /Subtype /Link /Type /Annot >> endobj 4021 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4022 0 obj << /Length 19 >> stream q /Iabc28006 Do Q endstream endobj 4023 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28007 20690 0 R /Gabc28008 20697 0 R >> /Font << /Fabc28009 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4025 0 obj << /Filter /FlateDecode /Length 1899 >> stream xXn$+x @(@U6`Iva .~0Ri-0%B2"7DMmNyr9>?]>]2;^7gۃs!lG/;:t=/,j:1 K#Hs5ȺG_>&kAF$s%nÐ$rEXiQ*ꁃtXЊn`Vgk9z2x0,>n+ڜrUf?~9'OhCGD)hYw>q;3 2[@/.7i;AO $HI Πɳ,|8{y_KI|R@gt OB1UcfzVpTg}Y,2f0C=rȷw-x 2mߵsi 39-ӱИWZ17l=BXw!yj֞Uo{`UeFXo4m{EGDFnf4:N_ï/^^9 xċLJ M5xuL:]7j̲@J6@ xgfM)wbbWBf 1P he8 $khRz9 6{B]6d/3j% [.#na#f}:'%>3 &M aˉ krc +İ0HyK]F9lDgCuG<` ɴ.~Suq u!2c%/7mllQ/׼+)zAIf@GN`L=^KVon_)wj hBA*aR"gp_W 6|z'V꒫JKÖJZǣ/k㩸LeyQlx98Tܚ8Ltrğy7އij+1 endstream endobj 4026 0 obj << /Annots 4028 0 R /BleedBox [0 0 612 792] /Contents [4034 0 R 4030 0 R 4031 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28025 4032 0 R >> >> /Type /Page >> endobj 4027 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4028 0 obj [4027 0 R 4029 0 R 4033 0 R] endobj 4029 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 415.825 137.278 426.825] /Subtype /Link /Type /Annot >> endobj 4030 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4031 0 obj << /Length 19 >> stream q /Iabc28025 Do Q endstream endobj 4032 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28026 20690 0 R /Gabc28027 20697 0 R >> /Font << /Fabc28028 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7.ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$T endstream endobj 4033 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=291) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4034 0 obj << /Filter /FlateDecode /Length 5605 >> stream xڭ<Ɏ丱w~} TVvm|0ީޛ1nݗ7I=S-A|[̢Tuuf ~4}]?/Śoί_=irBw>;ߞgyjlkwn^RJ{io )\o˧v˗%ho\=\5_Bƒ^3.՚*!0+,2bfj /.IJ6kƝpkpWpP_ ^}V4\jS,:H}?#BwyV ypWy6u)hBW |v--k9FE regh1WK_4[6kZl~6@y=(]})r_D7 W۝_^=^#dK[0Vxj*'!sʭ>էS's Tx ҩNNR!abg@->ޕ.Kwa@&^|<l=B&X6&PXrrf9,_XY=C i-Ifo siWc20To+[Cf[w:ʺġ5v ;Gi0 C=2#P7CD5U@i AVq#[f >=3;B 6}Y4vUDB C c5 sǃ& 'Tll=bCeþ˂ ygЄ^FH;cG:^D$^'E$Q~VڽJ}}Obfewp){eqͬQ6[flp zp7ok_HF=wUHE^J "J9vn!y3͇ SrĺE!: tkR*ڢ@;~vX}_ϊ|4@ޙK~i0MI )B"3LX7;])^;;#c@3* 'P `Xeq4,$=#y o:@*b wrzr>»1c]0~`+4- p@p_)0og8#Me4#sEs_+Cꛀ5%6J)QWp׳C$(&9 RO%iM_2rBzVoYUH@*pZRժދډv[y=B ?u˸ux g/ *m\z}z0S3#݈>9dK3m+nF f;&ͻˆ\hUC۟, rfp p{Mq:%z~miP*]$RN^Z'(TVH5]eF6MۨYWwq1rDOSR[KtFhо; xi22{X_ȯ90[J$K­Mx4ǭY \L0x h;SDɖ(FhO5`*8nEV_L4,Sm7Hao< ڶ5+m>ҟdNj@֯eۨc=, -CP~eamMow.H[49O&ѷAZ4:גv:hy&!"yHgVWп4qSyļ6B\Foa5^\9Pj{0W"2s'" ;4N4s}8~HFXaMayQE9矧m⨂fғdZр`ڋG|k3fa fg}OWALbJkMmx?uVkΗ8ydDl,|l {\|k5kC5G݃wiR0,!qmV5"ܠ8`;ZP'.CHaI3Ƕ$OGo>Yyk}AbcSQHR`␅ Gͯq#tǭt;kw~np|b$5G Utz--&Vk>m;DcĿӘ i':Q3FRcU/8,]kQ4mh u>onP^pQ,[r㻔t;&a,P6A1iyq"Y$}g b !\S) Sq#%sKHӦsIurUִ10\/ p/)a.=0Q%q߀Mbb\B@/ѧDokހudU-2?g-t.(M &G֬Ӝ 4⁹K0&, JGj|\#yuh5_ QWxѭ>MD O*TLCV 0͎ W5ʥ@"q&rXI~'e"C%ZP4N>$xӫKa:ej5ҝzU .19xg!{q8A3!;-GIRaEod!;ou\hI)Ŭr&bȝXzd.l<)gRmy}c)ѫ59ۺE:C8Z062yhؽ,[iITTڴ+N#.u2 )llR߽Gcߎ\QtuSq2,K~H{a}˹ L sPЁҀ2ԩ9h"qё(2P@i߱mG56j>Idx"19kY f \, P2Cd!@z Ӧ ,R(z0 O FD^8U:pmj1RQqeX1a.bQ6;^fS? |;§>*|BxJr0 \.j55eͩy9҇:U:UZ^)pyS| -CP7{Er{nNՐ8K.QfO"5DUK_^yv J3ވR\, T4>ዔ\rKȫSR~k5ŗ۽hm*|PCc֊++r$Ncɥ^T\b+Y 3 U+Ced[7wn7k僢!Y<.D_]~ݩ C¤p6Ω2:ˁ.u9)JE1kc 9$>/?'$ {#C~$x_ѠOO _%3)WizўJ%z"08riuGΛ~Pz_/UdD}W)O00''|8#/ ,Kp5%a:/+]wb™Ĵ|zP 3#?T- /,҆Lp}k9TD#y0u>9iKЂ endstream endobj 4035 0 obj << /Annots 4037 0 R /BleedBox [0 0 612 792] /Contents [4044 0 R 4040 0 R 4041 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28044 4042 0 R >> >> /Type /Page >> endobj 4036 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4037 0 obj [4036 0 R 4038 0 R 4039 0 R 4043 0 R] endobj 4038 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 91.004 202.6782 102.004] /Subtype /Link /Type /Annot >> endobj 4039 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 74.8041 195.1267 85.8041] /Subtype /Link /Type /Annot >> endobj 4040 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4041 0 obj << /Length 19 >> stream q /Iabc28044 Do Q endstream endobj 4042 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28045 20690 0 R /Gabc28046 20697 0 R >> /Font << /Fabc28047 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4044 0 obj << /Filter /FlateDecode /Length 3746 >> stream xɎί1WhhI-9h IAsmQZi#64dWoߪZ/i7UioU1kYkx砃z{5V5^q>b6FchqS{Dbo{wB6a~y!"ܩ' 0pdOW׿ e9FzHh L ? -$@9z&G ڠ4_>8Lc?pOJ9Ҩ](jAG@"h;扨 AU Hɯp5 tD :X(g`>()G]}'HNFWТ*P~K4qQ?^%֮eyid"gcUVUת@h3.+Y'aX.v&؅W&-bݓ|Rd^ Z r^{o)Iͻ~wiN;G*SeF6<^űV *$S=ؔ1s\f’ըw8.N hIțtz1u>wllY6|%#fJ(b墲˜sgs{z%1PodNÊ| ڔD[C<{桊g;82f[q53;5_[eԞk C ǫ佧»%[mҝP(wwƄR ՍZN*kd_\F#]IrصW ܎#'Gޭ~ >A%.\/0sj: O:D2d-d σѻyYBi`Dx6i!y RAO[hЙ8?z3ң"9R5T]roOIJ.z~]YӅ2Ӎh\$xj.} c'+_]e٢d;aqmM?eӑR`U1v} -K)51 ߈j336oibcB^b=d$+gBS\T<% q)M/^+enיjw͸owP ܆DӰYӊԷt,YMgpֳ6,0(ufQC/yFRI擔NAʽҎ>vnvj.6|o [Z*˖۲Q3spGɑO-S')}8KÝrPH-^5$51:o(p"CCXoEφVɬ,JU,0ɼ짠6ѠgAJP:HG1^ˮ:Ҷڙ 6aAsE싍դ OV5YsK_;D3۾g-UL^]`,PY|W͝11= 0$d{T,2CZ׶~H*&l&s7p3nJ}iB6H.ijm]fmw Iwץ }.lfRs7K%VCEQsNcmMX]dn]^ҥ-{ߑGA׃t Y?omS$C^kj,4qduq}j}FԲGkR̸i<1}Mh}_/i>9zgoHC GСЇRccjѤ~d7Ucتۇn: k{KgK]\T .Q{ /' LÖW6նU;۔gqQɒNYYV1(rk3;PoK "Ncu'dѩ\GHP# f󰒭Df;~)ߴ&[#w)@e:'>(C-ܙC>ќnY9I"G$7XJd-Ą${m 2vca|_뭈ra$@OHG%\b`E̳ 88-G~vSe<9z+k^G;3a =?dhFyq}CFYn 1HCE>Q v=7dŬ)csGStחn'jYNܙ8_XBl,̶N^=)]jϩw׭hhiI '_%ݜ,qA IW4rl:NS<M3P]E 0}S vzoypxH8 O5eP`5kp!gj^oݜ-frM{) mR׻\N}pxCv&֔/ H2Ӏn.yL$yOVMM~$'Tae|=itmQIJ3yR#<=()}2li4j MzM]V"[Rׄ% Y;T/#I h*+ٕgm"V:4E @Vtx," x,#Kڀg`']kշňv#GJ@ 6=h sgpIV┪ot SD;KZKbKB }u"=5p;u)+QVЏ\|n2) &93[K PE:1^BV )tbRAgFJ0hd nNCĨb c/[N:f ǣԝoy?ខTpE@ljY U <pR5~(\1ẉBymҐZ3dq3Ю(% )t`~}8BΆ3\y?P4g0x/<[Or;־fkQv?<;_Vk . T<+d?*szD~>`]3}JJM—CٷnSaQ[R])0U0 endstream endobj 4045 0 obj << /Annots 4047 0 R /BleedBox [0 0 612 792] /Contents [4059 0 R 4055 0 R 4056 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28063 4057 0 R >> >> /Type /Page >> endobj 4046 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4047 0 obj [4046 0 R 4048 0 R 4049 0 R 4050 0 R 4051 0 R 4052 0 R 4053 0 R 4054 0 R 4058 0 R] endobj 4048 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 197.7557 709.9] /Subtype /Link /Type /Annot >> endobj 4049 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 192.6352 693.7] /Subtype /Link /Type /Annot >> endobj 4050 0 obj << /A << /D (unique_238) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 187.8062 677.5] /Subtype /Link /Type /Annot >> endobj 4051 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 166.3397 661.3] /Subtype /Link /Type /Annot >> endobj 4052 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 208.0022 645.1] /Subtype /Link /Type /Annot >> endobj 4053 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 617.9 211.3792 628.9] /Subtype /Link /Type /Annot >> endobj 4054 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 601.7 172.9067 612.7] /Subtype /Link /Type /Annot >> endobj 4055 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4056 0 obj << /Length 19 >> stream q /Iabc28063 Do Q endstream endobj 4057 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28064 20690 0 R /Gabc28065 20697 0 R >> /Font << /Fabc28066 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p Iӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4059 0 obj << /Filter /FlateDecode /Length 1287 >> stream xڭWMo(+8d`@ZJw{V{lf5ꌔþ*=N&&W^}A?k 71;u"g4jkq:Nh醬 M[o o08ٓ۝zDrE-N c?ĜdLPpDbmLs=h[f <Cf8q8JMd֚кGvj+6* ÁctsG\.%Z{H6=,n`AZMgg|,feE5Q++(y(9lVZ덃&5]Va,Ud뻨E• ʦ+˒2 LYש5[֕GR}k_#Nh++>py٪2 t_%T ~Hu|@֬ĸ^9֐.`J1Ozʨ" +h"ۚ{"*BNڲ+mtЉI2M(U"G(>ږuIʠ% D,Drpp8?gG~kʗ>%7Ϙ'ӱ;8{ Sۋ?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28082 4066 0 R >> >> /Type /Page >> endobj 4061 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4062 0 obj [4061 0 R 4063 0 R 4067 0 R] endobj 4063 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.425 137.278 383.425] /Subtype /Link /Type /Annot >> endobj 4064 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4065 0 obj << /Length 19 >> stream q /Iabc28082 Do Q endstream endobj 4066 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28083 20690 0 R /Gabc28084 20697 0 R >> /Font << /Fabc28085 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vJχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐى/P|}{Y#rڻ3^J$~uc.@Bl(Y|z'&DSsA̪xJ9ߵn6ZNoK5>%CO4i.dKRG"+5JVVK2 ef[X]M` //d"Xm뜡5ڟAKiܝBj2gԜΘ9cDA^zQv,Q) )ǥC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4068 0 obj << /Filter /FlateDecode /Length 4396 >> stream x\KoW@+| v۽@n C$A00{OnsHQWo4wKZm*uf ~4ۯ􇋟>ҿ|Ln6AkpB4=ӳsm4m)֝+طgFC;o7FOӿᑞ3.՚%O?+;Gh>1bn2#b.4eN:4 5hı{ھ@ř`Y[k<* yCKʬuFA0yyg2̂6d6@5Lcۯ0s8`(x}g&!f^0qˍqgjAj;Bm@OT"2 ?[>wb!.:2C1<y> nU@(nF _nDtwYC^;q"d#dQ:p'zy %!zxU_| ~= :xOj[Ut8BxwbR㡥D}86sÜEcSX]AI=P#c"}'7}c]RX~ 7h .ʟO@HpoD{xd>ɗnw@ u'[eEzWп*a n0 UdLBȽf_PȦj/THGjj@>_:ՇVǵ8N :շֱ=\HRBzՇޞ~Ѕj݉|6iMc t1b:9;s;'BV s7 $O}%❅:A7qW1-P-@aP`T*AתCZ lWLΡ)֊:nj: n{uAL~M6l۵~~ K4'\䇖; 3s(IXb;zNlK$iB9KD"L$ƾY5Yӥ'sK ܢ%5# >8ei @1K,wj>ǽ|8nSUFLcḠبPư1a~s mKC"'cP~-dfl_+ܐS 6B=/%mbr`ZzǼH=IFHQNLdCbΰ#Ȣ3p-djՍYJIXb/iL xÿA-{jշxt8W:CK+jM4iZN; OdC{%I j/(ֲ .۬D%' n䆌 : '0Z@7^krPWPR3Xn"B єQB> J_#Jr%T#y8^`5jFО!GIwBÇ6ݔRT2􄜩yf SIkh%&$+Fƺ]ͣ!5մ,ޜl1]rZkčr:LTU[_?K뎧:3=afDبLɪo]!0HKl)^U4:bv->*oI̶OԎ+fB]*L-7.#\\nq0黢rڊoY};y(z]rs[[J~PiR<؊,}ny9/-853~#]l!% W %əe+)?s%ay%ۄSd7WoѯZ5O.qvɉ%T qZ?C }:׹1CnCg SͰn[`r"c8qsjeWn~r@}F$ y=E5=Qfd{ᭃq\oL]I~CNFrŴ7Tjk Xu{;"0̭'? 5cJ \D9y:`:-!~c:J\tʵ vYf ]21hӺ^sƬ}̤{2mc8NT ȭBG3AAdgXvQ,r.z8\BQ,I&;XAM֨NbDzPQ@]x o4CKjo= AVyU{ x-hDm1Q=&M?_P]T)K ߜJ71'ONh E4#pC7+"=DJ-p\8ɣ2 E{\ f85_p,SJ_\ ;L/T{C}pL%m<|>/RrI#-Q֔_;u,o"/Q+5jveBޗ\jEU;ke(  *7 .6TVF\Fu|튽5 )t~f-ڋ>Oc c L m6S5iGH!Fg5]a?IB9il9'9weOAxLEiGmopgBu + OXUy>M?_C/+'%KJC*ݲ/ Rhߏ99>._(XQ.t$<ra9Cu)fv*Tӆ^s'K59[WuGQ=a+C,a |yYI%ɢl endstream endobj 4069 0 obj << /Annots [4070 0 R 4074 0 R] /BleedBox [0 0 612 792] /Contents [4075 0 R 4071 0 R 4072 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28101 4073 0 R >> >> /Type /Page >> endobj 4070 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4071 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4072 0 obj << /Length 19 >> stream q /Iabc28101 Do Q endstream endobj 4073 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28102 20690 0 R /Gabc28103 20697 0 R >> /Font << /Fabc28104 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 4074 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=295) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4075 0 obj << /Filter /FlateDecode /Length 4813 >> stream xˎ#^_g I;|s<@AN8A?$nI1[+uu5⛬Y~Y"~2gpH_.o^͢s{UJnyIJm% ep2LPe2ٕ7tڹa'?W&`D`ж^2K$*-C6Nu+˜g&CF=^։Lsc%@K-1oc#ShU?c 1a~=?=6,v2˝8IR3e =ZsF'ۅeeeA9YTYFɬr?,M$RykV,lFH);- n z9IH4drGm?[ny(B /؈C[O^c_ê{tkwe_Zd %` EZ޶.?9j%)^o6Jy6*. lLUv}޳^m⼹ٲIB %#ε/f*<蝦L=S<֩ @xֺrT>m$( &cFKfU*&dm$:aI +p@lHp( ^{,ʖk^e (E% )U7۫ cS;0NaST6-U}Հͭga{t7›Dz9]{.~GG1٧QIoޞ|;͞WPҾ.@Uڭ ˠ/,{f&n"iuJAׁ-a"SKJ`1k?}li?>r޸/iA22XpD֨U!.7X‚.x]A%x%i<ʸ\\ME j}gZmw˿*`6+הkEw\xm &hI+/>իJ6\|DDy4} a 1KUq 3CpLi`@G|Zmvx4J2|j~0rkN˟L ~^ ; ;& ('p_LJI|.w n5,E_ܷi@Wk7i$h}DHϿaeѧn'-~4[PN У&3Sm(9R8@3"c$튊dHii@8fޤ'Sz@M@MƚB' jջJU_Y1Uhf*Amc GLԀ͵^n_Û} arS(h,JǼiVŹ[09O*HZ۝񫷒ݛbX7x|9{[~DX1x֝2һm[~$K[Q y" ;7B#pC4f*mNu.4OMiaRq\ǽj6K+ FǴ~,QMoVAu7Y9,Tg&TQ X!N5 S:*? PZ/BFFęz1U Jy( |C-wIFvF$-~Pɜ PN,s:xsx/O)xAb Y!+Bh/P0=&~.&R]s(FI˯OdC$I ,Ac<:HS>#FKa)M NLa9æKc~+f %1D+T|]H0 ~@O8)"-V()Ԥ[ēR`5;EuUק )քjuT uDn=m'!-XW ^]n=Sx!EXބ0|o44 QoyQ&@.9Gl'n7ݘȴe:YeuGe,M͂ݽQ71ȣRO"-DsE6źG+ZR[p3-c ioE)+-w^{KJ!YI^O>|^^T@չU\NPًs: ݔ+ۺr@eE|fԢسC]QѠΔfwm,wǰlW]:47}ԥQJBm+[|7w+ӻcC Eߥ81Ԟ5+@jT bH^R-sQ6f| H.4t:]@[}Rƶ #}@/Ǽ~ QdX8R;;a {$}gΦܣݾ -UL֫%9V}Bm5LVصWM|mASD2/{ۀBi=~G-=[:w{E;+w9_8П9wФ9%N]W +Yl &Rj`:!R?nE/a|S0k>;Dm,]BEU;8L180zK|w]wyMEM'zS{HLWF9>)z.Nunw9A*6cg Bl<̹m]5p".:`ԇ)J6y^:y۵ܯ!'6Gx6C &O6k:8jJ;@1Wn@W"fy^@ ac*P!b0=y1#,.nR=c !,#tO};{߭Ӛ;Q f&گzqYm%}yZ% $LsM15+PWa29?- $"E 3;jCf\d 1|5^{80"m]*<"wD e5w+q# c+]M#Y |eh[+$!XE?]^ԼSS$[C+2!##xN߂zG&_GE~e8x*]݌ʼn*\g-W*/Te].>#o 'џbhi. Lnz%F:Rf|jb~]"2NtW zY_yu Rԗ]t\L|/6Ή̲ tdoW0-h />l6=π5R2탛*$8dRnfZpS-6g\9ˁboLU&( AdsS u}?," 1!?Gy4,K<{TꙀ3oHW)i}J_/@ȟ_1xO}O~Oo͋rהg+|_0apƀ&S~ԽN+W4Fl0nBSc_soxk4)c,20(#|9x65F^b!"* ?n%vpJ>\ endstream endobj 4076 0 obj << /Annots 4078 0 R /BleedBox [0 0 612 792] /Contents [4091 0 R 4087 0 R 4088 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28120 4089 0 R >> >> /Type /Page >> endobj 4077 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4078 0 obj [4077 0 R 4079 0 R 4080 0 R 4081 0 R 4082 0 R 4083 0 R 4084 0 R 4085 0 R 4086 0 R 4090 0 R] endobj 4079 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 310.1308 187.6852 321.1308] /Subtype /Link /Type /Annot >> endobj 4080 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 293.9308 195.1267 304.9308] /Subtype /Link /Type /Annot >> endobj 4081 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 277.7307 192.6352 288.7307] /Subtype /Link /Type /Annot >> endobj 4082 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 261.5307 180.2547 272.5307] /Subtype /Link /Type /Annot >> endobj 4083 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 245.3307 177.7632 256.3307] /Subtype /Link /Type /Annot >> endobj 4084 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 229.1307 182.0037 240.1307] /Subtype /Link /Type /Annot >> endobj 4085 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 212.9307 205.2137 223.9307] /Subtype /Link /Type /Annot >> endobj 4086 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 196.7307 165.4707 207.7307] /Subtype /Link /Type /Annot >> endobj 4087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4088 0 obj << /Length 19 >> stream q /Iabc28120 Do Q endstream endobj 4089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28121 20690 0 R /Gabc28122 20697 0 R >> /Font << /Fabc28123 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw73|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} ` endstream endobj 4090 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=296) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4091 0 obj << /Filter /FlateDecode /Length 2821 >> stream xnίyf/@/9CO0ؗUV[#I*no_X_Qן_us:E]vYu|xYe^2__um]/^I^qP,CQޚ ZWdD plm:u~m,;x.xM>Ku{%eٺUjkm P&:! [9^'?::> GXtO'CH?VG;%۫?k4%Vf+{Hhµ% څD`)5z@BNGr"(8b!M %%O+!ʗe *}tAe nL@A@%A Eyp"k ' AH.}}w}ޫ$LžLv<aS+sO,YtD4hhxd 2||V_5-& zL']w' m2٥5;78Pam\5xoolzOͳDOiܓي9Z37M-la8>;#}}K&ݴ.EC##ảW]L`' :tgh'=B ڮ5|[2Gۚ6 yvW-wUgI<C{fk~I+7A~48G \ÙA >8Ra>/*عDiS]9>0gj&̙sd BW^[eFdPwEKv6 ns*a* W[A~|*F W:)7rBbNά51,eU Y|gaq"!@^/;Y[Ruƅ9i%bTp;ɸٙ]&#-ϧm!FMb2mSfc>0~  p_}gϮ$z1_r#m߭i NY@ַ{}Jgk$& {TeOl z!HUP﷗mQ. ѢxQ~q.”Կ#zkoD`zF% 9Ufs ~ `\'g\yo|-É"U*+mvup>$)g;Έ=K6V;'DFo8[VE_GuAQ.> D+ OD~Rng?||V.E&#P+I+>YڟT_u0).]lwC*֤ ėS iibX2nʘ[İ)`Grg% BqE Eچ\k? bM7VB p]1P\\]b|@^@gwum3*,O:0 ~m W\`xp:,ĀP3NOlstCjc! q2 zZR,ۤH= A@y k. ^kmҾ d+ԧfF#=Taf\ߋ{f:RZ(S$e6yRG%%K=cϖT5jꚶؒ%,ȒEQtTV}W: tS@,X^xE@5@b'`UZ(PY ō U/w"5v# a+-"ЬE˔pIvg┞F DOf`&V!xxey#* {Gk켱oF13Ii+/(/b[,/32M!čInB. njt[Ih 8P(&RIXԑlR}Z) vYUBrZ6  bTL=NjCQ 'yTd~tKP`3\S.]ub,T{b^ef> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28139 4098 0 R >> >> /Type /Page >> endobj 4093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4094 0 obj [4093 0 R 4095 0 R 4099 0 R] endobj 4095 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 334.125 137.278 345.125] /Subtype /Link /Type /Annot >> endobj 4096 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4097 0 obj << /Length 19 >> stream q /Iabc28139 Do Q endstream endobj 4098 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28140 20690 0 R /Gabc28141 20697 0 R >> /Font << /Fabc28142 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 4099 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=297) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4100 0 obj << /Filter /FlateDecode /Length 3968 >> stream x\Io$W@n q /y תV$*n[X$g>I>loSӓwSҘϟNj7NFNPʅ`y_\oG(=iSku>|k{<=i\8^&\{߿0 s"%69闟iN_';'RveTdaFË=*eΐqVFw06Jq `"lV’.bf0jlH2cAʿa̟Q(Uxľ;#-g5>äq´ ӟ~M5–<4%  <%.0#f`rw0i \(YҔ.ű*zt\Yb)=(ur<+0SzǎG悽dJ;;>1Q%;1HQ42ye` msk2AbA\ˆ Qᠱ,qN'𣶨"DԶQUn8R`6X=>}VF?bd1NF֬u |z|z֫}ZY{bf[\?1JBO1`D2kE^2AbY+#Vf 2\UʳZ~d(NpL{{v#tYBAR19!O 讽\j M h 4-> у傦q4Kg}]S`WpVyVw~M^\ScL0%0_ Ik<60~3^4ỎPW nwmP}աދj-S+},Z*\k CKշ !x݌_c>;u9O+K}ގH*O/QrFh˒ئXZ>dIFy!V^2A\^@۝{(`\h^?Fi p G~SlD6̫kB0rùC2vW5mڊUX>1Q WJ';;6|,V>_hŬZMu!i=  u0ڲu94ԿT^SN/_B"|s`e&C_^LM~q  Z/@F`FkHtvDKSi2 |}K,ԋ~_+M"g) dI2g-JYrۙjH̤ZsґD_ ǎ \.':b%o?>vK>Ⱦ*eEV9,/c%:TBnU`QfIusCfb^…-Zb4ehuKђD*uV&f.-]&^s*NFVeUj&v}Ά޸6p#ҐWz}Z:QMʂuh(F'H2."lpjt@6 skI8ܒXGwGQ٣u#n ܍LQƸVx]R^@`Z}jzb 3jeJ^ دwjPZ֚[| iY\HT]b$ cQrx0`ZaLcHcd2✑qeC@'ggmmLmoM 6m׼pom"p_7}Awnf8P 72[ZNC #h!lNbO~kPT ULF 8WeAɔ<7N]v<1>\6,zh鲟"݋"A$04]ʚfMr(X?'X ƭFƬ^2PDcgI>g2Ҁũ^DrK9fT\z9.OQhM 0B-p 2ܳ * ]r:cy‚D}}vvTu}Al)v4@:H`oHۂܔVUm\:p:PMx1VT0ehh)nϛ  yd g%p/][tդ wp>!z4"R3 ,!{]w[Ո{ 0X@YQH]wQ0Bڸjƽz2(lu^tȪcώLy!27ܲs~h*Tʦa򌝪hA=H} x6^&끴۱k۱#x_9ǰ3F#f3OK!AԦr8~2 ul9*2TXJ ^qk/I1tnFR[Q/ґ. 2`בkdo࡫_c,˙0oR1sY S'& ,MgvB 0vDtEkD4\z7 (h5T}b+[wt1[ߘR2ZGq;Eʢ+.)X%9ӆ‰L*'?i-롛 )^TX?W'>>a7¨ "׹S%xSVph r]}>.?&x= ?j1$5$n*qCTo)iP1er6ómZR1d>WD{ FjNL/S&٨ie2XVG) iAй ]R׉(\9vsKw0ϵTǻ:s *-Уp6M1=Q>{qZfCGTEFK_=PZģfD ^+%]W̤k ôy|[ D]J3cw9">G(S0 K.  xIYR%Vؐ91c=y>q[W!^7|^kC*>^c&Z2$> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28158 4105 0 R >> >> /Type /Page >> endobj 4102 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4103 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4104 0 obj << /Length 19 >> stream q /Iabc28158 Do Q endstream endobj 4105 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28159 20690 0 R /Gabc28160 20697 0 R >> /Font << /Fabc28161 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7RЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?d endstream endobj 4106 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=298) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4107 0 obj << /Filter /FlateDecode /Length 4878 >> stream x\K#9rWy~BU6v>{*{v10s/"TJ^L2 ƛZ~]̢D_%%˿Řcn1z19|ekxoO'>/_FWi]igm"&jk޴~y՘%pƾ'_*VB)O@6O mQ b &'e4fij.E,}>zii Fo ii 6oOˑi/~E8Gkk d1ǘ =kPEvC-« v0v3f W*r)Eiѯ4 b5 E@Ҵ qY)S;ٓa1hk(D6ȕ5?LR{qt0cPqNH6S,B/eC4 !t; 0Ū%J^DHdW͊D&HV1@ԣ<,oꆼńPL5+K $E$NISK#jB$U4sx^],DXqMd.UY"ytY\'BTMR#00v.ۛB I!"JDd"Z=~WN5ŁQWd 8xKVeb+uge =VtO.'S2kj%uRg(feo.yl4ckv4W}!aTSϑ, Zf2 Gَt^\շy>s9%i؈˖JtːNeKd֢`6 JwP*͸צ\)k,zlojPiYCﴰq EC_пnBB}o'Ӊ1Qk)`pow#ݥ~^ø{2ps"GG+<>-3Ѱ?Ͷ6B֯07 Uz۷t*b}u|"_퀌,,&lЈj|_q$֧ijp24yд)5ZE3 f5_rYm. ėů1,a-0e&}gM`B!ޤfhYv{>8ɦ>'m[}Hm-&aBЎM֦NPCIxAgK 'Tdȗ=ZEif2>N$Hc:|2S^ a6z]SXusbN;:埋CЉ`#ص~Y`|&KK8pbh: Ou* L-8— p6\,Fb2Χ61>TM=47CaD154"tP0 3w"R&_Wт=Qe͎xNȬ9Rdff!FXhl"` Pm|%cXnՑ$0tQT4#I)5gfbA#v- )n .wX4:p2=Ewk%"Rph nܣJ\M3( MN7`1ELҿG q OAQDj)JgQDj!ZsS-a ȓa+EZ\YԎ% 9`ciA6ޙ>v8wy&zI" `zZUz=!3`7hZ ,%F')}~5YٓӰ?_KJde_RsDvJߙܭ[l?Ccry8xo۴GHi7'&Yn%{Z{$m܍[<æ2c4MIg@aIOā-%jrIcڑ}F#0Q$ @+2&&w$߇T7١qO*2^wIx([Tib'jO9ܡ~V v:]k`QGg+8c׉eaqggb&˛JIҺz!1v ^&`.<7Lq7s9Rz" #eIrq}9 <'/~֦T=˄uXE;EW$-YS؜tfb/*o')?z§wS`cSLM}#.uMJ /g$ۍG|MT\\UTgcAٜu~{",1).o>w g8T#(TAxu 9xl,$(FxO3o ]ӓL6!:Q͛/>lFoؼ%ѕOgH ix%)ܯ8'[桶5j}t+uY4tΨ;ΦW/dq]|~6Gf n.<5sKk{J)sBw{GYV<9y>EJA' )}u!޺=,Aw%.rx?Nt[踿+;O[|7W7tӭ +/@K%˴Jǹjd_@I!ӕ+|F_J[WZ70YNș@<?b>̷<|:TWvA$*~|gvYP9wy8>#3VbHM]' Q|{f`IѐQ8WVSHkbqh '] ɤQ[[J27ޙRK;eY(^ۼ -˗Ke8#uhZ;LSh촔Pani6d3ZEu V[e@Vϼ]wXwqRC"LD?mF`5ohNp*.CP@ds*pd ȷ?'_%KAmyf2'twp|`뽢 <מkp]$IV<}8&q<2~2?JKlwzD(vO@1T e@>6F_,zy{}?귭|d.'tl2UPX/ T4֖K%إyz#tjP)3`KZPXSa/3Gݮ~ͭ0@ 5>ONg endstream endobj 4108 0 obj << /Annots 4110 0 R /BleedBox [0 0 612 792] /Contents [4118 0 R 4114 0 R 4115 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28177 4116 0 R >> >> /Type /Page >> endobj 4109 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4110 0 obj [4109 0 R 4111 0 R 4112 0 R 4113 0 R 4117 0 R] endobj 4111 0 obj << /A << /D (unique_228) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_probe) /M (D:20210608081201-08'00') /Rect [104.1732 596.1 187.2287 607.1] /Subtype /Link /Type /Annot >> endobj 4112 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 579.9 159.8332 590.9] /Subtype /Link /Type /Annot >> endobj 4113 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 563.7 177.2792 574.7] /Subtype /Link /Type /Annot >> endobj 4114 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4115 0 obj << /Length 19 >> stream q /Iabc28177 Do Q endstream endobj 4116 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28178 20690 0 R /Gabc28179 20697 0 R >> /Font << /Fabc28180 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`bЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?G" endstream endobj 4117 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=299) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4118 0 obj << /Filter /FlateDecode /Length 1737 >> stream xڭXKo7 W\`5 [ ==ub] #)h6k `,ȏ=vDM^?>s:E=;bYYs釧uiZpI6gǝ>tE;X0Оve0Cf>&ڂNy|xYuढ़N4w7dt ]<@` vQ"w8"X/;~͑jVV?ž#3Yx.O5" kxǖwAMſl]-pABxQ(x %=Hɡו6o>7 vʻ> OF[uFBy7.ԝb?/ښ&Dz/tMD_OOLƿ2lAi{bƪc5aZچ>j^+֞rD2 ٩!#e t|]]1qQ21 O!3dމ?n<S)~Q q2(+mq^}+|6[PlpRc  9". Xg*o(Bp?@gHm5yo\rf!.DSѸRW7Ge^wA;?MM]hnD"ܮ7rbrf|z2O@B0|VGyU|`4BY|C_8)&? 1p9$FvłMc8jKT_#-gd[fr"M|SMQqB.雒 t( [D+L'N.c1U[G2՛^We!w:2c2ޏBCiuTInE9٠3ćXZ&2B{q2 hEįZ,i&"X~Rqi5&~ײ:T67*zM LjgLآxjo4>VNkU([r['>.jvtEݲ[)4$zLR^8rnefL f܊e0G;IWq56OxyNV__U]Dͤm ؖtxܰ,ln;qx+!U%eopɩ[8Ҩi N2ռ43Ȟˈ]\ٞ*oD,M(xeE7;xQu]+I»2f4R+2KQ*QɋiBِ/+ʼn`bQ(' qY-ԥ*& acn7 żT0 u2S6g#knGt] tϥ~2:ψqK4Rb*Iw*Eq؈Bz2Do\kH>+AA "2xlIӏmV(0cu]xi~Iq8_;0'鸺P,ԩx04U|+H*昹3荌'\ډ_c1 [_HR nqy<*E]*6c/֞k;y(\̍s4L.:ZOUjj>'?Z endstream endobj 4119 0 obj << /Annots 4121 0 R /BleedBox [0 0 612 792] /Contents [4127 0 R 4123 0 R 4124 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28196 4125 0 R >> >> /Type /Page >> endobj 4120 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4121 0 obj [4120 0 R 4122 0 R 4126 0 R] endobj 4122 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 319.725 137.278 330.725] /Subtype /Link /Type /Annot >> endobj 4123 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4124 0 obj << /Length 19 >> stream q /Iabc28196 Do Q endstream endobj 4125 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28197 20690 0 R /Gabc28198 20697 0 R >> /Font << /Fabc28199 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!KF>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}^ endstream endobj 4126 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=300) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4127 0 obj << /Filter /FlateDecode /Length 4058 >> stream xˎί@|LwOmrrZ~ŗCHQz*M_'3iwKZu*}f ~4:~~ɚϯq:X w!;띯OӣZ==[븧@sw}W _*6wL?D5{o7F?dxgKpffe sMf ),sҡR5# wwڞ-5QxC<9M >NI/ p£bAÌ01{jXFIھ=+ೄ] * V)j ,Л1w7G$#Kr#!#Ēn{n}g60-[ lvYvYU>OόNVI/ @ H(cې^2I 5>ؠ#{JLR|gꮉϞ Մ^]2/ȸwtnF@C]XL٥UԹLo5Z;.Ū*BRyu' d@VqK?0v6=&ϋ>F:H;u6 Įv{) zU70͓V?Gqf5UAi*[Z6P &gx{FGnB`T=q2Tv.dIG^ݕwv q9x5`Ly&%R ۆyfͺ}܏p7B (D?TA8ވ `9S [Hv?yힳ_ uxwp :} 6t7F3|&Yyu }G(4y_`*C5}e1+%qWxfHBp`0m~Z2B!U ',14{T?jB&CFt'!/n2ʨ`YTػy:nyͰw6QYZ|j̬ɐK@&:J/ Yq!NnJ-ֈA&]bJ?]^wzfUFNWuUjnJBo 7o>HlIE{+})Ҿ^zkol;L Q *ɥou*kQ22e-s_A ڍG=t>5[je}V{~.VjͬVkVwjV7k{z ibLxLkހF0%s;'!:\o  yRy 6( Mkz,zW|X< "e @*zghbDo0V5$JW_=Wu])'7NkV6B٠=#"mxo&JrM;_$|kdܥ@e7a9qioKYk%8БtKJa%kT&1f֒H&õ>J|DmA6u.vx\|~hk\|^ 0+*98ΘACfWflD. 'e(+eϏIl-*R7`=PܒnbOϾ.4Bª z)#!%ATnR$ζFF5RWSZE+-WGNQMXM%94 s._E2LWܴS#S D$ӧPD>r*`'3Qʸ $ǭ 1 OݠDulC`.9\މj E~^1~ݱ~p ǡ,LŴ2jm*JQU:Y\KyT8o2V a76ބQ'=`=4ܔ5&m8iQY5` Qۙ KgȦ{g*ɫ ~v`VonUzHƭ[L>6xLqPo6bCYeX=g־ :WLw(VmUL7,"Khz>3e `aoemG I!}_ vUv{Vhqx=¾IR>3'(}%J)Du˯UrlT2+TE=,q,QӹxvYwjݽ b39$\(߰DӼ¹n++B\Orݤw)5N~8[cYk,& sxB, %ʫ&akjT J77̛SɬX-Sg_m;:mB2W|pQ%C\*|\8J`/Q; (,_e=n5w3RX~0 Ą9}|kk.w{;xrE!\@Ag3jg*ҶԿ+; UnoeJi \竈6O< Au>,1q6)ys%,*ursJKF 2VC4F4TPOɍ<,@ĹA`SiZiH U1.BjOtNx#Bp<_咰[֎4ODJ_jOmby5x:|P]aVu*++zr5U=RlAVm5/UnB .F*lH&ݺNLv彙 )t~㋬͖ۋ>Oc_qUk7tvҐ9-n2|GƼ뵡䈠b @^7η kAt]z)u O}EYR}'pa48پunXȔgJ4@/' /p=¯\VKF +Cbx?[0"Y@i]J T<97w_z*i>7CD~e,: ܣN' n endstream endobj 4128 0 obj << /Annots 4130 0 R /BleedBox [0 0 612 792] /Contents [4139 0 R 4135 0 R 4136 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28215 4137 0 R >> >> /Type /Page >> endobj 4129 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4130 0 obj [4129 0 R 4131 0 R 4132 0 R 4133 0 R 4134 0 R 4138 0 R] endobj 4131 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 133.126 222.7367 144.126] /Subtype /Link /Type /Annot >> endobj 4132 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 116.926 195.1267 127.926] /Subtype /Link /Type /Annot >> endobj 4133 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 100.7261 190.3747 111.7261] /Subtype /Link /Type /Annot >> endobj 4134 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 84.5261 184.7812 95.5261] /Subtype /Link /Type /Annot >> endobj 4135 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4136 0 obj << /Length 19 >> stream q /Iabc28215 Do Q endstream endobj 4137 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28216 20690 0 R /Gabc28217 20697 0 R >> /Font << /Fabc28218 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n¤ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo* endstream endobj 4138 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=301) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4139 0 obj << /Filter /FlateDecode /Length 5305 >> stream xn$ίs !IvԋiwXd7bU^=˯Y4;%~^jY_.M~:,|1/}n1z19|^ZOpO=^ %ˏh 0]K1-/{&€OZ 'r^;mӺQ q{S֜U,6 Ͳ&-\ q2n1>pg퓇" 3FodbLͧǥ ||~\ ˍz#m|Uy~dZ3/Be(65xЧԙ\!8"U<<3vx_1%'pEb񻚐6 TN b8N$䠗sz47 EPή`Wť0tjtw5aՙΉ8pߖ.)55.tX GVj>D/nM=L<4S ]scWGP'm뀱z x; +0@5H(UC055" R fCW /Ox"nހud?G|w#k@q|!eN'Ях/%{ *Ȼ (Pma#|7dZn PW KZd-8UGdR@%Arvx MPx!2LL3P`}] )#x^u w6<R>L^ZzI]h6vp ,X]W ^Zx++x}65/}犙3d;hiCg@Jcsakq/ n7 Ź0уklGV,dW^4n"mF`?\6rPMXghIH 4S6gfHB{wGȞY΍qb]!kK7 >(4Úg)GG丫$pJ2 FpWJX)*\)\ղ +b*/JփcXW=ڪ V(8UepaB< 3K&0݋yr֨GI-X~![Ce:ĩ_$ lj Gk݇-x g >˨(HAzXIXGS{O$ѸH"nN 1fB{A<ĕpxBV7a'o)Rάjj1 ¿-O}"I8{U8gGUʬ5W˳hgy=t(h&91dF<|nU,D"RuDlj:M+7y4]ﶁ@8;=sהbsa8dF#l7 dgRYJmS42rȓϦ;\?Z#x6Hj ^2L1AK Ahj& V#oJ[Vjm #p^pcd䝩1[6=\yeΞ5NA<՞ q==>slrfOӘp_PӞM@ӱޮh}K&qlrDlS'8 Yw5cg7g0{wS,.Dp"n>=)U~JCl ͧ 䦳~/bjk+g2ظ]զ;Ő&H:X u;Y:9yP O>yH(]np~`:>a-m%ajR.Zc}57ݜ~ п" /`6Ѹ `*mvsq6QwJb]e2AV{=ޤCY,fPmV#X!a(nٸ\֬6T8B *&db>Ê9Av݂#u 4υ܆1QwDǷhΜy\=ͥ~ p[4CQS_W5M5*.eܼCT<'#қޣ>Nwj|wwY(bd[1tOJ - NPQ@@ (X.⚨ %%0W’J-jҫT&ʚz)aH T^/C:a= N)qb4Z )(L͗9ʆ Galӌ\Vj U`K^sʆm  &)zXU# cv$%VdUo|!z?ܪa= 50+}.Hƈ|ð{ ~u7I^$?%3BNcrFrEe~ S+i4OЂz9QM]տ)w4~>f=9to,Yte@IpCQ;dEHS"2fOV}xsp Xn7c쒽,f lZR6'j/r={b/ k 4Gp\6\-;wuѸpղceR'Yt4Rv̪̬sk.yRK- La6¸A ȰX弣\P{$cU$ROHYBz-B1y~4 p|xLwTy*v@ .iV@9m|{w\w ;[멣=>ވ[z݈5Au ̂up>+F8H`CHZ̅hB`눦8eiymRGhEl_뛠Y=;:Ano0 +Mٙq}i1S䘀Xpb<}TNE~tXq'ִy1 o\s{&sM;t,đdGtHgpa˅+Yxs - .'*}g3?f663F>03R}MjYMHT ?1em n,}߅&W _@MV֫"fߡZ˶Pe_ ZJX?A6#pX@ [chG;$ ک= [LD G<Ø |zx*2$Ppa@|ȫA bW(;E5ʦPj3w d:R~x?ҽxrR W jW9 揢KC  "nHq'~=ƺ ~>f8}lxa+fZ~<;kNK`h4Nt.֤1jfIfиqTA+VΕ1ںyaa.1_ލ|B^m_y~KTgl׼ (~Cp&h vU0LGc\PVέ M>)ji8 cmUo l_2u Ï^vLW:ռ ߟbĪ \| 4 jL> kNFMHO d_H{$>2v xR}oui{K"OlDnȤ^gS̩˷T5rvmd0\&,a9Y@%ݾ#*3+js䦖t³Dc]be_V<_ XKLō%1sOh>y=ҍJi͙[b)5*W)Rv>>!|/ \WOjegG5B~&IHD}(H#YU endstream endobj 4140 0 obj << /Annots 4142 0 R /BleedBox [0 0 612 792] /Contents [4150 0 R 4146 0 R 4147 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28234 4148 0 R >> >> /Type /Page >> endobj 4141 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4142 0 obj [4141 0 R 4143 0 R 4144 0 R 4145 0 R 4149 0 R] endobj 4143 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 212.8147 709.9] /Subtype /Link /Type /Annot >> endobj 4144 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 200.7202 693.7] /Subtype /Link /Type /Annot >> endobj 4145 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 228.7537 677.5] /Subtype /Link /Type /Annot >> endobj 4146 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4147 0 obj << /Length 19 >> stream q /Iabc28234 Do Q endstream endobj 4148 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28235 20690 0 R /Gabc28236 20697 0 R >> /Font << /Fabc28237 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+"%;x77 f߇]&`j[i8-y-xvw7dth۬_{9u ]J* ,䪍(?WG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~uQF䴫3^JمQQ@;b)G?+QO T>Twl@+pצb/mk: S[${JLh*dKRG"WrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?1Z endstream endobj 4149 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=302) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4150 0 obj << /Filter /FlateDecode /Length 1202 >> stream xڭWMo$'+8Gjj@Z=V)('oQ4dC^Mώ]o4ꡫ(>Aj T~z]gu :[V޾Y:ym>?oCl.Ĩ/wd7c E~GâM`.s-sGyuM^|ϨWYB?@w ochA+G{tOg`aTE/5ʳA;Ykb+x&6ls0c cɮbWw|EEioOgٙM΄T+E }iל~p#+R(^ń-M[͐ULV*v]ԦJ MW%/ez,R0jnUW?*Uz[2XupG[YI6ւx/S:]Pu @'-"V "xXC&q}&T*L+h:"ϵE450uW/RۼUҤisdjQj3$khJd,i1`Xrm|"ƹ=ՉklchD"Qژr_^Nt}()FK =&#^˚$n.d7@V?)mY}w "l*@zNH3|pBO;P9qf a5ؐ dv^ `>s-ZuoB`^q_)n\!:N Gjfy! " endstream endobj 4151 0 obj << /Annots 4153 0 R /BleedBox [0 0 612 792] /Contents [4159 0 R 4155 0 R 4156 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28253 4157 0 R >> >> /Type /Page >> endobj 4152 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4153 0 obj [4152 0 R 4154 0 R 4158 0 R] endobj 4154 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 362.525 137.278 373.525] /Subtype /Link /Type /Annot >> endobj 4155 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4156 0 obj << /Length 19 >> stream q /Iabc28253 Do Q endstream endobj 4157 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28254 20690 0 R /Gabc28255 20697 0 R >> /Font << /Fabc28256 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7paUthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 4158 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=303) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4159 0 obj << /Filter /FlateDecode /Length 3969 >> stream xڽɎ^_gԾCЀor`$ Mj92]۫WKO_'3iwG*v6:3?eU}N]dqs1QkB}֚Ԫllwj0ЯB4xic_[+aJٌth1}ezA;}S~տLz%C3.ӚNߧw7%]KMI|&d}37`Y[g|'- @).axԠq'z*B+9B󉩨^Le:Ю?p>(C&x-ѧyrq2i²ӷNTkz M>vY s_D./negx@~\~ q0`;R }&Z"P`fTf}k}~ep)aqaL#gN@AtW1f5(>dHI [} ^91EH'k$Y!ŏ(D^ r&,ZЧ|(dUkFaRdc> V(FU(6z8mgl5-23k 1~sXV65,rzeqɬ{znql^eGZA2GPWC@oJ jP4zUasǤ3A/'Cu=Y.w1\6qF6N!IHwKiZsS4\)MtysrD|$CN ̚u{L+\5mGw__*uϔk)ʹIOu>u}n5z #Hm5[JBy̬ɐ4JB%K훆XF, ֽܨ%[D 6[TZ>`MhMMq:;U PVkJ cqv=s& %֍HF5rw1RVC /%ܡ\b^ץ\e܇-ڭlSU-hnjࣿG?q' ss`_21wR y:!Xٺ0ՖӏZz+Xv#V.\ZUX}ԡ A3qPWnD4J?T&캠5QЉwE:z تe<ޡ&F!dR^], ]!9X++]\=ܾYw5'g m^Bx+f\V0<ݷϻǸ?Xy[ò|Z}p61YS{lVScP:rMƳtTBMq69s$b ;)7<,(i\7f FMm(V;(gx5C㷌P\ʫyi LৣY9,@I.۲4CقRXwdBuP1k![,.v#% 3Iz,R" ;0>s6 !pl"ԵADMl?EDZaw D{/C}>\TW*V:f7PjբF^^ɞ&3;o (~AS?(CgUM0ڵƭͳVPg~ U,GblF16~tgc#b ԉu:E1bj+j#oX#&781ǎ8ݳmʠ,ύBG.Y C`iՄ J玣rWqp CfVt?[KKeWsJ5i12k!\X _%}ګTo1I쓋^ IZk/eMm0jX'*]Wltsr0IZ 7˨u[,hv,hg"j9:P';)V54w9gڳ[{z'5{8HHneՃzwַF t'$Ƚ麆]P(4=/z2| !ٶɖ㚮[ޱ2[6аkCo %1pW,N\W(5=~a-GH[e}I2g9|ٚkz-l]8yEx͋VpRgl0ddy~r5JSS++w͐JTwdM:S^r⪑P9<9`v1xohGlju#@&ɠƺս'>K ƀOa#ـq3[},Jz- >5C@=lT(My`%&j>˭ :C8@ge^e0O߂k 1`^yc²g v#QVAzMQ>u7أLto-9Ɔj5ueC32ʓcSeQ댧D5Xn-zv8i i,BĹV h0raI|-}@v K23>zmxaLHڭW Kn)[y"㪤_'ѽdTJVlSY{Z%zQzb+v, 9΅/MnB .N*lH.ALv㽙u ) mqIlDԇF^qXbhR@s* 7boa;(!kCGU4|? E>~'oUfB,gB~͕9"%^g.fFLysXo ?6t 9\Ϧ5_e,_CL%t5i76% E`|O\~8'ap-<_o@ơB72a&obneMç2>9+̢茻j>%?f] endstream endobj 4160 0 obj << /Annots 4162 0 R /BleedBox [0 0 612 792] /Contents [4174 0 R 4170 0 R 4171 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28272 4172 0 R >> >> /Type /Page >> endobj 4161 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4162 0 obj [4161 0 R 4163 0 R 4164 0 R 4165 0 R 4166 0 R 4167 0 R 4168 0 R 4169 0 R 4173 0 R] endobj 4163 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 363.7423 194.7032 374.7423] /Subtype /Link /Type /Annot >> endobj 4164 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 347.5423 195.1267 358.5423] /Subtype /Link /Type /Annot >> endobj 4165 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 331.3423 190.3747 342.3423] /Subtype /Link /Type /Annot >> endobj 4166 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 315.1423 184.7812 326.1423] /Subtype /Link /Type /Annot >> endobj 4167 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20210608081201-08'00') /Rect [104.1732 298.9423 212.8147 309.9423] /Subtype /Link /Type /Annot >> endobj 4168 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 282.7422 200.7202 293.7422] /Subtype /Link /Type /Annot >> endobj 4169 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 266.5422 228.7537 277.5422] /Subtype /Link /Type /Annot >> endobj 4170 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4171 0 obj << /Length 19 >> stream q /Iabc28272 Do Q endstream endobj 4172 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28273 20690 0 R /Gabc28274 20697 0 R >> /Font << /Fabc28275 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y^ endstream endobj 4173 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=304) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4174 0 obj << /Filter /FlateDecode /Length 2883 >> stream xj$_ʉ}!E5[Ƨ{ ݗ}-Ji$Yݤ2#2kdjxϺY. :=_E[>1k!]C>˃??P3Kwjq_ Ho  #P^(X.pʚZ]&p3 ٘x+03_"hB0v2w^p JD$}+ĔWh NE{̀CR4&7ؓh^Ҵ}p|aMYk847DFm{Ȩ,qov| +uckєt K2>c 8+\G ׄs~qً h[g KvFtuaG3> v7m\ꐗ`@ NBn( &n> AY4RDXíX5Gt)gJ65ll{M+#"zL͖Iԥ/iFXMvCl 4 FZMY @mw~C^U@,j6GUI􍻵vM:(н\:st]?QDM>.7w}~]X/@ ޝ#W+vw@ WbE #2yGL0P@Uh4 1p{ mF#z9X ݙ`|//SO7 fFp**ufٜ&͟HU5`df\[p@ot/"b59 ~@]E'{%#yhFD]9ZD㶶'V= 2ٽè7ImTs׸?"s 02˺Ԕg_r(p eȠWv|NzE& ךhn%srt.69\H< evoXϷ{h׼x0CN⪣Ǥ9]ZsR!x ZXjmltWVue'5$Rd~(;ha=<М-RwHvK2>K 'WXf ̏ޅAߴӏ?٩u]HF.Oyaq/ѿVp*7b{XTk he#Qˌ smrbWb'vkZJуbRrk2NO==rhF YOt*CCמBb ^[Ot>Xg?͘aA2Ul@fz6YDQ1=Sݚ5q3ZEߒ^#o:hvYZLY9)Ԫ~惔wS}"?(:+=y7o ,h(TAዧfB:TS!}Q ?Ԓ]z/C헜ktVC}oSų\eAX\2}]k8kja2e\Â_`z-Ir,y}]\~ V*DF/2rfg+ՖxyhWJK}QzF>fMᦞqׇ4u1ГMqZ2G%>RfNmSc( Q^Ń*N( "o &(m{mwE&dQfxYszEtD&?c Mi:9l̟P8eEDlԤ}Pk?ƨ endstream endobj 4175 0 obj << /Annots 4177 0 R /BleedBox [0 0 612 792] /Contents [4183 0 R 4179 0 R 4180 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28291 4181 0 R >> >> /Type /Page >> endobj 4176 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4177 0 obj [4176 0 R 4178 0 R 4182 0 R] endobj 4178 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 324.925 137.278 335.925] /Subtype /Link /Type /Annot >> endobj 4179 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4180 0 obj << /Length 19 >> stream q /Iabc28291 Do Q endstream endobj 4181 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28292 20690 0 R /Gabc28293 20697 0 R >> /Font << /Fabc28294 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7path۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r5 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪzkE!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4183 0 obj << /Filter /FlateDecode /Length 3980 >> stream xڵI$7Wl c@!J݀ƜcUt_MK,vV6")_&|Rgu :[2۟YPۋ1a3cy ښjz;~H8,;:S}?3?gf9 3_ꟴ)C>i/sk;c48 J3#i`;AI8xºЖ'g@p>a^/ˆ ~Oi6˝\o^YDOs2lBΘ4 82"&Hr*}SGt YƔ2а/8DLLL RX:tضg+R)\*,A 4 <ߑP/ 4|0h1Ԟm!i,Q㇢[t̕k!d 9\𛀐-rO Aoq,9!##,9 лL@Mּ:Ri}}c* d0*^e/#uJPws"oS&O l? ;9 !|-2vg?į=X[p['^KBR'_3ٵt q .8& #ә-/bc̲":^ZQ(s-F؀pCiu;].bzaQLV}ɴکV53!*.T g3>h  R!+vqjZe.v[1 q5Ԉjz IͻrbBlY PGu?{]QGu=++ڥ  UqRqC cd0 %ׄKRݙd`y@!5.PP^2;ՂĹN. 67]6yl]p #,>bYefGu/k/4deBu+Ziq0\W 5)=*4!Ū8mURW*UEzf&y-8^h}?E^ymĚ3$IYdTg@}rN16vBeG9*6o&^Yd$v@ {΍ۇA=_2 \SJD?d; p>{DL&* E|MjOA^'@,[Pms.pv-( |L2]ôœ JZv5&,&6UDQl)9vrlXEv#tYҲZ2u;!&U9i`%v|+PPU,uqF&2])9! ȧ.@ /q Z-պm԰ Wj0L0q8[ HDKdқ|ǹ/lE3}Zi^+dO@h> /6sm9QS6},4YZXF%76wMc/_dV2]]z/f*#YQ2$_wk3X 4sw5+?_W~Cۓ`$وljqAň>Le.8九\qTU0zdiE);hH ѶEJ<-#-_u 3lYr !`T֚\*Bvyξ} B 7g iL:VKqT3xiG,ֶ ܶ%UtdK.hr">%uek_-ꦁE,!uléc๕¦)"#\]AD):]ҭ۬І۩E3ߨGS|Bs|ql8ASU!)Lf$ϘKf )yַ|}5Ln a )t: ,N?Xo2i\]Њ@a<]J4>Vڦ\)wS'^]]:S qEXG 0Cl8xnBx>k%.zRZ>4HgZc\3;,nc< |ԕ [L\J[#A=da>.հ(sn'`E-ȒJ?6[BZ]l`EI pLةU2H֬i8/ 6. ^AzB S*_R{4_LOCxX&YSW~ٕ:+#'wNBFGNOi68.Ȼ"`#&R ;i,@D\]+S28Բ}Q)K'ipI0S qXNxu)i>YßCJ> aD*)5{cb݊ UWjbEB. K>ZL2ae' Un29\Tm ;1ەv(rP1صۅ/6۽VLI}_O&wqMBo UX%덥oZe[P ye;w?E=ϒTz9Cfx/>mC 7:C$ݦk {RYHn x8>db_ jn?2;<`cwGQT𠤱p7 b\R$] T=+sNメa]k_pDZe9eYijw.J̢V1$+;TX endstream endobj 4184 0 obj << /Annots 4186 0 R /BleedBox [0 0 612 792] /Contents [4195 0 R 4191 0 R 4192 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28310 4193 0 R >> >> /Type /Page >> endobj 4185 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4186 0 obj [4185 0 R 4187 0 R 4188 0 R 4189 0 R 4190 0 R 4194 0 R] endobj 4187 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 125.6502 208.8492 136.6502] /Subtype /Link /Type /Annot >> endobj 4188 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 109.4503 195.1267 120.4503] /Subtype /Link /Type /Annot >> endobj 4189 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 93.2503 189.4397 104.2503] /Subtype /Link /Type /Annot >> endobj 4190 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 77.0504 198.9272 88.0504] /Subtype /Link /Type /Annot >> endobj 4191 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4192 0 obj << /Length 19 >> stream q /Iabc28310 Do Q endstream endobj 4193 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28311 20690 0 R /Gabc28312 20697 0 R >> /Font << /Fabc28313 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7 1|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 4194 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=306) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4195 0 obj << /Filter /FlateDecode /Length 4134 >> stream x;I#KzyPN TOmj}[lTUF/}b ]X=d4wuz[z1UWbcrnyQke puk6I/꿖F \i]iy6^j}p +\/r ^Z;mӺyc ˸)kNY# B3,kf!Y&x^pxԥh<6/XWi)XZח |,Er#p/w@%!Okwa"dY$C)lt@HO蹡!8bU ^WL kFf\MHј(ӑ1A Dž*;S/Xk1F'PVMT55>2A:|a*mU^0/*G 'a51Y̺ f$'Y9vP:a;QeGDH#*_>1qQ.y̜K#&dDOp1G*2ֹ& Q b@p#yQVC,+/a]L]F?P!'f0F?έȉ!3F[UvYpv+#֥&j+We } ̨A vn\6I5?eQI@  ,hpc|ץm8Ŋs+r1qq h7X ^3=^"Q[g>k[M)ob"d !]Z) Dj ]aYn]6kpz%fJ2")"ܘ/+$Pl>,T@{NێDA8pAĽ8 5mTQx(8n7/n 0> '`YR:@B7Q0Ŭ8ӷ P[f%ӏ8.vC?lwnD&)F#b.w(Dh)ǻ9DO};nt&nͤx R.k=GyMJׅYWa#@_oeEm 9[fٖ6>.쬄76<غS{V=[@%t%"\nD$a"`A|1޺0}` 5ZzI@>dJ5Dqqۏ\~0p5Ic٦F<șs7^pCp\`Z#L:nc#L~\ ^U?q7U7F xf[_ Ps3j'޴u(6( gw*pi!D'^{d安4}J qij դwSF7!3xOd =M^ ,yt5Ki6)!Fi!L)_9St˸+Cd2z/xtiS7j 7vj>Z+g93\ueһ9%7M5i_oq2Ɏ&5`:z?Dȯ8lTx !_R[4؎@ o\SqasRUbhՂ}kW|<*Wrѕb|[|)ڞn;S~Դ^.[{pmkaK|~14y(1%&O Xz7zQeV.8N߄sGxn᱁Rԛ^,dDuOtT{S45Ų5hrVJe9k᥃Oͯf*aI\ C 7>Pq^Jn,U_R5ލJq_:;u!iA/D {ip&l`#2-}[GC>cj]]WBlUS3ݒ(gNӫV? Uwi(S9NN գfo5{|[uAN4b*32f[S5\փOe[S9m=(d^vsZ.5Am8;cw=R LxݑeO 2O s_Ͼ`PtqϚwFqV5λd} oBnnwAgԃl|2r𡘲PMeJ}e(4}GvVkmNiS$7ks~|7Jalcf gZc) Kf[wOgٛ]lؓ̽еv;Yz-@ğeJoSB/?X_ѧ/eF[Z?Y~ivx( ~mO_SOv!#~êC2S`(ؕ&]ŖeH#!%=OXs)Şƌ8"B n },9=>WOhμxyq>n7ca ,B"K䨲U%\]P1 ^-,ƠP1S튕y._cZ" TțXD @*g .t =gNwS7jZ]Zʆl ]"Sq?t\5CY! !գ) 9%;|TB|d_M[<)ksU¿}0>a\0z2 1'ҕvU\Ok T V|)CmZ>,B{iۮCˁ1ú^<&TY0_A@,z B=ԒP~ }!; T<툅5oS *}SF cH]z'΃}IMhޗ![مxK MtX#p®MZ\b|"|yC3P܅z?bӇh5BR?mW~``CG:q->mP`kW?L{uk qj(hKC8 pj@;'5\U&^s2jBzWp{IF${ׯS UX̷@x NG%`*t'5zTRzeS?T5k+4UF3 K g1dIWwT/#I h*+w8{Ŀ6* g8E @Vtx,+!8=_ X%fx\g :CkՈ#GJ@ 6=0 skJ$+;qjiOtpBTDOtzf`h\8_ΰ4/DzQc <'FY8ri(R4ALLs;sMnB. ޫvVBG X#/0<]H F[;)o > mWQ=}m:/7yCP(M[z5n,anj'i.jYqO?,9p> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28329 4206 0 R >> >> /Type /Page >> endobj 4197 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4198 0 obj [4197 0 R 4199 0 R 4200 0 R 4201 0 R 4202 0 R 4203 0 R 4207 0 R] endobj 4199 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 205.3787 709.9] /Subtype /Link /Type /Annot >> endobj 4200 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 185.6062 693.7] /Subtype /Link /Type /Annot >> endobj 4201 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 190.4132 677.5] /Subtype /Link /Type /Annot >> endobj 4202 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 207.3807 661.3] /Subtype /Link /Type /Annot >> endobj 4203 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 194.3402 645.1] /Subtype /Link /Type /Annot >> endobj 4204 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4205 0 obj << /Length 19 >> stream q /Iabc28329 Do Q endstream endobj 4206 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28330 20690 0 R /Gabc28331 20697 0 R >> /Font << /Fabc28332 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}f endstream endobj 4207 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=307) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4208 0 obj << /Filter /FlateDecode /Length 1234 >> stream xڵWMk$7W9Z}$0琛a oX ?UIVώIlz*I^}[d?qg:K1P2UOZ:=KTtN7Ɔ5ׯdst~1&93w(rޑt0bÝ1#il+V[cݎӯI,RЛw96sRp易V P~ ӡBE}. "gDr|[)ozOB//US$tr^~ꅼNDeFP>:qm/jZ&oĢNouq%@)٤̱cNLi[:S:r2hFD@+zF$:vILy9!.'Yf~7PGNus#h~|SagD`1UKM/N؇= ^W; 0L~z]Ů3E#pW="`AImfv3h!JQC[ِ~fԆ J_oJdƄ-Mf* ]jլ~x!][+떗2 =,i5*Um+JUc^ֿ g\˺p$U}M-e SkAʁl>v\l*@zN!h'V=~FA3wܗk!k%κ|e y4rH#vp 6gpy:Ku!6{m=x^9Z_q]0zͷHa adܭ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28348 4215 0 R >> >> /Type /Page >> endobj 4210 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4211 0 obj [4210 0 R 4212 0 R 4216 0 R] endobj 4212 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 310.625 137.278 321.625] /Subtype /Link /Type /Annot >> endobj 4213 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4214 0 obj << /Length 19 >> stream q /Iabc28348 Do Q endstream endobj 4215 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28349 20690 0 R /Gabc28350 20697 0 R >> /Font << /Fabc28351 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4217 0 obj << /Filter /FlateDecode /Length 4329 >> stream xڵ<ˎ#7w~E (o2B%Ui9 ^{Z}xTRmu&d0/^~,f瀏R`f ~A:~]k]_-_ߗ=irB(o>7_kӳ՞펵em1 ;Uک݀{Alj} ڷ#OOMEqPWKffߔ]Wp._n1#?]X-m~ ۚt(LyqLR8qe>+žU1, 1vJXħVA\g "uPI/Ym qH:c$d,$9^cd#u2 *xJNӗ+xfS`9?+'|@hAjDLL Rͣ>/VWHf=/_1Z縧( L n>|D?g\d.RT̀ Q׶@m\SF/ 3L 8һAi2Q? 8`%ҁ[HiE@RhMxRtO 8 NGB@;2ub̪(ڼzuש$6դm@N07p"8>'b˩˩ԋIR{&pz!VWydoyIG^<|=d} sK^clrp C |((5\~#laQCT"xGr LTue8Nſ*ȎD,BFbY֪kCa05\`쀵a2kBᜃs@ؔ i=!t fٳu?{أgr7{ԇ?]@. Tb Jf] --zyУ`:nջlkx\o]!dܩ1TRqY7Pf!EBɁbRZ̞s{ f]xizC7ۿp^pB;= IKw/G^.R?ui*D9RԟO CIX_,bYىMվD<67ey^F!)gYPMQ,sUkQCS ;%J ݗYRcRjP?-GSF :qL2ȇֲzaWjJB2, lor< x9z[r <Xgv\dYձ {>#C;MϑqGeS0W骋+x~!&'{+%A[sgcXóvodI {˟xK'JEf$r^:(zՃ[/43U[RVgfFSBH1> N4hg񍳭la@xbn8+J[p͸DƢ{)T*Q!Un@]4h\ktۃ jBvR6ނ1ypfADT`2f(e}.rq/Aa>Qy.ױ$fu<|2;6a#Zanll7BV5vz<5R@ꀇu_;-X0yЕ^%Oޫ"ԣ !vSV? Y⩩MզPF?% kq;Ynje;325\4[mOġFf,QȹPu)FhWIsCLV/U8ȯyK[ѱBִ^“&ZLPI)Ew i8 +z5psxpdɐ_ q_.k~pሕ`m#Q; gCy]^lyiGJKCN:{XFM $.?M5NvrC6DV蔶vGzbYHO_79[, ֍_r<Xc"ZXŏ>x OՏP=yU\q[R>d'RPжx& 1p-Tx<˙:t8 %6qYbx "aK:rVs%ݔ՞s+Ѯnr*.УBH9-{aj(qё*r\ua*.Й|,1yp9IkfukgᘝߗT &B3&$axK eN?X2C{mk]xfQ` 5+#zH8fL#^vH}BO=|mJlD T&nR! dMMYP`FzG脳?:Z:4>rz*5n ~^!˵FG.Cr Ue#<8VWT &(5_p.K'iP$#SqPNx)i>o9|j?䒰[֎4D )-> ,[JZCYH‚}ɥVTuV/SL,Xq.|rɑjSemeW׉7ݮ7k!. 6wYLE}DpS.=6@qNۺ/Gw!0~ :;鸛1 l|:K2[&/^]w)܊9KۓD}\ !> @wa`Yo,ghvҘߛSneBE)vן7V`MOX*Pz"[ñ+1\׮ J8~V|yǺnqnHqbV|k.afщXbꤏk$Yh endstream endobj 4218 0 obj << /Annots 4220 0 R /BleedBox [0 0 612 792] /Contents [4228 0 R 4224 0 R 4225 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28367 4226 0 R >> >> /Type /Page >> endobj 4219 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4220 0 obj [4219 0 R 4221 0 R 4222 0 R 4223 0 R 4227 0 R] endobj 4221 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 119.9502 199.3617 130.9502] /Subtype /Link /Type /Annot >> endobj 4222 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 103.7502 195.1267 114.7502] /Subtype /Link /Type /Annot >> endobj 4223 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 87.5503 189.4397 98.5503] /Subtype /Link /Type /Annot >> endobj 4224 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4225 0 obj << /Length 19 >> stream q /Iabc28367 Do Q endstream endobj 4226 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28368 20690 0 R /Gabc28369 20697 0 R >> /Font << /Fabc28370 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`lЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY-[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{wM endstream endobj 4227 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=309) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4228 0 obj << /Filter /FlateDecode /Length 4919 >> stream x<Ɋ$Gw ?7 $4JC3V ՠm:SE-.v1wGny>İ]~:?-ocO5:<}[qg 3>19q_<&Ǔri 0$|b؏3iq0Tca.Ov<5%ygi}ۀ8+7l'=mWD(TAB΄O,O?݂`euU|XWHp=|/{tR5mAXsʰԝ &?s~Xx-zq+I=1bp&)P8X;FbәM-4Cx DF"3 7MaCaX>:ˎV".EC`ivjq "7"% 0 2PP5wk|_)]zy7+@k3MC]^a$'j1LeT#@:y 0jAZAM՗yhwE/ f1Yk4 :Ew2~7׆4"6> B$0kҩz kLAzDF4'M"ĔcZoRl~wqYNEx77JzkKghkgAXok c^U1h}s+8_ջ.vdkxb?A@|Ѹ*0b3_@;d7'c#  C 06LAEsY|nVc6>um@C'Bcbxg9 +L{qwM $OG߰Dg&?$aL3!r1 V^0 Z;-{661là >7J@df#F(d7 *cws ;>ɔi,eW:4ANwPu5V .9 : ʆv*u~s Eecav3vL&On0-&Zhs9Pf2 W%2Y>|&=%7&oK^8o={7B/ڻd۹2uaF#&7} ݦvy*7e۔6r#C\t6Sa^~[akcGq|Js,h2IkviZ6w#rx{JDPbz>iceq#As L XO7pIUsk|* tvbjٌD֎8T$mg.IָnnC; `va6 uw5Bl%[ײ}-ϓBw!ηW6'칷MڹZxDssǒm}qpO596ipvrSu@cV{ދhтltݮ=ę+;B߱cAQp"ջۄAX(UE ?s? 2' 0{"p ǀI=g,~Ԍ6rahmOT%  [9#ξs- Yd9 f!ʃ}v1㒂9,bc8-)R+>ң5p j%$삁x^`k 98&R!i$űVg8EyY >'V]qY^/y@|n{hT .̙'%yPo4( ]F/K䚈Btl0waFg5z|Ax9ծ S B6=z]pLtHwtRh8 !]gpGVhBHR8l4RPiO<`'Mmp/!]c[T8i d#MQizo s:!-b 3嗩D(^(B SUO 0/3|`0HPcigRo鑀G#0$3ʲ+< 芫#V -_Hz@6>y-tZ 0xJ%[u MQ 2#Iq!&h/0kx 6ay_R}ytӞQv T*zq\a" q MN`2XLԿquS#Do1դ=aiQYϣ9ĩ\B wiŬa dsJ !b;9FIPQ|}@7@m83b$}{K灏r7]PHeDV䚍T.3n{lt(M)e3"B7Ykzz*8ÂAC+B\n.4{ !^?V25<Ӭ,i`'gpOMe4Ϊg,沍8%I^j: 3SviƯj|s`l 8JE)ro bJ{.)M Izmզ6]3'NzކC^qr66rxlVǟnyt9dhNO!܈QbX(KG퇕T,`X Q!ZvsJCKdxxD8xyuG;Eu+`Ğ1C+YX^ľMRb+G8zfBT*k͕͹|&*\^>-vs66WgGUfx bC?_1ЖsI3x#D!_g2govΕ~8髰!bD㷝~ym$'d_6?&JAE5{-z{8'ij'y o`,n h3sud/Z =_ȆVBЃB #j Qfʏ7᳦yC立V%S!;CDd0ock;okbi4\2"qU|->L+P;LW-Q`Z G7qj՛/*oaH y.>\=boJN|hOHl;h; qʞ+;d=ƅ ©נIN|ߠkJ珃w_K =m\MwP8e埜MAs~ )}ԥ55RAlsV.m->:,j]+bL7cR"s$ikPpX{vKXSɊgl L+'{f1miEKGbh͚mAn!_> x~S9F h(33Y[ǥ,42,+Os۵ oDpk?{˘c2{4QGsk@WٷrdϛkF b`#ƹ&%Kcٱ I'2儲X?^qzq0G./pFr^"}VXzf/$_ӯ#+^vH%~JҝpHpB$ c1Fa EoC? Q`Ll/y Ϗ 3|>YNyZ؎?'J{ pl,Ƃ{fSz'Y "߅NqpV\*xMUiq] x3g鸡`]E&(H@N endstream endobj 4229 0 obj << /Annots 4231 0 R /BleedBox [0 0 612 792] /Contents [4243 0 R 4239 0 R 4240 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28386 4241 0 R >> >> /Type /Page >> endobj 4230 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4231 0 obj [4230 0 R 4232 0 R 4233 0 R 4234 0 R 4235 0 R 4236 0 R 4237 0 R 4238 0 R 4242 0 R] endobj 4232 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 198.9272 709.9] /Subtype /Link /Type /Annot >> endobj 4233 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 205.3787 693.7] /Subtype /Link /Type /Annot >> endobj 4234 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 214.8662 677.5] /Subtype /Link /Type /Annot >> endobj 4235 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 195.0937 661.3] /Subtype /Link /Type /Annot >> endobj 4236 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 199.9007 645.1] /Subtype /Link /Type /Annot >> endobj 4237 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 617.9 216.8682 628.9] /Subtype /Link /Type /Annot >> endobj 4238 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 601.7 203.8277 612.7] /Subtype /Link /Type /Annot >> endobj 4239 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4240 0 obj << /Length 19 >> stream q /Iabc28386 Do Q endstream endobj 4241 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28387 20690 0 R /Gabc28388 20697 0 R >> /Font << /Fabc28389 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1f$?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}eX endstream endobj 4242 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=310) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4243 0 obj << /Filter /FlateDecode /Length 1277 >> stream xڭWn#7+9hCnrfkdF,.^-,36 1@dp|ZPu7Ɔ4`t~1&PYy,aaK9'cʥΐy|Q WҨ)Mڡ+H83 9I댃NWj㰞Vn0p˵bǽ&K񡺒%BQT5T$O]L2d٬uQ$tíO)E;_}r@!&~Hƿ{ʄ$ʰ8?6HE=GpNg/W9 6GS6:&69] xX2x3v%u QWW6hkj"\ȧ4nhi+ k=ellMX31C.ЊN艎ݥm\f>oȫs 2 ջ^xy>@w /cAf{*i>j445f="'dۣ,2۩Ulظ 3p\/\l1Nj_OZ ]H'Kdg&CLQCkِZը \E/:h ?h67h-~l s[#o}'vx&]ES%izLR0\TTLUcOK#.E0K4,$U\}ӀJB+f>tf۲J;7N\f""%W_i^D^>CK/c`IND Q/Sx祇U ̃xCg"8X>ꉩ|س~ :,X_c1^/m7i|`8Н;0zMauXdܭlj3 ~/5#PcJKb73nNxۨn| 5/otk뎷}a RG`gc/ԞkVi}|99]J3Q\onTC1|YZH endstream endobj 4244 0 obj << /Annots 4246 0 R /BleedBox [0 0 612 792] /Contents [4252 0 R 4248 0 R 4249 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28405 4250 0 R >> >> /Type /Page >> endobj 4245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4246 0 obj [4245 0 R 4247 0 R 4251 0 R] endobj 4247 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.525 137.278 383.525] /Subtype /Link /Type /Annot >> endobj 4248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4249 0 obj << /Length 19 >> stream q /Iabc28405 Do Q endstream endobj 4250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28406 20690 0 R /Gabc28407 20697 0 R >> /Font << /Fabc28408 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1\ endstream endobj 4251 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=311) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4252 0 obj << /Filter /FlateDecode /Length 4226 >> stream xڭn$ίp_ARm|r)%pju3iUq{||;W^.joGHz:8DU}S<^vV?n`?qY7ʛ(֓ҵsoFxm'0/J+ĥzy[E姅YS&ILVɫן^a_>1̢Fd_\ڂtl4 @$E sQ<ˣPF93裂mT~NW'P6+g i^s`N20d6n:oC{~s1~QRma˷-TXUrI(7o` <KOrF* 2bJ &uWZSl(+ >3h Ud4a i7jyru!qVJ ~OuL2A/bisIFm2z@9 ,L. HQ"X(E<ﬧgP/t5">.~אNqQ;FEVy g]OdC1 Z"hK=67VN^v vכAi;=h?3ZYo+eVJ)Zx[\: bEI}C^;k(Ka.hQPIfž ԏۺ` +znQqgnڑ_07M7 `.Gx;~V1 lnbOcBwE6g~-b{J~y$mߡk!'x mTlC4,ESE)8 Eo0"` ߙ RJd*AA$' qX)R! j[uex['nZb ܹl.tJo?kD$d?OQRv5/cnSKtԒ yT 2l1zp9+b1/= X{cȚ0V%]iB : ř?ߕi=വTUH]X*f⦳ arM6M"K_OK^0l\,CG7ėXXzT)e.aQ(bddvO2:i[ sh?A kG#T1kDF֣PI-^~_AjqH!t{urao8f#\<xzz 8VwP;C(dMdAܪv,U,4U9؊*iO!zv.Rdti@y;!&X(wBx%=|Sfwyv ٝ1-ǻE>(;rvxSq3sw'ʨ"\.t\uԮcvNJgö* ]1>{&廧ri={ׁW&f:4v:DƝN:wTkg(wefOxRE!Re 0q .w3rXȌˣ}e[=vB9/n^^t RZød")4]d$+,bp"1M]BSMӨT.E{Nf "F6c[v1q"H!<0?pX<)SEm_?ez`NG" ;)2YO-KOrT su2L,Dh\Q4DRT -z_]ua܂ΘNs)ːѶcl ,s~h:J kmU<.E77R@mH3TZp}ftiG.92Ci F7>x z1绽ۀT;[+(lWaw1tt+o&,G.yUu\ir,[}"rغ}1~b-؄I/ܞ"_J1Lf͊0h^1T:fueC+ԀH|)RJap+WL|]Br:R߂OF̱[ g]vE÷K joC L }L DA(&C+*:]:\XK `wi, \°K2p*ɗǘ=͘Ōs/.>:c rxȑݵ`x ӺM(=:k ]b3LhHkJqt/hM@i_/9Mnjҍ5[ Wao˿y!U3$pBX4e/@V0sZ(e ?"l3P2J]ՠ&kD1#%9J+wdscI-@!<:<nBEr0}-WO+|mI+X Xs" %br52׿ZT8W:2 8fyDf~06Il~,@8xJ.)2zksuŚ`Sy>KPNFݲ+T3S QHWtai=2Lz)~ ܹKDc\RkJ6FVDe2NtY-֩tY[2K&]؋[[^1ΉTLF{5lJ{>qK͖\t}#;|'c탛-V&:J!A|۲ ea"> Λs[3d#^y+Z0ޥLvRFT*Yxa}<2a*XHjw^ 糢k3 ~!?W T y,ry|-`G} ]?_!)QM1$@ū <3}`o_}9xbha$:eGt1gQr endstream endobj 4253 0 obj << /Annots [4254 0 R 4258 0 R] /BleedBox [0 0 612 792] /Contents [4259 0 R 4255 0 R 4256 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28424 4257 0 R >> >> /Type /Page >> endobj 4254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4255 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4256 0 obj << /Length 19 >> stream q /Iabc28424 Do Q endstream endobj 4257 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28425 20690 0 R /Gabc28426 20697 0 R >> /Font << /Fabc28427 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 4258 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=312) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4259 0 obj << /Filter /FlateDecode /Length 3661 >> stream xڽ[IcWl@4h4ВFrs@ANAsO-"uK+kȑK#5;W*V}힑l~WIcUpW|*ϲw/@JeZ#_> |>=DZ<=W2 !idH8gt?hDh³Y,\N7, f6Tb@p~>K|Ȟ1͊y\8M",GO&pV's|[kj;TXq0^Md5&yGFfb`[X "Hv*%W rO%( l {$N[5o(ThIl其Dz_Ι4{fcW*Z߫ \1iuGeCX^:.}j$+JN&LhqɚOҳXK8;Xq/+0I9m. Qbo l~jqUKS&wyo P:ysϕqpV= njg U~!"=$&V6~9kNւb)%>W&Z>iۈE za+I Z=aF386uUG qHy(B)g6ﶜ:e]6Lʃx*;pu\8}X!>UMEpζDיkeW'|e-r_Je@fw ]U,,;(>+&&FPT̥Dpk*Cw멃0C\$]XAtEƠO7%-8zh'=s$B5/׆Vۢb@1".v@8oVs *O`bO PhdvV YAtë,,%)gd(chx;,τ+7 *dnTEu@X8PZ]u !Kke6۝Z+G%dUJ>`y!V_6gdWȂ ^y1Wuנ0"wmQa=ޖ/v՘;*xu! 0'Z[r98merSc-.Jz uce|=|OIko{4!\WzY1҉C3C*(O'!6N7|wa" ! 'PA4KKF-.&b_\Hp60^>x1v0JgUţ^Ƴ 2~^0XC٧ _w*~ͻb2@S(=q% .M'-tdݮ7 `{ιr.?L|X3/`GwqSmQ*-x>MIy&JoKq(8;0QKGܯJx*Bm%ӦWc<9L:-U8/{fDn}.^LT3 ~qU>9,~/ &NwX@xpk%ɟNt}T7t畅;y{GL9 \xh6{ ZZ݊}4=~ƒZ78bD`[ztMCYo& Wh4tjtu}RXD[*> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28443 4275 0 R >> >> /Type /Page >> endobj 4261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4262 0 obj [4261 0 R 4263 0 R 4264 0 R 4265 0 R 4266 0 R 4267 0 R 4268 0 R 4269 0 R 4270 0 R 4271 0 R 4272 0 R 4276 0 R] endobj 4263 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 497.5 197.6017 508.5] /Subtype /Link /Type /Annot >> endobj 4264 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 481.3 190.1767 492.3] /Subtype /Link /Type /Annot >> endobj 4265 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 465.1 192.6352 476.1] /Subtype /Link /Type /Annot >> endobj 4266 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 448.8999 177.7632 459.8999] /Subtype /Link /Type /Annot >> endobj 4267 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 432.6999 166.3397 443.6999] /Subtype /Link /Type /Annot >> endobj 4268 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 416.4999 205.2137 427.4999] /Subtype /Link /Type /Annot >> endobj 4269 0 obj << /A << /D (unique_298) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 400.2999 192.3547 411.2999] /Subtype /Link /Type /Annot >> endobj 4270 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 384.0999 180.9312 395.0999] /Subtype /Link /Type /Annot >> endobj 4271 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 367.8999 165.4707 378.8999] /Subtype /Link /Type /Annot >> endobj 4272 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20210608081201-08'00') /Rect [104.1732 351.6999 168.2427 362.6999] /Subtype /Link /Type /Annot >> endobj 4273 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4274 0 obj << /Length 19 >> stream q /Iabc28443 Do Q endstream endobj 4275 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28444 20690 0 R /Gabc28445 20697 0 R >> /Font << /Fabc28446 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN` endstream endobj 4276 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=313) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4277 0 obj << /Filter /FlateDecode /Length 2275 >> stream xڵYKoW@k)hr@'e~zPjLldWUnuѫL>mNz"99>_/zo.S88s!w@~qT?ޛ ZA:ḷ4m<9WkL(B MB~̛eQy@hi&ކ0#pD,o"ڹDO/0쨆> 1Wl'Qz}<GB83 $ҝE2n{ #Ao؄ZG ɚbci*BB! HCegy"N_4{6e%?P-CvY(o!? O]YM'K߯E*yCdm>ؙqG8-k;N-oDN8ew LJ(ؔ H}80_ŝюT\dCld"( جI9@rN乀qyFL> s[)6±} nhfe{+Ȅ[:8W7upÂ3xׯRvI Jo˵_Lcoz\WyR)>ފyY֝h3c0֍8> |4oy~›3֟Z-}.?VwkWivӨKUj1`PE]Nv'ׁ`=$7߅dݿL~A]R*Z5:fz$Ɖ ^ŢX,tد64R}, M?6:#,xm po#7w#ke받7.ha+pnpgC=Ӷ2hvDn"/tS7`Aq3rLq{[w̜mv0KSyc:FsCctsPuˬ5ʎmjdiZ5jVFR3vaHE"tM 4k-cVW&X)M8n1d?7f!RkJU$Ķ0ϼUkK,MU%au\)0,ܪb,Wk^Nqq`4yƄւaC'*h?*(V,fhp)L,1{.(~Suqs륅<&vnJ}llV/)r@nT_V##'|0nYU?M/8ҩ^'Gt,8^ >$9_ylS誳-i{ wqb,28xDz*ΤQ  q.՝typ4rE>^x;TT5Lչ||ήnl,EDkI.a~HG5\3gaC+].%PR혚 aniUUl'*2ӥ_ow endstream endobj 4278 0 obj << /Annots 4280 0 R /BleedBox [0 0 612 792] /Contents [4286 0 R 4282 0 R 4283 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28462 4284 0 R >> >> /Type /Page >> endobj 4279 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4280 0 obj [4279 0 R 4281 0 R 4285 0 R] endobj 4281 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [90 372.525 146.6555 383.525] /Subtype /Link /Type /Annot >> endobj 4282 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4283 0 obj << /Length 19 >> stream q /Iabc28462 Do Q endstream endobj 4284 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28463 20690 0 R /Gabc28464 20697 0 R >> /Font << /Fabc28465 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4286 0 obj << /Filter /FlateDecode /Length 3810 >> stream xڭI6Wl 5P@efӀO/ʭΒHQ؃/4%;}LLO`_V?N~fs1>>O;.zsizVc۸Cg#FX.<'>qgm0P32h(84P(6 K_ 9M6q鯟* W/RB })+ ï"#ݛ<8}cqavDKôNq:ԯ?h٧$3 uFm,K=g}BA Dlgʝ4;^@>EliCZた:oA3@su!4S֚ Z1R=0r;g"HO2">Q0n(4(* u1r3dDƽ\2 YHJdC/ŷFϞ \nziOQ ?m}NP wqlĭΎ2=dL.*-hjꪱ@ktaF,AРxPmj[Km!̝^΅v}\f&9T6{;xo)vSѸS04TcG;>|ѵ B6365vsZ3aTvָC: W*~; S^ӏOܘ/bDm~/FsY^4wNԗ C&Kc~n2F<>3ob욯gAڙlc5Kx֓(k_ۿ+*}4}3!?WHS%$­ytbj'`5#A"B%=@q 1JM?`hW '>0 aBu@ym ^PiTꋀ5`2)% u|гC$!2Nhh RO{ ',]Bci6q؅L \^OVN[がi2X6.!={nyA& en 0!|ZtfVdHXq%\\`MC,#nԒF,΂Mw sTZ>`MhCMq:] Vk_G c1& %HF5q$KY-dpJrU[J_rqmԮ>Ue`?g6pkc\ |>a\FK-nt6ۀ5;[juXX=VMէW_Q.-⪉s^P3s;' /g<Pg$ 1P*4auٍNԸ Y6;@UM2> x|Fx?`8N%"Fu1b0p8 9tZA^9f"UdT*e mxҞ~5/TԎSDJQyX]vu_ynR">y޹'ptۣG6Ul*x4Y3z;Ñ0R>QJC_R.6 lߠT lhGN>CG%b~- a֩H' R`Y;-Qр6U4F-xKyT1&2~a(q{WqfIYEa22{i[!]}#hX@_MUY.NCq5D|.1 "\keImHӪAS ғ?re>{P,6X hG'o`"Pee -  QRseP'<٘Z7;b6O4%5zNF.Z?LR.EXGV/<}uU:¥V7ȅ zNǡajéeƢggv&Ql|}VFѩ;\ %^],jó_#p5T -'Sk4U6UV, $KB&udC,YY{UwzW/7]!+Ů$x ࣉ==O8PӟTG6xV20Ѩ19@?h}u ^9YK83x&F:?3` ܁#>epD?xm/T,9-C{fKG;Vy:np54L[A@\@ujdC]7xiL-IsFX+̶e$Y22n>~qޛAAĦՠ 5v #UEtHr@Y|oו!=N&o@.1Sg`[RB&ZMp3;X__?3]Lt9aO}޹dG~"gq]ΥdoEjEQF۲iחACLj-@V +DӢ߾ky N|ϧ)Zg/)Yw{E$_)@ɳ`;F5ffXVoHCVChc?Y`m;1#D>B)qiuh@ @דyz.Xp;ZHA:ܾΣ<'DadPmblG l:}?[0s]*X25Q|6w0K/v6ܘާ4m Ƞ髪g4,$ɰk2pf{ۘş1/Wko@Gwu-EXGtwm>֎@L2k2DT#7v8 VX|"5г 9[T8fo&YfnEn̐}buX/K2F\Sƒ. "zy$sԻ@]x o6tCXLy8 }T=;}+tCԣI>.Z2ѱj7 f{Er{g3+Src'2lq)c49԰AZ,\[bBvc\FOthN)Ÿ5z$<_咰[.ai؀_p'{ɨ5:f٦!E~--zSs!V44o1ǹ&đꤲv2n7ޛA,n76{-zkMI}ZV CaIa4Ω2Rv㫘@׆u L3X'9zO+2m <)M'O?|E%z$cGm+sŒxpx+6B/Yg_ w \%ybJL,0Nɸ9E+* Mc~8qE;nLU)`U~Fǣ7!Vτo,$2¶K-c2 endstream endobj 4287 0 obj << /Annots 4289 0 R /BleedBox [0 0 612 792] /Contents [4301 0 R 4297 0 R 4298 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28481 4299 0 R >> >> /Type /Page >> endobj 4288 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4289 0 obj [4288 0 R 4290 0 R 4291 0 R 4292 0 R 4293 0 R 4294 0 R 4295 0 R 4296 0 R 4300 0 R] endobj 4290 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (delete_interface) /M (D:20210608081201-08'00') /Rect [104.1732 546.9 181.9597 557.9] /Subtype /Link /Type /Annot >> endobj 4291 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 530.6999 159.6022 541.6999] /Subtype /Link /Type /Annot >> endobj 4292 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [104.1732 514.4999 204.4272 525.4999] /Subtype /Link /Type /Annot >> endobj 4293 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20210608081201-08'00') /Rect [104.1732 498.2999 159.5252 509.2999] /Subtype /Link /Type /Annot >> endobj 4294 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20210608081201-08'00') /Rect [104.1732 482.0999 165.6192 493.0999] /Subtype /Link /Type /Annot >> endobj 4295 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (set_package_pin_val) /M (D:20210608081201-08'00') /Rect [104.1732 465.8999 201.7377 476.8999] /Subtype /Link /Type /Annot >> endobj 4296 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (split_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [104.1732 449.6999 198.9382 460.6999] /Subtype /Link /Type /Annot >> endobj 4297 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4298 0 obj << /Length 19 >> stream q /Iabc28481 Do Q endstream endobj 4299 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28482 20690 0 R /Gabc28483 20697 0 R >> /Font << /Fabc28484 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJWg]DA(~oOL@:UoWWV??ߴj"Gk|ǾwT؜ezo}W"'q4 nOvջSp<%\H\rtprOJP-Lb-&T<'@=rYh;8)规GjE'Φ\y#ak\UA8p}Y# zd$&%7!d?ltfqU(MDٗi gV4f%fjڴ[־0ZOt&OP9#,:) Zէվh:3ϳ-nY h#( +d_֐]C 8y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4301 0 obj << /Filter /FlateDecode /Length 1694 >> stream xXIo7W` `d+@o)P) @|8ÑGP##qyh-OؔÓ}N.KϟvN!kv\BЧk}6Z O#<؟w7 EM %}t^wq>lTd,C|;]nXN9, wLv mЧ_I}ִ69} QбtY?% t]a5eu"W2q53x,0=<w}w`>;< su˦VIȉGš'N00Oʞ^^ =0{J <. 2T<:_ Z/i*dISV3p,+E7J q).#}k_W¶Sگ&p2̸?إ!axH;pwLl(q~i)8ckN< #o} _B+o}7{-sѸP(DZ:A !`9eKƩo羽cu|dk`LV*,ò!d[;#GK.vxزa.Ȩa#͵}K A ORl-Zve+ "GBm^Û4dcCD6@w@˦N gЃ:a w .UߪkcՑ/b_p%glwԃ)%_kE ¦`o QI%6S 9!̼] c.qwUl-O:USY;&G8d6%Z@ ZE+LHtٝ.cՖWƫKg.;خuvU(Sp[fLQh_Ш'`xj k"h,LLgI}8$: sBlX,;an0ճlv'p\/(Y~Z$H~T+qyɛX)jxZVFzը+qp6RcGɦ%xeϐUP]mh;g# jX*,K`QRi{HRՈKɀuf-Y hG+ > t /Ss<}Pu DZ@'++1IX  <`.&&^,ZN]"G" 6,u+/m: 5 dMS%LEJ#vMB HfbiPAZeEe:/sXf/$ ʨ#Z,jYq y1T(+~1FLXbXs1L缉:w.i[Isj8b[<a{a,/IF0'/ҏqT߲nK+HS7$e-;*q"6VWp7VP)u=f*`"VYXewW1># nQZ]/op# ` vyXq !{ȭܓ#8{xz{ O֋XT L8~' |CMC$QnzXoX6?\(uX)ƃ/r/k-dž%p aR هqfq! P endstream endobj 4302 0 obj << /Annots 4304 0 R /BleedBox [0 0 612 792] /Contents [4310 0 R 4306 0 R 4307 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28500 4308 0 R >> >> /Type /Page >> endobj 4303 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4304 0 obj [4303 0 R 4305 0 R 4309 0 R] endobj 4305 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [90 220.525 123.198 231.525] /Subtype /Link /Type /Annot >> endobj 4306 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4307 0 obj << /Length 19 >> stream q /Iabc28500 Do Q endstream endobj 4308 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28501 20690 0 R /Gabc28502 20697 0 R >> /Font << /Fabc28503 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMoA +.؞J= P"!TqHJ Ŀdziw){Y2zY8lo0<ݏ } |;Me0O]]n>17^NT/ jc(JrtGwr AL$V-T0EH(.$c"KS¯"ɕL jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB3}}"juZՇj<4hڴ[쥭}-UaoK?>&C'<ʡ|72ْԑȅ5J.\[-: ef[X]MO"bH&} l_ EXBC3Ȱy8e8awr13pŜ|/B毗bh;j, ʄC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4310 0 obj << /Filter /FlateDecode /Length 4418 >> stream x\K6WeUrd{<f.^ru)%K$E~%YuRUO_ަ&gEavj'jf6NJ遼p\Ki'KRO><|_I6ꠧI[oTZJ I~]Q&Uի闟hfNb[ͤڶ?Kur ~2p \J"=ȶ"B77ꌖF!K*js[-t: %S;n-^C Qu ?6U> gu;j9dHB?;l^Sx6뷿j!2XHhYuu. .xX>IǢgvMr,Ki<\^~c,kg axD!}!3  8("W f]V>n쨸sZ?U*k@H;=&tmiS *VLj}<&DR4zۮ׸b\sC9]wZز%`pCr {=3v8 ¦ =q{B<{0Ex1]/ϩ2u&pũzK<7 S4Wz ;Sez؀י<1q%)fsʚ.+/RGLNFΙ9}cP+1dʬ?y2{wٸ3lr&@IZ6s#L;"T$}L'<1LgwNѯ-4Op]>"|gVjnjڻNN휦N*YX)tj"Ut]fڮ5~1{;7BQa}3#uPg n6?j{fűcDKe҅E +EIEtDu>m~7bP *ƣGg&J3(%b0[/%f@& M F,g8w@tˁ|EKHɉnjEm -DH̼A͜b;cEDXEpK)1gh$uMR_ ?ECf%[Q8b48Be"U(0^i H~ Aq Bg5mje1ظ0JOf2'rGQx)wjmL:fΡV}YFq鬪+ž@v9]jFWif{Q=E؏i7Ņ>jrU 5Kl7@xor@Ez`-X:Xk!Xv9qz^ h-PjhwC6ߓOF͞m$,n';dpwP#%J7;..sǦv)4[Z #Ҟ. n_wJ+^P05w5^^)7db6+At6ƀ~UH.GPig]6 (G <"*fmnOF A|ŃOg{f@v낡b V˚K)7 ~1[#k&cU5ZtThpǚamĖ2I6gvβ{:7h흎'qcU*wɂ&"ʂ(E ON6߄M9`ݠ&ֈGGUH1@wn }q.P~V臬mI"ڏ,s 9ȋ, d<,d]ܡ2S!$Oo7,n }X)`γ:H$-x|)uPA}uI`8c gis;-[p3k?VY 4< ]RH3LCZTeqr+V:p ~~ڰ8R{FtHmAQ u*Ʊ!Ѫ=st|5/'R=O Qhhc& `a^]\$d: ~jan[gSCLVuPnS+&XPX+~pdV*$̮PŃZKvǐ䬽u4%!J,{ KBp~)5%q aTmf1җbJ5w4*smJoCԡmp׉oo:>q)jD5-H(:7y El5Vo9OCLHLW B@Ƅx5θGMWz6 "'r6>X$"˘8GK'x{8$1p$ %?kJņM5ۻę_HSt °S gҞxI)SZ떖(MK:2Nkgfa`*YIV:e 2U)jRfyjbBϒsk_c-5 Dmjl%HCF5Lb^ 3?N|I}nzDħs)z +BoM.nf8n9 |Tsv;P|\--W`Rk r>}Na%`H:۟P[ /_5G<UcBG >&p!,ėH.%AQ= 8d|1^+ϖ?D\J3-)Eb6s endstream endobj 4311 0 obj << /Annots [4312 0 R 4316 0 R] /BleedBox [0 0 612 792] /Contents [4317 0 R 4313 0 R 4314 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28519 4315 0 R >> >> /Type /Page >> endobj 4312 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4313 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4314 0 obj << /Length 19 >> stream q /Iabc28519 Do Q endstream endobj 4315 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28520 20690 0 R /Gabc28521 20697 0 R >> /Font << /Fabc28522 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQ&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 4316 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=317) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4317 0 obj << /Filter /FlateDecode /Length 4179 >> stream x\K# W9@nr[f=v!D=ʏ fr˦ذhMEZiVdskD`l0 {cQݧ>Q)&VN|O-lSzę7$!Y.,)g'h0Zd؀LjbРjl$ar2+Ыm5cguWSF\u՘-ʪ3|PN0 X@% "T !V&[ rbiHPM&[!݉-?4/ZILQӆ;dOގ^V,LjNli4#ivjeiS7 nʎ)Py 6W:ȡcX0Qhb 0 [ kflw^J]\S(`]M! p? fou@nkWM䩘E ޻sH+{%zsg:4uC6ܔ4B^܇6ȵmJ>.!9klx/{c{8Sd. bq^טP8 )8=%+&-r8r I':ݛ)ddlwTڅ>Q]콊bwݑd2rC8$xuplTST5BHDEv[d jxeÒq1uuu 0!MVh FQSpfd.qUo24E_\_7\ x:Vhz7#+3|J0W%'=0wYe> Ns p]oIa9z_\lje-CoIêBM5ӁsDxйx}+9 . SV҆\%4,ċ>zrfď&@`ܽxLsX'5Qmw .@QB4#AજC`8( 0#$Pǁ>ٜ#,LPt%_'<aNCYT *|FH/OlZ~U.C+G3ᓚ'fz_gDWg<dMp?UC|BAo'ֳ_AxkSXPЇe*cuf3;v9 yYct{SW 2h\@s;aWDLˍmFLs4n-Mt3GŬY.qY 4Bdn&Q&oY-?FcF{CmBP؃8#[ي,-v Ӳ+ہ73ۤF--APrʫdIdgHiH`š iJQ>Zޙv$ CšZ6ߓxqbYhPPhyQ8}1j'UA3_y <=˖a?dkbPnG6av2Xe7g˲TϝwV0˕v|oq-#Z~[0m#'Ƌ X;It>]L66,D2*bKym.a;haܳIPxX2XX~Y~ڦ a<,P F9KYID.ڥ.Wĥr֜/sg3'ϭD;9[@@ 4JDӛV"v'1tN\oXhܖڦ(*NQ$9,] ^Gt~,+ӊDnءYgu~Y&2f1T|3iӈvpeA$}Dlnf6YNm ?`SH>>$ʷQ>!/E-p(JHdf?垤7qBHBW Ʌij'"H.HP}ڰԋe8Уe<W4sq 62sS 5 WS~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4330 0 obj << /Filter /FlateDecode /Length 2997 >> stream xZIk$ǯ@c_@*U`$2o-SUR1ۋ|ŋȐ|b' ^fU./,PFYINkSxgxN7WZty+Zk{IJj]AZ~'~6 k-6 *sN]228p@&c"8إ.Y9a*#X8+O8s]{1b HK~E~X 1)N2uV`fpY}4 c/(ՉK~b;iͥN페@;#fvKYJLD=(gln@:@ز4 eEC={szQwh;\~'ŮsurVJKv:UMug!A&f-FM^ܼW%i;H&7P:2I$Sz3!D EYr]5E |BsX13vb:69Xv3mqcYnN'UNF)ŕ$1|^TTQwvݚ2y3Yh_ RERLObl}4F@=g̋~ŹGd8ωY,8DScgyneKVZ{)XǚO> 1]7&C\/?a, N+AO9g<%\WGyu!gYjNKyJYr73=tr&'o (X[Y| ~,!' lk=0cۚMeä"_.1#NbUnCԙ\.+^'Ul]];ӂ\"2@!&)3z44ԛH@v8om򪤯Oj2텾\n$Y`L]_M2JU+\ᾋͶ֬ gR0l/5o]/6@},Z8'V%p` gi57f1?'˟@dJFWl0>>=$EҢ7ٺ툓Ǖ߱0Н4tM98NEz؎z#z Ilm^ZDbt8v5ˉV߅F Itf#hFw"/ s~{{GOM+ڗXI>P41XCf!\O+tsd?ϑ6XD?T7q F^4_zlKuƟR= tw4-bb=i+ y1p GMwAN$nI 5P}r= VpT\oL)^L 5a ( ˅/XL7.+|'3W&iqx_gTJ%L6 x 'B4CvZ`,DwCTc5?=({)ӡ}^$!V Y&e^lx˔! θAt҂HAv+]'n~ιxɹyg^~KOWUҲ#ݥqxDC|3?0!#LrT\ ]f(46ڊQQ5qh/X9fqY[l% ]H~7W^N"'? | *)bzolӈ rxcu sz*kN BB u_3Y&+*6Ũr+]\( FI ![R{ UHV=.Y] 4I_jue@< 6 @n*:V `bt\_xE@F|{'b.£Ņ6e[9F͉3GJ akihZ3S4%{Q %2L!e~4iniiةcѣdT\=G*lm'EJχҦhp;&~Gt5΅n*.dA +Mf3X/0ad'0 )ՇM>!+s7?Av qUϩ8ظ|=&^HfU<][@9 zf 9-n|ohTox:k>>xw[:?oanC18]KzI*Xv~t}%tx }<@ h(<¯=V!j@u,jyC xӖ1Gp87_=Uxp6 x5xovt7i~Ϻ!~ U\Dj3LLEMWWp endstream endobj 4331 0 obj << /Annots 4333 0 R /BleedBox [0 0 612 792] /Contents [4340 0 R 4336 0 R 4337 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28557 4338 0 R >> >> /Type /Page >> endobj 4332 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4333 0 obj [4332 0 R 4334 0 R 4335 0 R 4339 0 R] endobj 4334 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 415.825 124.1605 426.825] /Subtype /Link /Type /Annot >> endobj 4335 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 415.825 162.6715 426.825] /Subtype /Link /Type /Annot >> endobj 4336 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4337 0 obj << /Length 19 >> stream q /Iabc28557 Do Q endstream endobj 4338 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28558 20690 0 R /Gabc28559 20697 0 R >> /Font << /Fabc28560 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [njЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶f5o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}T* endstream endobj 4339 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=319) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4340 0 obj << /Filter /FlateDecode /Length 4313 >> stream xڵɎί.s-tό!I%q)ܪ"|||b N.^RgdJӟgX|ev^NV[ͧxV׫ݵs ry]}yy]>/Ab~X ?/zrOzθOkf_Rv,04qišsq y:x^<# O*q 6)dz24ޞ#548 = T3Ay`HmX4dyJx^ c{~kqf[cB>d#2"cW&@B@!i 3/"ϏnpW 3C3x;m6{V$ eeׄhj!V yl-> +9 X/0(sFz֤C$P)gVΐD>[X.5F1*(!Y#za8jLZ:Z NG@MUֆ]QeCZ1=PLcy4ԍix_5U'k4 ClH+0Oz:X)sʢ*,A" -y5ChYhb*ishς-eOy[6Df&PitL.l D@NU*6t}!@/ BP眾qnX^ m h@ Ҿy z9$AVunFӉlR7h(& 7h;o0Z&"E]˞yd@.sa.h 88lkSlibv(Q b7u5STsS)KN|gW9?jPA[Ȅ{Wm'ϛHYRV0qeXs_'N#i2QYfE]0wRP){ћc؅- 1! +31mt`L7DC*G 6*A?KL=nR?KRoȅ7%A9{DIgFwF cXpVVPM󒺽r2~]Mɐ )ׇΌ֏X|vGN(/w Wgܧ&Hdzc9S6?5xv682rtw>4GezPр8UDhG)fm NR(9\yRHyNWnWH:7m'5]Fb'R@ w~ɸ;Nu;9hƱm-8>7lQf E3q[]Shi%$TNT-YqSIfp Fc~n2x W@C\^7wNjIu>+%#JUDL#8v5}qu6 r3྇hˏΖ( sav+l&#l@X).Gy@L%Zm"3.adug9w׮~!$;4< o>f̶!sZ ~U'@!Vz[)AMR9D9I׭2lcr~^4&ovT(M\ '0(eߍbRx\yy=TkjҾFu126jU <5k!%u4~y݄>% _R[4nzqUG]O(.FԔ_NHO<$q@WKr!#1W@^q a?/EG.Cr$x*q+cq49԰}R,%(KݴP$#SQ}ѡv+ q)i>I<~HE!ai؀+(\TkJϭnKVDe0AuY-֡Tȁ:-`L4AdS +drĸTYd@2ulM+Za>ns_G1/d] %n3Bo"hG.r̵#ax 4kC%.>c%|({;S^-ur[y r׳\8,Yx!3M xJ/RFBy%Rn}y]I ޻\桜]~A 'c]{9@HCw)o%Ǧz.tT> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28576 4350 0 R >> >> /Type /Page >> endobj 4342 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4343 0 obj [4342 0 R 4344 0 R 4345 0 R 4346 0 R 4347 0 R 4351 0 R] endobj 4344 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 413.1142 155.5267 424.1142] /Subtype /Link /Type /Annot >> endobj 4345 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 396.9142 144.0317 407.9142] /Subtype /Link /Type /Annot >> endobj 4346 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 380.7142 138.1357 391.7142] /Subtype /Link /Type /Annot >> endobj 4347 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 364.5142 165.4707 375.5142] /Subtype /Link /Type /Annot >> endobj 4348 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4349 0 obj << /Length 19 >> stream q /Iabc28576 Do Q endstream endobj 4350 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28577 20690 0 R /Gabc28578 20697 0 R >> /Font << /Fabc28579 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMk endstream endobj 4351 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=320) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4352 0 obj << /Filter /FlateDecode /Length 3394 >> stream xڭZIo% ׯ賁ש}ғdI1 )}ǥ~z*ŭH~fw[i~{yRܪ!?oysiZn7`Pon?,=5y׍uYk+f-X4Bv2`sR~nM,؊n:2ED@(z.gkӓgָN9JXl,ױ{U&(R~&%N_a~dZN<,'J3F3$k݄ ^vm|"%\CW5dTk۪5w…:Lk9rEFc}N>gdMkk֨Î#S;{I>W<c;0)t`R} /^|~U]7i^+-=G^\HU$"`4 ƑQ `'p{"Nj\mAlssY^N}.Fxa`bb@2!PZbI-l,{ߍ̮ZZp j>w0G7W}VI}wG&z+m5Q1ۍ΢֠56YaL?>]Ot{ Mw_QXp1視ǻsw RNqǐvzj :$c8#E(hVv4P 5/%L&'aY~=zfCJr!6#<&L?uJ:{|"^H*=;ՙY"1L%4xjK{Hͺ)] O=f9[P{u7sN(U4z# 7!ˌ~Pr!OkJ [3(;CwKCº=>5S6XS)>n.`$v~AC{h"iB$hs87Wc )Kiq* C.^]ʍZ=~^5'!Z $~ɣC!L-eCpXWyl@Y!!sn/y"XC#/=i 6B8&0sHdA=-|v.T'I/G3'mn"*V mU_ې(d<#:r) D/)=]hko$9yAdA2Md)kZqQva e~lNN0TgGl;N;N',٬ Fk{wzID"㠲~/;/h6!˗@IL7pO(nWu*+RTkG3&.]hC÷H,)ȅl n8rzQ-4jC!8 N(4sv9H|ĹyiW%۟`^fمwU mo`93&C&i7ZMS#ʯRq7ئ?qڟ8ڛ *n8e=2 㒂'+sQꎸλN-]lHˣfy^IZw1^\V~u3:M3Skl#Vb>yjGJеUne<7V##Y4#,-uXY-rodpZӺe.YN_xa6*">d%1quO 062G0GX3# Cm2K^9O/2НlD7B9*Qk*tZ!^fTJJ6؁D\L0I78`r enqY!\׹NºzOb< M4,(bZPdư)p1"uMˊk7ىJ jۺCE6JZ婤DZӳp-r=,CVӰtY!CF:kii'ʍމ@iv!|vK~҆K?W.o@o\Hev9A 42:g-q>˟0Iy,<{4~Me&|ts}n]Jl-}{t?WѻMRW`O3Q%С> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28595 4359 0 R >> >> /Type /Page >> endobj 4354 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4355 0 obj [4354 0 R 4356 0 R 4360 0 R] endobj 4356 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 439.225 112.8525 450.225] /Subtype /Link /Type /Annot >> endobj 4357 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4358 0 obj << /Length 19 >> stream q /Iabc28595 Do Q endstream endobj 4359 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28596 20690 0 R /Gabc28597 20697 0 R >> /Font << /Fabc28598 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQO}:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3W!ӘV;!JϡmтGŶr^ endstream endobj 4360 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=321) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4361 0 obj << /Filter /FlateDecode /Length 4202 >> stream xڭˎίـI fg6c'%z%gnD,]%j:Iÿ/i緩))iOL~:$>MxiB(w>;_gyz6>GJ#ʳLAvc?L&5#hg\5k4/?*;O`~fJɌpaYװ)KҡC5ʄ;im/q֖j2;fƫV%FBhhrA #zzV -/ѧvdY҄mo~ Ͱ]cNv dV@z{Z_WƼri-!XY&P'xOy zaZ/ nyv]w6 L$FyCe ˅7g[4i3,:ǐa@QUTҲr~K;]6YSmTAͶo> Į;>b ]swbWh a]O+pѢB #tbunE/h XhEx[<2T\A;@b/Rc"-ڢƚh]3Gnd%xYfXyfy5tfdYq9\c`UC4# ֭]wK"V :{T?ߕiMUp^*WR3 Oa30loE${a$^I_/{{e24xpJ|雅GJrq6{A*$${Ewwt aTFv#qq:UuԍG؛D,@o 9j}A@kBs] Fu(Y\Cꭷ_ְQ|$ j/ NfwOvrr0=pkцG b}e =S;v,h.c#ZH  2R0&Cٕ:JŴ r^ uRxqN9ѳ-m^] inR0]\)koVg\s:^ U-M yS14T1O3!:YIi1r0pk>yZp]_{zPKN10e?]HA P!`q/l@>l`ق\'wWc-0@ղ NͥM8d#y.sɷeC~+?", نR`pViR]SJ)`SQeׅrLɮMԉL56]YSW-&@a%ǢNq;B-qRb4)v8ve#Dʏj~`mۢD@  v'jtm2{LMz4cQa՜Y0?@J^{?7/nXyI"U$+mUZB田B Y90G'6l :Vu /5-bٛNxvVWdM;uBiBY`lHџX?OS׼:ŬFq(nW)Fb_W:'P<*fv';b0=a:H(Hm xC2ؙFOYV^9&t.38q1W EUb=R(v1l"\H l\CUnqR6RBQ9Lkv!AQAȝMtwO-);ӯbXh7iA׃`|l"/hӹQ].L^~WN 6hiooF/BxK{OUyȗ"\tXP }Pٺꓶ"M!^UEeobiYǻ_@Nj>).E; pֆqZ8(j Of0Tޤ]j1Sv_pN%Epf蛴G]Gc:q:H9:Ii$Ľk`[jcM/_ō8TO(`2'n/[5fD6 CԢ>%ݻa}_͆bFIeDnҶM{IJKp{{5XwσZ֬K;tT5n]Ud[ZE \"חYզ̺q2z۸\VS 9{ox*aQT6؄6]-,>zX:tI~{X })ak4j+I .\B.B;9#Gm_؎O nŁnH_8 uI5gΉ&LRԡn*xS.IK dO,\NRQ\[!=Wɓ  ςQ`uZdqeY0al #^6yL>.|Sb h,}>b3J3n5 e曹~.0t*pL 8R_*dsĸVYd@2ulM+Rh`H{E7Qgx,QI /K 9nN䄟kz_ ƨNrOLM_?=\H|S}$x 8?_>|س}\3 !6NgC/WPjH;t z+!br}{*QW2_=O'c]ӗށcR6#]ۗ_ڗ_H'Ϝ T|'c ZdSOڮ~ɥ$:WLP}Y԰! endstream endobj 4362 0 obj << /Annots 4364 0 R /BleedBox [0 0 612 792] /Contents [4373 0 R 4369 0 R 4370 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28614 4371 0 R >> >> /Type /Page >> endobj 4363 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4364 0 obj [4363 0 R 4365 0 R 4366 0 R 4367 0 R 4368 0 R 4372 0 R] endobj 4365 0 obj << /A << /D (unique_745) /S /GoTo >> /Border [0 0 0] /Contents (delete_macros) /M (D:20210608081201-08'00') /Rect [104.1732 465.5384 173.8252 476.5384] /Subtype /Link /Type /Annot >> endobj 4366 0 obj << /A << /D (unique_451) /S /GoTo >> /Border [0 0 0] /Contents (get_macros) /M (D:20210608081201-08'00') /Rect [104.1732 449.3384 159.1127 460.3384] /Subtype /Link /Type /Annot >> endobj 4367 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 433.1384 165.6412 444.1384] /Subtype /Link /Type /Annot >> endobj 4368 0 obj << /A << /D (unique_750) /S /GoTo >> /Border [0 0 0] /Contents (update_macro) /M (D:20210608081201-08'00') /Rect [104.1732 416.9384 172.6537 427.9384] /Subtype /Link /Type /Annot >> endobj 4369 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4370 0 obj << /Length 19 >> stream q /Iabc28614 Do Q endstream endobj 4371 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28615 20690 0 R /Gabc28616 20697 0 R >> /Font << /Fabc28617 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM>b endstream endobj 4372 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=322) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4373 0 obj << /Filter /FlateDecode /Length 2131 >> stream xڭIj$Gȳʹ/466FIؘat[.jɚ%(/j ruY9c┡ߗ?Q)y fV/;clka.000'^,(gXo;c}5Ƹ~ vxiPXπP^;Ct PI)mʞ[!D"q>/N^F9qg rdĥ22X=ƈD"}f;t&Ѣf!-X6 ;&̠EFx(VEXȋ oXMVùf1'n`зF$!TBز(É3> vl7m\` NJn+&n1 AYtREkinѡď#_`ߟc(lf޳81y"мnL.xO5RĚlR-nv7=ŶDep:m&DF&j{7Q$XĢe~GAߤ`w$kYm 5EI X~5Nj:'5Fg(8u>ɜa~vW p; =Z*Gف Y“b`℣piV_mAqj9+,ۘ L`iS%LiJf, 0S |4/綸 nngu1 "oh"';)'('B!7k`F bΰQ \D\2\eBJ+Of os0Rcwr~䝒fH~U+ vP؀ńzWh,-p/P3صO*efT UHpKCF@29=Bַ-|(Gd=׵]K&XVU0TȒ3`1 bx Txin_r7""N#ߓ|lY Ow endstream endobj 4374 0 obj << /Annots 4376 0 R /BleedBox [0 0 612 792] /Contents [4382 0 R 4378 0 R 4379 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28633 4380 0 R >> >> /Type /Page >> endobj 4375 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4376 0 obj [4375 0 R 4377 0 R 4381 0 R] endobj 4377 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 410.825 121.8835 421.825] /Subtype /Link /Type /Annot >> endobj 4378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4379 0 obj << /Length 19 >> stream q /Iabc28633 Do Q endstream endobj 4380 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28634 20690 0 R /Gabc28635 20697 0 R >> /Font << /Fabc28636 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫%§Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XL5ۏ"cH&`K4>S~3cy/ KaEr63po.IU1" ^oOcj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4382 0 obj << /Filter /FlateDecode /Length 4066 >> stream xڵ\Iȱys_jޛ1 4[n$kld2"Ȉȅ|_̢ /R Vi_Y6|Ln>>-'.zsizRgcSX|NRx'|[U߿Tek,U;\), Jo˯k.P֤C\t$v/ZW8,ku|'ER/å9"qa``|}Z( FtB "W҂Uח˿`}?~_\\6kZl.R3++HZ bWAPxZ_@JQx{Nh䭐O: /3=pwO˙}`vx20* z/`Cĸ8A wY;vl<΅\"@5nkYCKC-? `+ -.kR~k+NbNV5fuJ(akQ㿡xd JSU dJW2D0x+GxB'=3#-fyYtS.ىK{KQm@[9Bl:OZuه%z((x'gp +0`klTR0# M sI"^z1g=6<I`{Qy@ৢ"~̡!˹tTЊ@k =~NÓ**DA@twCq,.ݚ3nfT-4XpkŠ>!TxlW;܈/ro٠A &hs{N&kG]RX8^pGS7hZq hFCK2 aPEM1eE^lc HDh XDVJwxL>;bC>W$-)K}jM@8tƽh۠fVcOn\ ^ Z_Խy>a4U)JIa}iε-5䭊EZ#WSefLQÕ-VxhȈh8[oiB91{QFvyMiMpz_7R33ڑ .ͤIqy=5' %֍F-GwbФYÝ*e,VU*}]UɩJU@ís5oSnXRoZ%)xTiE_eN@q( `Sˇ {sTYU0Tt5b pXukaGycGœ.{ݝ̴[l )Y[KV7l,̎f߇/-Ok4-4 M(:ln>NTC<}O媛MpfgpK\9#{s܆.is\Ijf_;\}R7u'0yip |o 㙘tzvхwq2%VG8|j4+ćpŽyit.m*G*,EX'x]e]|F۪mEvKvXYD, Q D^K XUY흼FGQxõ Odl5׶tZ S6_Fu4`F2H 9G:Ј9 H n9K !HyUݹteGtIq1 +2c-WgM#鄙TU ?Q\n _'d(Z!%= Wۈ5ۻC&(šA +qE&=aU#ĭQ쩻{n/@h;Ź+*2Z~@C0T=Y 'WRglcTW IHc7\1HjM^(m<:^Fx8Α|x]Ԇ 1fl\m+%Gi Y8° qcl#i Kc*ooWPxS&@Phgn*vBcUD:9  >3mș ݩZ<&DdoKx;Tϼս94"LV@H$Ybjg;f>Ͱ0˫YBSdD3VvAFh#z)ы81AFu+?8CQOs -cxZlmO$gS@8ԝiCuvޯB^#'jUq%J9TOӏ6vFԻ>1)܀هm pqn*ÁB?i$DT~HnW`qlo+}E+>-r#sz6;=+gSkPw5&[bl`7Ou8yl{h}pŶ/[itl,+Olu& զ]9R8H9OFRt߭ʚAЅE,{5~M: a >ѭSRiS uL"Kua)ðg5(b ~xX{" m]YRqM~aѬ%;iJUg1!W,N5ڬY#KAivK6Jxikr;Yp,SWk!887uDzܤwu"yo݇x[:n[НE004^ "qmPPHO%tX#aڵtI}c^aGj+ṕdW7QgiZ;T%< ޑ( xB 2=pQ#Sn&< C@mG6U4Ƚ9 ]f˴;FfDn}.*}0cAdWQAX x[!s$;SPn[JW2@l0QVhw<.;Ƨk|KlU R& χ::0W4#դkjZ7ZgM<(JHJW B@FG>ͷ bͪ=/D9+,pGu6\E՘[ńy7+#΅oMo9R\Pl ~5n {V=(jRWQNu>o!uۯM*@qNŕ0ЋrPb6G8#O|j_s{ky_P| /~C_>렋=˄yL+8˔o3` l 8 ynT }gm $g/g%71ܾw~A /&@La["Z0^]As!o^K<*yT;F'N*9=w?_ Q?ό ;~&qé~3 H8 endstream endobj 4383 0 obj << /Annots 4385 0 R /BleedBox [0 0 612 792] /Contents [4401 0 R 4397 0 R 4398 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28652 4399 0 R >> >> /Type /Page >> endobj 4384 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4385 0 obj [4384 0 R 4386 0 R 4387 0 R 4388 0 R 4389 0 R 4390 0 R 4391 0 R 4392 0 R 4393 0 R 4394 0 R 4395 0 R 4396 0 R 4400 0 R] endobj 4386 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 272.2116 163.7437 283.2116] /Subtype /Link /Type /Annot >> endobj 4387 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 256.0115 154.1957 267.0115] /Subtype /Link /Type /Annot >> endobj 4388 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 239.8115 159.6022 250.8115] /Subtype /Link /Type /Annot >> endobj 4389 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20210608081201-08'00') /Rect [104.1732 223.6115 177.3067 234.6115] /Subtype /Link /Type /Annot >> endobj 4390 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 207.4115 145.1812 218.4115] /Subtype /Link /Type /Annot >> endobj 4391 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20210608081201-08'00') /Rect [104.1732 191.2116 161.1202 202.2115] /Subtype /Link /Type /Annot >> endobj 4392 0 obj << /A << /D (unique_422) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20210608081201-08'00') /Rect [104.1732 175.0115 174.8427 186.0115] /Subtype /Link /Type /Annot >> endobj 4393 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 158.8116 187.3167 169.8116] /Subtype /Link /Type /Annot >> endobj 4394 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 142.6116 152.6942 153.6116] /Subtype /Link /Type /Annot >> endobj 4395 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 126.4117 166.7302 137.4117] /Subtype /Link /Type /Annot >> endobj 4396 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 110.2117 154.8007 121.2117] /Subtype /Link /Type /Annot >> endobj 4397 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4398 0 obj << /Length 19 >> stream q /Iabc28652 Do Q endstream endobj 4399 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28653 20690 0 R /Gabc28654 20697 0 R >> /Font << /Fabc28655 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4401 0 obj << /Filter /FlateDecode /Length 3123 >> stream xj$_.@ݭ^mسh 3}+UZc0TYߴx_u:],N:=?]V?[}Zښ~v4cb|~G]2 WzP\R|NY?hl 1Wgo-ޘ* ݃~7!ed $c&AYl]ih9!/)1?TDT7:_jif = QPxiǔ]bmS YrgDvSv„er 'X<<6%5?VkM%d"-.5R}S\' N!.76.x(9121UK 'm<( 0:$Arĥ׉^VEVVxSӖ%+]1E;VAr4-tZa۰7VOڷkbcJQ4|`"U晰 /Й,L"*Ґk6ԎFF[vyRRDb Lܓ&.j 8˓!6EP_ cax9ǪLm!vD8ވ Naf([[ Tw<D+qS+"R3 Ɔ \{/^%̸gf `&l?e HЊ'X=eM&{?~dk6K?d#z-+G 3*Hk^L~,;a(lav,7De$Hz; spH 35w|aȺ6PԞzt$tM⥋K"OmUHn ̺K{:?ƹVwYtfzQ_D!.Q r$\Sk¹BP5&ɖ j'pWX1!Κ84L* J#^ pϾ!%Y$;?-u TKs@r/ʚF˱waQ}89^1]N@?9-\/Ʃ)ޫS6^.O /wa[dX$p@eSJQ/~;3łNJձA0HԨS6/n] aL 6uZklBqA+bNZ_maKZ곢ȬFBSH7R wkRM;|l%9~Usu| \&v;9nʵ,c"؄'lՐV9jجF!-u͞{#C=p]hn{%T#*Dm^k6{'l&NdmQR(:q"L͈7Su#C B]%8hq{Sk(Q%%k>?P#hGbMUn$ #k>]4lߤ:c?2^e"LYmZі7SU7K޿ZSBj#۟7ko)qIPJ;~@R{Ѭ/K&:|55o˯Ìӿ9eN^UpqR_Eo_(w#\Ku{occ9wc~60gO[f(d+F\dq TZ[YީWܦT|ݡ#8Ws;U)YSϜ)q)C7Q?B^s 1W C?v)")U qkC쎿>p~0:'xQ[.b/?4=5BV !T>Bb!,%+w@K!ܐWkaq)R] C crA^¯:, ,V/ں@輸E ךTA`h;hE㒀 v/aMkݔWī-Uf]u.&,Уr}!.@q=<>Tfp`<}eH IjC iBDôe63__DRFhH{3k~7,+^K "zfh?4eN BESW3TY]On3HEq7eCLj0ts@@,X~+*G< }f'=yTP4D՟6#:LQj+YHEFiXڈ)`gOL mc:O{`FV!9euvF=f c2q E ;*'ωQN8|^ ]1M3g8^$>zi*;[]4~Su[N(bӳ4ԈlFI>V)ᳪ"m66lzC{fX~k[ȭbK+e&$ΘQgX*jH/(7}+kwt(4fGd=$ҝKzIC@e`tX|B>9 qt=DğXcUjGܝ":uWW09A7ūVecW|YvO,t?s?*szE~6]wHSI>'zI9両 Ega}Ԧ&XhH endstream endobj 4402 0 obj << /Annots 4404 0 R /BleedBox [0 0 612 792] /Contents [4411 0 R 4407 0 R 4408 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F13 20737 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28671 4409 0 R >> >> /Type /Page >> endobj 4403 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4404 0 obj [4403 0 R 4405 0 R 4406 0 R 4410 0 R] endobj 4405 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 400.825 122.505 411.825] /Subtype /Link /Type /Annot >> endobj 4406 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [127.818 400.825 169.6455 411.825] /Subtype /Link /Type /Annot >> endobj 4407 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4408 0 obj << /Length 19 >> stream q /Iabc28671 Do Q endstream endobj 4409 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28672 20690 0 R /Gabc28673 20697 0 R >> /Font << /Fabc28674 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4411 0 obj << /Filter /FlateDecode /Length 4932 >> stream xڽ<َ8 =7 (PU9y< ɳ݋{7{agHQ`ArEb6˗oKӋwKإ/|u|:]vmR.ݳ6=xֻ>9\1egZ/sv.>˨|%-|G alOJW8 l+Txg/e++%|~{^4'x^F.n*?@=/8ؾ6^ eƂx `ف C7`ƯbJ˸`ۄZ~OQLԋ2%xIJ]:'d@J .p7@ǽ';`* &J Oh+ 7bi.I_ TY툜`d& A5̋S: f30^".shs:9csC/?1C6\m҄+0;}peނG|f?M+^>$G4ɦ.6bZ-U > VUXI [)xZiTe&1c]#ܱz4>G6,G.I8tHx00t%~;ğ摎GkCs7i"PUyh8\ya`@bՑ tUdƢ5衳 z*1<:u kCN]l9xJf(iBՀ?II&#:?&ޒOd1 :}2v$(~2׉ !i ,Wݣ9{:;  pOh^#q:BnȟJs-ͅ<cBorxlMF=hQWbaЙ ȤBPĦ]j">OY xOn3qދ٧3aDrEݡqEta+|gس c WdgG Gv}ij!ۅEHt f$cuL@ ORB.i<,MSŴ)8|-, SiVm%$N yHP%hq LSM2B TM?@tƫ`F0 O \4`{%D-HqƣeRz_|ϽZbP(OUZYgСP=xԙX,&feprm8V! [Zҕ}WGe.mݘ&fփir L"E8\:GlQVȁUaO"g:We='b˚`dģS>QѸT8`q67͆8(J[EQԍ1V7,ɔwIk2N,J-15NzDo ."!63$h <~NHʿV?ʮxj5nQ?`AiAS@PAC4nD]0uQt1č?#B)23_G (.HY.O20ϴZՎz+@#ioCS. [)S<"'&"LTVy1O*@c_dlHp]T3hS7 +=@ђہs/f" .eyϮfBZ"&"-P[Fvo,iFeK@=U0; ig#k }|S BA/ޑT(_Y!v3iTk. eIc> (q3"BۧNU`*Pk|H̤DVb~AE؄}`,gҽ0Kye]Jd."cn+Ob5*OQ,w¢i>lx7)?.2]SwM٠fh77uƙw ]wfZ[{aѪEil>_h1`VZb Q`i/%XFKh%͵g57i`ușyQ6[:2XcIj9i1w&Y7eK/n 0zS~hWayp}r{ ab)Pk6rlv2oqi\_Q>@ʾVuLV9*Ĕ'iRĄ XV`2*[jA1ÕVKrr(B"pdG{w)-KpԟFAجD?JHxE+G^1J\΀6⳩eHm &Ly:[;QbOj$QdV?B/5-Yَ&i6~x9j#KŨِ+~E*XiPɻ&Bh"8,xPFJW黷H qR4Agn,/UǾ"jy1<˜JS6z|xFn o IyodH]қx(w{Ң!Ol^kb.!ؚ.[=mCÏНea^Yr2zdVսƤEx3&֐Tnt|;յ^ 5nTr7H }a `&Pا**d1Q: 2%l1feOBݜz<{egU-y|(y|r!lʿ/ GZUYB9PDS: 03)I;;@!q] 6v#/uļnW6fC$=#ӵ٧()Gͩ~ڟ> Yq׷/1h9nK 7/,lzb7GqWNf3xL1+A$ tOA5U Fmֹ~Ŕ~oĐ\A|ݟ0wwc膠A5@Lkbz'si77(:T{ѱBQClMՓ|4i|lBIROԈxM6 R<-p"_Y;bM[!0>* 3ݝo>(8pC'4Jby]TX٦ؽo-(v~rqO=E"s=fdpҋz5o}[ɥJq(\0mGiw~L7c)>L"{5/`N+c̞Bz57,PHVȻ6~-E$m4vE %ɘ +hA][ׁHuW1{̗1Oȋ-Qj]ܤ:ce (ݵbx y*G\TYr Vx&*U?Vr%Qi{Ћ\aӦ4x`r̪ 5f629. igyۅ+p1@` @V#]dq'॰2F2̀:[,kbAuA=i#.Wej=c8ZH?P0^n>trG{/x#:kHo[5?]4/ڧ˓+m @ WȅEU8XFKsZ(܉ahX˭<"5e,ւ#Gj/.^ LòT*3S QQ=V8bAaH_Ҳa)Hca(/ڟQ}to":u8/VǬ5TtRtU[6he) S_q^vd2l7kY h~_nȣ4T-T޶IHzC Q^JT!:^\ҵR/͚/նrBo-./bVыO|) ܺ=8o#`H8ו7XߎUXWpSV>oXt9~'oSZ^"-f/ dd}r;oj琙Ւ}pnOp?t*B5S;yο/oTY+/V7Cۼ+8௩r]r2}&ѹDq5+L endstream endobj 4412 0 obj << /Annots 4414 0 R /BleedBox [0 0 612 792] /Contents [4424 0 R 4420 0 R 4421 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28690 4422 0 R >> >> /Type /Page >> endobj 4413 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4414 0 obj [4413 0 R 4415 0 R 4416 0 R 4417 0 R 4418 0 R 4419 0 R 4423 0 R] endobj 4415 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 228.1539 218.5952 239.1539] /Subtype /Link /Type /Annot >> endobj 4416 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20210608081201-08'00') /Rect [104.1732 211.9539 219.3267 222.9539] /Subtype /Link /Type /Annot >> endobj 4417 0 obj << /A << /D (unique_487) /S /GoTo >> /Border [0 0 0] /Contents (delete_partition_defs) /M (D:20210608081201-08'00') /Rect [104.1732 195.7539 206.4237 206.7539] /Subtype /Link /Type /Annot >> endobj 4418 0 obj << /A << /D (unique_455) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20210608081201-08'00') /Rect [104.1732 179.554 191.7112 190.554] /Subtype /Link /Type /Annot >> endobj 4419 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 163.354 165.4707 174.354] /Subtype /Link /Type /Annot >> endobj 4420 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4421 0 obj << /Length 19 >> stream q /Iabc28690 Do Q endstream endobj 4422 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28691 20690 0 R /Gabc28692 20697 0 R >> /Font << /Fabc28693 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!6g \09 `FcU$!īEWmGyݝC.;p94C-z^lMXj endstream endobj 4423 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=326) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4424 0 obj << /Filter /FlateDecode /Length 3500 >> stream xɎ#^_1!% 6A9>3?=>n=FMU7V߅ -f#>މ^Nh-^6HJ_ſ2N)o \ ~] wdc',8Gu0*lyvcPv)&(J8)4w轰^؃RN0?/lٚVU%s.Y \؟#y} 㹋%|{(Ls-0ӌ6RS5].! *E| 0}nPv&y n3Nt_IM)-EJ :k{f=Ɲhg_SejY4t^>kQ cHiؙQGfp/dAČf6xI|".ZJޑWxoۗ>#53ҪA퐐RVEo^%oҧ-*;iMs 9HhkҶ`YY^Z|#bLq_d|yϚe*04m+ wV'|&Q}YF;+'UH!r*$u֜ qᙵ2ܤuCiqA&F}ݲ;q؀m.h2Ǎ6"olb7᭸bH2<ʱ6eJXlFT 'P_Tt,5&~gCJGY]LnfLwp`k.ŽnJcv0i$Zƭ2Mo`?D!Qaj1&/H?ǒp&Ci}l/+M$LW$P_٤[jETUVJ_X29mwaۭۅuŔ%߽UZ6aK.L41% T<3+ fa!Q;V\Y`2L-/ݖuIh`#qcH7uqP${,WM/aӚBuw%'5L0վNF1AܱiOZx xWb(+uc(I+7kkp#'b4qN7I4PN0p2sT^Tixh}dp`rVP_ʮy c',֜0g(鐁~Ɋ_ 9ʜufLRLc( 4EufY-oK*_@B1plHos?=gt}] O_ s8uV\$fGPMܔpP&Q]R Mw . @6Dr j-jɥ`iJ3!z'7&τk~_ޛ 1ӫVjAhi ?R\ʐ2y+QOˤ]FC;wXj-9X %hp2>VtxPÖcN}ziG$uR5cו[+uؿ9EVdsjϡ%W>=s*V.SMG^K9zg3o1^Y+|)Yl6*ϦSY=ҺYG|x25Ecd\bðvfsvԧk5͜wG˥WE?`uiv^-~c#Oᔿ~̟!Rnê:$',xOc#ʼKלߛG# ~>ȷ{ / >HRM[*\F͟3cz,yfn vw~~kcwë niJC'?Yֵ뼻}O) ׺3aHV=|ZPh}S̺%2RCtCd؍kFAŏ!x!m-N%-NnH-i$/ic,Iv%~gO|ʷPpe*o]m=<ʺ&A]^hFR p+u u Ɣ\Q?1cӁq [Av|;$ks> TL=mPp5qeD& o!lhM/[KG!I>&e^O@lJ.k&2;+9_=;>P•"/hD{eL{ɥLlL_rq܌a6ר1C^7Ώ~Eö(.0iy8 M]n:2 ) &"|M}ܘ\\Uɭ&;ɍ2F~x*ef1O=@nT@#%|[qYg0~  bTO1[(ӾbE^=^`|f'6I@b)XhlR!Yɑ KEx:8Ʋy4[-m\Ru& 99<( 4kz<us8z!glfg" y>ҭ.pyO0 t8  ecPHJ޳sprbudk]*ʜ[A/\Yo,'kDKvcEGfϙ> ؔFn*; endstream endobj 4425 0 obj << /Annots 4427 0 R /BleedBox [0 0 612 792] /Contents [4434 0 R 4430 0 R 4431 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28709 4432 0 R >> >> /Type /Page >> endobj 4426 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4427 0 obj [4426 0 R 4428 0 R 4429 0 R 4433 0 R] endobj 4428 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 386.725 112.8525 397.725] /Subtype /Link /Type /Annot >> endobj 4429 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [118.1655 386.725 163.7275 397.725] /Subtype /Link /Type /Annot >> endobj 4430 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4431 0 obj << /Length 19 >> stream q /Iabc28709 Do Q endstream endobj 4432 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28710 20690 0 R /Gabc28711 20697 0 R >> /Font << /Fabc28712 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7"thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM$n endstream endobj 4433 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=327) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4434 0 obj << /Filter /FlateDecode /Length 3789 >> stream xڭɎ#ίU/@C@Kj9>ctK~?oV*ukLuǷZ.fTuuf ~4:}Zv5˧ǡίO?˷tTֳյʵ~}6r\~U~Z^>D`/Kоy{xkBO^cФWKDfg[LWu)K(kҡF`A;im/Pq )ku;mpya <·7Vfk+-F5-X6q E(@0Ʈ>s 8S녾ME H]}/JXLU-LF@nէ ^ρ'IM ?X/0-#_#/Α}/gnuʫe5iYu! *3,; VO65ڿęrndQݓ +Y"-qGuW¼ F,̚U H5zvMópScZ,שޫW`̶W v7bu/ĮC {(jV@i`-f5i0  =y2'e\6@E|ˉoPMXSpϳe#˞ ǃg@DY}"-ΜL\՝3ְ;]2_;$՚2U"/!1FqM߱7S%G)F(/퓹^E:{q0AUN:yTщ}ꏘ8f{_tñ. 74B~bu`(Q#DZAA X5N_k!qpR4Fq-~mh|&P?24E^lEf<_}1(W`hv$bye~JC!=䄤34^3AjB"S;ׂV6h 9xTlTj]Z K{R􀂌rk) LZ#WSefL[ lԾjfB0`m+{lA'o-*ty4*&8};U PVzh*ޱ6a=FC%ZQj(oQ[Ne24xpJ|{Jrq6"!UIwHoI6U[ v5ѿMG?q89Ru9{ݩT}[Rw,_gӛ&coŲ-lcCZxvM<^ghZF} M,[Yu 5۸tFVc+*;Bz!V2 ؈mrk)с uOBt-bϬ5ig^$)1& Ԓ}X23 9.0/4 +8m 6/ǥ<>)P0/JyAIV|<0Rr\Ґ &XؒX.05:|JvX/㰟=4d2t.l`V4@4HYPN)RN(GUz|B DgDCy"yJ C;NJ*-llxby{pήރKP `Vxc8}/arjG$Y5aa2fߓZx]1Lq&M =M9M05r=f_[ Ìk3:OTX'8V-fjKVk<eNYiCku֕_ {Cv[Nl@_)>B$ >0B~x;e !4ifjq?|}␽Y>S6FygI=bad#z~NΒEc:uNKvHH'huŝYXN BUÇɩi7:$_[UU?#1Q-]S؎4l0JYGg7musi{V>hM 9xqf !<[Q$dΚmiVahiNkP%흩 bPYRN!܁D8QpnkKLBxs%Jy3BY}Zc0[g,`Ǭ{GҤG7.\b73(lzpΐM6pbj:n4G ( MTxwt JhV}ﱳgYb 9Ų-zo3n q%j&v^Ans;O9ܘ0el{V_Tk*hV( l}4r Xȇ8S+:O};baMtax-`LSƬ1I:9] /KZmK d \7g"atɰk>pVI}-Ø's/=ޤ:c ]X G;=T aZ;K1OEԡxإ&J\t$ʭׄCj u"lRG7!&Xf˰ye7/ifn}.(]!. ;=3{Pʜ4HK)[,_Iuw~Pok1r ĕfcM8+ؕ:G۠U·#|{/x=:kDmŒTxz>&^SWg8:##D<NBGGƟ+ͪioErkѫÙxH+\8HSf"52A#L \ )B*df*|&J S褷oHKJ. l KDBYIs=1O,{ɨ5:fئR!' K.jvYhfib~fsK,T_*lH&MvY+u ) mqYtD? +~p5l:cR@s* '9w) CzF2}~Ꮠ\nW{ql8?{ɸ-K݄߭zO*};p%2v/ < ($gpFgx^Pt=S$y[YEr]#+Vфo⟆r}kβD&љq'al endstream endobj 4435 0 obj << /Annots 4437 0 R /BleedBox [0 0 612 792] /Contents [4446 0 R 4442 0 R 4443 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28728 4444 0 R >> >> /Type /Page >> endobj 4436 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4437 0 obj [4436 0 R 4438 0 R 4439 0 R 4440 0 R 4441 0 R 4445 0 R] endobj 4438 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 399.5385 200.1757 410.5385] /Subtype /Link /Type /Annot >> endobj 4439 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20210608081201-08'00') /Rect [104.1732 383.3385 161.3292 394.3385] /Subtype /Link /Type /Annot >> endobj 4440 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 367.1385 168.8862 378.1385] /Subtype /Link /Type /Annot >> endobj 4441 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 350.9384 165.4707 361.9384] /Subtype /Link /Type /Annot >> endobj 4442 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4443 0 obj << /Length 19 >> stream q /Iabc28728 Do Q endstream endobj 4444 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28729 20690 0 R /Gabc28730 20697 0 R >> /Font << /Fabc28731 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7 ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?* endstream endobj 4445 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=328) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4446 0 obj << /Filter /FlateDecode /Length 2493 >> stream xZn$+x @(!cN{4@2ؘdJRFv&``,?NWA]Oo碭ORgvU[wFHg0;~;P1LE93 t`O /DeEHPM1E3!sw>\3tivJKu--΁όbdog[YhR;?}[x`V䣃c3@8X\r:JŘG~0v8S+y0TkX*;]Ii"c~FY&Wl5>\rpY<3Q$0mˠXZ\7Qˆ {~G|S7}D~qyhٖa0@A9}@--a3[N*m>p Z[!4$AqW,la]FP._gҹRS0J\HÑ BA4ْ}gv!á%=1[bú0(cm8x0 ACl#0;h8>y:#̔)MYpmm &3\n5~q:$FG``2A*T50 F "1 B{;i6AN }yN׏lGȴ[_mq&wk-f0̅1FmW ~g#!`boaC]|t/[MQǵUC}lz`wvӞOi1S␀sO\ѿ}-]2g +vDy TOHӠ$*"QYEtvԏH}HQYƷ~ZZc&j悞-:G{E s*`Fm'yδ䒙= `Iy,:Kgκ R_OI;_ӱ(a ԍ?j(pw({1k$m ˥n_ZP}\fBeqbX\R62w:c/"<}+Rr-|:8Dp@j "JrXs]Ru-VDx<t"@1|DћYI >3;oDvj6ZV)κdSKa<X V5ω^iLf6ZVEg/MPCQ8})/U;58W~!)^A c??U@El;qp4\Aѱ!ngur[3)͑;W-/VXg1\\rbGoMacb3tc#b5^Õ2Z(m3r_UX,YBDoI{1ؑ5u~wYueZ+^j@U7p/Ecb1r-^ jok_p` ?!V9]Y@l l昬\ùL!ϢZ&vƠ[erAW &Vf LetR/;M`53rMVD: $hu6\\\yuɼyeM3](P|ݩqM0HKjSŝ_0 RPӓM/4{[H.4NІjaeX6,_F D_ťDNW+r Cmrsl)jnZQ+W*H)TJ YX[T/TKAY]wm3HE#Ƌ8*P'3 |׍4=[֖FK"[Z7%іNJ7Mex揩Y^Lj4t4"0+6K2O`>QSоXgxҽ1PqQ'852$l0sĩeXȶqEZ,E˔HIv3IJ/#f!6ᩔt> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28747 4455 0 R >> >> /Type /Page >> endobj 4448 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4449 0 obj [4448 0 R 4450 0 R 4451 0 R 4452 0 R 4456 0 R] endobj 4450 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 363.225 124.1605 374.225] /Subtype /Link /Type /Annot >> endobj 4451 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 363.225 162.6715 374.225] /Subtype /Link /Type /Annot >> endobj 4452 0 obj << /A << /D (unique_56_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20210608081201-08'00') /Rect [167.9845 363.225 248.774 374.225] /Subtype /Link /Type /Annot >> endobj 4453 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4454 0 obj << /Length 19 >> stream q /Iabc28747 Do Q endstream endobj 4455 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28748 20690 0 R /Gabc28749 20697 0 R >> /Font << /Fabc28750 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`-ӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XLf^_ DQ}d_ EXBYB Ȱ)xp´!bd,98`s2ҫcDA ^@wP&O;2FKh8JF;i z7}Z endstream endobj 4456 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=329) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4457 0 obj << /Filter /FlateDecode /Length 4301 >> stream x\KWt~vvv D@OJ .ˣnbbH5}̤T fiwu<'kϿUM_7߾Glluz;C /g</FO!IϱdgKD3ge?fc&΅Tʜt89x&`8vG 2<|&y)h%5Q*Hg.7ҲYktL)A= d("V@ -Os#ԟ\6s0m_o?U X-:ExЃ# 0r>ѻ9?N?۞OQдM.WBsO 7`v6x40ݥƒ#[[ xs{ԙ5>DXyq@t;TR'[YdT=b9b`}`AĕA1YY|&ө5}=*‹u! Wˈ C21y.T/3[Af;e4 !L0lX|YRˢֳ0kGWD%HH!y 4$5e@j4F918uFl4$zK!Zh,كQH,/u%@g@|IШ6|^5+`\^|bL0bJYCm lul:8!' O +9ϗVˀPʕ196$2,n,tB,Gչ%[g'F2CI'ūEՉ,[Rq#Wym/ |mā7J;/@F7t|m&О~DPy ^XΩ~ /+6, QIX0θW3"%%)zH9tI{=LXjBE ׄ@f'f'ai2\6Z\nj1Zj@K,HÝV͚棟M ΫEffQ•5RjhȈh8ầ[oiDu0&YBb\^wzdSםFiLݴ.s؂quQiߨ{PcݥX2^HxJfmLVe*}YDj vmQ2]CwFp'b>a#CaHdw/S 1n^הWPwXZmڃz.Z}gayVlu^S[ݬo-'G>̈`5"zY-.jq+V緥s2RG'p-s>3xit\B@w9tƌhl? ĕ;jD ہ&FN ѩpvb(f8gkL(:YCOlM[߇ RJ1S"-%9:70WiE&FnWk2̰l܈؉)%Uz`vZTɻٔԢ9ni`QBr^kՀ䬻>($a*ڶ@ w~^"ȬeWFQB0>1?~T1CʀۮkRIۊ@*0VJ7uB\>^Z6$) v@Ek6jQwNYS{TlZ5 M(iA)3FHT"1P˵@@`C>IG:#.g-<ȶc%؉ֈ5wZ03 ? tCQ]ʋ %րDjp"5;v w@ 0MYqYI E@W8lFܪ>l 4恕FJp paaW2v@6~QY#QvB3c PWRҠ;ڦ{k#% mD$Q'&Z5c5쎬v׶?r-"kK iSUb,ؼb4ZB! rspn$zZ&BrfPฆR V~5}i3aA1W ѩAg`e|ߘ/ DyNnhf7-e1wXQ0Ms;3fı7;{!u f;Ysұ }/pΠ':t`r3ޥ9[>sWkT0Luq`|Qڝ/y,IG5Ώ<=2RU臉sdfd{_u"(/ֲC+zSilaeu[AO8L(9k~b>GxL}p{珮 古 fdc1|~<ܰJ3xlyq 9֭b&@ÌIdj?àqsJ%Lq1xH0 X!;$T+(;D̉.ڷ^l4?f”YH%*(v6NiC~!UO%t#0횺LܤYq>jt3#js)=𮮢ܖ\e*rO'W;@) ⹠&K8|BIGqNhP?@ ֶPM8?=8 /^8oHAUG ^KPw9'VD,C$%au&G 6 - ԕfcN8eJu{u)zbU7|^]6`.Z2G uT#$k*R/g&$ҩRQcW캙5Xn_%$')FJ2a#N  &WIqG9~"ݎ$-S !տЪN$?|&wR!)nI[!OJ_ZN-ѽaݓH6F>!9k֔ 4R}Lԓ5ֿKWleF Xjߚt\P 1 {3W9(*R=b'>1ףunrĤp6Ω2DHԻGJ1<ݴ lqY{>^Wo=%kmUce~t+8%^{¬ xh^`P!<ӂ ?x[Z/r] <[}-ô੗K0vfEE|h@Iðڏ.x"P▀Q=k jy0;WVβf~5!z&xxdq0 endstream endobj 4458 0 obj << /Annots 4460 0 R /BleedBox [0 0 612 792] /Contents [4468 0 R 4464 0 R 4465 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28766 4466 0 R >> >> /Type /Page >> endobj 4459 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4460 0 obj [4459 0 R 4461 0 R 4462 0 R 4463 0 R 4467 0 R] endobj 4461 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20210608081201-08'00') /Rect [104.1732 166.2424 223.4462 177.2424] /Subtype /Link /Type /Annot >> endobj 4462 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 150.0425 200.4232 161.0425] /Subtype /Link /Type /Annot >> endobj 4463 0 obj << /A << /D (unique_82) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 133.8425 182.9387 144.8425] /Subtype /Link /Type /Annot >> endobj 4464 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4465 0 obj << /Length 19 >> stream q /Iabc28766 Do Q endstream endobj 4466 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28767 20690 0 R /Gabc28768 20697 0 R >> /Font << /Fabc28769 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4468 0 obj << /Filter /FlateDecode /Length 3301 >> stream xɎίk_rs0@OJ .V6gFVb]ŪWoH_ xوD;4N),hxǾZIxtP:$`V:-l9E`Q=, eYQ[Oګa4laC8 \tX?,? (97x2`Tz&, ,@?dÅ񤔿 :NXX8p=`{d&1zt`$\ijRM,F$+HKZ<̚jད>)ESjC6aMZ }m E,~^\#Gp+s`2g_ (UUb-p!j8\F|m`K_ճ|@FT̰YS8U~# F%SM^\s˙tUZao@wLr5Obv,spH&\Ys~O8VW~E'+9WL4WLq2(K0q%P8<pnh6J 7NnN6Npwb؉Nϑ6vtx㻊W%RUZ.߈w"fK emb #!R|t5$6Awt PFCB\"jjQlE9ڞvWZ_{k瘟CXI6$T`4rvtZ^Nk%ZS5&kR(ׅ9imΕ?p1K]S+~%zOLN8De5J d茮.1j l8tヴLt戺Crih:{6瓄]9Ң;mR̾D%ITG!vAa ^NmKK kW~ rac2-rq2СrlԗfwdKW_f@)u S@0`" J qC K7=0$fO}?* џ{rArٖ@׺S#d,!.Y:XƩmr֑WlEb+ٻYO^b%t},{p|2}|x ʔy̶ Q  _R\:A<3P|~z] 73=skt<1牒"gC^r1XLX<2De&i\k]V4Z;xl'vŘ?% :oč\6o6WKoM~.NRjǦY-6,}wuaBHm \,FQrRsiIAoOj;=Mfbe70f$JGo,Fl4[XEb WP̵{w,&ń^Iry1ISLJ=&),s/*A-Cv`^Y 9Fy6.ܽClrذs([r$L:U e&90OW{VF˫( 殤K?1@Lz|y->G[ $L`0jh&y,JN|(ݨ$Hq*_wM§L ngJС.-! EQ1f{U߾\ b+!\\kWu`e'FЕ縏ao RLq$ ͕As-|ޙWӻRi/VB e߆J 76_ EqCgB!çƎJ88W@̣xL\7$ e` *i= QItYU,m#;})m ;;{7%> '+,^^rEDi"~b">B  ` 96`C@/6p%71_1o|>!l_b҇6خ" !#vra0l&2__?".ESy_'>.| 4+.,zʄ4kiމH0ti@lYq O$J,Ffx}xG:BijjDkA#L5{%t^hhRTNhOTO1уh=C0=CK|q܌a)>Z ua;=\v!фcMfLyN2ihvӑYH `b_|`ɭKk Dc1Q X#G?< ]H ^Fc;)Տ >سJ%Oo[:fQ=l3gen(kHfֹuMaX<.#-\PR r75JS·s d;#:Z{*1n\Rm& 9L0͖OŒu2PG!EGXT^|~˗??ƢU19|ꇢ? °5BRs[2Bʃ07GDKNTHdʕX[XhH$ endstream endobj 4469 0 obj << /Annots 4471 0 R /BleedBox [0 0 612 792] /Contents [4477 0 R 4473 0 R 4474 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28785 4475 0 R >> >> /Type /Page >> endobj 4470 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4471 0 obj [4470 0 R 4472 0 R 4476 0 R] endobj 4472 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 386.625 121.8835 397.625] /Subtype /Link /Type /Annot >> endobj 4473 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4474 0 obj << /Length 19 >> stream q /Iabc28785 Do Q endstream endobj 4475 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28786 20690 0 R /Gabc28787 20697 0 R >> /Font << /Fabc28788 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?x endstream endobj 4476 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=331) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4477 0 obj << /Filter /FlateDecode /Length 4204 >> stream xڵ\IWyU/@n 999 w%?oRj["r)O'3is¯Tmuf ~47eOL_~:?'M{d5 izVgcSz[y>}N\"{';}oߚ/OcHۚ?*;WhV>dva.%42'uz|'8q^8,k eDuL0ko9"a"xyw( nCx"ZcjP0(&~}V_-`_ѧofNm~/8%r+%#v~OFmO9/&Zb [!,LO?٧}^L0;@`ds86NǺ?ẕ1^>1@@u4oh;{|ІD`;<{^Y5"vrځrUnY* G8@P~.d15D6~ zM4Qt'Xt鵧KKhO |o7J^lPπA| 5` $䲆t1ՅyrcWUyxeżI=yc9BYvD(8ag0(K!t<}Si~y A!=}<^%`yG?yH٫48$Îz(&$R9X߈lt _ww_y6 ^`}{=WˏJ k`U0@pJ?N@(oдPL8hMM(|ڬh"O Y#EF<7п&a ԘhCJI&*`92 Z18!WEIZ(dSHN}cszfjBEׂ@Vh[@4U.JIayi^nz1Zj@K,HcL& 4GȄbp^-*3e2$8T R! pu/ْBV -+py-&4&Ŧ8};UPW:s)޹Ylp{DfK*:j_O7j^Ew1RVC /%ܩ\b^ҷ\eܻ-ڽ52h:ZX?W>~q'LsDuh9=pw*@mmMyuuoP-A>j@>_7juTCkq;!V?ZQ`r!J} VBF{IZ2K9Jz[1 E0'L 搗as iL\*6{q&w]VUVX'r;$ *TdFn%硃1Ͳp/[^6ZQ^0:AdK]NRmWd/i{-)MyYη''xҌ۸VQSwlO_rG|t44H+:BduUh¶n*51A絀b$00V$V;.ny@:hum{kh9iL˕FF3OA/S٭B; }0h&/(FIl%aVVlz߿iyvGT{BOܜ ঺.щi֟Bn4ag6q<ڴdBS;.ǩJ.čHHT:@$1} koB:L13j|R'vud}K>^ܩcjn' >d-U:[{,b<, V)ʳ! J1 ;UbWwghud,rCvy?xq=ckv.àmj b*TahO4}9:,Bv[Ijړc*{.%=v7jsoA'TWA K#0@U}Dc1O[+"m Q7k& k he4sɱZiL p3D\$jq"iҴ)cM)2@r% _'7Z6+F dt}C­ /p%;KP ³:H"bS^\\V gd-[hx*OnNբ02WO 4 y0>d';RPmg,̉ޜ JދM)_ð4 v6\M;_w( Zs?Ln7i|Ϲr>|$`^~yn]ԙ2@;=Ui;ˣ/_S|χ_'/)$pK%-ai[һx*|PCs֊m(+rAHKR/6X.SM,Zkn5HpAv2O7wn؛AQÐ,m{,{9gyy+/lyx$ hSqe*[\wH0=Xex~xf<;(*~IUb @qb#/|ۇ~x=V):. Zt ́_;1zlW$Wi0,}ȷdXڗvϠ]=6h_ ux+>U3S;Ge)|6ED c;̾ˏz)Og?,Sdy{N"I-; endstream endobj 4478 0 obj << /Annots 4480 0 R /BleedBox [0 0 612 792] /Contents [4493 0 R 4489 0 R 4490 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28804 4491 0 R >> >> /Type /Page >> endobj 4479 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4480 0 obj [4479 0 R 4481 0 R 4482 0 R 4483 0 R 4484 0 R 4485 0 R 4486 0 R 4487 0 R 4488 0 R 4492 0 R] endobj 4481 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 202.1539 155.4057 213.1539] /Subtype /Link /Type /Annot >> endobj 4482 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 185.9539 155.1032 196.9539] /Subtype /Link /Type /Annot >> endobj 4483 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 169.7539 159.6022 180.7539] /Subtype /Link /Type /Annot >> endobj 4484 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 153.554 163.7437 164.554] /Subtype /Link /Type /Annot >> endobj 4485 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20210608081201-08'00') /Rect [104.1732 137.354 177.3067 148.354] /Subtype /Link /Type /Annot >> endobj 4486 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20210608081201-08'00') /Rect [104.1732 121.154 161.4227 132.1541] /Subtype /Link /Type /Annot >> endobj 4487 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (remove_pin) /M (D:20210608081201-08'00') /Rect [104.1732 104.9541 160.2127 115.9541] /Subtype /Link /Type /Annot >> endobj 4488 0 obj << /A << /D (unique_423) /S /GoTo >> /Border [0 0 0] /Contents (resize_pin_bus) /M (D:20210608081201-08'00') /Rect [104.1732 88.7542 173.9352 99.7542] /Subtype /Link /Type /Annot >> endobj 4489 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4490 0 obj << /Length 19 >> stream q /Iabc28804 Do Q endstream endobj 4491 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28805 20690 0 R /Gabc28806 20697 0 R >> /Font << /Fabc28807 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7jЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}D endstream endobj 4492 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=332) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4493 0 obj << /Filter /FlateDecode /Length 3350 >> stream xڽˎί9I^ !i sO,AfHb(ߵg|ӵteMkdL%e71.AGx/\2w W+?_5`:7So`d @l3K mwoP^1'ADd,bb$KSnin9hga= *<.pل`=hل!O)Wz0{x8?ͥb|eL4u˱&Ɵi؞nw3;M [vnM""]7H1ijtBcÙچL<7EyӖy*F$F~?$%-w [_ŕ[l-Ƀk>ZG]bW@E\;fokg F lV bF1$g@Vcsml\$62γjb* a_[3qK{;HxH[bBwlB(J&mZLZ]b9t$'Fcr X]@YAXWt̮Fż*/ /tB$9(V-eԦ$cSe[>twj2+׫n^.Ú ]7wm(Obەf,}s=A:,5CukK]>ɮb{hFOTզ3&Dvn8:)RdqOژ#qnA,ayn~?Y'GV\5 ǗEfds鲊ļ4y6q#,:9'ar5"7FUk:R[Gnz׊zUt6 Y#ۋ7s*sI>C{i2Q[GVYj^91Ě=xeY$3s0,jzqtI<jWzWF8=z٧d Z^v[IDe#vؿuF79""$D3={H|USYW;᧏ }96aׅ#P)\>Vl 1]81Jfn'@$l.@2]*#CNn8p<4uL51@Ʌym禐YR\WY Z˨ p3P)` { F@pSToiUUXl^vl3H]Wln3 ō*N0w"V% aj*^Hq]ZYj)L/D)ޘnsƏM9k!> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28823 4504 0 R >> >> /Type /Page >> endobj 4495 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4496 0 obj [4495 0 R 4497 0 R 4498 0 R 4499 0 R 4500 0 R 4501 0 R 4505 0 R] endobj 4497 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 219.1617 709.9] /Subtype /Link /Type /Annot >> endobj 4498 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 187.3167 693.7] /Subtype /Link /Type /Annot >> endobj 4499 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 152.6942 677.5] /Subtype /Link /Type /Annot >> endobj 4500 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 166.7302 661.3] /Subtype /Link /Type /Annot >> endobj 4501 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 154.8007 645.1] /Subtype /Link /Type /Annot >> endobj 4502 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4503 0 obj << /Length 19 >> stream q /Iabc28823 Do Q endstream endobj 4504 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28824 20690 0 R /Gabc28825 20697 0 R >> /Font << /Fabc28826 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7o}:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f5{kEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Kh endstream endobj 4505 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=333) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4506 0 obj << /Filter /FlateDecode /Length 1238 >> stream xڵWn#7+xM6 $rrr 9Z؋F8Y㫅ݤ}z)ڧul[ /pɆ`/4:T|ȏ{{Ka޾ؐ]םuĄ&a򾜽#+{0Oܷ1@H6D  m\GYa< o 3)] &7D%)'tl5cioNhݥ"DG "P5֐c\39./o9&{[WZ\~^yr^wLkYv(]-6FWco_~W\S6 lȓ-h].KK l]Wy')N Ԩ}m+2|{j'.`Ox]k7-ybW7.l| u4ݡ3q 7BVbyÊU5=ˣC;JN\fG]^c&ע`;IzG3], GІrJ1~_eC\lHo&D). -l,.BךUVشUjG)7=OUly.L"):kͽJ5JEuֿ ,e3Kc$5} 2`S)' ]d&ʡE Zco,#L}e5o.<~s u"}[wq8g|KƒxC)2 >78~:GU!,Tqpu&̳ƓupXjp>> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28842 4513 0 R >> >> /Type /Page >> endobj 4508 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4509 0 obj [4508 0 R 4510 0 R 4514 0 R] endobj 4510 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [90 291.525 146.6555 302.525] /Subtype /Link /Type /Annot >> endobj 4511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4512 0 obj << /Length 19 >> stream q /Iabc28842 Do Q endstream endobj 4513 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28843 20690 0 R /Gabc28844 20697 0 R >> /Font << /Fabc28845 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4515 0 obj << /Filter /FlateDecode /Length 3897 >> stream x\KoW輀Iw{fwfA00sOd{A`n_,d& ?3~NRglJϷ?'?Y3}%y۝>OpB^z7ݫݳWvO>}iI}%yQN_}0[0  q Y~QBew⢵[%P@ "[}3THY[(G6t'/mߋOWܞMEh~X>3ĺhhW O3| bڀ韂`+Ծ6Kl *#,yYWı 6 80 _t;gh#L8`ϴOS("/l%9f@ ȓ,8|+C5~f=3 x+UBjlɐkPyM:22J4Hʵ4VZ!.:[*!g]X R|*U3S2ޤ6HoTDK&[_O[^{bD7-+AǣUK_,*=Te-ƍ*2z]a+UGQ٣ڵ#܃JQ;Ƹ6xR@`=y7j>-Vj +VZP˭rՕV&b~k[ȅ*u3҃nBNٙQ۝? {6zzvW"7" ۠:Ɓip6&Grʚwx1%ϵI_xUڋK}%U%,ׄ(W}ͭDaYf"l>ʠ"dnE< A2_~P^i4t}>Tvi˃1. ~&3NJ+S" ǻ›:OU;Ӵd$GQgA0DE6v+,c<F:? SMV.Q'@17G'J D~`P905hV+Tm,j-\+,RSj3ffxBwn7dDf<I$m2_#?_0#j; :xo3q.N!%uxقZRr`w/ iE.hq8\%.'>O!<ҝUYZ_vw.D9#Wd!oEG\qIQW 14i+ELX 48kä{-2mc8Lԑ4tD@ 6#A]AdOl@\^0à"b\q pDVtUi colԪ\x o4CK`܃Cèyhzx;ozԵ׺T|M ec6(wg4#դkjZs3$&\hTjyQSr/Y4=Z\%GjYra[u Ee)f#I  ǖ)qGs J™ vGx;BrW'KJ. RvSkR\kJ/ӭ 3Au&Y-VVV*dw]}ɥVTY/]ELLCq.|zΑj]emeKש7ۮ؛AQÐBw/>lGkRt28/ !sZm dc؍i\M'ױ.-6X;IOrrMyO5mNYrBWΚt m19(Gug|(W14#?gl endstream endobj 4516 0 obj << /Annots [4517 0 R 4521 0 R] /BleedBox [0 0 612 792] /Contents [4522 0 R 4518 0 R 4519 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28861 4520 0 R >> >> /Type /Page >> endobj 4517 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4518 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4519 0 obj << /Length 19 >> stream q /Iabc28861 Do Q endstream endobj 4520 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28862 20690 0 R /Gabc28863 20697 0 R >> /Font << /Fabc28864 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTΛ7acX`~߇]&`j[i8-y-xvw7jthǬ_{9;u SJ* ,CQ*p% 4Ab2Y@Q 1BS4dLdiJU$y$SBDQ@< q-lb@Tj92;$ o=kDN{r=C ql~S1f)ĦGw<7!& gVȃ+6Tr8kb/mTߖjڞӡ'4yCod%# 5J.\e:3ϳ-nYn#^_ DQl˜K(sh^2 'LsB.&s.B^U#UR,We'@eݝCr\:2Fsh8JF[XݛXl endstream endobj 4521 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=335) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4522 0 obj << /Filter /FlateDecode /Length 3986 >> stream xn$ί@tG§w Cc`~B'InV_,ߤ++횑l*NoϯN#~Z֦*|g 5 L| sp\;œu^<v&̥> [6[H>ʑIYXSw$iA"T0L$b[=Kb!&U\(w\ev#JH1eMQV_Ɉl@2M>ѫ;veZe҂ƥ.FT*F `lZ8Β< t[Pe>$2$/%!\QqUeM?^*͢b -nϷ8Gp QC$84RˠUl*\I 79Ymx4g7?BHڬ&+meP62;M#r+IYأ#ֆMl@e)dـ+v)ǩ)+v&T9fkoֶƫӒBYĠ!ӄf`hꕱ AF  kV;60`}}U1f&q*cɓ&Je4tC&6RsČ!jBwxd\@C4DIS( :SuźɧE3i!` 6j֐{Cɟ 봲v=sg1e4IW'뭇=Maŋ֓߈?:*Q Y_3biEu˜ fi\7:!v!Z]y6d*Qd*1 wH|2*d2+3v~3N٪8ɾl +;UJy'.pdS1~dZ7cٸ1^H9;k6m$d&7` /-H[IBN/,$ UNK~vH*KE%;7@| 6f.X >}'IEHRnI8oEאM4+6k;@`uJ#ft{=Sd,( Ӝ@dC6en{( °q4PpڗFMBh *jB4I(w _#}zm^v4h)s3kXkZ)h]Kx,f? X`:C|d`3!;PJ;H1=9Rf)+`4ҩF^-Eo׋U8Dm~-ǦR^P]J<2=߹ + ޣ3f՗n Vy#V)KsvdX`Lưv9$mժ#53E!l(Ǘ# Wsg?ocG0}ͦcڧgW%V C^ id-ߦq /ڶ9org ve/L̮džd鉚}boa )ؙCH<\iS@-W#f\8] ) Q~:6YeeuIPK^an51j #ȃveЬs Ūxoģa/&ojt쎰%MƟ9:6nsMTES<طuGO[Fëhr{V{ìwX>若ZIRD^YPI K1bu)kS\ʹd?7{&F;k#oebS)s#l`t,F 3Kd'{g.Jl}&Q5QO>+{nƀGKJ(^> h@Qvt3grt>IJc6rezʉ w{GײH(-++PYC`5C)Z+@"_/0ˠZEƳkLkR M*Z6iɫ-6W _MLNe `y/vC#2iJ5 Z3gg[iQs[5^z}w _.KWz>6#fZ|XX~lfCy/6;W6+<}`ncF쮌2,.c}>>;UMJ9,hܯ#GʹS1fT6Q\6x7IKE/\wNa-ř[]f[DuLn5S^ǛbM; ^*cax*ɃWn|t~+37b dxiwHAa ZScρjd&JR,,ڲَȇgm?N&c^)_Ck|rlP}5L;&M?hRy xmU2<9[*~Zw2N,?tpYm1{:J_>w0p+w-Չ 8PbV,7Pì`&덇A08h|AKDL"@^#!'otCdib0;tv<P-ч=:}b@,Dfb  KY+M!=DU;@K|gͻE K Ɉ M-tP(`e&A.c.˻1/kYz]\:c F",>Lp@Ix |C6,xH8:mpJO:bd4!vOAMgʖa.]753hڈx*X(՝RXk*"W)1q .%b@l20a9{JGܭGxq7O<*|cK1X X"r i-be5Mev'p@WȅU'-m(**_ ď/ɃCg3CkMwCE#L  J2.oT0OێQLDqGXa<~iд_uR%w[y!|ш:ޯ=O!o4a_ D([0\CVlC4K1ߙ+/ |ӾIM 6W:^x>+#"{( rg*kpk7t0bxs(Xdx~tUdˮ,W`eX—n1E&K#?&&ah endstream endobj 4523 0 obj << /Annots 4525 0 R /BleedBox [0 0 612 792] /Contents [4536 0 R 4532 0 R 4533 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28880 4534 0 R >> >> /Type /Page >> endobj 4524 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4525 0 obj [4524 0 R 4526 0 R 4527 0 R 4528 0 R 4529 0 R 4530 0 R 4531 0 R 4535 0 R] endobj 4526 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [104.1732 325.3116 181.9322 336.3116] /Subtype /Link /Type /Annot >> endobj 4527 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [104.1732 309.1115 204.4272 320.1115] /Subtype /Link /Type /Annot >> endobj 4528 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20210608081201-08'00') /Rect [104.1732 292.9115 159.5252 303.9115] /Subtype /Link /Type /Annot >> endobj 4529 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20210608081201-08'00') /Rect [104.1732 276.7115 165.6192 287.7115] /Subtype /Link /Type /Annot >> endobj 4530 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20210608081201-08'00') /Rect [104.1732 260.5115 179.3417 271.5115] /Subtype /Link /Type /Annot >> endobj 4531 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (split_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [104.1732 244.3115 198.9382 255.3115] /Subtype /Link /Type /Annot >> endobj 4532 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4533 0 obj << /Length 19 >> stream q /Iabc28880 Do Q endstream endobj 4534 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28881 20690 0 R /Gabc28882 20697 0 R >> /Font << /Fabc28883 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw72|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}^ endstream endobj 4535 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=336) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4536 0 obj << /Filter /FlateDecode /Length 2669 >> stream xZK$W輐h ѵۘOc.KaRRVUOUϬa ٙRJx|P"[*V~,[lKËKc˧>EFs6kZ{w 3\w7 2֪RL_8d{9h N}tNfaPIJ//Ԫ`}-O*Hl([~I~@[`G6ֳ! X/E04o?K+_Bo{0>U@ /ϧsk3\0WHynnr[=k{ F11D .rk5e0sK!nΪ1(X.sJ5ũ{ƆKֈ >Fi1k>iy`)szYUʃ#7is8@|"h||W>wMY)lNߓ>4iPPLSto7eʚ.z^[뷓 w-^v[O\$Vۏ|'P8nKrO÷H-= IAc&0'NT4jj tv4K(Cvilg R'j-q0VQ8U% U2ܐ2ARҫUʐ?8K4JZ@vk&n0&sy7#ye^yśZgn!I p`wi^ #pqK_$0b %6ۊެ5qhHpaS2mDZ^_b(h"wU"~п\DN~¼obṭ21 Ӄˈ\-y<ElD#֬}+ =Y^ VfB߫=]6k\<?L )3Ns bFH#RkV%# yej72 > Ŀ6 @nj:v `bt\xD@J|{&b.£ ōʶr ."mNT69pTYZ[̭EDR]ISr}Wp+-6S(K2\47kgZ2vh>GDm1alu4nFKe+=ƖKSӶ3#hMp1Nqnvkl'Ha%q*a%Rnd'7+)ՇiH -dO?N j$("9WӰk?NsK!aZX3iCk= V3y@i%T2rHecᛮ@< c-1~.?]Ӈcy^GcyޘHZRm& 9tVqx*dɁא318WJnxLbrpڞh 8PP65~kUaG^7_ ?\&5xmkszV 8t=%pTq}*2UtH1XǖEG/ endstream endobj 4537 0 obj << /Annots 4539 0 R /BleedBox [0 0 612 792] /Contents [4545 0 R 4541 0 R 4542 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28899 4543 0 R >> >> /Type /Page >> endobj 4538 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4539 0 obj [4538 0 R 4540 0 R 4544 0 R] endobj 4540 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 335.625 118.9685 346.625] /Subtype /Link /Type /Annot >> endobj 4541 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4542 0 obj << /Length 19 >> stream q /Iabc28899 Do Q endstream endobj 4543 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28900 20690 0 R /Gabc28901 20697 0 R >> /Font << /Fabc28902 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4545 0 obj << /Filter /FlateDecode /Length 3184 >> stream x[Ko7W;|6ـ!@#yD@u iWO4OvrEU,>FVV?B:- }SǠO_>),cr'g+r 57ݩMu5/w֠/_V8{,;2l] PiEw8׶F;2TiyJBMN?hB6o?gme͐efOvv]'gĖڎl7L,7o0fyw82ÝoYX0 X.{w l@]I ?A*$V`C:2BU=Z&`=1@dYg낱M *Z WT؋";Xeq:XdtFtut,xܧ5D%'E[c1ńLkFyE[MZ >sm>8L|zC<(P{m{\sIo0/Z΃qٺz\4!\T!S|i@ePd ~ P#9qNo0ETI* jlFҊ5E2P•=\kk)[/gYftKXXryKWj3GtBijZ0~[ڴGvj`>Ndk3VmU.퉜v,ڸm}[ت[}lՀj[}lG [`ICꮐgKkCl4]z+SX2(rΌaBe q enx3wت+ Ry"(\t M0O]v[x@wFƝ>:<$4G8 ;c Y1bD 7/\8zq>y|J8&䠞[1=Tq>R%bFQ88[ŠQ[|a @[flH0 {̕n #ϸ94w^Afx-iMfv]Yx݋櫴n=gTKE}lۧݧB Ucl#lϯPC5Dc;%rSFP"t't-ťRMnǴ>Y%p}c{wQ u9нa=%{gԭ6F{moxy4v 'U1hDy|eݜyq/(0Itć)8xDWRs Hqxzuڊ1~'m;y[рQuB]"#43b@XꌒslV=+F9<޽}4_[]N:bٮo;G]H{"]]rKNiv:^g#,^9aDXZ Mʃ/-X&X8x U_a]RC?+ M :be:blIZx(`( YnY`iRFxgyصl9&/I;rs2z:YL֊Xy.aex:\_y|P^mW(ϥLzuw; yd\T.K$ tZQ2ENz隆` \aH9v%7 ^`Asv̛g5 sErxO&v 6nCbOQw2( 5wQP xCpC)4 P>tVaHf摆g(1nR{-۔ɍ~zʞS-i>ȉ\six9>\P[]q "d;#*JChO7F\лC[^؃p|Ұtp-?pE' endstream endobj 4546 0 obj << /Annots 4548 0 R /BleedBox [0 0 612 792] /Contents [4555 0 R 4551 0 R 4552 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28918 4553 0 R >> >> /Type /Page >> endobj 4547 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4548 0 obj [4547 0 R 4549 0 R 4550 0 R 4554 0 R] endobj 4549 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 339.125 112.8525 350.125] /Subtype /Link /Type /Annot >> endobj 4550 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [118.1655 339.125 163.7275 350.125] /Subtype /Link /Type /Annot >> endobj 4551 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4552 0 obj << /Length 19 >> stream q /Iabc28918 Do Q endstream endobj 4553 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28919 20690 0 R /Gabc28920 20697 0 R >> /Font << /Fabc28921 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1t endstream endobj 4554 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=338) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4555 0 obj << /Filter /FlateDecode /Length 2833 >> stream xZKoW@w&0hf4 ͻ䤍Rz==H-,Uůb߄8+tH2:<꿝vV?~0琲׏/ NO[-ZmSڣuַNd}cܤc{;ãFcANN?hB 47m2gx:$U9_Yy?3v^5v>% w3М0ƝcpR6iL'ݩuea} 9Ga`tČ3! me'#6\9%!8gђ4<w߂뼄hB_a;ge/T,`g yY҂9 c0P|wŮ(e@Ӆ?s`^hM4(4W1>T ^DN A}O*$Űges_̴ؔ!$FJBQ W>ѬJBªwuHx<Ȭfr8vD`UƵ00?Qo}a"z feC5izыRZfPAzUWb5QVSdﶚ^ ( l涐5}q jfAj=a#C!|(rX#>ƭ˖^~PKA?j@>'Vlu_z_ ٯ҇ WiMs5w:`=e#SPtE ĽwjPI<%a^ HfpͲz?Ћ'bet=^sF̡<0i` t3@wmsW/Oxb" =Րeq^ڧBK<\?w9=S§5Q>1̚i!}2>Й XЙigaRV 7)6o,ZDgh% H9/j* "n QCgZUaA0ˀ5x: qt \r@zV֊X9Qvʼk:sHg Rs}#j WQgmaֺ (Cݩj3F5H_]%DoH\ӭ{~fj&fν!chE s-L3Csc<ϸ>!v#{#{@bp069d5W)+!^K~Rzn²,Wń{ET/5.0\PeMf摦g)}1nJ{#PJyrG'.k? #eSST5zvluebꆴ@khIO^VԔ_JܓrLPU:GE#heg'Θ v6/wbVO&*N4 eDʑg%d,i(ZnJPTx"t1<Q4p ?|´ݭydP~Rsc`݋ȼ #BckfZD9i{U+DM1wq.<7p_ԃ\3i%6Lam0kË9u*-9H{ZR}^-k,{q{M8^!e>H{)3*XDp_ 1PO1U;\#Խ9]0ܾq猷J4i;ty6 Gc|)8a6 Or,gۡן⁷WaOLG୑$p~(CQb1Ux}T_o]B[%5L 7a F 70zՑ!:̰ـEȲH endstream endobj 4556 0 obj << /Annots 4558 0 R /BleedBox [0 0 612 792] /Contents [4565 0 R 4561 0 R 4562 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F13 20737 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28937 4563 0 R >> >> /Type /Page >> endobj 4557 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4558 0 obj [4557 0 R 4559 0 R 4560 0 R 4564 0 R] endobj 4559 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 368.225 122.505 379.225] /Subtype /Link /Type /Annot >> endobj 4560 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [127.818 368.225 169.6455 379.225] /Subtype /Link /Type /Annot >> endobj 4561 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4562 0 obj << /Length 19 >> stream q /Iabc28937 Do Q endstream endobj 4563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28938 20690 0 R /Gabc28939 20697 0 R >> /Font << /Fabc28940 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛa2 endstream endobj 4564 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=339) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4565 0 obj << /Filter /FlateDecode /Length 5133 >> stream xڵ\KܸWh=}s@AVΝ xO"u O(XI V I/_-ԦgI.N?]7~[>/RZaY_//Άb:{V5;*,_³Q>+^[xj-c2/{ Zuq~[~Yͧ&2J^-*Mn5nՋK[S `6K-Ògcial/x%"JPZїAK/tmR^i^Н"o@ÝeJOxo:_ކp aS9B~f _|\o`/\>oox|I\Ob;惀y7n3*Ë~dܚ: $v. J#U4u0]yhFx8:%4yB#ʗ Z-l򺌼0 @5Y4(k<*Zp5̔rrHwy{ ||c4<&%h̓f۸YLu̓7k 8Y4g6p.Vs!g3S fÆ'jƆuעuiWol!@m=j̎"klffGa;S4)pv,L0`ZI<L?v <2HIO#@ԛނqMY̞r1F-y+](!mbڬLcidb9,9艿uY&>p̙u+8VHJ,qBR*u a+`cg_&LroiO>2T.P\(U[  5yrS;q} qSt RY꧊bW%/jR ,ee,H͌ g.p~I= Gņ;UZJ&O$ITrdgtz2lWkq-V֊KI\a"A. MSY<|"4>#PGL> AbMIoNBu~X۞s@gVw%rgcg&kXn+{=U=g9v@R'u9*k̟C3}BY>?>atwj$q*bK-Q(HHV.rU|0V o]C>S+l]YL no5K~]>q Q 2aiȴT". Q},yY CW^%,﷢([Y5 t'dI P:F9٬E}O4*#2kF3l؄ AL0b_Ľ ڌi2".JKk){l]U -XWV78h,^7坱bhE-!%LTn ǦQ-çLTqm~75侩س‡|ķzQbMq6wn \m+{nYEQ?׼~a٥, 2%ܩfCQץL2 N~W@fNGChohO M*#܃Fc:|)OPm#Bfg[=j>#s5yz 5*g~H5.B͈*>=|l^? 8{1uw-n=w#'$~pFM^`}L8*ZFLfXD`'Ur^o7;#bifݒBqWqf ܊ =mYr\ *t\,G:l,"(#f[ve[M&ʓ+>X '90l/$mj5lG(֝eq7p E*EC|=(E jGX L6$/SIGM(!HLdW0U'.WAH6a*]z 3!j[Zh[ioZ " ttZ0߂݆ͧR*Pg܌MXakYr) DR: *8 J6A7 l6H\Y.+Bpxti8dd6-D<8fѐ߲TO@.30skNhaq)܁/|Y1.Q!-ŤpA!{k4m",5X4_ Fh ҬϿYZI+'ޡ_?+W awh|D Q`s M~ V٨BCWC&DuZk)Z/5cZ煟s&H]JQ hð,,פyj:/nN]l]f )X. * :s&T6_Hccf06&!TXIBܠ F,6J )} .HNa>932U5uMSc4e@hCO 1@4 c.x>=:ltȸ3|Ln{xAv0FlB TIy),](U;eMEζfFEׄkMд&Luigg9c9 )Mh?\ 0] D$76qsl2eݪ}6TEsV@)SjSފ̭hm$ `#@']kԃi I%.!B<A@E;|y=E;ُܨ7߉fV ު3m"Y*OsL9Y[uYΉ4H?6*@q3T`| ½ I-.%HV-ңuRd$2r;) E0Q#+.-H0si+.a~ C Zb ^vH206 3b↎q;U㳼uw {.>c5Xjk!lAzZ:<ΚÙ''1P5\FD,\Z`MH?r+Y}̕49|<'Sф1N5yG쌋3P0`9oRzvlDKR3{EsڧdCLDFJv>P#4>4"30I3 5zdr$Ĕ7Fv+NAwT4!<q9NPCȔ)t|M+4W/j{4vK+\F]2ԫk/ T5dj<6Na@9\JFKEmTS&Pr=? YBgm_F zo7=[Oka_!e]U rJ0?[[۔1flN ߭; jb Hx;V%l{->~0E:E冻%7hN7Jac^z#4~eu^`S4XʗMWvƊ%Y.͉7H!/_Rf W~L@hZ͹\_?]R%|PR)ElbWD|901~H]L܈糹4ڼ:'q''`m`Sg3*/1߫v^arlY{6FӁfy8,oTej&9 k80{F'N%T}r~`A'JG|FL6)c h)eOYxX)~r?ăS=^TrZ1nX5yD{뢩%@C_VR4t ޞ2ve:$`aV4l:GK F9`*D'"v[s(:qrUŞa9y13K2M 3]z`w%iZ Qh)qXn&ִr%4 T+V1,%q% i#ƕqY i2J ˺k͖+hv)p~]LY4y.0*ݘ=A4w(rGijקD>^a.Z2wrcMy?_P]Tmβ]Կ+z7sa+ҁzA!c,ba[d"U|F޳2d9XXd Dbg ke09Uſ\J%3}Ge"F6m:r>gk Ty>ϧF|#*X둖,W/z|>p"q,7X'Qtmu^ΏL臢 שhb,K{>_ k[\}Ee;2Odn7Fr _mȣ4>`7Ȟwvs a4!ʩOj&Ւp^g8\_+-Z5!gR9{`|a;Vda{Ã~gO3|>fOos.'+|BoQfr-b2R["tX(I|H{F.r?jsEJTPyK%l^ \QAd~CyTvN@tΟs?bd 9 endstream endobj 4566 0 obj << /Annots 4568 0 R /BleedBox [0 0 612 792] /Contents [4576 0 R 4572 0 R 4573 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28956 4574 0 R >> >> /Type /Page >> endobj 4567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4568 0 obj [4567 0 R 4569 0 R 4570 0 R 4571 0 R 4575 0 R] endobj 4569 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 110.2502 201.6332 121.2502] /Subtype /Link /Type /Annot >> endobj 4570 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20210608081201-08'00') /Rect [104.1732 94.0503 219.3267 105.0503] /Subtype /Link /Type /Annot >> endobj 4571 0 obj << /A << /D (unique_430) /S /GoTo >> /Border [0 0 0] /Contents (current_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 77.8503 223.5452 88.8503] /Subtype /Link /Type /Annot >> endobj 4572 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4573 0 obj << /Length 19 >> stream q /Iabc28956 Do Q endstream endobj 4574 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28957 20690 0 R /Gabc28958 20697 0 R >> /Font << /Fabc28959 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p1-ЎYzrv"eYHUC.$G7]Nx41r$t/E0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}x endstream endobj 4575 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=340) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4576 0 obj << /Filter /FlateDecode /Length 3996 >> stream x[K$篨S~@30==-Mfڒ1EꞞ^dޚGddϬZ~]b6˗K="NohA*g/o_2ipQ*'>] ]u &ԉ%Q,Y,h٧r3EVuVKI#7EM 3Y`ljtwvxxŇ&ARF&CRGDkX(} SӧfD5_TtOD]b:G . 㞝(Ӻ [iN˄xLf BjW|PzsAR$jx&,~\;U.Pd`570-!㼬)I1zϑc -6]Q%ږcn6ɬ\7`W:ZͰXMb5&p$0v&MFNQkjm|NPc %J|~t`M0$̆) $B:tG|m sZ ~>N,8)aFFT.BTz%\) ;P.pS`B)IQv:sY2ϑ5mK}Φ?ٌiX#®r5$۾< ]\+1u7b&e qp)@|LՍx'u~JB/&/Qy!_hsN j5W!/SΰrڦkmU  \A*XcᶮDat5&o*!bp7 aND.3;jaݳ %rGiPZ5N}hs"rVTޛ y6؛؈a^zɲ; 1uX4Kguv9ԩ: P|QI:{XZkBwU'C"ԜB}A`H2R߁Qkaƺmw|cRC2D f\(MnL`!tuަ9؏cWX+ iFbkBpkdhV-m!WjY|ZK , ,vtGM5PC3b\ p|) AjybOD0fZ{k.2tKtp22pW!5e(6M`pPḏ " ,mhe.&\/D*Q(XQ `=,mqM>nqWrL-3}Rֲ!Niʘ$45Z9:ù0 J4ʤ;NXw.ȟ!xDTؿ#)p} wk(`VRw2[i"oEl]dtT BIݗ%Sz!`Ӯ̨QpĽUm5e[=*>bb|[WvV mVR]nW<@gvrlP*OC_6bw`9NjB[{jU~{?X/i| NSߗBR桕!e0YT¸_w."ǝ R1Đw;k3DX^c[c#U}'& ]5ҊA$VI wCyc*w !wȖĞ@'x@ .!MuqP]e`_#($<z_UA(~@I%-8W/$E9uWg= c?17f;"1 6@TR [TARª-q1p၎zlO1S KQC>X =TqZB.}ن82u ]ix|Q&P^y),k!Sb6#mʵylZL{?@'9~ͅݼn.#w-"~ bXBo&]l}ҹ]P>1v<W>F9#Si$VƘ!ÛkQI4mll1Dy3U3}$@ Ŏ7~]0Te/\7ϐR^q- u#-$Qiu:J\\l9_|$>1//0_R {]8 `P9ܧבhX8ː jqNcZtKC=_|kOчL \%p;e9}W][ p@䖟DT|H Rweb5ON#&'rY X\"Ή_2͙A0YO qO]3}ޯF{+S]J7yRF#3NxfbF{ UH]Fwi]E%ῂ|`@@|)9]M,]'#2fI a$[DտX"A |)1?݇HNF'G3VҰMe: 0=ǑMgFИM01l鍗Kk N܊o܈m^!kBWReY wR;`a뷸گwE)f"[|JR%a!ƕ{=~Z,W3P:>U<6* Jh ]k endstream endobj 4577 0 obj << /Annots 4579 0 R /BleedBox [0 0 612 792] /Contents [4588 0 R 4584 0 R 4585 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28975 4586 0 R >> >> /Type /Page >> endobj 4578 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4579 0 obj [4578 0 R 4580 0 R 4581 0 R 4582 0 R 4583 0 R 4587 0 R] endobj 4580 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 223.3857 709.9] /Subtype /Link /Type /Annot >> endobj 4581 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 208.6732 693.7] /Subtype /Link /Type /Annot >> endobj 4582 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_pr_configuration_analysis) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 260.9562 677.5] /Subtype /Link /Type /Annot >> endobj 4583 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 220.1022 661.3] /Subtype /Link /Type /Annot >> endobj 4584 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4585 0 obj << /Length 19 >> stream q /Iabc28975 Do Q endstream endobj 4586 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28976 20690 0 R /Gabc28977 20697 0 R >> /Font << /Fabc28978 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nbЎYzrv"i,䪍A ?wG?{`%( Znf  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4588 0 obj << /Filter /FlateDecode /Length 1245 >> stream xڽWMk$7Wh>[9f!yU*ugˮ#UIzz-Wo&W^t9.To?vNץa6]MMI_n}"0ohqs29R{P|w.CxRwc }`mXiAgσ~>?ŖIHMn6~E$!_I[1ڴ̕wW;y-tl Zr*ٳzF/ÙE AiDq*,8YP2S|VVfM\N{}o~_ի&|Yab5I1м*Z&oĒ\0Bls!oQ0du}xXb{֏ٺ:C&f:]zec1][ꚼ6wM.h Sg~EFԩy171pN/lNΙԇV{p&Q/`o͜zE2ܮE H`86p3ɛ[wِFۨ \b]@ϘQ):7MjbU!xWEՎ=KP*%i zLR^8j2Um3UL؋e0G;$W}r4-!Zg:Vҿ쪎 Y@ +9>.K>p2^}+"QCn*YMdxaNZF{MBc` 6ti%^K3 O)MsEٞjhZiMȃxŲm#Z.n,WfiVf>F[ygGyiBވ/+ʼn&4Qb-&\E]Є!LqmPRNؼh3[>ȝ#fl)"ͭ a7*+^r /V@qb8I': Zr"dA6|ylK'[~Bǡ>i98;1"c13&:O;KIx(S0xˣf9[=<0 x^ē8Z?I"o0x9>p.He Ǧ塽m:x,./hy> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28994 4595 0 R >> >> /Type /Page >> endobj 4590 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4591 0 obj [4590 0 R 4592 0 R 4596 0 R] endobj 4592 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 291.525 124.1605 302.525] /Subtype /Link /Type /Annot >> endobj 4593 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4594 0 obj << /Length 19 >> stream q /Iabc28994 Do Q endstream endobj 4595 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28995 20690 0 R /Gabc28996 20697 0 R >> /Font << /Fabc28997 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 4596 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=342) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4597 0 obj << /Filter /FlateDecode /Length 3989 >> stream x\KokWu e&(iRv{7:e[AQ u87jE¿~KSKT%y.Z-ϿY_Ii+O6'[KýJ攊A<<=oN//=m[߿ZS"JLoW? ',4~Y0:fQ#:ƭ) ]Hwf/>@#`Zjo{,& #QL @1S; i^د9|C[:_ކEI/CBFr#We0/\\1 SF9X Vu#܉E6Vte_t` ԡb?4h p=\[\g 3C靱vդF;U0çgiy%h5n''gm}{Y8~VVB;*b椈\቙iIcKJL/7umF O=Ez\)L(1#[ϾNE?nG:^ oA\Oq!V`mlA. !ًx.E.yy⠡O'2]֣ J޷+@G*§ 0`x Y+TM/ $WC |2p-K3 ZM7"pNHnRW%,+k `FT N*lMng!kd3j/eHGjfXv[ \6':b%?oʨpYQUjK1-FKthɎVʬ)3% zXzg2#eP$,.T. C# \t-iV&qJ\ MdU׍J˲PL\uzfu}~GtϭT׾ u׼)C#IY 2%ܨ\dV\d^ڥ)Q SUGS nI^v8viv5;pw#StԶ1^g ֭mOC-N^>؂zb@-\ŵZ}5zjN~jqVKԃ-ndBZ 75!x pļycsO+rC+.̟VVd'8u`Vʯ>/ss-#Qo냸4p%CĻ^6K,M',r-B@SuO;m~B8E%6 2<7L i#3X*lB7i3z:q97O^Wғ>5ؚ8`ZkjW_@ K _` lxpE:M\ mոN=o5S#nq.9$|ؓu0psz<yNk9c x:BfzUqZngHU. p 9c8uvnFts#t:~>+U9jR}uwEG3ŬI0gc$ i719^۶0$L{^=B !wd- }mNc_Eӏ|ّl|I'FxΌ= #6`'T<t%̞~7qv|޴?6 g'wF~7iSR^)XO3ͺG!M@|$!G)^8D)X{n(yo ؓeZC˰ivAΫ|=Y o䄨1ʼ&X!H2DwjAe UJ,/ hhm2ƸN iAxiy*$9/Ws3Z]\DMk:K ȿ]pu`{pX6IC\~ \O)66|y[$F4mr%vat5jͽ0 OHN# ]LtT`";0<s >bRxYf?SȌ&;4Nb,dY>qܘ[ljGÛzP U֥bh./CM,+y*G*{+4t(te~1#l;u=D&.R$'v(zBJ]f#N ؔKNqG%cm JeJ|%Zt3AO⟃kS&dsZ둖ȯ9/o$/QuK5j6sF>~>eBKX+]хMYGqNTΑh]emdGӉ6ݮثAPE\W_lD[>e< _q7| lC2;5*~L;=ta~Z#K_M5mXJ;>)|5*\˔}d͑i_?rY>nDp%=` @gOn1T Oy!\q[N]U qu@_ˠzEQ*Nv*ʼ^F٘km?!E'}2"/+S endstream endobj 4598 0 obj << /Annots [4599 0 R 4603 0 R] /BleedBox [0 0 612 792] /Contents [4604 0 R 4600 0 R 4601 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29013 4602 0 R >> >> /Type /Page >> endobj 4599 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4600 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4601 0 obj << /Length 19 >> stream q /Iabc29013 Do Q endstream endobj 4602 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29014 20690 0 R /Gabc29015 20697 0 R >> /Font << /Fabc29016 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ\ endstream endobj 4603 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=343) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4604 0 obj << /Filter /FlateDecode /Length 5489 >> stream xɎ+ίs`0h4 4cؗ~;[j{I`ϓfRo\wu)}rf ?U/R._~njDž^˗_Bx  >_VgLJ.ЧpϋJ/x[.y €Eyyfi\XUaM ? s$ZA>3%38S Fa%HE {@)]m#p|;bDncD͆2,h.;#Wict/5CxP՗0zD802"lս>EY]:f\T027~Y !eϗ_{ 2`lfS#LL<p*r@d#."HZE_w/(,(HۂA -\V4IQḋRB; x)k e u'10e35W6toY쇭DV y t\6X\zUk|y '1:cԚd/M3t80o(T `G%,:1{Ӭ ty cE^=kK7Bͤ,?Wj^;9m?1uT"Xe%X5]m&G⸙<.Ck w%2UB҈r^aK!V%ڴrV5HJPD՞C)}Rp4Z%T{[7=t$-́ZbkҼl+NRey@U>_-QU|dvAr&0~[ceızIZ$f* >ׂYv t|_i/"DŽU7I"q xPM~4Yi)k4'n(_:omFbrjm: =Nh%˂=ѝ7gr7}r^9'  @ IFV,ߊ_:c"v2HJ# #8xY}T&^ D`1^pfK(Vb4Y!SEwhkޗaN-y}:s/~:,zJ%#Y TSKRՈ|pIѳkqE'URq\d۠?ezjrC6-'PٜB+ DEj6>$N0K($IF0c`u*3Nث;\*ٲE' a:cUZբ"I2d[S~ R-ffUfx|rK ɗFƕıF+Ed$$]IP=l*E2Yy}f~*kg47 %M܅L*r$ɾ9l6ewUF^KXvDkrܴo;esagY9G="'f |ݤV\8+t4DAcθإ`7#ՇY01ŏv̻!j\N6Hl eHl&y{aҳ;CUXsw'gRdZ~Y}gW}Fdq0-ӻHY80""p&ґG,Ȭ?ƈ5e}1fӳPXCR$col3%1]rTD0Q}5wmt J^*i˹4ose;IGqǠGS߃sZ̞^>T1LJQ, 7V ^oOu8bʊ4yH0ꖥ\ Uʎ23Hp0/ipUo),6H{ RĠFDp"[5P"AqAEXַ}%Pg Л`2p0RCݼ,{˃||o*5J~K)տclHRL)Z5T H (Ů[]Y#h- brGD/oeZ+.;vC#|並ȝq9e'ǵ}b,%F-u#F~F)YVis)rkrwt3ޙE^Olg!gլbAzlmr FS@6-pޕ?x^aP)˸RQLVE7y 將 Qu ,zd.t01:EdE ǃQẃB AuX'G_9;^qU׷ =P:g8wYiŵke1^ήVU:bZw Ԇ}vD )ǓW%/6'|=*CDQw՜Mӝ *[B(㖏ǒ>_F(|D ɍ5VZh#+EuwM N隆੷|N&Q\Уqn'?,I "=rXuc]ˆg]{zK =35Z~'op8 9J,KMWb` |x_@/} -,q $qvQ{>*㕯X<4|N|Y 'L'3LjzO^&&4(Qso4 ]F4*+M߅y@hh};pHN0}@I9[;mu´ԉjO^ r; ,% #w1{ GV&pBgpR8avUr Uѱ= [q68YR.eF;M=h,k.=5nR"ƀL1u?/?uţf$ 2ٟ;oxGu@#P&&Nߑc%}8 -Cmw SeY1Y#! mj `e0*4FIJɯDz+wjOa!OoüѦu du:xegHLRMFGp\.)-f@A2DRnM<?H<"0-t&"-b$s%2Di6q*={:d?GM <[ ^Sx梼m}F ֖f 8ZF:=}G|o''\e| hӀvS'MGBKӧlJd=%{j'>E%'][XkYPN&qۯ䔔Po\K@:u姨b2+qZT(1%@xOf~ kzo`G^)e9\J 1^χoH?ݾzG{JOv:p.Ӿ%!!"mcW#pUo~\̾?p %";<^`"8So9{AT|Aaj:xU#ω &@Jce3sErSY,BF25-w*gdkOF}0l~i`g7sw.#`Y0&7%,;E\#%/XSS+6j4ʊX[*7ܤvtALw5Պ(FuBgI덈y҄@frt>L 3יi76RK]Cs(H_Jkܒ6{֎-Mzw:U'o|QY˜ͤe]`qwn5 MTO_NO7_,`#S2/ 3?jWx*Q1nwFD+LS~ OeF\{8e0L.I^R֢>r+mQ3tv`)LwT{"l}k i?m?4̦>;i!roRm10uYm֥T D<}Kdu/Q%?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29032 4609 0 R >> >> /Type /Page >> endobj 4606 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4607 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4608 0 obj << /Length 19 >> stream q /Iabc29032 Do Q endstream endobj 4609 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29033 20690 0 R /Gabc29034 20697 0 R >> /Font << /Fabc29035 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j=-LB.FPBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4611 0 obj << /Filter /FlateDecode /Length 4227 >> stream x\I#Wl@dBR@n;zx.y Z xj#R~/;4RMᚖogmR+/߾IYsP_*b̿I Fyh'±~=*$gO Sz$G˓;#UӜnOnfMwO)iͧ ܡ5ܿGY>a=|w*B#04z:hZ%z`U`cq5'磲K&ޞ`44th\4 =? 2*>,Lg9)Ա',T|78MSrpBs.scQs? zw0AA2BHĜ;ɠ7N%)s+{ieIv`WStư.e" Dd6:!~.lM]&S--vco-1wJTqx8WlB^Ș͕Ufn\K,^4f9Ft:UK)s9;d#53'QH |cQ.vٮf[#DNn)*Ɯ_ZI;p01\W U}VQMJ)6#ћMٝ"m qZѷld8J_tcF[`+ wmU0)Y7rNP}4lQiai_wXPdm@Ky4X:>u-qmu`q,P?u'4:nmFMrNDXy766uie}U$@B,vV ݵ" 6 ͏}2s^_3׺O1F(>ĒlR4`VW\$1?"UWzԕ0Cx䕫媠_nw"ef>m\ŪnX=["RZ+n^2~ :MB=ʋ[JNT6ot0 捸 VE:ZK(עݥd3"h̭lwr [_hY휹 :QNV_aprpUre}fgC4a7n@gwumњiZo-kP3/laxE?-rϐk[TER'%,mXp@PE0)+[ 1R c6z{z@U7:KLf>>W۱Ἵs>۾hsAH8C gdR[yqwZwTE&}9sׯWms貄F{b/n-rωlk\pI|_uԭ{se3LځEt샅V Fme eU**漯k6%l:44%ɛU] =>kNϓAz YntЂqnnwL*OE+V6VE\b6s:P%?gv"lG&m /Iæ%ڴ.+v#{-YF./v,{O.eGO`k@ihsSN#qԺ'ֳj =+*P:8tv߻W"\VDІ‡sS!mY̓kjɭwBф<>f_g=ꌛ&2];?+-k uC2AOd>wv߷ݾ/v.}N*lj |kQza @p%oVAQC`<!2=%)w"Lbd"m+}k@^ד؍ev!\`3R)b }~jms[ʍTw8/6p6yXR1~lҏ<\mF05DI  Dn[R'S}h\l_#NP})~?S 5~1c70ۗٿ(| g5cЃoق5I*#BW>m-*{$\HB]P_XPei8E&_Ӫ0Vח%7eXvR pW_W~Ī{N`0KUOg{nhC:B鏘IG; />.w(4rg͸c!x/BճX!0Bʣ#tZsx=c676pif{ *5{èA$!Zk\&PP 5bUؠtj6 lMEX~EփwUVL %\KL" Xx&]A7lF" 7mdY@ԛ{a~.xu&s@1/SRC@!d(|86G<llY &-hh?DV-5nŒx ..J? c:90 /D-r=S)&Abut),OfzW4܂3.t4g"{\)~3iʒJ?mb9IrmG}i v vipOѬ?(~<[ 6[V [$y _cxw4Hp!5E_h.:&]ӭwq(t~6?1`XUJ!@cGm Od]O2[`^Q<%:<q*Sqd0qD%c⣏|Č :L)$ծ(_AfR<#1X'$3厏j!^&΋QVT& A> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29051 4625 0 R >> >> /Type /Page >> endobj 4613 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4614 0 obj [4613 0 R 4615 0 R 4616 0 R 4617 0 R 4618 0 R 4619 0 R 4620 0 R 4621 0 R 4622 0 R 4626 0 R] endobj 4615 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20210608081201-08'00') /Rect [104.1732 348.5424 167.7037 359.5424] /Subtype /Link /Type /Annot >> endobj 4616 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 332.3424 195.1267 343.3424] /Subtype /Link /Type /Annot >> endobj 4617 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 316.1423 192.6352 327.1423] /Subtype /Link /Type /Annot >> endobj 4618 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 299.9423 178.0272 310.9423] /Subtype /Link /Type /Annot >> endobj 4619 0 obj << /A << /D (unique_539) /S /GoTo >> /Border [0 0 0] /Contents (open_io_design) /M (D:20210608081201-08'00') /Rect [104.1732 283.7423 178.7257 294.7423] /Subtype /Link /Type /Annot >> endobj 4620 0 obj << /A << /D (unique_540) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20210608081201-08'00') /Rect [104.1732 267.5423 167.3957 278.5423] /Subtype /Link /Type /Annot >> endobj 4621 0 obj << /A << /D (unique_551) /S /GoTo >> /Border [0 0 0] /Contents (save_project_as) /M (D:20210608081201-08'00') /Rect [104.1732 251.3423 179.8862 262.3423] /Subtype /Link /Type /Annot >> endobj 4622 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 235.1423 165.4707 246.1423] /Subtype /Link /Type /Annot >> endobj 4623 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4624 0 obj << /Length 19 >> stream q /Iabc29051 Do Q endstream endobj 4625 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29052 20690 0 R /Gabc29053 20697 0 R >> /Font << /Fabc29054 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo$` endstream endobj 4626 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=345) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4627 0 obj << /Filter /FlateDecode /Length 2921 >> stream xɎίy1@ВZ6A9 e~?ocYz1*Ƿo%U;moysN)KO/O׭!ka֚d~[A7 ;Z]|D*ϰ(aY6\>Y[<[:Kݞ'qa9qɼ ͙ 6,XA!i[ (Tܜv?xA_ &źЬH~;2!q-]xM?E q MO3iڣ A?{Ж ,l% IfY!*B + RDݨED\xA37:ϵ8_,D.:xJ[-mt~Xؚeafv:'J3V++$]e#bbUg|\-e ]Yf=[Lo9w: IJY en[ (ZTK x5}س6VjLxw9ȡhLc(o%+J) #fCyExYv|25WqMrvrD7'AS,},,$ hFR  zF)|(+Q;r(Fs]CCu=4Voͻݤ)6jlqkɏG)ߒC*26ԘJm:Jиv׸{ކKLY$a32`DPD;n}FM|\qJNko ƔP`Vş,r.fnuҜ6dn]s6*&ݑ޵.¡+Hb>WƸj]ulz.koO}'yXE\*ٓ WHB ò_J?|Ϋƫ;2қzsեr |w_QpȠǛD)gC^h p`_%Nsޥ};9d΍tQ|w4(o p gq3$S+,b?#`gi{HXQ: q PJ[:xQ+M1z%E[8; ^:]s6PC:‰bmNV|ΛXXSO{Ǩ \.E$ERVeNG*ݯfamf\߳ZgV:RڌnQrI"zǙ[fM?BSը[zdp-a hG=~Br2Mj@KY!>î9މ}!Vu4" +:K&z%"+=31ʷP僜Ɵ3yc׃e:D0iY FGyyO/q4}_Qh&'8 $eUvHn%- B[K% *d#e 7R_@#%|NuU%OLn*e4ja[HB#~VBb7%`R,{"{hPⷈ/ d.HE8VE4fI j9vXIp,Γu$1^4Lrr`-S0i%Ga!S =^Np=NjD~ XVaLW xˡ~l,44rԍ&u;wqئ P?*szD~f];(C> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29070 4635 0 R >> >> /Type /Page >> endobj 4629 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4630 0 obj [4629 0 R 4631 0 R 4632 0 R 4636 0 R] endobj 4631 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [90 248.925 201.1385 259.925] /Subtype /Link /Type /Annot >> endobj 4632 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [206.4515 248.925 229.304 259.925] /Subtype /Link /Type /Annot >> endobj 4633 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4634 0 obj << /Length 19 >> stream q /Iabc29070 Do Q endstream endobj 4635 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29071 20690 0 R /Gabc29072 20697 0 R >> /Font << /Fabc29073 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 4636 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=346) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4637 0 obj << /Filter /FlateDecode /Length 4149 >> stream xn%7sW$0gȭr̩3 7--v*QE)(_3hwǔu(03?$ M`Ͼϯ?~8X o!7ꛯӽZ>[kKبmvsx5|exҼpB; Ab4 z9A3n59ן'8 ߆O'79\srB' t?8xN@|D?緿8 X·Tlz<9!v &IL =c,CcNh C2cv0*MP?d:R,Xc1O?=h H1Pv)f)t1eZe8%ޜe01<<z)20g =Dhy$sظ"/#¤Gf790#c -E{<#dH2 )rُ`d"_'24ey]>w.#Hxx%DBe8nҚGK֠UR_Z!1.U s} ր 6ʴ':h4ڀgcrS .92^Q/srIÉ#ر1-!?vJΈ=S>Q(Jahgp<ע;UtxG[ ?I#$$CR]'0Qi,=5v2qDĞঁзyK4lk1极x69EG#k{XX:߽{\[iܮo.QˢuV Gط>Vc+qL1=Aٺ*TA=DCj/\($ҕ!OZTS^k'YQ9d]PYU5xgmmٯ3_fШ@z\C>f^|@7;md7"*e<<&9]NWne9{s#͸dwH\5V-ldۅRoFKe,͏Nvg (+~B?e~KLToBRA~yA M3umJ~+=}^/=24DlO|G$4q_`(C׻5W #NH+^a!%D̘pQYIZ($SPN-Oq96us of5 @KKCV@%Mt #q KIwd3[ݢo2[ݢgn췙n9jqÊ|9wÊ|9Î|YS#G},< mS9100J P9!kGp9A["x ;0hhY<d, !֮P+֎g 7z-h}O`Ӈ zD]&F-ֲ]9X Ku}p[lIT[ދi7x7:[W>0ᤤJ|[WwP89g,g}Au*kJ3H%1ѵf1S@5^锾!ԋ%Xg#$"VlL5GYΣj$f^wI6qG;L _d3<&TsQ:MS3AF m.4:6N/ 4F.bP޹m0ܻ>G4_̈Mgcjs|c EW3Tg+5(/,d ʬSHLUºux-oKPqjw#m9cفS& 9,m8sӺnĹwYv" u6$Tn>FM^= ! O<ÅkjmΣ,TJcw{CckP͗;Pr$\)ch.f݂F"rb6|WWKh7Fo8 cd*${z)xֶ4NwdBFc4vEO N7c0q3+ lo┉?) ^&ւZ@.}";>ۦ\).G>#^-n [v&יZp@ 8!2G)9.2Vjh#U8NT@_,u)oE-P9KLq~Au1&YSS~9x; ^-ȅcǯ#ͨwERѣÑK-\8HUb#2!#J  ҋx|iP$#S qP d>۲RvK@M+)m`݊ FTWjb @tKnjEUk2[Ȅ|asM&G MU!$^]'&tތE)tuqEf;^}R~vo[.9,J6rw|#g7ϧ%zmH źH]k˗,sk&=u7rʱd0ےt$*^_*f[]V?dXԾg O{nzexWxu$>L}%O/p_ ^FҲ(*.CñjTM.Gk17:?xdo9՗?ByiO cwKARKfL'1LY:cIWw endstream endobj 4638 0 obj << /Annots [4639 0 R 4643 0 R] /BleedBox [0 0 612 792] /Contents [4644 0 R 4640 0 R 4641 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29089 4642 0 R >> >> /Type /Page >> endobj 4639 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4640 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4641 0 obj << /Length 19 >> stream q /Iabc29089 Do Q endstream endobj 4642 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29090 20690 0 R /Gabc29091 20697 0 R >> /Font << /Fabc29092 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1d endstream endobj 4643 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=347) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4644 0 obj << /Filter /FlateDecode /Length 3996 >> stream x\I$WPi 4 ]US `|=cz cўY[ 6CM2P(EZ~_"oߗrM-.Q%IM.EQW)͢}0f}ۋJ}>_*| U2Zxo_Q01\q SLM\S# e҄5KYgB§g ^3Jg)kܘo"aG`ʊ9&­']=y`Z뤴Yi%-(Wb GĘ+ ̪]Ji> t>K~ ~8=*h M("} ~bR*GK-[kyg,N]TQwY'ZM`6!sb^;RDN~u tէ:tM芊kBWt^{a{RTluU+hU\Xʨgql! {Yg(bʽs[ n303g#zzƟO4=1ZfZG:!^хqJAXj6?φ=xf{BJg:· Xhbp L˳o)qV@!Տe)P/5bMW7 تP4iK4~ n3ѹX  ]Y Y1wcx"!rZ5iLˎ$1=`N:r Sp9ɐ5CA,Y a޻ caˆSI`)dbm[`yww8z7 ?q؍{;/r%J,krJLؤCUSÎ55"ė`A eNP,-<O$C{Jd)\^BdޡF)C㿮Lrcto_Z5ԶgWA4:֐[-@ʴ{9_FfĨ(OײJb4y;*9 `=1ƅ wI7 5w8POs]uo,;0hqYEʼnGn_q-7e,)*)v6PCS\8 0gTlw+Y%ዡ0w^f.V\(HKLR8+MuRltLjd6Z?2񽸀3=*hdS]mQe2cR0%-WzLujn[$?R[>l5Ce!:\Itɨ8K~gy[~vq~:`q+twͭB5.N]GNSUwp̀;-6t 6)'w[xq:QZ;rJC$u t\S%Z&>p p'Z =A+119_q$;UZon--l'G̶Vf-Q06`}b ]O7zgG82;(ŝyDBdcl]6 T6rghki6TXSbVZ2A ǛCb*+Thwvu#lyi*Бxzhu;0慛'Vc7ƀgTt"ATl*s_ާӦ o_Upt?i}1kHFG`č oU{rCC,&,\X5X!.F/vMBglUXH˯/\GT5V!gT Ҏ^(K:qp:b0=y13|y%;M3(Wi'=iZj'!8$_ 0P!Umg+6^iPL|$ ]w0\K< |_GuWbҌ8' rЫ ``1|Ť0Ii7pS&3Ү+q{Et+cD{+wfƱscKM2jnbU.(rGijצT0^aN-eZkʫ5u  ] ߳Dx+|Zy QSgB9$ PtkCM'y~{?^9^)_'yοGhb,޿@d,ԭ>DQǬܽ-1 ^5gтUTe&5&MEOW b1˿ArZȣc2cϰ u+_$P# endstream endobj 4645 0 obj << /Annots 4647 0 R /BleedBox [0 0 612 792] /Contents [4658 0 R 4654 0 R 4655 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29108 4656 0 R >> >> /Type /Page >> endobj 4646 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4647 0 obj [4646 0 R 4648 0 R 4649 0 R 4650 0 R 4651 0 R 4652 0 R 4653 0 R 4657 0 R] endobj 4648 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 464.4308 166.3397 475.4308] /Subtype /Link /Type /Annot >> endobj 4649 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 448.2308 164.9372 459.2308] /Subtype /Link /Type /Annot >> endobj 4650 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20210608081201-08'00') /Rect [104.1732 432.0308 195.5447 443.0308] /Subtype /Link /Type /Annot >> endobj 4651 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 415.8308 180.9312 426.8308] /Subtype /Link /Type /Annot >> endobj 4652 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20210608081201-08'00') /Rect [104.1732 399.6307 175.0572 410.6307] /Subtype /Link /Type /Annot >> endobj 4653 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 383.4307 165.4707 394.4307] /Subtype /Link /Type /Annot >> endobj 4654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4655 0 obj << /Length 19 >> stream q /Iabc29108 Do Q endstream endobj 4656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29109 20690 0 R /Gabc29110 20697 0 R >> /Font << /Fabc29111 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMY endstream endobj 4657 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=348) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4658 0 obj << /Filter /FlateDecode /Length 2191 >> stream xYIk$ǯ@c_(E5['=Ɣ }hRI-Fde5h &zӳsVǠ)/kkO15s4Q?>=0gMw6&'~h0al8;;"(؜^;|n˧ {]1/Fsw|앵'ϔ`'Y'`F4#"n yܫ= ۀ@9BlȆ$-{wgh05 gq?vS'߫中Ff7@" GM[nnϬіZY4iM^ӝYظw0WL2iZ$afe+,"7ٮeXIn '7#lEPfN7`,^a8Fי" YYm$׹I-O-"/b9,B7r9%+3 Gh> ss9Nvm[Z^n76'rh89s/XGk>('ȷŚXHu17gD~h3-R7x>(A&O! VS+B1b(M+tF6^j ^OIj]OpuIFZZnmeQI%Oi醘m>(E}+VbГ` W(T \z rp"@ktwm)+9~(km*&C(cZ6o9 J(,ZClɅG5Jr4]جں@_uF@UGL eFMx#LahЊA9Av `˛הS>n_ ^vvԅ:7a'ȝ:a8;7힆zS%Wj]i0fzeLݺc_O;l8a '3a;e8<EW/(w#hw@X; ג?FmހCuRod 6ۜZf_ Zm ȡ֙oF8eeuy6@G##[; =KU[KRoyҎ{Z7L%і=~>y6f[ csVNү,3i8f, BI2@Bf`H>^KS[*Q:Dµv'BSj%*m{24 K1դ0%>}TV~fhE^>˹-,#O3꺞1+vz'BG+eQt*YP q~ܭ(6F&\CQ>I\Eo]V2v]rXj0v[؋9kiUR'Bv~[䝒fxl!WmVQ"eTw~&cnu_唙L;^¢_7$n]/U:O]lm%Jg,_{Ow EJFM `밊P81`P灉?^}<]c.JLigi' > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F13 20737 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29127 4666 0 R >> >> /Type /Page >> endobj 4660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4661 0 obj [4660 0 R 4662 0 R 4663 0 R 4667 0 R] endobj 4662 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 320.625 122.505 331.625] /Subtype /Link /Type /Annot >> endobj 4663 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [127.818 320.625 169.6455 331.625] /Subtype /Link /Type /Annot >> endobj 4664 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4665 0 obj << /Length 19 >> stream q /Iabc29127 Do Q endstream endobj 4666 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29128 20690 0 R /Gabc29129 20697 0 R >> /Font << /Fabc29130 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM-& endstream endobj 4667 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=349) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4668 0 obj << /Filter /FlateDecode /Length 4413 >> stream x\K7W9@3|?H3 ؓ",`!g56,ͮ*~U,ɢϋ^Y+f||Yj^[*E!ˏ'<>j.D<, (}R^lyNK꜊s;C<<=τ²?tv^ 9#%6·9嗟Y*4+_]2,r[IlJG8,+Txz@~<'i|vϿ퉦3'~IߋBlG6w$RÕUeƂyB`vD97gQk ./,: ?T5(@HdHT 5HJ+dH?zlr=3hsmIAe Hb$ a' .֧ AL EBSf)@6F]t0:<[q/ ̈ X9@w?Y8i̾,b# vvA}gtJrӀ6Qjw2#q@PTǷH-o.LvUCjMFxIԁ^-xx^ ŎKmT$Qi=zo]J2̲Z>:p#>s< s4%qk=|+ֳd6\'z^u^% d(a7lVu:yIMr!Yf!7]8gvۀf=//n ŕI(**qmhew/ d.Q+]լscf)Kg3^#0·-6s+ǍMt[#,d2"XT B[X:#s2ƳO3@pm 8FI{턨QèW;3[T @NL@R\u 3Ӣ^j^e㷰CQO(bSJXx(OYsUPzkLM*uG\5" GRW(̾ -'p"'ߧ: fɉnrfZfB<_m~ 5]|g\3kl`^UwFm?ՙ:6/Sy?B!n]fg Kowx,~|+^r\y|Uue]W!̢;V_wn|㠊Zj虂*i2KVm`q#/oAUzmgx}6bӡx4W~>m8pOe01r#^WZUa;*F-vu}jO 0.?BG+ Qgfz NOBW6Nv-M,b ޴-|?+M¶DYPR9+E!W-x~J@1 R?? \tƽhFc3 A7W Zĵ#9n+9i:R6ZVR{={ZjU2CASebQÕk l(##daԽzKcT<*$E٥~`|7RlS( UIy@L /oבyiDGu Fo;1Q]˂mhN2J*^ۏ2; |,~[n-lG:nq8'LsDuh9fp;bqk𲥼ú=P+Vw jq@fD*+bEurȯ=^wصծ[Znv-`ڎh-*-}lV^^l˞lԈF7" GǫpΏϏo(p82-O A}F% ҇:a.y">8B/Ҽ{aF,bboBL}eѯŝ؂6ti@ la[Ez1(pw+YCEW#" [%G,}7 孵nC~QM^v//m6$J|rZ,B|cHZK.[nyg5s\V/ FzUS'5&*G8tW J*ez}jƫ蜘NKQ&nqj9x{@0`^D&'8R%Wﺽf2s)3AFGefS"82XBIKkxl$U#"I+@g$ o[t* J5U\塙o:zi,=e߂*Oρҁ eEFYO5x4 ~=`;Md U}w:e d"0L*"` Ң^l͌[#d݆%T c$#p2h.V寃? JΘ6g7CrY&uXh1j!f5%SgRsa[[ʾ5WF_kz %ȿ#~Dk]Hz'JYxG v呭*x˰`~!{}nx-|76CaQ=|6flja0Z48~늠T SL9b@NQA#<>z@4EX~1`te5DOv3[<:[2Ršܰ2fZ^-t>i*I+v|[y +#ᄐn|3m{ Vs؜gHqZOA8xN>4jvv%oN,Ԥ40B]rhҡ f~$s mr`TqUHO!b~zkc.]jSDOEhhim(i.v.> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29146 4673 0 R >> >> /Type /Page >> endobj 4670 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4671 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4672 0 obj << /Length 19 >> stream q /Iabc29146 Do Q endstream endobj 4673 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29147 20690 0 R /Gabc29148 20697 0 R >> /Font << /Fabc29149 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7QTthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF=ABqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5o)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}D endstream endobj 4674 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=350) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4675 0 obj << /Filter /FlateDecode /Length 4242 >> stream x\Ɏ#+l@4 (IoS3Qm kfRs4s "Ȭ"NZ}_1XDo)_ۭq!Vʼ,]xY 8"$0+"^ޛފoM<\ Ys&_"͐4-/V̉A8sh>e]&+!7yXcF$"P޴˅*Owt 4IH $RE' kEuw뮽e¤Di CW5 b[_^ NQmthɅ14\$Kb.T415J*1;& vRq8AYuMb*in2{fԚTl)V3L bFc9vOY0wpPnԯ,F5iG\a)p0n6+mH ESSb4#Om'h-C=`Dܫ2>d|(\TVnY:3)كoYlklv6kx)2BՐoŲ]{}幦IR쌊/AsOť4({Fg%COwS)48+9n97'V}-t$3̶6lyBxn :yv f̰Πi U8;u:V-K@a~y؎ָ}&l`'ӧTCWT%򵈳EL# )nҥhd>X @<_`^#2C&9MU3r2{/}J z6K5lf=PljHc $"ZcQ1m.RPv]IFσ2%Vs ; |Wykz[>ZUHFcdm'V+Blvi[sxD%v-ؔhέ)̋VN]ڳ-e-*L8]|sEŁCѻ d+ *Ŋb'#ZT#\HEWvZ1 I&Iſ =[y]7FeKiw49ԝ9:"K4A35 D>] "Y;8p(&uj%X4YQeObjM)ݒ[էC-ֲ!ڐneSEp7C#b"wf5nnZ~7d]5m)X#[{Rnؼ~t>v,:l\a'#̑s5sqɦ0u@^d^q܁2XTK:_+l*,AxLd-6+nLB IT4pp’Nfw,wbD\mmr 61ɻua$q W q E!ƺ3rU19TayV>_-ӱzP 3Npoޭ ҰO|8/]is̪ {[p`\˥`R-,UƤ &# RQzfsm0 ` 1}ln W#5\(]НA(7cH{s`Tub(vG_s;AiY5jlw-|oWGlyuǵsnH:j9Ҩ \AZW"}a@."J9S_X~5I[0 r 6n e:W]޲EsVE-4OkA%9577DE駤tmߘ$z}nEʡt+<`(~V(FbؓIg Jd_jhܩ>џ5{햩AnY-'hă#OlhQOPyh7*A 1CϓFqvGꫴ]4Obǂ9Kv_ 9bb >]O9RWZ9w5UrxPf>i 'vpvaa?\N_ THBy:^+ Be4]삟1d.Mz#qgv*b6ݧ0c lqՖv!Ujq *WICV=8F/VHuU *YR5Z_,˖j}JXQQ=%WGxq<%-'Ƹgu"𢤏 >>t{›ϜPJ[YnU& Q86Vϴr,՗< !`Go`σκڹ{bOcGA ׯzd 1ܕui^֥tp68xX_mPVчkۖ*dkp3~*4Um[ߩG8/978s @pLuwKv:`/69STEng9=.ϥC!}<}bl |yt’E>y_VݸrqұBEm\n9(ܺtYyd!eW`wbfҥΐRLeh}*AGd"A>y0'\36&. Xx1fOo"R`}Ρat.f,̃c\6eGW4$K f$Qh>q>lr<_|JtRƴfؑ{Sp\hnʲS~@xP'ScWuimHWV4ʴSD1H覻ܤW2< 'Oz4C̀-{Iq9 , Y pO1Ҏ54+7auRZ"nS44Glk`yV]&AgMxW4ղ'fV? EtiTI Thi_ !1~#.y(, ڧ9RypS!'x*Yʼn%Ne/8d \yALx+|K<|_z]-g 񌀡T-VPPC"ay7UHq_󖘿ezr|ӷI &wX4^ AN( Z?la/$"xs*,)|U5SEUgb5ZC.o$bћ-d4]Q4,,zVg>/k=g endstream endobj 4676 0 obj << /Annots 4678 0 R /BleedBox [0 0 612 792] /Contents [4690 0 R 4686 0 R 4687 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29165 4688 0 R >> >> /Type /Page >> endobj 4677 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4678 0 obj [4677 0 R 4679 0 R 4680 0 R 4681 0 R 4682 0 R 4683 0 R 4684 0 R 4685 0 R 4689 0 R] endobj 4679 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 587.2 201.6332 598.2] /Subtype /Link /Type /Annot >> endobj 4680 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 571 218.5952 582] /Subtype /Link /Type /Annot >> endobj 4681 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (delete_reconfig_modules) /M (D:20210608081201-08'00') /Rect [104.1732 554.8 224.1172 565.8] /Subtype /Link /Type /Annot >> endobj 4682 0 obj << /A << /D (unique_455) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20210608081201-08'00') /Rect [104.1732 538.6 191.7112 549.6] /Subtype /Link /Type /Annot >> endobj 4683 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20210608081201-08'00') /Rect [104.1732 522.4 209.4047 533.4] /Subtype /Link /Type /Annot >> endobj 4684 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_pr_configuration_analysis) /M (D:20210608081201-08'00') /Rect [104.1732 506.2 260.9562 517.1999] /Subtype /Link /Type /Annot >> endobj 4685 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 489.9999 165.4707 500.9999] /Subtype /Link /Type /Annot >> endobj 4686 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4687 0 obj << /Length 19 >> stream q /Iabc29165 Do Q endstream endobj 4688 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29166 20690 0 R /Gabc29167 20697 0 R >> /Font << /Fabc29168 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4690 0 obj << /Filter /FlateDecode /Length 1783 >> stream xڵXn7+x6 lrs0@OJ .nhƖ[BYd-UN[('ۜNQWM?Ir9}z\DC6OOwjmZ##|؞7 UM %}Sq dˍV[woc:FUo?mw,Jn%3#[WћZv+u1 ~v@ c87Q 1q>Z#BWQm(j2=zCy6$`r]|!nK+Z%~r>kkZLR>ѱY?W&_rA>u5,+n%{Z!厀r _=Ƨ#b>An̳qBp(C1=v!)~7O JT,,rᕋ>1ZK#X6$P/U"k`6ة~<ן(gUD/_Ot2Y6V.ɳeyܵiߚr"k0iTW91τpߏ!'>DJ#;?s@u%iX0Ox*Ғĵ"eSlJ)YIəvS $!̼]%Sko7@gam"R1pi \5E1Ϻ_pҁ)KV ̘._| qW&όx όx.Ęo^Em'| x⍙|ɵe> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29184 4698 0 R >> >> /Type /Page >> endobj 4692 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4693 0 obj [4692 0 R 4694 0 R 4695 0 R 4699 0 R] endobj 4694 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 268.125 122.505 279.125] /Subtype /Link /Type /Annot >> endobj 4695 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [127.818 268.125 160.51 279.125] /Subtype /Link /Type /Annot >> endobj 4696 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4697 0 obj << /Length 19 >> stream q /Iabc29184 Do Q endstream endobj 4698 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29185 20690 0 R /Gabc29186 20697 0 R >> /Font << /Fabc29187 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` XI*dzQUh^@wP".*x P@E ۾{wQ endstream endobj 4699 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=352) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4700 0 obj << /Filter /FlateDecode /Length 4100 >> stream x\IodW~ڀo4RK~ji cՏ|dUbq+eQ; I/ߖg0Kҿ.Z-/U],/o?Iiò+O6'[߆y{PR]gӤkT e?P*Ta"N/=m[߿}\SWrFZ%zOYhȚEJiY\Zt^ 4R?AA} jJ_+-G<=,[o=36d`v[jZwt5M%h0 4\(~T.iVkC}w@Ѻ{&~y]hZÂiH|wE t$Ge5%z}Q#4hYcgixO=aywp (ʃa  G0#NRP^b QЧAd5 "4h˒; -S?E">HLO|错qE%: LF% 8Y3b1.FQӤuL_h%ۓzMC.!M+^1's"2CJ 1AbAǁvW. pG@n]v>/~)F/XAYɫvA(6hXÄ^=:9t<]'dX6b@ǑF1#^2NY\NS[0,0Nƨ"d?$#X ҧucGd"tј`'.CBW$aV ru UQ!hp. R Sf*8jFA:LdE}o6̛c(k8=.pNy:ֹٟNyү=1; m-t|;l'g6ِ"[2Xcbr0x <(w}o-gӑns [snj(9}d)7=*>UyZyxVD+*c4A#_8 |OªvD1pda쨋[&MΐB{{6c $,>e2%Q!78w^~L>џgW\Px=7ueAXח |/OEeFfB7 [vʊ[@ SP?eC&yYgͣӕmЉΧ_>}]z~˓0,_}}ZFwy0 h,=pVq2gܭpܚ0 gʜ~n:iҸx#i;zئn?dtM ⌐k64BJ4lyI'[Acۓ+xGضXc&ipX'1WNgǽ ˳FfVZsP VFi<3b'ׇ1rCށ?GgMV7"6W8(hR#Gc TEE2PQzF+#F5ք$jdVNbwZ5pmH8m2[+[00n RQdhHY'+[AR-qT+Y]aW NWU 5pȪJj۳75 0"AqwJ`2 ' dA̅.j\tVIdhzI1fBuܰ I.{bקA%->$KPQnQ"ʗ&Epy2W0[fL^aZ2}5 1;{3٤k*ZrM]C+sB@lƏ+nRajHl~D,70 4*5,9˯"'+We1X8T)BI7TP2v9S3!HWx R{yC)29HK2#E^ss)%^[- 3ʰNt&YMVV:g3!)ZRTf}n.bBϒ;qNVƑhMemeGө6ۮثAPA\slG9OMpU.mCp4!f9΀^*1_~>J5 l ?[gekfp?~irwsd\Y)v .yÃ6 ~Wwy7-=|#]>Os]|(64G`L}R\ԃEZu,+yK[d\OthWLfU &. ]qѶ_`z>5=L endstream endobj 4701 0 obj << /Annots [4702 0 R 4706 0 R] /BleedBox [0 0 612 792] /Contents [4707 0 R 4703 0 R 4704 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29203 4705 0 R >> >> /Type /Page >> endobj 4702 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4703 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4704 0 obj << /Length 19 >> stream q /Iabc29203 Do Q endstream endobj 4705 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29204 20690 0 R /Gabc29205 20697 0 R >> /Font << /Fabc29206 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7qPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 4706 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=353) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4707 0 obj << /Filter /FlateDecode /Length 5545 >> stream x]I%W k_DT[`3m{#G[2=vV<)DRINI/ߖZgJM<~]~bO_D\to_S?㝒ҽNK^GP_O ~z!`Oڡ)V_PxRN(y봢AJe|C0Da e X{O=|i@>`v5`u??B'j> u{ \3iSR:`t[hD4o( <ר|Qf> _b:^-X4#NTg+n+뻓h+& @.JB0}ۏS1[VjV VI{m*%\O uNędf`J3/& RrKE;zJk $X00ovGZj1 AXl7,0f!Y X&ѠѢt iv_r]jۚЃؘ f{q^l4#I}Wc+Ncx[HZx|(MCG;lL3ϊ:°֭&z1{ wM}z-N]4+FX4A;+%ݗSYͻ_XpNN DU`%<]󦫓Iau>YCvrdf6;xA\ Ş#ڥirU%*7v.e&>Ub.4FB^hƂvֈt3_Yg|_h)AD k\XtIjk\)hmvsjЂ**5u@1_Iu 1 [HƟj4-5|̏(5ld|I0FVe%*(*TxXz.X"QEܠD3Ī~VFr*оA`3<@BT|4u\ l&NɗY h O)aZ6+ܪUc#avP5ʉGdi٫^2$Puu@t'^{Uɭ2qboYX4k"}[ p/ F&BSN*l\ƒEa-:K)b{XF? ~(kbU o4f@XѪj :﫣I*T+Pp"Xœ-*zbM%?=5|1-@+P61fNgЯ)0[ ^]PFi u̟A& FLżhsWDCab&֢fC PAGh jÕ"IbudH Un#߻yT~%v슏O;C~ w],ƕHxa*8HI*m]b\Ͷ"brLy94ZK KQl}b:>%X[2S -&@HLr"Z_%Eٍz/]wrs;UȵGx$ix2X g6=FwJF|.02L vT,`0G|ܱ컳ZvgVIZ x?:lA1q[kP옟Jh~w<*".$%2z3ĩԛsЌH#mO\w,7 m&i:۰N.;:o8y΁1;^ |Pq<=p}(knnOf' V4Jmm8dv-hF}>]KOҧkӵZt->Zn > Be?/},fC$,`3ax'iji>W'Xo5BU+9 B黫{tSn0X37K@d${h@ͩ>SuwK&LJ!Yfw>>ʔPn05<~ R%ż%uIy11 uMv'i2%dcar}pt3ψxw1IΤ ^cv&63xFaHSۆ1)vρ#>ʵwfzW硱t6Dnܖ BR}ug7A99k<2䦰˞Vĭ1w%ne͏ Kkygf^5i Sc&Җ 1?U.P}>LD}W.^<&KS;2FZr h~F&t(B'+!cߊ9mguUg auU_.@lT,o9B2O9a~:00V-:9t_@sSL՝dO+Y9(p}>4U\ Z,6.:s}* G _.U CI%6T|yt2|Qnc8vS9bnn Viܙ!\!Rc+XŚCag ;c`?`ѐmM߸zm|j.-15` {l\A cy<9/,[y7Rg~5d[n(|٘i9t Sް+"Wʱ$@@N ubss,Dt!z\EةJq:c hꝸZu+~L:ηhh|e!xUB0 Jl"P/ޞRʹ榙ǩ֩%4%J*0:T|[XQ[~[s* {W[~ zP]©f#SH} o(Ne$%^||c3J)OTp1b̅WT#])Y|;|ˏR2aܑ(R~m5[F׽u+C[WT0tgX2E묿/SuXYR&x.51S,?@&yo ]p;\9<҉~c ю:W}.PcڍcH&()IB+tŧ7mxX)ϐmSC]}bɩ`E&| -DkMo-m2'.> Vi]cq}:HY&x i[:6<'(Vc0A/Oƒ|!WqPL\իxb[z?W{rGVu9@ջ7{-cA\E:~g߅^3TrvҜߛB?*GA\ce(ӵtqj :(ʨǓ _,G7 endstream endobj 4708 0 obj << /Annots 4710 0 R /BleedBox [0 0 612 792] /Contents [4719 0 R 4715 0 R 4716 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29222 4717 0 R >> >> /Type /Page >> endobj 4709 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4710 0 obj [4709 0 R 4711 0 R 4712 0 R 4713 0 R 4714 0 R 4718 0 R] endobj 4711 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20210608081201-08'00') /Rect [104.1732 195.6105 208.4862 206.6105] /Subtype /Link /Type /Annot >> endobj 4712 0 obj << /A << /D (unique_434) /S /GoTo >> /Border [0 0 0] /Contents (generate_reports) /M (D:20210608081201-08'00') /Rect [104.1732 179.4105 186.4147 190.4105] /Subtype /Link /Type /Annot >> endobj 4713 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20210608081201-08'00') /Rect [104.1732 163.2106 193.7737 174.2106] /Subtype /Link /Type /Annot >> endobj 4714 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 147.0106 165.4707 158.0106] /Subtype /Link /Type /Annot >> endobj 4715 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4716 0 obj << /Length 19 >> stream q /Iabc29222 Do Q endstream endobj 4717 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29223 20690 0 R /Gabc29224 20697 0 R >> /Font << /Fabc29225 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM$b endstream endobj 4718 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=354) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4719 0 obj << /Filter /FlateDecode /Length 4375 >> stream x;Ɏ#w~(}JRnçܗ}%S)g^w3$#6\~[1bun+Cq~[ldfvNf Uk-~逬]R6/֮01!Ůa132K&ebc8-ҔwAHbR+.֏^ %M%EZ,lZHҒ1%] QɜX`^K'&ǘ hФfXh7$#y`>@4 J 8TuvKn6.Pr@Bd}.i,B @zӰc]Xl=cՐ҇xL{  sKE}h ]>C`8Re!KB ^5ߴúI΃`ь>- ;-0R?Z5xhDM=-=@]"n"f*I< lM :U'+R`E {$, %[TYG;"JҰ \i V +-I{,0,Tt(Zt:B d8@ÎS=Y8 tUbHZ\HCK:nq`@V SAA5ʺ[]io T֪6RUI\a; R`PGߴ+ ;Eq&_"?aEGQA d=QyQYPbQ 9Syǻ\Pa7i@-%lEAyAY鳠jhܼ/  Q#=`زKVwD,Mϖ % 08ٟ:J/U?)e㗱wj;QN_^_~AGBoGc\{yh:?*9Ȭ'_ ZpADhcnKB(O{0/ۯc,^݉ܓ/&6_.Ov5b}DŘ? gz c_q3 ܿ&Y$a_ -$P$"2q{}RYƘk[MujY'b2X<[--(*&bj^ZQC+l{v {?k@(YNd5A(jNi$kf<<0 t~ EU 9`AMB'5  ]8CVHIi3V De+~~h O#$h&?3fYѸ'UBLȆ;۳Y|13VMsOmƝHDu9踢N!.qpA_萴@bZ >31`q;A'UNЊ'՝IARraw1K^]i+BtȗCꑀ/.!+bp /OP@V F< l6 bF8$j+TР8骿On5[n;6&yaxE=`$72g~˼IkÐ<=U 4t8"ds ^oj5u~[[-!ΌocftM쮓 K2\Н\%DЉ1 ɪysiR1N8-@E7C khGIƦƴ?N~Kt tRŧL5f{fhFź['[}PC?JlM]rUmm(MNVeS?TCP(Mɀ: vFQܑ^' aI^XCY\@ 7rO13&؟V UsJj 6CU͌h3km7=ˆؒL.*=-,J5vF86fSL07rxTpڳYnƿL.Ԣ|_{7Xֵ- 3,hPu܌'V4ڄxB2Z'ۑeoWmI(Q(:XK y\̘ >J1 / gJCB7f߫X^_izdJte/ܝ[eQqi*&: ü痱*,5F,VX=|MNGgv.b~5-%,jM $h6VN>&*,(/ov (%ѫf8WiY@ hA'Wڲ`)Drs[i=T}k{sq-{>wз&.ԨZr#ڸ;u.gtQGv5$xf- ;цKS-%3O!SB%k~*Jb.#Ir6]7yLFH m% ><ܘ7= ;~ӸSL+n}@ ,!=Bu?h>.@~[Bo<3G@~dwyyrw2tyQ=Vё j?̠b#ڶoeKƋs<`Cj0g&q(ƟnOSmFzsn:0@dy䁪uGUzKa^_ݖc.[ <"so^}* B>_;LJL R8ek.[)wKk ^Dq؇26<:Cnw_gEk.cd99TƪKOdc~e噡+9;Ex]sxnsbc ? .n{w:00*V~mK/Gw $U~=U0%U~@I}v%sr8c>[>? A=|LBYrC?TjQ:bAx2d]ĥSP}j@ 6U|X *cax~Ɋ [nwGKq=8 pDntIZۼЇ&0Wטc>_!@G wum]MX=4 aB@J;Цu:lPqw>NdnzN }bHV;Ne5,a2]ΦVECvwDG4W+p 7=n r^My kɟ\F^VJ.YP}j?W=Wu6dq Vʓчri%aٓTT{H%u|QpuCXvcX} $4oL7. Ϥidy @Vdh,'T_B'`EZoIA' O *__`wkK05Kk4%TFX7ҦT>\C0#C+|y\[2uSmۣ3٣X:B[3qP/9 ϫk@F bȇ>DqnwvqDv$;kĦ0˶Pgi0!/9#|V5U>b&W^d, |ߴvC,z"W(WxuSOЦxC3,ʬАu .Β|gcy@SiZo#i7 nK[wȸg9Bȟ^ n ~ ,W's_gril$`m:NE6: μn<STL+{_M֞) iJџ6/ l7I/H}`s?H endstream endobj 4720 0 obj << /Annots 4722 0 R /BleedBox [0 0 612 792] /Contents [4728 0 R 4724 0 R 4725 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29241 4726 0 R >> >> /Type /Page >> endobj 4721 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4722 0 obj [4721 0 R 4723 0 R 4727 0 R] endobj 4723 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 267.325 124.1605 278.325] /Subtype /Link /Type /Annot >> endobj 4724 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4725 0 obj << /Length 19 >> stream q /Iabc29241 Do Q endstream endobj 4726 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29242 20690 0 R /Gabc29243 20697 0 R >> /Font << /Fabc29244 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4728 0 obj << /Filter /FlateDecode /Length 3591 >> stream x\K6W@ `z 7'a'gbfAvkf:-D_,>,H-_diLI|Cg'OE ʧJp''斯N>h-뗲^ȧ'D&#WtT~R!'fe4:-I³U~VV[?g/J|mw2ӞdžY#IV0+kf,"c399cJCPУۚsv~h(y@3 KRgh ~8?һFTB)Z(0fl9G.֯XG΁+Š+?J-P!$Vi)*sa[JA4ϼ^-߃n x>B_.cɂfL2.Gq,.\#؊+8Üff0UȢ6 lq.2O‚N`+f W[!ƕ:wG, #(KT\ 0TܭA]ǧ/7ꨂ!2iDt(֕ٱwEYUG겖[][Y'dn X:ܚX8ǎ00.gu{Em%˚ |nj>P]t|UPV}jqܪC-^iWz[ i$q3҃-nBNZeUNNw/rC/rmeY/y͒w?rC?rdmx!au@C- BCM@󑿛9B;76f0n~lujg֍n}"4\{F|p.bQګr,[ʾO4QJpaPzpC3}Xxj8hSAׅiWMEFB ^E+n QsP.Ǜ;&3=T-=ά!n STD0SCcpAD/H;ǘIkH0)$/r&dy, d6`[НUm:p 3kMc3kNf07~g4Ae-5 !k2s /A=Ax^AxΥ:7Qgi9^eaq*>Oȍ򦲧3S 1\PtnAIKqwU,a691O.mJ"MNN"TMvNys+,, YzWnu/&:.3`2yNE̚oڭ$ ބ;nESt3 Gwi Ș\'oSޏ)rWp0n%G5֤5h)#v gK'0ÿ>B&]SVg~*MScJB@b|J_g 0?r b/jXY\%')zSuu_Y‘ W,j깤f_Q*5S3!վ(/qY0d [{ ?JwI3R\fբ?/㹕QR%y΋.$[KU*-)Sń&gFϋJHq^62ګm/APF}-'>ٖIDħq! wTNu7ii'lslrvQ~nN{.t3}>;y1srϜ;%m&.N=XxcwVp0%^πaz[-^!1Aiu:?/fxWYNM=%=dd0z.ZU+~aʟQωZ-mM+g7o9}4p bکDSj7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29260 4733 0 R >> >> /Type /Page >> endobj 4730 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4731 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4732 0 obj << /Length 19 >> stream q /Iabc29260 Do Q endstream endobj 4733 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29261 20690 0 R /Gabc29262 20697 0 R >> /Font << /Fabc29263 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫Ɖӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ_ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١P̠T[z7}1f endstream endobj 4734 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=356) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4735 0 obj << /Filter /FlateDecode /Length 4684 >> stream xڽ\Iocxg @@ANA 離Fc-UW}671֋-VxFNo_^9<ۯ41qF5#1ʘ?3*;/p31ɸͻO0̃qI7aȷm8B;C=O&-OՌ9Øs|..;1F1i+p:ӦỒ3-5Sz ˨yVM3eL<4ko LbRw-Y"IxQŭjotaOiD[8Mm^cٞrQT؝iD6/`/b5E3Q2ZY~ X~2 ;#;Y O ukgqb} ^w"i8aKN@q)_P_$X-AK/,n/8(d72Gv+@snK\:.(e':#vb*1971aV,jpF؊BnFvx"cFpwca;rS0;$ J"vS<{-ݮ%u= Eo[Ei)(XNU;)(c%eПY0ZjjR:Xns`bbyt~"YF 0&sGV,牒r#*j `}4n6ɭ (R E?1Hq".0 6qD_DbG?AD lQ~bi掁" \dkfpdN_=jd YK;oׅ s#dc̋ ʅ\Pvh^L8tʹpqLvm:w.lb9~l$Qy:9iLcrl#r6:H\H5+#.@ 'ˏH(_oqŽ'9 C~X*&[6g?T" *M| F l'Nl(gkL9HR$XZ#Y7G9+j3p"%:KbuL5=jL=KF%3QrQg&pw}قcyQ9sؿ Qh65AɁ`}x@A9W$afI tKs Urvu0U2Ϝ~6J>m+l-ȆKl.~-)F Q"KFujLBeIe#F$DQ;S0\&j*9*1bTڻbk+sQP݀ ՠ1_#߬5z2Jȹ\фl2Nt۸YRnR[喽XŒ X&;A)?c'.?PIF;(`*.jGҹ~ A&C|78@Uz+1&#e ʵfpR.# ,ֲ[=ri}jXAMⴵė_ *c,cp1˟are@ QAk`j H*Au;nw%;a.wy;ٜs0ć%C\y)sƠvJ~O$cU?ZRFgW3Yz7V0GIo[NZ-@`Ryem IL߱/S :QGt{U8:2T ى UQ!lS=K{ˣ)Z戝vT -3W¹NugH!DC-lç~ Z3jۤOg,pOk)0%!f8UY2YߥFY۫hnm[&YyoIU+ob;ݱz:c8BP7~iGV0d򑓖k)8ss>F]Ef݁]!$s8t3>k%#UXUr 5αiQc}ki>ם CNہ ~|o0ܸ"o5IE_cRr.28:c9^rl8̢.r,cՕZ5)Wwqimrۧ2<j]EY[6n{JhT(.m䉬Dm,/qKsȖMjΒ]nB$wA`(*Y@]2qLxdD_VcaJ,٦{DLԧD՝jQ~E(;骷S<.;$i07U-cm5繆TCVn*ij׈> rѪd:r ,_[!6I[طᚶEܵ9<=٪*x_~ٶd)ԖT ,@~g#ӽ1ЩS>OJCވ<*w3D؉.oP6ɟ ( ֯-L+5bgiem 2fþq9<=NGD__C;Bbh7C\G5mӴ}mrȚSYܬܙi|E֑ _?كz̓1-2]Ϋboiw*-umJ* 'Amx9~e6i685\7N߷oǦpg"޾vmq?7 cϸot7x^OnX% rp4̵臀䄚t8Ӭ/Oљ2W,֡Zn #X*LYdWPn˞-FɒxK^&kM P"w-8$3HZK`wZ&v`T54m%u"W!#9߷ą,t7,]/^0cyFvw9=EP; M)*5!͎Q![sЬSguEV +Xr&;*gG.)c<7,qri?_]x:wKrxj O> RB`iWgH9eJI̕'x#`r=k;<E*?HO,iqra< 9+^P.]l3KT}ti(lC vcIUYOxMǏt%m"wŁx8[;چՁSz IsUgV.P"?+i%)i)"O`GNjoiqa4K,[; QfTB{,}'Emu̬/$jM i߀5]ףέP;*T"[lS)/"GJEs-@7LDZKpßM"-M.ƥtx:3?,(M[??;F ω`8>glX¤!GZG<> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29279 4746 0 R >> >> /Type /Page >> endobj 4737 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4738 0 obj [4737 0 R 4739 0 R 4740 0 R 4741 0 R 4742 0 R 4743 0 R 4747 0 R] endobj 4739 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 567.2307 218.5952 578.2307] /Subtype /Link /Type /Annot >> endobj 4740 0 obj << /A << /D (unique_429) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20210608081201-08'00') /Rect [104.1732 551.0308 203.6957 562.0308] /Subtype /Link /Type /Annot >> endobj 4741 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20210608081201-08'00') /Rect [104.1732 534.8307 160.4767 545.8307] /Subtype /Link /Type /Annot >> endobj 4742 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 518.6307 161.9837 529.6307] /Subtype /Link /Type /Annot >> endobj 4743 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 502.4307 165.4707 513.4307] /Subtype /Link /Type /Annot >> endobj 4744 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4745 0 obj << /Length 19 >> stream q /Iabc29279 Do Q endstream endobj 4746 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29280 20690 0 R /Gabc29281 20697 0 R >> /Font << /Fabc29282 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}7 endstream endobj 4747 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=357) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4748 0 obj << /Filter /FlateDecode /Length 2041 >> stream xڭXKo#W@s~Km999 9?_&-z&ջXlM-+_^e32x Mi]n׫ױE5f :۫Ak돲xwҘr&b2^O/<E\.xQ`ѥ-8%' 1Z'[׭s(9I"L磰mb%d>_JD%C?Y~eǎ|E8F-U܍J. X$h0MX]9oMis109BZHC-E!1G2~RKD@I%2xMN#ﲊ>xt]֨LCP8zX\pIgɹym jimb+inK(ԺR< ^ֹxwՇCw{$LgdЭ|xK<{ՌANCnE5V+>6w-l7MjU|0tw I ih|B%EE"7Y"_jμI+ooHծPᄫP ?2 raj9 xuOF6q=g_ KdL㞉@,Q,mGϤR>M`%P{CJDDe)>F >x+&+|3‹&#Wk$b7*X&އw=hZvf<: 6Tw )&ƂRĺyBK_(D5)HF"éJ6 lA V. O4!]5MxC;CG%UlԌM KL#W^. wԁˤlJz_=DFE-K]"04+`",ѱcpɇOK>o{O_$ի(b!t cA{&^i;N8:t8*ci8V.b 6U '9eZT]bQ P#v hpERg|"e#45d#6Bo }kOhK ~f*b.}w1f!F4AmLGL4+5i4t=zfOWcO~•6%~nm- m88az9Ը \JjzoNp_; uT$'}]/=֎?n8}xay98>{t:YJ[^AN endstream endobj 4749 0 obj << /Annots 4751 0 R /BleedBox [0 0 612 792] /Contents [4757 0 R 4753 0 R 4754 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29298 4755 0 R >> >> /Type /Page >> endobj 4750 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4751 0 obj [4750 0 R 4752 0 R 4756 0 R] endobj 4752 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 363.325 124.1605 374.325] /Subtype /Link /Type /Annot >> endobj 4753 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4754 0 obj << /Length 19 >> stream q /Iabc29298 Do Q endstream endobj 4755 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29299 20690 0 R /Gabc29300 20697 0 R >> /Font << /Fabc29301 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 4756 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=358) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4757 0 obj << /Filter /FlateDecode /Length 3928 >> stream xڵ[K6W@ZI{rs@AN&gŗ'{$RTXUɢ4V;}~J*MN\dUcrӧ}|f.rsizTgcJşk;uOpgVԺ,uHEq]pSwүx7'be ڷ#WWMa/T4%E;\Y~QB&3Jۅe]3*Kҡ\OZ'u5 Ϡ>C3G=?m' Ww>y8eǶ]zmm7ǾHxHb3(LXQg>;LzhRFYHJnPS?#7D oՄXcB;G?e%Ԯ\6Kl_?Xq.Z1vd ~+0ry{sg39g.>ҏ3 ׎ `ú]xI->әđЩ6 c6r};lZý85>/66'2pH8<3%hf/ f, _ADf=kpv.E>,7xoJwf{ -3Khl[x)”z-aw5&/:ZлmʐUֆ ц-q53ntPԭCnzc(+U-AiLV(h`W(N=Y!C#Hsc_x+hƣvRW6-7j*8I}.t ,; Xe$tCZq LoħD>Vx/2(ttsӥQ;\D6mVw-R=`pEEBd/,/Q (f"C{[f+Y'@VyCiS@;6.Ӎ7*(ToM7wm{t6+2|D֋kaWE((KnbGxږ?8J^JR 3` O$_ >h"Ov`9/Е*a _X7LJIpJ/II>mǕz(I l R2tƣh۠fVc  ܸ:b[$-(ȾeRmRkX_Zc-ꤥ;"+k4K:,ḛAeũpk+ulbq%Nn:ZV`*!g.o]^XzPU)uUr*M{bb荛Aa*ghZOzu{etQ#QOաG=3cKCd5q%4!:oaL. Ɛ*!j,q8/k:lhP^t]A^Fw[U0PcC^]9TUS## n7L.kCyV(jegk i79k!ZdcKquw-i'{mf. 7l>fJ!+a˻wnnǚ$S&1%nN*I;lS޻+%&J,]N i{qygx ]~j1]u>^! .f6i;M bukL9EwgNQ\KXfŘWL4]^$T]˥` 0KRPc,rׇyL{P`kӳ$mvB4лA5KcF 8 Q!c>m `Paix!b+F%|Exa(jJ!mQm)4dQDU3%{3bX"A` hoVȊUjՠ82Um]Z+^/Lu1<Ă|M0m{8f_cwZdd8XvqEP/bӝ9 b& ]Cm9&_29d VeQ.Pʹ9CW Xs6' 00zm2hao,PD.XX[N L Mxqk Oat0S 9k8-tsK["].q׌ a>@ue2;.i(P&[q'/7;86mιܼ!HPx!?x,M6oNV_'P'G~>C/6oJAC.$cY D3S=]>@34ƌ] /SZl^ewBZD2RNܤEss|2?yn;իRgnauf`w.a'ʧ%2;DQ#(r\1K(] X޶RČ&s|$f]s0]d˴ye7ZfDn3}.:*͘#AAdO ~ .(snWǕVg(|wIiuMw0ՄQƠ*+qcI #8DG=6#< {׆T|MexrQ2,#դkjZ7s3&f~.4t*t@!xkO3> .WWOKr1hPNgy_!]CN0\ێϽ:@ܮc!&˭$Ո}_r.)aԀkaifU endstream endobj 4758 0 obj << /Annots 4760 0 R /BleedBox [0 0 612 792] /Contents [4766 0 R 4762 0 R 4763 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29317 4764 0 R >> >> /Type /Page >> endobj 4759 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4760 0 obj [4759 0 R 4761 0 R 4765 0 R] endobj 4761 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 552.1884 155.5267 563.1884] /Subtype /Link /Type /Annot >> endobj 4762 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4763 0 obj << /Length 19 >> stream q /Iabc29317 Do Q endstream endobj 4764 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29318 20690 0 R /Gabc29319 20697 0 R >> /Font << /Fabc29320 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZH-'Cf'h~ۣfiW!g3 Ģ@oEM2R"^G+QO TϬ>JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy45ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo3 endstream endobj 4765 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=359) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4766 0 obj << /Filter /FlateDecode /Length 1755 >> stream xڭXK#7WЊ ۀ!$!xzx%RJ_~ 6y{)gu_U;/cjcu]| /epXNQ]Et8̏?YN]zK=<+ee^|y%eYUjkmh [JBpr(e]bhچ>}]t`GGgR3p)u8JЏ'0ZalM8vP;hJn +(hux vq30jZ| 9g^ TXOz$0'.M#_75\(#sZ+!Ɨc þLX G{KM(P0 `67d`OC;否8 'qiFI.ɔ[gz͛GHJ&e4u>1d"'S>CrM!{Ȝyq5rPQNqb6 D'ǡFߖ]T>M"qmcG԰Iw;;sMoVn)OE[brXF3tj|K~ϜT2v5UHuMqy{qbJif`!SNnДm MkY.O15Qypm۹לS̃~A^` (!Z 3P9E?dn4D'Tx])ەkD>N6Vy\<6cOa:r;\]O>Ғĵ#&aKlॐ(pg'%9Bm;ޡC*GL-pUl-o:TSU;oҫ~%&n : HV$: ;pX>\\Vy3tW:kLP(K̘PTQo8;p+>|ba27:hGDIȆjICحqb2 zU%KZߤXz$NśX)jz씆Z.vJq# MS#x}UX,WP^pvIX  ;p&{&{HfbiP&A^全m9v,,bL jM䶔qry1T(;~(h&l1*,uKۨkHi%m SmPm楒<ԕ`Nޤ〼SR=8F*;*OD@ T7ep?q 5uTKUgj/-paTJsYM|TTűP> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29336 4774 0 R >> >> /Type /Page >> endobj 4768 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4769 0 obj [4768 0 R 4770 0 R 4771 0 R 4775 0 R] endobj 4770 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 172.825 122.692 183.825] /Subtype /Link /Type /Annot >> endobj 4771 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 172.825 160.653 183.825] /Subtype /Link /Type /Annot >> endobj 4772 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4773 0 obj << /Length 19 >> stream q /Iabc29336 Do Q endstream endobj 4774 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29337 20690 0 R /Gabc29338 20697 0 R >> /Font << /Fabc29339 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr76 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}K\ endstream endobj 4775 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=360) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4776 0 obj << /Filter /FlateDecode /Length 4346 >> stream x\K7Wk 0 LwO͉=,rr6Y,< ؗKzU[=ΤK,E}$E7.;_xIn I";$g67k};%dBy2VoR9KeH|z= 9?(7?/^:2WIG; ̾Et_>kW' $~oy?ښ%MBYs;O^ *i |DEPG @UJ 59 ׻GDyoPk:Ɂ'm|=D\3?;a5JqAMr- 73 &Pw%q oAN,'舎{#GשXGeq4+/}WO緙3r :IRIh [%y593XY/@T1>rE)$>CȃF^f?~dEBSDc5W%0FkڮGdžX2:53=bb!T M6kYU|tiV3}ݹ= 3J^pUlOWZZUL_ d;tm繌{*={ >l/_1~F/u` v_`6~5]o3 gŘ_ 0[)82M4^@!ӝXJamR8(NvXT_&<'Vd(r`k9 "9˹ 0-S1NFAL;`' \R Rrǩ&mUl8dݑp1'!>~dq ykXw183Tkę]AВq1Y XSD-5g,-jPMwqe|Le>3-{8?نFu=A, DOB6T/nݢyiܜiG ~ +Yؤ7{n,z|B/m*\OswNjXAG6|}"iJVSQʯQD֓"H2'j%I>}QE5T| Ձ=h883fl{ʰd l/& XWLT;&Y!,io1d@?с;(wQ~ ĥBðmioC`xYtf:OPiE%VfhZ-;HPa1r{U/3V#9/֦qn@vڇ;UOx{aiAV˗sKwWtmMh鴉qAvb^?c]G.y?` jzseR!gf<2l1fȰ\O|Aq0'rl1Q )XE$=N~OȺs~ ch*u bNvІT5*,ֈ͌O>`3kql$V-QƏa㋾9F\alEؔ_k9WUR!;!^K֩u$jS&ZXIRI!JnZQdhfӶث6l\ fp<+!i1m4@֝;s+a6Q2=*ʖ~TazEs/Mf7A+~~y}mVJ?~fиfPz^4-_eQ4+AM 6z΍\CבmWWvb̵BqkV -k:r=Va2oxbWE+| )_{v3d'OXPoA<;\G^ d,"pUM "A~dd 6LIҹ KEO(NNT0}XRb&VzN%KnT놧ͦ9aRaI];4[ˁ@e) J%KD]RϾ"XhDH_e4^fN5 3LYm؂,rprI%F 7G:I]ӥWjM"(sRbq.J[\X(d1ZpjHH務Xѫ4 -mN*hk}^kysteF6RÕkK*rqlѨ]2d9,Nos\486x69p\Cd >%ۚ koPscPP.@>vYC]hj6j aӁ5%_Pg^nJvנf+&+jjfyb rm `ӆ\gؗfhm=hG؎Vb=ɻ1=#vdwH~!Ffwnv6M]6ʾ1yf$b)>seS2{Z\+6#̦yu'][eu'GaΞe2yϱy¯,O d7VP-WcbGɥ@]k/&e+\P\ުEƒZ3v5>lp_Kgh華Igji@c6KE=$*жJm:DRA]UjԈ& uKkŰV-roiq嬹$] tHDzLӠ8pX;P&5k +ڽGNMnHq_vܮQJ[t̐ҕ~x[;_ pLw{{`tzrIMGn;~7 "MMJI ܽrMcS0b\½ UY(* %G?T%A$suY4 ;;&93xP&+"Ì2k|*VhM.lYhE$ EƓ~ + H|*JK-e ߅3E pBAB;$ 2,^M a0gB̵HM]6;!t) gl?)JE*& B^lpx$hĖ|1@иAiɟ1u8{!gw,YN.AfA}ٹ|XBx!<"$-M^`GqwY>OULiye@| yJh%&zdkmc*%yGyELn|7B_"3V|1p&;5Ox^0O,)XY%wk)#n4IJw )1K- ؚ̕*U?]׳:-XJ40>~حWx9jAJo=N:nz% L똕v&ӗ8bX]}+1ic"= Uߙ"PވIcIIIPإWt2eXV%1H(B㡜RTQM(cKD|xbCZx~RJwJ+% J/s|߈Zp&y.,eMJV(it+mJd>UEe1KAٜעT8jUd+dGݨT.)Ō'$߬^V0;Dhv?q𳞛AY@/0@2''}\Z/2ʅTtc{s>0rbO^1^`4?,\TvWza.sU||9rgʽ<&)+)}l^f M endstream endobj 4777 0 obj << /Annots [4778 0 R 4782 0 R] /BleedBox [0 0 612 792] /Contents [4783 0 R 4779 0 R 4780 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29355 4781 0 R >> >> /Type /Page >> endobj 4778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4779 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4780 0 obj << /Length 19 >> stream q /Iabc29355 Do Q endstream endobj 4781 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29356 20690 0 R /Gabc29357 20697 0 R >> /Font << /Fabc29358 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypfr8knT-=%CO4yrCodE#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4783 0 obj << /Filter /FlateDecode /Length 4894 >> stream x<ˎ$w}EHYP(2n`O;6j$E""]dEH!Q_")q_(wGfy:x$U/u.Z/_]mJA^OOJi$xR1@R E)R#CbZo1!F*wL PbӀ%  u+l4Lx+.{*?ÿbN&m? xP&ot㊍G̽ :fW c:cG{GMff[Re>dMt4۸Zk#ׄ"OA92i3<)+nA1yFH=rk(6Q<'?qg4 9i0"`-.*~ZE/q`GboQCX›ğ@ D) Y (shXk4Eܽh=s _xCP@ yZ1`!׭go@/nuc" #},s^v`j3=W ͳ؏@c 9~ $u²5;|#u^F׹z!%?͡GOc`-gD X8& PhbEi- [ f9֫C DnmPehĂ୐X~.WxWkr+ASi4ҠA ߓYEtK1SQ}̓GyH4y.VZD-b/ YsXt9 `,':mN)-Ԥz0(ph=\^VnY(/XzDi{J㊗jp~LakL1VisU%pبe;e=xk,w,g!Ѐ{WQuw{Mh GUE@a\Ƚh|(d]Qܡzz)sy_<ի[Xc |%1.ֻR;Ĺ#ر}5v>tcmQHV=Tb+ L1*fŽd[Vy?9 X.[:H^8?n3FEz:p؁ޮ-_v*m7}Bp;Z}#I.1i0UJu1E 4[*w ߱w7摫Қ.#.+;!vd0O,VN2C+85ϥG^>]`n`su8)! VwuNɟ4Z?_Oq&fGM狁R bD4XT>}O̸;XQQ\&tE.Y~=YakA-&|^bpiqNHE&M|BCs jB[4 ֝Y -qiDQGqP`6 9`{t; c6?hXYPi^Y1j^XNu+`fӟ]{[["Q @=i8_M H:̞d%O[zc̚ם;ӅQok|[^Nf1\ _[S-t?iJ8y1 j Anb|H=q͡P%>0||s*I$JF&l-kn~4^}J/`hI;jIZoedՆ_\!{|q7iP|ܽN|13n&θ@޽l>T,QC=Pw&K$:RgHN.=僋z'lOF~' u ևyM<,қ@dtY+dn{;^0&\$Eh_KxSB/A5r𠲶Z1[{5VOC('] &~궫B^NlUi:JfOދy+.%n:O 4r@sn+{ԈIa)~]00vE 9Ca+G%#(hSSEMr:X)xj;I&;LduJTL=Ɍ}2 ѥ-"(m6@+wx $gFH -®u1zob;ᙏɋOLR!l (|`:}SnVJ=lΌD5J h[9HASVD  l܊&vR AL)ۂΚkLd̸}. p{0Qz"cJպN;LkpF @lԫ] pOl3~.rK|-̷|7޳Ǡ@Zhr LEP"h&ZSBru{_LdVcm!0GGB-D൤~!t.Xj_0VPP(C‰?BBıe'$g/oVKo\* TLòX⑩J_o]0?+]z2eP3_\ecfX jjGw/ Z>VlC DX*!Rmb4KF]I%[/E_jaTF\{oaPs{ZM[hsiEi@׹p7N ~{*V#a-ق9KlAIl!ks2MHDWe%r0^݅m|^9EstK?QNiE2k l@FGW%gJ(,+ɘ:.B?02 |_E^9mSJ_~6=ؓ1jȬ(:i?[3#"^S}s`DcSΫ,e\y=JV/1/%'۵ bpb^? endstream endobj 4784 0 obj << /Annots [4785 0 R 4789 0 R] /BleedBox [0 0 612 792] /Contents [4790 0 R 4786 0 R 4787 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29374 4788 0 R >> >> /Type /Page >> endobj 4785 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4786 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4787 0 obj << /Length 19 >> stream q /Iabc29374 Do Q endstream endobj 4788 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29375 20690 0 R /Gabc29376 20697 0 R >> /Font << /Fabc29377 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfS~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwoX` endstream endobj 4789 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=362) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4790 0 obj << /Filter /FlateDecode /Length 3868 >> stream x[K#Wـ `$ `6`6⿟ҶfwQ],UZ~]"|T˧K'k _~aO7 s{W;.^VQHxy⬄]!.V;-oJ%BVs@zo8 !sGG+C V%y>pՉv7*7[/oƁ3=3ā|=-ILNL0'=9o^}S_|3-3Oh:[P9/sNK$pcmZp8S/Cy7]yDI|'a~$IKtiK'F<(t1P/mteΩ#NEk$JdCQ^e ?ѳ,:y=?å5-,Dth+|#ಮjY' qǦoFZ$Nhe#buI»%!ʢe%S;Nai'ce[+̌c%vnb&1X4ࠝ:z*.1 X-1pa*~ D^a|`u:1_Bn{0V<JaqaZ]`jEյbܦgo!2Ɉ6 栴0\4z\p207\+X"e[UQ*D;ž!¥:-?}ْ|YZCժ?>\] rpxUN5hbs|Xp|Q_<}S`SXB>C w JV@/7e{`\HDz΀.xbVjh0딐LfOܶp~8-p 'ݵ!JzP$Gkt:-}!*S@'0Wlb6SU5P-0r 59%ӆ9uSpz+ΏX@!}61yWP>C3jW_b-ؚ\Rr##nRYQwAe(?qt# oi{*r34i؂v!{ a!TM7"g -!lU D1\6]'F,=UܺL/6S^uCn2FlzOтX/":lN$e1=*&IN2xԘR]/seڸi 1iì8*ã{~Ȼ 鰪 *pvWKתŜmdHlC@!v|;b9>16 W?mA^wA 0V 1]&hЕL,bR jʔM}>@A|xH)w)8b?cN6ymffeuM+Z+T;'WVнwe\y'XqM~ wkt.na}>ͅlZºxk4i?..dB6U;׵*sj7(Di$]6nX{Mwd;LD~}|i⛜T\J5\]vVea)aNlNFZ!z!s^OWLVwlWΡ8Hs8_2$ ׺#wE]uCk7+h/g| Yd4_7,"GLxzw^ˏZ޾}bU [=DH w169sF34 ?@=Y!vpT6ZcE3[ `l ŕD%3~Qpu}.u}k;Ko8∅o8xѩ:2C<X_ XOD[8[W/ ꕉцX|wU`!ON?t~tC,&6tC:]yeqXl]>Zc#v0`w7JؠrCx|*b}Knk?/G S垽C6ej,c}n2[)Cn\ASVҌH[@vi'.=`{s|yO|`/`>ǻ@ 4 қ26fWniŝJDH*hTo&/ cYjfJ$9`y*leQ6!R7gS㽮[-emIeArRwdnW|ͨ{m"&=`\R IHKl"D࣎f*^Ri}.B%7hS>گʾ( i Q/R%@Ǒb0u|xT6ạOxl"e3̩ PXü0}RonE>ç|o' eȂ ]|~ +K=Z(oSmW0/c% Ƶ)Or*kNu^?:hBj \ʕdCr` y-%]9V/,Z=* endstream endobj 4791 0 obj << /Annots 4793 0 R /BleedBox [0 0 612 792] /Contents [4801 0 R 4797 0 R 4798 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29393 4799 0 R >> >> /Type /Page >> endobj 4792 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4793 0 obj [4792 0 R 4794 0 R 4795 0 R 4796 0 R 4800 0 R] endobj 4794 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 206.4347 686.7] /Subtype /Link /Type /Annot >> endobj 4795 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 184.1267 670.5] /Subtype /Link /Type /Annot >> endobj 4796 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 169.4802 654.3] /Subtype /Link /Type /Annot >> endobj 4797 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4798 0 obj << /Length 19 >> stream q /Iabc29393 Do Q endstream endobj 4799 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29394 20690 0 R /Gabc29395 20697 0 R >> /Font << /Fabc29396 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7&o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ^ endstream endobj 4800 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=363) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4801 0 obj << /Filter /FlateDecode /Length 1244 >> stream xڭWn+7 +.0*@lNj*Ņ}HjFL&hYC::|hFүju:|]gu ئ9hkeڂ)){/ j5\3@D}t{}P^18g\)˷H;j06_?:B+|k# ' 9l%H9!d$VcO}Mu_!11 |4FY/ϬEt&fdY9\Tcb+}6(8^/ǙF8<^T ejQ:pQc泋//z\+d)TG &&+ ƥfB,LnB-KK _3`jDWMVWm,ơ'O/i9 { E;C+&a$:v>|\g3|E^ݓ_ V0pWuf[M (}nchA~NlSm+_qB3jz~>#R" 6nT 0~zSc;zGn#}w@pERs+h3!sṯ"O.VWp;#@YLXA):7kbufHZ41I[VteTFAEJSuFVuRX/m[YbhK;*z|neEMe@\0ue U@~2@HVjb,H[  H->s^ba8uĶ<7$41VB_{H .4%QIxHyf*lɟ AÌVyvέn5́ea /FGiacݰq GtQThB8N~8 9o.%nd@z95~HSk[<ٽ0$ulɛi@nV#꯬"}w6WDl_|p>Ԁe_DԞN`C1xRWB; O^ :Lwq8F/> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29412 4808 0 R >> >> /Type /Page >> endobj 4803 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4804 0 obj [4803 0 R 4805 0 R 4809 0 R] endobj 4805 0 obj << /A << /D (unique_56_Connect_42_sysgen_commands) /S /GoTo >> /Border [0 0 0] /Contents (SysGen) /M (D:20210608081201-08'00') /Rect [90 386.725 125.827 397.725] /Subtype /Link /Type /Annot >> endobj 4806 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4807 0 obj << /Length 19 >> stream q /Iabc29412 Do Q endstream endobj 4808 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29413 20690 0 R /Gabc29414 20697 0 R >> /Font << /Fabc29415 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 4809 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=364) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4810 0 obj << /Filter /FlateDecode /Length 3955 >> stream xڽn$ί.s_A%>93QK~?oR'=>b NJ._ZglJӿgX|2u~M>&|zYzj ~ܾ|kMjjZ;˾?!sM}yy].Aao?,ƒImMf'e //L-M\\K(kҡF;Yw>BJY[x mYi2 rw3P'Ooa m@k^!$Qq5^%ֺG~ď72~2QNpW3-y!o ^?>GSz;ˢ‚KeI5F@" , 0b) Oh&.| a[) 5$Ǯ> 8CHr"Ϗm\Q{>s~vDKHTV*z(V!cGEƭ>V%k} 5]m)M ꓗ5>Gv\rq3 -q`|aĪڞ@?Ȯ٥*DQ6&EU^!\XHυaZ@}UvX&^2qi?=dѬz\#Z]k\z^:0s Ďԟ] {(j~@iT9'z@8^,ջ\6Π@Eb%W&I{Ci CaD# 6FqM_;ש^ҒCi#J c>tjy'ma9V9uQy,1;CRҚ! [ vd9fuz|`rx35WRX؎<_Z0z t-4w xhFa/_ O@ Mۦ`_;B0Y42W_ 36J)ߨx" vp /0f\7%Mm䄤[hf0XD7\ ^ Z=n 9xTlTj]Z K{[R􀂌rk) LZ#X TfdYq9\b`륎UC4#^n%]g :{T4"&8}U PVzS@ca1`ՒWFS$}썒G쩻Dw.+ P/cR\<6FV*dU[ sot n :G=Tc/3ԝGȷMcoŲ-l`cCZxvM5DI7%5zI} M,,:m-Ɂ`y]:­2юGw b;}c #SvX,.d`#ZH ۼ )yсsu{u-bլl#lzigg6tFYtQ1pBU 꽢}BM9ݱz&zoN?cݵ4$ Jf.CF8d$Kv=ô'/h%lQf@Ɍ)[)h{eߓ6oHO"NQItJNSo!J0U<τ(EAƙ|"Åy٘#]P_ð@MRI"HLC +=/\!gL7a+$k(Յ$ ]u\kSUʓ0qSYMiDQ9yo0&o6ko97\AFfyn3*;zI_F^JU/(KuUGIu5?5hs(j>)?lnCq"vH`m 򋮝w:oɗ5Tuҽɕ[]4ΕlDzR=AU8 B`SXeR|btbH"2LWŤ.lF/4;C}j8¸JP=_S{!gQ8ƕTl-k6lq(XPRI{nQKP|Cw@֨nTmtԸQ  } ÁFnЍy[Phih5օ * Pr|-9[E;26=e7{$q^ư4x`mفR?`ސޡW;Dq.C2۝! iQ~33q6.CC Xtmjy؀ld= ARoTF"y)*%h,-5w{'>iSVm@J@i*qF^pXOUh%i?:x"1r (E(ь]fJ\g^;^ ޱmM#~Kv3y9 o!=myz}7p?Q\T+s3jx%!ONBGGfȟ+&hf4˷"`L<xJ.)3F Z /\E&[͢Mnվ5ʸRYd;@2 l]ZcHahDžϢQLe{co~pu8̿i2`qNŕY._~#zmGL~c{F3x6}c{Nwn}8\;߸t]ïrH,}/Mp%β 6뉀PjI+t {w}~Dȟq^}IGٹvQ۟ R_kOMt;hc! C{pn:U#=Km~mCcN*zۏ|& bn%A& -g,Kd]d?>,j endstream endobj 4811 0 obj << /Annots 4813 0 R /BleedBox [0 0 612 792] /Contents [4822 0 R 4818 0 R 4819 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29431 4820 0 R >> >> /Type /Page >> endobj 4812 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4813 0 obj [4812 0 R 4814 0 R 4815 0 R 4816 0 R 4817 0 R 4821 0 R] endobj 4814 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 451.2384 146.4737 462.2384] /Subtype /Link /Type /Annot >> endobj 4815 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 435.0384 180.3482 446.0384] /Subtype /Link /Type /Annot >> endobj 4816 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20210608081201-08'00') /Rect [104.1732 418.8384 156.5607 429.8384] /Subtype /Link /Type /Annot >> endobj 4817 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (make_wrapper) /M (D:20210608081201-08'00') /Rect [104.1732 402.6384 174.9857 413.6384] /Subtype /Link /Type /Annot >> endobj 4818 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4819 0 obj << /Length 19 >> stream q /Iabc29431 Do Q endstream endobj 4820 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29432 20690 0 R /Gabc29433 20697 0 R >> /Font << /Fabc29434 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4822 0 obj << /Filter /FlateDecode /Length 2321 >> stream xڭYIk%Gȳʹ/ E[Ü46iuߟrSL7ʌbi _/Y. CעO_V`fuE[FxHWx0OO+P1LE9 t`wO /|"% s0('\DC렝!4PY(]nʚZC#&p3 H٘xDmә/wp4!;;YpL !JHD&}w)ߑe+<5iTx`F( Z(fE4b)')b#VӳpY ؓ;=1gc08+\Gׄs~uً3I5F#6ʰ#=ZF%:5X="Hk슉g!4(*H ޚMs{dG_؟}(lf3;˦ =\& u32IBH>kI5]>:m&@Fj{7PXbѲ~GNNu$kYm3DB(9h5ѽ_Sëw"rx}(u9˜R9⻃ڨ5}w"Z@Sr@,%g$etkMnx0 Bo]U,^#v ,A{UD|gRpIsj:E e{WS)p/P8yֻd3'.v\C6]ҢٴAL4ۨS,2+0@3|8sJ`Y1x\9'ѻ0l`3OU { Fw 9kpwa*LP ,[tuS!D8#G9:NLI'⼯S+B -^0{;X+IjYxj%x `LBĠmd-70A$ Nq>idz|Rߠ֪AJkH6&s6?/Ԭ !~t<2)A{EܫƾXaFX{pEMBVԳp&0+a:5@.̺>u0A;4{(v͠]0+2ֺO*zp%)GZm$<@,l;R.RB0E,6pĊt4eߋ pa;(EYeKf?$Y8ᮨW@uӋ(\Q.;[fĀ¯g h Q艿ZLĖP>BeBMV>bg@śCfVW5-\PEKsXnД@p ~LRׄV%kM4m>q|KJ?,L|# @x^e&wB.-QK>MHDMnovCOfUh}nPh0-8כSmO {""-9Um%<̏P[2#EMύFhג?FmސE{Fb̩a_L v #31FNr( FI -[yRT5#u,guCRq%O¿^V KLj4ts@ `t, <"z="#ϬǀW`WʼnD("9"bp$g!lcMF4,mD۔hI84 f$c:OBdhFV!9euy?nce"ƌ&BGC2hY9yOrB/yfv7Q4\ 6>jn79.n M'3rB!֏sޥa&d'2䝒f >l|9dIߕ˂ (bV/z%_Ƥ}&67?ad/q_i;B>$jnѡGVrfHm䇜!?YGk[#1]Kz_IC-@W`; Aw4j # ⷗ \~+!OًpEoh 8AǟDoZ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29450 4827 0 R >> >> /Type /Page >> endobj 4824 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4825 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4826 0 obj << /Length 19 >> stream q /Iabc29450 Do Q endstream endobj 4827 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29451 20690 0 R /Gabc29452 20697 0 R >> /Font << /Fabc29453 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 4828 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=366) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4829 0 obj << /Filter /FlateDecode /Length 4248 >> stream xˎί@+|SLl99cN%DQİ^EX/_5HsKu058;L A8~rVç_Ǝ`O?Hipwv*wԆ'Ԟ.'kHO+?भ7*]-\%A~J(pf? ?FA-eb(1H#`Q/~T:*g'aRMpY|Ӡ<iU9G J?9 >%$&J P P65NcmD$EjPAh//ξKW+Y1!GF^N׈q@„L K gH5M}C(hpϤ|~y)Do:2$jذ*(wRE)I*;vf A(97f,9OI~58ט T8q oԤ;Xp<9eTގlwM"pЍ2{}-(^OT~fތ]f U%GKE(<.RgGˑ8u +n;ӻLjMa-)wFf('璉;9LcRb&IϔI[8Y27#E36Lx424ȠFh6pXAƏU0Q-0F, y4+="N08oj\څ*U 4Z0.W\MsIzpvIJ6 ˪y/V}d`<zp2efn]jYo7-=9ǘ|kL/V<. EI4Cq:⬟pLu+Cd\ZCDzKoa2i}MK4kqä 8i|\2 +ӂUh;^oZ-4b% Պp y˄8@LsN(!6(bmXQOHS; = @džQSdRZъߨF"2[5a{[h`.~jL\2۔ڴBh) GQDgR0.ڦXS'Kv Ωm5,BC^s>t] SmiL<>OjfIɜ[VfS4zf11ƶpٞXvźX̜ǭ=2WcT@|vr /F "&󊽨fSj\ҊMJ&> ן v}6)0sN ~})yZixX% ݐgpRl AY2VϕRq\IFW z:qEY)e2wϰapLC X,ia1;VGƢԛk{m65y-ÌlKY!Ku.T9󆥊{s{3a?$^9r<+Ousj<%dG؁~B\>)xfvƉwg{=:q^iRDbt!OPXΉt)EMĐe;UX"JJA&jU0H$I3¬;c8E K+w~&&q]˥+G9i5(XM~k*é} &PLz<9zfd{nd2۟ ->Zц:^jb%bVi(䶖cv H"f N䀛 m 'GFyq1D\e2R4fbn(Ks#*VҊZEͤD(/0E=\5#.Y=pďO`bU{ZaUnzK[<(TxX !ܡ!Q-:FwG#.97#PRa;IE}wX7Cú"jaYq_, ~[fHZx[BuTu[eCǺ#uZŶu9a1%;Û{߆{6ǯqwB膔?Āym?ې9<.3dævм ۍ6-6bT!$Qu'@;{`<oAؿjQ KkOb`f'j(pv!TVu{r* -[}'?Piq/VIި ~:8;*4QBu;z;T冁ڍv"\F(<ɹXr>sn=S+!JbGn!p `Gf^ #riݨ5Gw-f$aC;LpU.WGpNħu*bu ("&'q^(/ÿܑtDnR>܀G9.SDa ?Up-i*ê Z'BT%5"wʱsbQzbZE,}pazw WO]1~ ͓Vs F G0.~FsQ LQ!Ô8u e:d2=@C1#lu5Jzi鞐M&D9{Vbᐪ&8N$i!#NU/ؗRoQ*Ne)%TL5 jLiߊT2I.ZW\iҵX@gd%Xgbj4T8gXH A:^2U$V2$CKlgQ-u5׮F)d[dm2q`s:/|NY6'Bq8Ď*X09LY5w~>>>ĊG'wtf\?Aqڬk^Z3=S샬v^Ωs/ gp]#@\`c'z$ @|#;;|}Ny/qb`30&X>@e cإG/һ#;^KEakSKX5U|fQ߃j}{zx?uNv'NId0//jw" endstream endobj 4830 0 obj << /Annots 4832 0 R /BleedBox [0 0 612 792] /Contents [4843 0 R 4839 0 R 4840 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29469 4841 0 R >> >> /Type /Page >> endobj 4831 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4832 0 obj [4831 0 R 4833 0 R 4834 0 R 4835 0 R 4836 0 R 4837 0 R 4838 0 R 4842 0 R] endobj 4833 0 obj << /A << /D (unique_56_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20210608081201-08'00') /Rect [90 625.525 124.21 636.525] /Subtype /Link /Type /Annot >> endobj 4834 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [129.523 625.525 152.3755 636.525] /Subtype /Link /Type /Annot >> endobj 4835 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [157.6885 625.525 180.2165 636.525] /Subtype /Link /Type /Annot >> endobj 4836 0 obj << /A << /D (unique_56_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20210608081201-08'00') /Rect [185.5295 625.525 250.2535 636.525] /Subtype /Link /Type /Annot >> endobj 4837 0 obj << /A << /D (unique_56_Connect_42_lint_commands) /S /GoTo >> /Border [0 0 0] /Contents (Lint) /M (D:20210608081201-08'00') /Rect [255.5665 625.525 273.8595 636.525] /Subtype /Link /Type /Annot >> endobj 4838 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [279.1725 625.525 311.6775 636.525] /Subtype /Link /Type /Annot >> endobj 4839 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4840 0 obj << /Length 19 >> stream q /Iabc29469 Do Q endstream endobj 4841 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29470 20690 0 R /Gabc29471 20697 0 R >> /Font << /Fabc29472 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ>l endstream endobj 4842 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=367) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4843 0 obj << /Filter /FlateDecode /Length 6010 >> stream x<Ɏw~E d Ee`̩zچ! =kDfee$2Ɉx||Bf6 /޿olo`Wp4=7 ]N߿ڼп4o7Kv3f7ihAw~ܾcc/z:/^+;2M8>/䷅yT 8ǝ[L-O&g!=I$ A0D0dR%VFOGRwZؕkQY? ¡F? _ٷG+Ooo ,?bK+)_8fIzO@p!!6(r0(2 XțmCnPi~ w z01RwIbq N6N=h PC- '$i66 O 64`/SKhxd֔h⁄iDP ]`MbSAL#5 6 hN7?X>>y~T)O~^G YW:>D@ATlA@;cda Kd+iplc$)bKf3d>eRi La/L0]F/o D2Xn9aIro"ċ86~F~{LaLs+gYR8C do2w?30u:A, _CV5 Eij ؉H7YTB2Y#Diz@"zq.N,⋸D Nq CH,J h4{ ٮ/1m&564꜊R*EŌ¥|b#Ac@>aP#Ӡw0:\%EQGݨI$& ,anBj=ZLx_xx qϤX7L=)RI9zm¿J$־}bwXE>m?u;!D}2BIv vBF1Y NZB|@Ff'3VNc'RtMO:=^'Py1OQ>ZC&30=8Jhĭ:0(j6_L[d#V\^x]@26pK3TfI GZ,Tj\ {0NLGS9lg6܉E/#!OZ>ۇaՙ(@UYz8wV܄@3xǕ dn*dwQotʐAbԷe\AjBԒ$8Ʌk uCʩz"~(e&e?f;(MiL'1޹~T_ևa)e?k(Ȟ.o ࣕ^XUސ%Ńbasjk)v@o!pLp:]n6 c~ RvK pgJt7R.p044 쇙z9*r̽ `\2`QxaFB9'0dŰ#-[s)T6wI:mӻ挔x{@Ws,i!p̿ iAj̅7qKO=B-L1.|`pd$&3]3R ?cEcSQ,pkN8c1̈%?= Z[|#X&tkK7dmF7'ь++;q58z?J!H![^NjxԬ]qL&>>o^LU%5315d5ZZ/s .XM^*Փ-TR*wS䥼Fw-W}h;#*_z %(}J4|93IP ZKy,᧖T̶'TWk nV(Lya0 DZZpM2rjkW3q+9j(⧞a!փP0yy= XH(^~(kר@D K^ꨎLQ%R T Y ~`S@ kԏFw۵N}"g_zGbcXx$S\ɕ>M}Zhף7~a `l*>/][ܦ'I_sym'[Cqa}XzSzܗlϱ7{cI_JI4&z!!-iHۚY el%Ѕ}J+m|Yu\%,1]9)wa^Tn [KXܜiB whaf^0>&¦ Z+}Hu,=!Z@;&5ShzA0ߧ_tGP DPْL dJL}0D51@ a-l] 47n Ӈ.ՑΞ0C ,<;/z)\mLȠt`"5QP;w@i0hL(uXT0vwġm=ѻ4nE$w+Zxk!qnWZ>iTa |nٞjO랕^iz:dɱiЂ}ha,ƲAqmZTEԩVmjdZr4|8R)O|%~L*2딮nd>FܩCQjC|jvj0) xɤ[=7|X]-o{+ 9Pg>֜~~ֹ݄@gKR*`xhE0midzCaGFLȣEv9L\M=53O-{jq7A bg;fS]PXھ ZHs{$1)Ȼ{c`1p_uqQ~|່ݲ,;Rg]&afn[3 ~C j/ֹ-kQz/o^cݜ9j<z0)U_7`355\: zKU4[6@n nJ{¶-"FSumﷸ͊xnd_Q4@o4;&aصuIt*v7# y"|pW7؂ (~KT@ X.;:圕어N] Vx\`6 뷃 : A%19k9צAe" \l P2['\8z@)sQ,X\Â3?ŰSrP\cX + ĕ]N۵qsKMgp*uT"nAn·} |77ov3ViBf}i.w#k?.ȓv&tեЩ-!#ӎxdr7eER[ܮqɍ\̳BıEqx ΅T[ߤUôcTNWth  ͧ ^|%-Ҷvy /{w|><ݛwVǬ5PV:M^Z[.j2[ZŹM&G OE$Mv+RFgD?WY-:o  SV㜊+[MV 3DR1vAI m,^B{^;f{oތ۴#9鍞׷yɽ/'*q%^=`׎. ZRhQ%!sTY항JN&~.Mg&eF:0pǜE  endstream endobj 4844 0 obj << /Annots [4845 0 R 4849 0 R] /BleedBox [0 0 612 792] /Contents [4850 0 R 4846 0 R 4847 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29488 4848 0 R >> >> /Type /Page >> endobj 4845 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4846 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4847 0 obj << /Length 19 >> stream q /Iabc29488 Do Q endstream endobj 4848 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29489 20690 0 R /Gabc29490 20697 0 R >> /Font << /Fabc29491 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMD endstream endobj 4849 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=368) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4850 0 obj << /Filter /FlateDecode /Length 5270 >> stream x<Ɏ,w~E(@70C 2?pKf- ~UdA2F#f}]j^[.E8}Y^ V+|zT:$^NV|V(u@<-_&j 0eY!/sIFi8 e`Q[i1&Ӓ3'*gX9`\K_ENYZ:piX;k.g#(p:'a[ {x}UkvD e(ZkS,)ab4` AVlԗͦL$@,%;F34i'1S/`9Pw}+׎Px\k"<.:Koca&Xt{ϰ,B;gx Pq-N{\٩AdQ.+!*&e֤)Qy ȸ\%#3?_]$À{h1k]a9,C{DEa0\7$t Gcg*Oʀ:}|/g7UD\\ˠ(T2sA^}~\isZVkgsi} GImT(G2l6.2GLn ˶F^HB''J2c`){:EU&V{ΰgGqnڌ7mB㮈߳mncwvgkͨRWh$&c'1|64pVU^ DYYSC68L#Y*WE?@35,'j *Q, ̬涣@8!t8fæh+5`@uqiW_e΁ 51Vo}FIH]o)#>Bu `y=1m6ID]a{fj1{?K: /r%UyF9,ÂYg+WAjxBRН_:N9,*<=4D7w"y9+`F܉f΢ye4|^i~[OyUSdN#jDEu"gziouUQ p43$<΅PG(Ae8yD/w 0~e&W\;h\U(u3?Ht }|۳`%sN;h+-Vrn'-ۋg*fe첉kQ#8k҄ Nc6xrC9:nvc}mH2[% T0fVg 1\IiyjnJ;9m@3K&U]w",*(k/skUҁTW-1dj7~AbnoIkVg}̧\O)l)" 7Xd%{f?dI>,1l$3rUc]bb<<_jo!oaA^FAI%1;%=Gڕ?Xz&˧g(kws'}6Gi~2c@cϪ.<K*QM&_87!1FF7]l^:HuXsF\ߕbIF:?p=@+AZ}HuZGI@h Xb|oWx5O`%ObsfٱSW5H{T/b&q31 ;@=Of7nޛ0fѹ!*r_S-@|1X>OLKy?TFUw {vVkB߫#Uy+JK<\qd fO2 Pt "L- QUe8sT)b.:KcUmK7Y'cBccE.=n҉ x(u!ֲ<,YKM Vs?tdjP6JA-˪!br76KuDL]!mxk܅?,W/\F8U:΃=gdq[,rIj>S{# s?oS28U+wB OnVATv# UE(7V}lmkk.jA#ne={l`r% ܇ĻտUI&kz5E2xr'T7ZqeJ2 ;jZ8v>44WO' F5`~pfjW.k'>7n4py`QG53}v}VM=]8++,5UE+(2B6S}UsR$H:3e D?q*{T ?ei7XDiR6Juq}]09!Ƞ\/+z<;>K*%h%Gq3ZA@%.uB)[rk璱A&SYǗ/erjZƔɝi'e[/1ٜwXiر[4^-,Mk#.z']4Kɜ [ /4džY1i=}$eA 4z5x E=]ZyLYhkPX$#D,"A /X|k!/mt2yԌ6&Ox<$!Dts7.@%dyDDQFG7vEt`ah/>,Y# ]o D?tԸ[j:x;EǧCZ/] o!.pF"bJ|BgR8]jRSܟCgi! mPz B`l 'M0@F> QӘǢ[-=,=R#ƀL1u?/?o<gWiЯi,f:X_{8`:r89@!Gg20?!VEf @Fex1BBwPIİPX kR,biƮQ ;L(I|2%|';4[7j(\thۀn8Pbw`SDuDHfr{i88ꀄKB! eo {-p4/.^^p Ltn Ĥ|ѳ.Nń|O->jJ!8Ji J4uCB5X_Zcb6)\w;(V3P >Ąi@ KiY*%p p#aPLib6nST"r; _K}7ġK^ЩkK ~>O..:.У7bGIcO_jywWuQ~᳽Q^8ߕ^VpNQcНN9JlvHzqaKfQ{[UO z沆Hg#;HTh PP [pMz݊y`Ѳ%r˱_=Q n-@gN.B<{8FN>\0܅47UF(u.kMlYLmV# qCye'Cs: P8_87"R>D@LN%ћm}<j* fA!~KZyܜ,oW>ŅۊýL|k#Ӊ @`D,k6`V߳:lrZ ?\9Ofﭬ=7ݭڕ!@>p4w))>[0U2a3mV3gH.r+znWfsE1~ ^ 3zv+yݵϸ[ Dٕcuorm%o(:2Ӕ2oGjާ .iQEo@bM&TF @@T{\?w$ZxY_`2vx;<~Kt%&~]0#I4j~,Oo"A3dK AKdVԂ jjQPD~Zzd %5ʎ4*VЂ@7mJ{:p|;۝/|ڼ7|bLmJaT1w0dk)oK/ zTWn:.xW)> W2+GEIobD&Gf!]Tô3s(Ի]'m6{n vY#M۷y`2p7Rt.IBZhsp L"(yM&ZP9>]f¿dcc2!6_95G?r"].XjOah8Gi6яbgtϥKωR3BkbSdxt;{=A9Uނ2V%L55+J~(]|0_Us X=X ՝և,[ݧeze=zt+rK<{tCH?(c-ó[^B?ۯ>OW?/OS@*Ie.>?_+O`&c^C3,@ʟ *53F? Vbh>Kszn \V~U+KQ뒓&EgBJ(a endstream endobj 4851 0 obj << /Annots 4853 0 R /BleedBox [0 0 612 792] /Contents [4869 0 R 4865 0 R 4866 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29507 4867 0 R >> >> /Type /Page >> endobj 4852 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4853 0 obj [4852 0 R 4854 0 R 4855 0 R 4856 0 R 4857 0 R 4858 0 R 4859 0 R 4860 0 R 4861 0 R 4862 0 R 4863 0 R 4864 0 R 4868 0 R] endobj 4854 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 240.9346 184.2532 251.9346] /Subtype /Link /Type /Annot >> endobj 4855 0 obj << /A << /D (unique_432) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 224.7346 176.0252 235.7346] /Subtype /Link /Type /Annot >> endobj 4856 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_cdc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 208.5346 192.7342 219.5346] /Subtype /Link /Type /Annot >> endobj 4857 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 192.3346 191.3372 203.3346] /Subtype /Link /Type /Annot >> endobj 4858 0 obj << /A << /D (unique_405) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20210608081201-08'00') /Rect [104.1732 176.1346 239.8747 187.1346] /Subtype /Link /Type /Annot >> endobj 4859 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 159.9347 161.3127 170.9347] /Subtype /Link /Type /Annot >> endobj 4860 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 143.7347 155.7467 154.7347] /Subtype /Link /Type /Annot >> endobj 4861 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 127.5348 154.3497 138.5348] /Subtype /Link /Type /Annot >> endobj 4862 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 111.3348 202.8872 122.3348] /Subtype /Link /Type /Annot >> endobj 4863 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 95.1349 175.9042 106.1349] /Subtype /Link /Type /Annot >> endobj 4864 0 obj << /A << /D (unique_486) /S /GoTo >> /Border [0 0 0] /Contents (write_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 78.9349 170.9762 89.9349] /Subtype /Link /Type /Annot >> endobj 4865 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4866 0 obj << /Length 19 >> stream q /Iabc29507 Do Q endstream endobj 4867 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29508 20690 0 R /Gabc29509 20697 0 R >> /Font << /Fabc29510 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n``ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w:. endstream endobj 4868 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=369) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4869 0 obj << /Filter /FlateDecode /Length 3219 >> stream xnίypg 7rr2qVԒb]E;|Ϻ9^vY7ux?~4 =wֺz]| /(/pՏ((oMwօj0"D_ <4F!^{KxcP>*cЊ0PN@^֦3'^9OX6m/}U/7#@a0vzZfp\;+M;FĠ  ZqiJ޹hBS5EhDkz5! =p!ƹ|v^6dT6^5-C,Jl94ek@ db|x9cpR0mkLD߸dE]Gf;[0mzsu'WJ}DWMbC]0iwY ʡQ- +{x>Vͅ#:g267ll.V=&f$r4RLef `!] d]S!jV:P@o: ġfݦ|JBoܭhu1Dل5ՙk`Wëw<"¼kΤ5 < f'n~۽Ztӽ_q`T^dSa"=10p=lGX$oPr7J a-rv]B#9ϑWbƑCTC'h vd5"OH 7^f[s[0[c.vypy6f+D^-mmEW Gep)7sX +W,@'XɫR9J0b (N@D C'y(cO&v!.8 һx=ͱ>ty a5Q *ˮSnn}^j[1`[^vn `ȋ9͝ţ^sm}ڥb٧zcR@Ϙ8UTOޙ&TQ8<\ ϊ&/meuAMI\Kӹ:vaA~;U]]d_)U Մ\!K>0]5C8GX" hxq8b* o#X[{1ZŹT]%! Ҷͤ(H0 x&cvqi= ,^.+Q!Z څ vE g\MhǡL\].$]`M@EJ@MXO`'$,Bהg͂=gYXϤxRC$2@Z*m`E]D(I _&s\]yyy#//d|k6ws^VYaQ1P * sQLEFbx/MY %Ή#E{=huQfռ 0m\P⾧_6#Լp KS(jPiyJPEIhS M&^nwI yyc{!WZ?rrcߖ'1 Xl{>CLQVp s(YVxl"Y"bFDݱgT|[@]Dy@_;w낼{_noE̋y2D y}L@3`DHj]zx^1(cάhn{[]8S#X{Mqq6'rkvkt9(nE\A+T_vgܻ,;_V~aMIrƏG]yc i[G DŽCßz #vF;R064-d|JǛ6n\Z:KɕcR|7!#&:~u=\g5rݏںtrM2[;zWfMNBӼn8 NvԮZ0#b"c-fwTEk;qʎz 3,-_lwC-PoǪ^Z惔7UĬP qŀ萄 ;B1kwRKbu;%ݬۥtxnlaux_.IC*>f~Q_t0D[LvݘbbMNAcZI^%SZFmW,(DYGX`zI(I!jƲ+P2hZd2Hn]1\\=\L |E$^xe'ՋRgjWa7knÌx?mA3yW֡80nΦ'gRgj468% wi8473lf'\Ԛd &GA'@y [Ky#"zh@ґ!_XeJz3iumEBߓ=.Z[l2\VFJSv-KUkKRlpĖ-Q hG*z夛̀A|]s<D`|S@@,X^xENiCag=<;xh5mN28qT^ȶ1qIZMS"%L$)ݿMLi?u~fbh ?B$װ,#x٣;D u4ex듚$(/b[ME&da9qM%S3J l¡쥒oy(#I?QR}X+I}. Z՗RCՏ"Žv3әUw'?}ź܍uԱXL'B{(rg B+<eE^Lt$4Gd=^v)JJLx-q9b²G=3J<=+$/"E3W0nIx1? Uc1i[8qEޣxӁŮ.EZM:Ak5_1 Oq{ K!%ei7HDGّkI>,: endstream endobj 4870 0 obj << /Annots 4872 0 R /BleedBox [0 0 612 792] /Contents [4878 0 R 4874 0 R 4875 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29526 4876 0 R >> >> /Type /Page >> endobj 4871 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4872 0 obj [4871 0 R 4873 0 R 4877 0 R] endobj 4873 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 359.125 140.4735 370.125] /Subtype /Link /Type /Annot >> endobj 4874 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4875 0 obj << /Length 19 >> stream q /Iabc29526 Do Q endstream endobj 4876 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29527 20690 0 R /Gabc29528 20697 0 R >> /Font << /Fabc29529 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`S.ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%eC7 ufg[X̳Oۏ"bH&|%l)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4CݛQ endstream endobj 4877 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=370) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4878 0 obj << /Filter /FlateDecode /Length 4045 >> stream xڭn7ίsp_AZr-9 rr& K[-QUNe1>R˧ץ֙%%/ux5?ǡί䖏?q9Y o!7ۛoQMƶ֧eo1k+`Z+5`ߗ?/>/j\.}1o?,ƒIiMf'e /LE-]\v%,IJJ$Yk+z|Ts '팗 ~DAgW `B3<?*|{|^^YcXgr ?B`}ϯm͚,|(k) -jOY \^݄66PQP~~NNo +ӆ@k0tOj7pWop-uاċD^XCxqHgnGo<5 }əU05*2C>08 U5]K1VUR%rItEuEt]jKeBVs Bj^=XZ5l+N"kN^uSkQ%HA*;Sq {(jΪ@i4F5x^3bsOpܞ,84M4(PƣetbݒR8],#LMFMp?O^Q21_3VD23ʜ\g1#>_4D3˼"~y쓴WCp@*bw[I7۷ #c|j+}%-`<6@9~iߘ;ES3Q?n\?cXԹGNPٹ~s;[E`vCdF3TxdP9$Pʤzy,;;Qp\'ȃm̮>_BKV^e3(4yPfr,4=9_}/Ӡ6(Ey#SﴪUcHD^, `1aJNC2,_MMДX ryf6xP/vK訶t|.t+nNgR^b&|K k@3m'&vеpҾ`Fu@; )bPi~ +($B`C$!(&9 lWMIz(DS@A}7Mt W=L- jf5V!M;ׂVzkkO@B4U,ZVF1@-= *ll40 uy,2rBKhF, ֽVK tr_% ?ߍiUMpZ7R3uq(zfð &zOVK":J_O7J^fݹX6T(rZo(0ʂwk ߒA<|iM<ﺮW~MEFM(Yʇ~.,0(r*#GYd-u6iv"* d6ݚӡ=ML}24uq%oׂ%ڧd+Ztr,NO6SԖo7xc3z,O3^ bppC2xWDlAfL&skwC-j{6z EGYsdJqWFwDj"ȩA? GSgy[$o [㡝0Vs'5芽hƸ*mjYs켬֣Z4}(ChpYm,IeG{aeq~sf5"95ֱEyGEdc` (^ZNv]ۨ ʭU홟8AE=^ߥ!om ~~i٣pk[e rhb#OH|cO !vVg-M۩Kdom7ۡ?'9bw4^ RUksd2%35@vS + $@QA Ě/.H =ހIKeP$3SQІT|[M)$䖲[X'-QRjj}^Du2A Y+THjcɥ^Tm.KM,-.|n|KedW7wn7k僢!->n 6o>ly _0v!7Ω2$lo7$>3x{G' nǻPLhq{ip[]RKԷ&p%β 8}JJB^yg@ȟ/ϗ3)id?Dvђ~! Ç.?[ÙG5-r}N*Ƚs X {n 7gt\"YȄ'j/0?Ϊ endstream endobj 4879 0 obj << /Annots 4881 0 R /BleedBox [0 0 612 792] /Contents [4892 0 R 4888 0 R 4889 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29545 4890 0 R >> >> /Type /Page >> endobj 4880 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4881 0 obj [4880 0 R 4882 0 R 4883 0 R 4884 0 R 4885 0 R 4886 0 R 4887 0 R 4891 0 R] endobj 4882 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (close_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 436.9384 193.7572 447.9384] /Subtype /Link /Type /Annot >> endobj 4883 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 420.7384 204.0807 431.7384] /Subtype /Link /Type /Annot >> endobj 4884 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20210608081201-08'00') /Rect [104.1732 404.5384 189.2087 415.5384] /Subtype /Link /Type /Annot >> endobj 4885 0 obj << /A << /D (unique_674) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_database) /M (D:20210608081201-08'00') /Rect [104.1732 388.3384 207.2267 399.3384] /Subtype /Link /Type /Annot >> endobj 4886 0 obj << /A << /D (unique_732) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 372.1384 193.4492 383.1384] /Subtype /Link /Type /Annot >> endobj 4887 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 355.9384 190.6607 366.9384] /Subtype /Link /Type /Annot >> endobj 4888 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4889 0 obj << /Length 19 >> stream q /Iabc29545 Do Q endstream endobj 4890 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29546 20690 0 R /Gabc29547 20697 0 R >> /Font << /Fabc29548 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7EжYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLZ{/? D?l˜!E_@9?ɜ F0p|sLѨ\u$x2_}tw%BJJ‰p-ZݛXb endstream endobj 4891 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=371) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4892 0 obj << /Filter /FlateDecode /Length 2516 >> stream xڵZɎ WyJǾj7 `I0 /KfU/jk$2c##6w\[1bߗIhkӷ'Y~zcA> ||A T SQ,?0 HDxzb儓(p3ė#4& AWSS"7bB>3\;ph T, 2p@/-p'D R@ےLotJ502~ C [b,-^B q9jq g#>lA'=4(g\gquW1{*ӂ0|IJvC^:Ϝ=+q'6 M. 6.3uCmS5@fӒ+9ےv% 6@sIɽ<{C f׎Y|t#;`EQCڢunwlW)KunVDg-Cpьdt3EɊۼj7F`؜mNYHiÓ!Z|wp&/9٘5٤_0<]/j/@$1gaKyW ڿ~zx Ǟs+,0ʺH}z/3WT _ X4&;3J9l#qdt~!33 [) !<,7#M(񜛉6zw9_C 0ixf؆}S2N/6$,a2KqLYWoQ4Dڷ A7"p3sP2Rݸ-٨+rD7$Azz z홬 #=c1nZv '$=q{HUkꟁT5#uv2XqꆤhK*M0 k}W. a4N V0K:O>Q6оXgVxңDAp(">ڜh'bp${!lcUZYZ)ђpWҔ#Wx/mO\ehFV!x9eLuۣceh"ƌ:B[C2 qRz!-WMז!"bt bxZܸvvpum)n?)ؖ 4<8 T_V3`1ԶE> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29564 4899 0 R >> >> /Type /Page >> endobj 4894 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4895 0 obj [4894 0 R 4896 0 R 4900 0 R] endobj 4896 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 372.425 124.1605 383.425] /Subtype /Link /Type /Annot >> endobj 4897 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4898 0 obj << /Length 19 >> stream q /Iabc29564 Do Q endstream endobj 4899 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29565 20690 0 R /Gabc29566 20697 0 R >> /Font << /Fabc29567 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~m~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4901 0 obj << /Filter /FlateDecode /Length 3909 >> stream xڵn$_M6 h ͎?yZUݗ~njn^b],Vq/ 7}zjb*CN/ӏ09;1A琲^^?4;_1֯PWhQmZֵS czyz~Q_KDov)? ?PdtZ 4m.N_YamW'^O^MK7qΰpgßq8Cw:7 \)*hetylz9r{2>I0H]4QyeOd"f1xMB*H; 7! Iun+ݢmq@6<<*Y0ϏV$ AO%H ):mτgÝ*޳[MYj+քPtw) geE%:ƎeyYE0Z,6! O:%AmGdD[TLTSV%DrT@ KXP'֛%/ft.@P rY녾-HEi~]*=*@ ;NqYgB|@q,yJ& |(:7KuA`>FS(\x3:ocViƯbfĘ(KXU#sJU"cA> X 2o&y0*bdXrad}/蘲qz!xx݇ż} 2Pd` 踮w5DqzeG ~qFNῡ8CPU<Ʈ5Nǐ ]2&(1dsg:d('+ \.*@%VŀŎC$9xem *+TTtq|D<;&ݒOn̉:D:FɱGg4 D2Ǭ"v ֚۫um͒ bp[zOQھoXTk(eiCa#J c^E83a_ wrQ-[vePi1ͷobmx*aE RgH\[-.t:|x9hp|G*Ԅ_Ya q㩈?MxC+t]h8 ^ӣ~mh|&xei26'sa*KM2h*^a+kq F=WUIz(DS@AI9!zupQݜ*$*pZRժ~Po|v[<Z0e|c[| ZmL>cvHl VŭC'v^S5Q2fH4YJO3kaA!e,b FaM*0E)Kޓs8p;RaimycbΨu&<ݞ3u؞5=NՎEK%mlҷːV@”'ek% QۼIQlE=ko`:f=rс9,B**PAdVvMB5&X%ggfҕDQSx~eɹL=-լ箟uvͺ-A?\/ xMQ/qo.Rqk8ʼnMyZdIxS۾};nao3-bh%ٖ7 !lJ*!PR'ST 1i1+Z1Ru* ^D^`yc}a LU7e ᣊ#[G͗~ ^]LPE,hxf@;&.6t]wI\}֪,4sHvF6*>u1J(ܘpÂ6әK\R6ċ`2ZUߎXԙ.k-oCX ip8r*)`>Cg ;8Sa<̜RpKڥ*hEAy=]K۬ Ǫ1W{̧wc>_!O߀<2.[7a9~t1ݐ5/8!| 6<.Qѓ(r/IX0#ES,MJj2ccؼ <4 _  ֠>dv:d A)Kn EgHl,;pk1cN ĕGfcM8uI--C q (zOT Sj-,3]#{#.a|BqRMO1:+#11qsskdm!#_O)>ZՆVpq& <%fi*̳\!\C+c1 ajپZ8\K UT,òST([LlC SGzC[嗔|rKٹ5,Ye%Oǘ>E^'P꘵bI\"02|,܋Me) ^3)΅ύo8b\T}, 6.ۍVW>(sqfuD_6)͸u ݸdpIRྦྷ1L.)[>!+t/Զ ^MC^.cK0@RUVL+x9l!`ě xBgƳt=LȟX}vȋ\,_R^žQv=x~P|jM"ܵ߀'n7۝0Wqsj? K5ny,!|3䁖JХDg'e `}`s5 endstream endobj 4902 0 obj << /Annots 4904 0 R /BleedBox [0 0 612 792] /Contents [4913 0 R 4909 0 R 4910 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29583 4911 0 R >> >> /Type /Page >> endobj 4903 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4904 0 obj [4903 0 R 4905 0 R 4906 0 R 4907 0 R 4908 0 R 4912 0 R] endobj 4905 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 374.8423 146.4737 385.8423] /Subtype /Link /Type /Annot >> endobj 4906 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 358.6423 180.3482 369.6423] /Subtype /Link /Type /Annot >> endobj 4907 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20210608081201-08'00') /Rect [104.1732 342.4423 156.5607 353.4423] /Subtype /Link /Type /Annot >> endobj 4908 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (make_wrapper) /M (D:20210608081201-08'00') /Rect [104.1732 326.2422 174.9857 337.2422] /Subtype /Link /Type /Annot >> endobj 4909 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4910 0 obj << /Length 19 >> stream q /Iabc29583 Do Q endstream endobj 4911 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29584 20690 0 R /Gabc29585 20697 0 R >> /Font << /Fabc29586 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7iQthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛef endstream endobj 4912 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=373) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4913 0 obj << /Filter /FlateDecode /Length 2572 >> stream xڭZKoWt~ia'mA /U_=ٖcnɪb=*GV薫ϟt:],u{ ZqNi3kkh x3-+ kM mGc&5s{够iuc2Mscq'RVleh9ig1ޟt=NGS14.&IX5hk3$4܁GGC@G*xmoO`g B+xHL'p?>c]cp<)^2R$B=^d=H\ǝT9~ŒD]7<7j޼-dSׯ BtyL3" 1ǝw+$ g@ NcÅ\Wt$t|ޫ2Y'Q4؄_tWw/TLٞi.`/|{ ĮCS1K"tk, ;98 vBTeBlY( |7(xP\b]jU(@̈́y#KZx7\δg!Bmڸ]WoɼxYnI 8L @f;6́0ٍ([^?۹m*: N׹`zc-PJؒ#aZʾ(qA~sFשӅ7Rsg~͝3'u=rHMK=lʒcawhz66u蒩gNK^Ӓ.KzXTg)%ձYml_C{WX:Poƪ̚7B}i[seeIpYVŬ_!kF)Hh?,@mWN[vYsk"~TV%_Z*ayn0=C"qotJΉ Y$Ķ[u#y.u"Qj>#F5<̔ݱ}.k]fY\TKodŝj0RZ\wWe2X+z[wmT˭#r8rC7rҬ1h1h.U>#T2]8v מ!"ۀ^,뱺~w7]*g}B#ݲIϷmZCԛ 4k\vk9oEm%x>09}f:Թ<7A;,`RP~#[91n.Q~;xO3h -<_>iV"H]~@.r 3<)H%_BCu?~@/qd*)~?^a7K~H3ѿ_hH'8YXm+I&o1$*OݠXO*Cz&SeGq\+l( Nl؅j 1zdb] ^FsvY| RNlA8$SlNq-Fɨ3% Df7J{,j d~k{DO/IL/My~j3a)/Bգrk,s}D] ɶTX xQNk1x7v G[uvIHsPɅG?!^}n7)_GMpƓdhAZ1%hی7-z-nW;յQf]}U"-7i;=t oDbun%c 7f{>T344=h-SGg;56հ2[qB[E/jhO _3Վ\BOQsZ;٨;rZ { ۈGYi߀^,Vo f\GW[+A\ yv@W'){? k-OU{O?Sk҈[V7,%-GWLe[7xC2̪4@:c4mg |u!I^LgQxҳ!qhkMNx=M %JX 6឴^Z4Ko4մ8s53V3}Jhm^OMXӌBEC9euKG9f2ngwfښQ7+޳\yt}(v&&BgѸ4^rp]vdX4~1SX}ˋ9.4RBFJ~ FIa7kϪY%x"eLQJ[~6{6KDX:B ӽ'mQS1ood[val7oc,ܶC?J~b1> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29602 4920 0 R >> >> /Type /Page >> endobj 4915 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4916 0 obj [4915 0 R 4917 0 R 4921 0 R] endobj 4917 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 4918 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4919 0 obj << /Length 19 >> stream q /Iabc29602 Do Q endstream endobj 4920 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29603 20690 0 R /Gabc29604 20697 0 R >> /Font << /Fabc29605 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4922 0 obj << /Filter /FlateDecode /Length 3590 >> stream xˎ#ί@M6`m|rZ~GZiYbX,VQ߄8M:cb→Ët Y8ԇ9~ylLHzrbioqf_yP]ֺѺ:"[qڱ9@6l/?hE"'_u4XyxI9-l.TN!0+/;w> K:.s62q|4PiL:{ Co)e`Q0Ac >EAY35˜ _, Gd( X [X;/!Z|'mƲM?o?T6Y`Yi܂9X<c>O@ G@YޟH9'k=(@vujA @h5D׀CPK`$ x(sp¶.L=&9-"P_fFc j6jbF|FrD&Xfn.>'ȯAb"QvާMܔq@KyX)Շd|&e !G^bR;kfh7d8k=|渮jluꕭ#X[*Aġqb!cCqW_-P5na)>ۀ'`p':d'+\.CJZR/mU%p-70 W04eý/Q2,\2pL[I݅9qѵ klc&^̂xXV$Cj"I9QmʍK.K߱grSΖ-giCe#F cƞD9<3aH?3'يؗol A벩NZT3?}1L_&I'=tfĿs=yyI-+ttyG57ޠBC'x#ww|0 4":M$|ei]@Y SY6~c2)%UW<" &} \~YB4TO0 KИf53XLW\ A Zn2rɨbٸԺlsK 2 2Âpan $ZUVlIXIP RKCVFZ{QKk*q8]wzbSNNU4TUnjn:Bجz/;X{qvպQiߨ{]MbJk w$XEWe)Wa+v́Reaeet"sW[ v51\c8@\' ss`nX371wR y:m!oXٺ|[޼26I, )=:A`D9TI R9rJ؆&FZL )9)tJ7 #.GZ S+ӆ;}(jWF@HK"g:lny7a:eၕ=jF$/.HVK!!DV !I0ڒ,bX:`1AbNt%UkAW&2E{D`LCID= ٛ%2p)/k8.$0BF=t۬7h:,\Űa C׭>š{גc-9yAJ})} RU> bt6Ӳ ;Z\Wylti9WK^_u5^1XW+m, u;`0OI XWPS˴1=y*krm#2}8]e%xnFoUGDՍ3)yvvwܬXۊDؖ 6i75kRX{Df9r`8>Zڥ9ex,4GXIh;#{/Ne`L^Je Fjk<)mذIV-m&4_%;V쉫 Jص gd3JX2ĵuݮn׶fϷkvn]8dGtMw-}no%U~Y{u[f։iulCk/j5N;x><*;_z坫2=)mJ_%Y;g ɓ llbK!ї"O Tx8Y:4ugaS)'lK95Ɩp?~D\f}M>hk6 FcLp+f[Xw`R)k-}:2?r(j81dkF5@N(1x'&>4bV-3歛U Ϛ;}fOVt6Zpe8’:l{{-Pp^2[.S{Hѵw>tq/sdr Pc$wR1詬.[@<=ǭ9#jmmڹ9RAH~yPUa/Sl &Ч !Y &!XR7N!ش L^TxfVf4nC h@&7z_,,,ʒ4 "`+3?6Eu]voS 9% ԕGfcM8uIj]@mG _;ʧUNR{t]Owb0__Q]T+K+LHqf~0tp -p}{K:cH38+VZ=CZ$Me6*Dkhe,&A#L-3*%&TQ dX$3S+q؆te= :=|SRY-eְ Od㵏1}b݋NF!1k6 ɇ>|E&Bhʢn)rM#EIedW?)tns1ǡ-i=VM.6n\2^܃ds}bNOTK?א0c <1 z9pv˭i(˕IYrߓFp~O  7yQ.}pn¸S1o =+Tȸʜ\Rums_6~=2o? rC sefpzîc /n1O endstream endobj 4923 0 obj << /Annots 4925 0 R /BleedBox [0 0 612 792] /Contents [4934 0 R 4930 0 R 4931 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29621 4932 0 R >> >> /Type /Page >> endobj 4924 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4925 0 obj [4924 0 R 4926 0 R 4927 0 R 4928 0 R 4929 0 R 4933 0 R] endobj 4926 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_instance) /M (D:20210608081201-08'00') /Rect [104.1732 375.1384 201.6222 386.1384] /Subtype /Link /Type /Annot >> endobj 4927 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20210608081201-08'00') /Rect [104.1732 358.9384 177.9282 369.9384] /Subtype /Link /Type /Annot >> endobj 4928 0 obj << /A << /D (unique_709) /S /GoTo >> /Border [0 0 0] /Contents (load_features) /M (D:20210608081201-08'00') /Rect [104.1732 342.7384 169.0567 353.7384] /Subtype /Link /Type /Annot >> endobj 4929 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 326.5384 182.1687 337.5384] /Subtype /Link /Type /Annot >> endobj 4930 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4931 0 obj << /Length 19 >> stream q /Iabc29621 Do Q endstream endobj 4932 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29622 20690 0 R /Gabc29623 20697 0 R >> /Font << /Fabc29624 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 4933 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=375) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4934 0 obj << /Filter /FlateDecode /Length 2560 >> stream xڵZKk$9WB,wƒXҊ \Mj._ti($Fg'4⠤ҟfמI~ڃX$ V;0C#mg^v'QZ D)(v 5cj(n/U@ $0`Zv0NDee#L)y  Ј4(Mŭ;| 9u51-x7L3`&Y72n2+kZ4NH:^]s5O@@&=ӊm >#/nu PN׬~m7Etd~^ ><_d.cb!VA(dFt})P⸘'QPO(YIRWݡ80'OSKxxX}cfbbp ,@)[ι-,s2$,GafwF+OP{!~ay.;/ݚ/ЦtJDz*2m)?Р\Bt"Ѷݔ8f=}暆"c2RG֟_X~?qA#+d Zܝ}5=W1awM0Έ<͙V}+3݀ `(L13= ( ?6Ԫn,! ;fr!2/`n|@vI5\YƦ=!+97EXw+~mcd iw k?>/r,:dsӥe?Obrs. WD':M>x2. G15z\^;>(>ϑ:$OG>VG>|+IQ[RҒ4jӘ-%6],lsj`HC+c:77) lKE7 B VPV ^gA-cU @ΠiE Nnwʐtsuɹ03 y(;ޕ:sLI (9Nr=gpvKÕ_bqXȦG;CnRiZB5q2 1mN`펒%rWw/ӟՆ\|śXRW0 Z˝˨ r-#GѦUBO՗=Vaf≠U=>R:k] խ.Ofl4ekqfjkGX-|o=28qM떸hG=y9&3l/>3/$NH75L̊͒GWD/g"VQXUJpq;"l0sĩcTm#qUZԬEӔHIV IJ7v[+i?ru?D31ʷP& -- 9?_{:Ǯ 쵉bZ9CIj'Ay e}_Qdh&^XxzF뵛J`% ՄIMqm١K%Md'+)է#%|NuȪ7J SQxÒK^ĿA`݉$>Pb3;\P#徕u#G>ߖNa3&> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29640 4941 0 R >> >> /Type /Page >> endobj 4936 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4937 0 obj [4936 0 R 4938 0 R 4942 0 R] endobj 4938 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 4939 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4940 0 obj << /Length 19 >> stream q /Iabc29640 Do Q endstream endobj 4941 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29641 20690 0 R /Gabc29642 20697 0 R >> /Font << /Fabc29643 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>n endstream endobj 4942 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=376) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4943 0 obj << /Filter /FlateDecode /Length 3652 >> stream xڵn,ί/ @ f>9ɱ@ %ڸtOh$~nus+kgj ;+W_t:],VEPR˛Θ~^l:b~GڈlJP_ Wcy?Tp_~O_WZ?.&+oCNfI@Y>ٚfkO Su&6:XhҘ ߑ^>S@LZ{Wu嶐=< >'藸;q+oPetRW xDF 5Gȿ-R .Yc&_)-جiYZs[BC11{va HpK5=]OIX?L֑fA5K -[YpVcA;שkT`W NĎ_݀x*P4T\dlqK dP@k'C>Y`rYt*.4^0~;!;[RuY *QE\D[I݅9ѻ=yrXhfyE 9dio-+PصbMR%mҍM.MgoS%w/F(/+s'}4ԏ&$7rqqG3gٹ;ZA7pre{Չ[=hyt"B?u-LSDh{_(d?N&& |my!0ᐏT  ﳧ=mޠk!pQJ0 Ѐ"6ME>;#@ϳ\lsvJ)1_ xD <@^W~YB44Q'y .3XDW A Vw=nra٩Ի旑lSKM2 2&6KLV}Pb-1+ 5^j_5D3R%^ZҕQ rqUywgzb֝i Lݔ'Eجz/a${qq$YI,{]MbЊkėXWe.7a+v-Rdaddos7 v51\c8A\9Su97S&h4޶7d Mo^{$`zzКȣМgnwKQ{&J)A !;,5nԧ҄ɂ:YPSgrrߗpncHƇCƙ]ۭd 2-urk)' JokvY }L]7m-ا~tS: 3Ϝ+-f!Oט{$eO0 P#-LKOk1=8\UoL\5$D6#Sg Rc鬡S+h/[OV߂@ 1#Z RKf\#/=y$`>ia>C^EОmz-52111V1f-̤HZޜ Ia:aߍ8[09ɴ#Z? >V3s?"I؇9ndn$KkPo~tAV%9lR |#τ8s R#J'oTutnC6><,B*XHDFg)ٸӘf!ȝJ$hX&^Mzn1m ً,k{6{Toz7(3IXgFr+'@js":& y5J2D.7p"<\6%[?a4bulST`j}k-Ƭ!/):Tn.Γ{«:VĞ3𮨝Ӊg0M|>?YdwnFby=N}in'# @O<썘i4bzF*]ߐa}HJvbڞ,H%-!H@{R?k+W np}PNedqL  8Vȥ_9jF&V3_JfW>az ӘDWnIt30a2+s-P{hï[oY4,́LNU}EYusޫ(c߷63X]27gn-%n2NU'N'So] \'uߩ6%}'Z\v#B^zWkjxMMiiU;yrUn7)KMݧVu[48iF2g$uld kmmn6^̴tK }+$Y0Tpvu4{-u<ߘl~1N jtnG]|MN.:t(u-=PX1exli %,9ucpv~ .; Pg $"~ĴsPUah 5K!ϩA)yw L*ڡŚl6\0ȇƔm>@g̅rA꼸߿S}24n{N@+Db .*^\co|B^mן@{ wu-l.9J@0܇ /VDLѩC `3LI{9-CGѦ6IO6dçf SwPi_cؼ |t͌mb.e(3Q}-!8W?bR0f[,_YuwaqPSk1bQ#Yf`㺤+ؕg۠U§>QKj6bZ2uPt+k\C2OU3`@.pyR-.VxOɅ4 \S+cq49Բ}m+JXeب$3S(5ZLC C,j@ZO< ^~Ig![2Ħ0d.:_x{1OLnt2n1Bo\ru:;~c?ΐmb~1.va"\t{ݹM\ĝ1^ޤg~A=\lѫxv!`t$25GxgTx?dy SEb36Q<݆}q_C rogyN:6Yޝo:9 |RdL5 wgj>9Fe endstream endobj 4944 0 obj << /Annots 4946 0 R /BleedBox [0 0 612 792] /Contents [4952 0 R 4948 0 R 4949 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29659 4950 0 R >> >> /Type /Page >> endobj 4945 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4946 0 obj [4945 0 R 4947 0 R 4951 0 R] endobj 4947 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 312.55 192.8002 323.55] /Subtype /Link /Type /Annot >> endobj 4948 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4949 0 obj << /Length 19 >> stream q /Iabc29659 Do Q endstream endobj 4950 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29660 20690 0 R /Gabc29661 20697 0 R >> /Font << /Fabc29662 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶD endstream endobj 4951 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=377) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4952 0 obj << /Filter /FlateDecode /Length 2415 >> stream xZKo#W@w~Im9,rbaWUd7[mAO7_z| 5D\{1bۃoRfckh~|ֿ=Iϝ199^G; ޫǿc6In.W&^;(T3ރ쀝BƲhm E޸ }Ia,賈YMf"{M$Ľ.;ce-Ѥ43!;Lȼ VMԷ}#gVH($kgI0Rl%fOls'f~ ^=1ͥu1m:y8zˮ!٧JNqL>9ZԱava/waع bͽ; [rr[ϰLRyp["Bs`Z ^Dɕ4SoH<KKں]WjjXzX:ᣙCE@fM]حDhǤVmqEm"AUih3HVƕKdW^Rs0AY~_Ën.B;`X%iƗ8#X=o_<tcF-59ɚ҃o&'ot@ELN7L3doFѧay-Wcd-5m#-ݖyz+Aĸ U(Hl*(_9Tq.#3Za`VK1J!PD@lPQ=^UBP|p? Otۚ:L/B}o;pH㤣:HRv glQ1D\z pW:OD#vtps}Nt4)9'ˡ$1YeCڧ$m iqJu+xTxID{3!}1[_ 6s +g)QMǿ~DA%rL.tK0z\j N#;'4RXqSO c3s̀lB+(P'n}w՝B`G)m?eǫVү6߀ $Uj^\f$?zrhb bqLqSh aUWO7cϝz(`8>~}6nPTsg=R\6R:'og&JUn|"O3HaY*ƕꦦmr[OyEz'5 w4]oӧ}p1t tDH ϟsMc 6ԍ¶}7X52y3we  `c ] eg!P>[i'|}fRBq\wyv!t4iQLysuɹyuμ2 W.ֹH 8J`w^H7^V{ Ov~B24;fizNtO jf 6!br_~.Nh8^W[arW%-\n@ & D|)jlmԆ -qy ۈgLhl}j/r2p3XmiI&֞UZ$\euyQ #Hya칆TE+F3׬ J$WWm **SﳲuUit4mg 00:n`$t7+EYڐYҘPq)^hw!1VdalSܓSkMC/SMKmgn|eMe,(,loޮ I8)4' rlXN(qX塽v}Qbvk)bT}<^k ۈAt28:뉈,9@x endstream endobj 4953 0 obj << /Annots 4955 0 R /BleedBox [0 0 612 792] /Contents [4962 0 R 4958 0 R 4959 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29678 4960 0 R >> >> /Type /Page >> endobj 4954 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4955 0 obj [4954 0 R 4956 0 R 4957 0 R 4961 0 R] endobj 4956 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 400.925 122.505 411.925] /Subtype /Link /Type /Annot >> endobj 4957 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 400.925 156.5005 411.925] /Subtype /Link /Type /Annot >> endobj 4958 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4959 0 obj << /Length 19 >> stream q /Iabc29678 Do Q endstream endobj 4960 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29679 20690 0 R /Gabc29680 20697 0 R >> /Font << /Fabc29681 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7e ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?Kr endstream endobj 4961 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=378) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4962 0 obj << /Filter /FlateDecode /Length 4567 >> stream xɊF_Ar =o|0sj=9'$WZTfddDdl -h[]>.,/`/X|c|Lnvj5܅|gp\W)gj_H2:!{L{@W|ZUӿ~\KGzθWkJ4?(-0+_&n13M\J%/lIJrD5=Ak`?:GWD|4Ltp0>?4 dDˇ2^a8S>``Z@ r)W@_B߭`}/...F--6q* P@T`:E A.{^Aj=R/Ty/7lW$,ee pEGTdS} S5qy.zi;H}n1l"&aSūDjZe"wB8%n)`ڸ{B6lE7:T"YUY7xI ~maX=drzgֳξzǢ,,CsoR.Ϛv >|ٌGOg YG\bECV^,8ǵlEG^Ɖ{KFA5x04\` U *(dP=" ~B8'ٓ/j&[}Aٲ}+d-uB2ˬ"vy< a)ueJ۷=zpOZ},Y4<6@9~y>&ES58~6hb/V澓c 1DWdqA}U}{Egur3=» }r^ah)p ' ogx2|]&t2D^l[EVѼ'Xп*` d0F)%3uRLr#yZ2B!ON&rBz6oY]H@jծ_SoyD=HqƣeRz_ZsaSK  2ʡ &S'"ԙY,!feprm:?r2b!N[:+ٳp&]ʸ\wczfדi L+ޱ6a=:n;^,(}o?E7(y}Ƒ"sY M<9ܡ_feY׹\yܧMJVDIIEw(!N}P$| lKs5M<;7;VW%*o%xZEs50͸[fsѡMƎ;_Ug0r|ŖEl@s#Є`DEᰝv2 F<S]Ar ]vwzV1>n9lX$-HAnw_2_F˹F˦d2)qit>$p$9? %1)ҖMnx+{f:St:)^[EY-< Xgo&\EC28K(ㅎTݹXƦ5 dDwuMd5rhI @9BHƋ221'/)Ŋi8b.gr$0V)yBV;`J&cbLP8(quErldh  p.,A5o,;1*W撇s]߉LJQ\Q,p?'/EyDP!TRNt;^QDqC{Vi_2/ |H Ćv܀ΈOiF$qM -вtʺ_@?ݿN34mDS$"d9V@DZ3hZo^=86rV=l5JnxbYȔݽ aud?YJI7z^t48cʾ@g͊St E|"Lx*NwA~ l>xÜLE9'+x&[5eΛR0@'ˠb#d0,/,]}TUs:в1B^\gqdō;(H @g:sVnPje5z0g$Bυ"Uʌ+~n :,ga hmGs&.A޲7G oxUd@NNlYs1^a;Pܟ1{ۑ4#!O(@GQbvXޓ1Y`uwfU_3e>v6˃1i!\uŗ}gL[QqvaU*ڰ Xk rp/Ċ.$k}Pz`?0QN*KigQ˸SW`5o &MSX-\øn씙i]G>G7l>^' bY*nh)u1-59>5Ԟ2(+RIaWCqM=CN?{݀ R|nsV iL! &s5LjHq{@8)~UJSYp,Ԋc۲bbLQA]OlIǡ2? w-[y[?ZJ:(G])[pLуzv^h53k~[C~]/VVF[wdF?3Cme v~?&KלUiz3=QWve9Ͱ>s`?H{Xg*bc30&9n0 CziB;}gsH ]{ŵ6$P>moƘ\^Hg`evQI"*IסaZlQ $lJDr*JC(Yv+sP=+&AI!o<i:?reaTQ!^\36cfXf{;b/&0@)-IWWRkVpT V nz4B[rb]&$|Yõ$+8) HPu!+0m!Ƿ@0P.7Fp ez`M,j~Z qQvjYXyz{.ܼ >KxK_uBaK@C h "_~kfDoMasn%~ez@=J @gxV#15WYXv֫!UXѝ6 mA5 *4}b8P2(Yk[4 Omi9F[hTݥziadR]7ͩHIoLR ʀfKD7~+o ׊B|nk#9aKڲM rz&tbҪOT5mܕ7x"\+,=gɪ4o8p/P֏ƫҫomaq-S7Gț9u{q&ysX>InLdJc۔;Ը}N"?c'h՘V4UiZ3ˮ8([YQ7L  ?;"CZ}(`ޞ`24Sl5~Pǩi_ث<#CuWLF4h,Ag[ⶔJFM,U"߂Ec#cN i^N dYl4e M)w׀J@1i\'.jdP6(ʺt1"Jl>Ujsu)w#N?ϣQ/[ (]ՉaK6eZƾncRsbד.PN` 4rmd 2lޅcr~ZHFF8q;jT (MX3p(soA) ZO8]l u&um(z~O}->k:HWGr ]Pt\v&^PGYkTBN"6L 8<|aC[7&x,-tQXQQVV{U`SHAmm}:4sm4%T(' ļ6PTC0C|qiV >Gߔ]d쓄pcP3cmG5$g*zF?^B?^0+p}_>/&4>iO01 T%h[94V%0|;QwՅG~?kT)VEsz^ʚ?Ē4 +Zڮ~k5L'2j>9})D{ endstream endobj 4963 0 obj << /Annots 4965 0 R /BleedBox [0 0 612 792] /Contents [4976 0 R 4972 0 R 4973 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29697 4974 0 R >> >> /Type /Page >> endobj 4964 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4965 0 obj [4964 0 R 4966 0 R 4967 0 R 4968 0 R 4969 0 R 4970 0 R 4971 0 R 4975 0 R] endobj 4966 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 163.204 196.6447 174.204] /Subtype /Link /Type /Annot >> endobj 4967 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 147.0041 178.0272 158.0041] /Subtype /Link /Type /Annot >> endobj 4968 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20210608081201-08'00') /Rect [104.1732 130.8041 216.9837 141.8041] /Subtype /Link /Type /Annot >> endobj 4969 0 obj << /A << /D (unique_66) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20210608081201-08'00') /Rect [104.1732 114.6042 230.2717 125.6042] /Subtype /Link /Type /Annot >> endobj 4970 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 98.4042 229.5017 109.4042] /Subtype /Link /Type /Annot >> endobj 4971 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 82.2043 181.7727 93.2043] /Subtype /Link /Type /Annot >> endobj 4972 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4973 0 obj << /Length 19 >> stream q /Iabc29697 Do Q endstream endobj 4974 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29698 20690 0 R /Gabc29699 20697 0 R >> /Font << /Fabc29700 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`fЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY5ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}i endstream endobj 4975 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=379) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4976 0 obj << /Filter /FlateDecode /Length 3554 >> stream xˊ$PZtUu-سv.Y]ݻ3dI 4RR7 ^fS~Y^W'_ǫ.*aV|&GYa(*_f(o9k/ ׺痣|P*d] $$4,s"D<KuM G@ 1=JruaH)2:lɒ]!/@ zW.gzZXwd.61,r9cL)*kpBQ䠲7OL>P3;Ga*)v}eK* «P da{b%i!XL, $ ΠtAIvu R0AX>bO'Y;3 C4aNGA8"Uh t*蟪 TKj p`m3}cr~]_;ڏQiPڨfR P `V20cWmP, 3]4 l 3(g&Y("cl/ۉw7j7]}~fJE$P?;V5jbj}4LWQ5í=u ̕Dq_XeEJy*Q߻ nWe"}s! E=g0TiHsU;vM=8ؘs5>+}`Ѻ.0T[5jo݂w)& & 0 /UuEF4{pUJu/ `n4.Jb0֟ЎY+7UMꁯHzù2Fz O9 >Z/[=Oc^-y{j>lP5Ъ"!.nrқ&:3*Mu_7ѪrAi~3 {5cF ؑͬ -yм)\H_(E䴰&MDf-U"\K>S`Ehyf2L~Cce!ՇdWfaTwbf]<'|Euso!ymI)} u9\}yOk%s#(g2<[u 6=[Jm( \2pSmw\|Y*`ڗ܃jV^V'Npfϙ2|u~'|Vލr?FdlcuބxMz?JJXN̓iiM׶i|=u=$VW^.hvjG5WrA?C ]K_ӏ0cvrmens{"GזBm9Gvh=j54vIq\4;LCK Ż8nv76`7Bq`dkD;<:vK '?ї[}yqv8Ef>U{8L ڨkVw|G_\1EUk}-boE2%mvwV}a-^7ݩҒ+j 7TZZ;zʵDsWʀ(~2.h\G#F{xb'2k9=: PP|눹vȭʸ 0һiACrA*M|Z<_=i"UM3FLXUa3NdkBkv}csJC:r)OEtzY6cEdHo1$R,CX{4(ɽv99VősӅ.R]si_TvsKwh]x5z65 nGx q>9KzSx]xn!87Ƿz/I ai\!8y S:7[/CsFaZ|Q96a,>~I3Swxn$]'7|Bm_\K+jvGx `I;] ] ҏFαa NAuS PoDNȽӼCȇF)>s&q+Ngq3øִz|RtFuXv>Bx|]Mmt7@lDWC=:Wn D= "ـ̟ S)`3Z &`LvB 9>- >+]eD_X; *ZUlr "x[)FߤW,oP+SM&eo+TcPh 0 v7IA\> |X#/?|]']Wl*,{hnAY;-S- a[FBCG:Q%< I\{޶b 6& Zi9pM+9MC,) mR$qȾN~ p U>UMiל>X W 7>'|:s73Taݮ71f!F[!-Rɓy=+)S?liXj=4U̚E+ K G$W/^I hiU/ǡ͙&k 覡(c((:i|M2P.UG9uUQxhqсѨz%t^0 sk; qJ'[*D Z7&%,]7DYψcf4<i FN[Y~N χybhv #HM01]}.7&GoMe@r+Mbn3 R!D]Y 7R+FJ2` 65dzN Sb,? ǍTR [Y*c}ltV`%/ϗx8hy#\ZLrr k<5,g !bW?Õn f7P_ <^8⑙`\;0uspz ;S}zS+?ʜ;,I׎z S?!r}*VYt> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29716 4986 0 R >> >> /Type /Page >> endobj 4978 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4979 0 obj [4978 0 R 4980 0 R 4981 0 R 4982 0 R 4983 0 R 4987 0 R] endobj 4980 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 201.7652 709.9] /Subtype /Link /Type /Annot >> endobj 4981 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 157.1437 693.7] /Subtype /Link /Type /Annot >> endobj 4982 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 180.9312 677.5] /Subtype /Link /Type /Annot >> endobj 4983 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 165.4707 661.3] /Subtype /Link /Type /Annot >> endobj 4984 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4985 0 obj << /Length 19 >> stream q /Iabc29716 Do Q endstream endobj 4986 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29717 20690 0 R /Gabc29718 20697 0 R >> /Font << /Fabc29719 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x77 fc}Lh < ӄ9pZ [n`+жYzr"T,䪍(?WG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~uQF䴫3^JمQQ@;b)G?+QO T>Twl@+pצb/mk: S[${JLh*dKRG"i}J.\[-: ufg[XLWۏ"ÐL$3j4>S~3c e/ KiܟBd#Xr^8`s269I*d~{)ƲΡLH9.;2Fsh8J-ZݛXd endstream endobj 4987 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=380) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4988 0 obj << /Filter /FlateDecode /Length 1199 >> stream xڭWj,7+jhҫ%= Y0QIVg.& =RIS 1~SibJ}PLbW};:2N/R7kfGYz?OyNk3'H?;𰣄wʡy٢Î,X'26A_U nb z9nns[ҥX]ͫGO%$Mڦ\8oP1tg,+xRv&Tg('&_ z~Uot9$Za|֜\4Ea6YهjXJ,(pN9J%WuTg2V*Й{Ȩ2mX@TkAFl@ن(m_nW2J Ì/y[sWH^+V’7ɏʁϨJ탿_`g~abb]+[T=ȎyI'%qf`P;﷣m>i\ $"_0mG榃ð?|%:OX׉'+%JIgr k c}xGCF{<9'֖# /'|29\X45I+o72&s~I$|uTlcsw->Z)S.m`^P*R]NXCth)v#}5e#"l endstream endobj 4989 0 obj << /Annots 4991 0 R /BleedBox [0 0 612 792] /Contents [4999 0 R 4995 0 R 4996 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29735 4997 0 R >> >> /Type /Page >> endobj 4990 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4991 0 obj [4990 0 R 4992 0 R 4993 0 R 4994 0 R 4998 0 R] endobj 4992 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 400.925 122.505 411.925] /Subtype /Link /Type /Annot >> endobj 4993 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 400.925 161.9785 411.925] /Subtype /Link /Type /Annot >> endobj 4994 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [167.2915 400.925 195.974 411.925] /Subtype /Link /Type /Annot >> endobj 4995 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4996 0 obj << /Length 19 >> stream q /Iabc29735 Do Q endstream endobj 4997 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29736 20690 0 R /Gabc29737 20697 0 R >> /Font << /Fabc29738 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`SQthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4999 0 obj << /Filter /FlateDecode /Length 4115 >> stream xɎίـ 9 q 0S7-'ǯ%RdX{b Vb/K3KK6إo^bq[1;}V].wOj5L I=[g>#d?Uݚ|Y}]vW|]U?- q ֔h?^#S-f [)%-PI?-5`?:G0>}Z0%DD"KxAiRdRzl(Ybg "kf>]h ^OۯfK M\~~g@g" f/{=w`%;o=Kw,|dQu(+UB֨F-TPf6ѽ>"3Vtbۋegw\&hddh#~\s;9CLT5OZɃoݲ'QP+qN$'Q_^[}d  e]ⓐ2]$ϫc=KGnuVi{ﱌ{AY,y=<6@9~y>&kql^<+}'>) _5✃Ҁ}{Ÿiur3=»E (|@/Jfx`>CDu@ym r#sZE_a)C%` _Y2h:^ygHE)&9\ !SO-M'rBz6oY]H@jծ?ފv{<:GF60\,dVChY[|y5ufdYq9\b`UC4#n%]HiݹX&P/cSk.WiQli@&nQf!sS2,1 +HgK;f* II[ ;Bh)e6jc\ Fq3]thWՙ<8_%nn&w<:P4!laD50pPNfوjk:[肵c&B޷_.vR}1(=,K_O3h̽)f45&;p,FWXJC PV Cv˶1>-jqet<9#ˉNܡֹ]=,0@>Y,d>yҸ|/Dz[<Σ*Z:IE^/bJnpNRGA a(B:|= ֟*EɁ.cHwLPyHjdZD5g]3BA]XNX(Yv\ejS\do³TD })"O;B\&̵0s#P lo`a:Bt}Ɨ# z< %Dtp$A*өU{l6Ҹ&VhYc:Ij݀{cv-j$~id 8li,Nd+Ƚqlm8A6]î䆕EgsN.(v}ر"h4w]׬XڮZAPD'B HE./p`YB#0f1M  1qGa2٧V)v0Jx8 V,6Mn 2 _TU9'u_ :;%,nؙG#u/uΕpowݱuU b+JI_υ"S|Ɗle:g5 CVR_8A޲7G1w7,12 ؁QQ'n,Vf`804D3hs9 2Js3o:Oᓻ>'cתgʞ}m#w U.J,0϶nmE =UagΖ3a!p+AĖtޓ@=: {w@A :fws:H#:[5Hqj='թs9݈. >?>QQVf(wS{ܥmNYRᱻc[|?qnbyMXNn߫ab'Z 6w[Ct/Y.#Rqe_"u@~ TĶA(u";D0DՍHٜ 3]j/8i"lvGK Ҡ¾vdz4=Qm|fLL x[Oxm$`3ib|mtk4F9 Kai6x [Rb V6Chw23+ v3X. B'N Cxݑ Z&#صu ܤMsݘ';Qz]ݤ:c[r (~ݵbxӇ(A Ac<BDF' :?l+Mx7? 5-[ͻpLίx#M3&p te +X0O(eN6HgH$;aq<{1XYcRgJ'ۡDžoyCGox#:kDdTG\bxMC_f}FfY_+ *\-ȅ"|o7Lhf4˽"`=ћK/ɍUepq)c ajؾ^$am}oTQ3ێRIVTCV S~ xjh"-Ҷvy!c_ٽbZlKYsZ->LMe+ 3)΍o9b\P}, ~6.ۍf|P400[4ǒ`#9A\=h|Slsw,ЗR>Uwf|[ s{?>[l%'+"1AOeEcc\L!>|gGM ($gz~xy3!/ \WKr'Ǵ '3dJM<io?\[!K(<5Q;Jg7 9=ReM 틩ؿXH7烴]2v@ 1gQž endstream endobj 5000 0 obj << /Annots [5001 0 R 5005 0 R] /BleedBox [0 0 612 792] /Contents [5006 0 R 5002 0 R 5003 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29754 5004 0 R >> >> /Type /Page >> endobj 5001 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5002 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5003 0 obj << /Length 19 >> stream q /Iabc29754 Do Q endstream endobj 5004 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29755 20690 0 R /Gabc29756 20697 0 R >> /Font << /Fabc29757 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7eMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛeh endstream endobj 5005 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=382) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5006 0 obj << /Filter /FlateDecode /Length 3919 >> stream xɊ$_gAb_i&kX=}-Z%ddŒ/޾DD-^b%Xj>Poⴍ hmOi)r\l:O_YX@l9а8mQJVcRvG쳋Ƽ| ~YvЪ~[v.i]"L3`:Fr̜#L`LvppZ qP?/?\ZJ飫_[.k2:'Bo XKkĝ#QBPC] #60 o ?@v#zׄ<>f~_Ѓ5˧'nep§>{c}^llAUPж>@{^|W 2Z ^'x#dLx J p18%ls H sr}U*h/xV]G{C.--<t4AiLWX nj%Z|<>/4q 6 *\K V tK23OM ǣ'~f6q%᱐N^6`?PGA@uZy pkhI!^29%P(RU+M9kkM$#NIokًOگl `@1ذG|^x%#\T#; ZoL^?^~gɅbfЃmy^ghptnH[Ԇ=8ɒbb֘-X.0 /X?V=O2_s@egOu6;|)%>ñ8y[_^i}d.v *c@A-‰@aٯU^VI1S3Wo>!j_V1a(ײ H=ɔ8']Y!A^sDm<W\OIq! ^z~܂ԤZ^#Bߍ?͆YCUԍ^0aߞ T#BJa1W*'$TsJm`!lPr IoNsNdZu#W@%QV(XɖWcՐu}qFfxݕ1<}MHB4llHIyxF*yf?;ս+=0;%xPE=4PvTÜl;3=NMV|z:ąͬ z*d`8ږWŪ[nv7VĨ jpx64fnn0Z\4mgm:6a̰[5<_GOJ]: oWBRzas0 >x]ە1|Yo;0wh_oi 9} zMP /YeN>IGXw7 syG,wKy ?lZ({;33P;'ߊFxv5*?S杗1!sRu< CNc*E#I`\bgMQysL2\_^vW $GAQSs}7seiiEZfl;DJnPuٞ My:doWix0݋ZMJeUkD7k*њx -~QDh=:Hg:Pl/l4u;X['*,WŰo`ocz3_ư: *V (zFƱ< θ-OT<$);Ӱ^0Z;V.p&eG#/GݸwLՌS4sn={FEK":nP}:LλDd\g u/OWm>Iwh y yu koQLx-H--R<۶92ݚ9;{y=ҺݎּnecA? BI-60|6\8hl-k8oQVD;=.ߴC;ȾBYuK!/܁|0,H&Z|9hl b/6ֱv9% 2dxAQ{}F ut|7auH.^\c< |"|ye&M3U׫k^+(_cڻvUu<7Fn{7 !!W܆}vTo< _nT!LLw[ηLHh܆s.6&(ØРdtȬ+S 0ʒ7rŒ/SDFߐ]Ўz$1XFbueQo5z8uK]"_JKZwQK}@;ʧޫ|XBD X"r@b -Ln5Me/gMe~`yB(Hʉt "`dLOSN lkqi ajٿ -_wkI U,)6.L#&W c=AMni;ex!,fwXXMf_I1 ϣbc\Hq M,:2<-Enu q$ Ob C;|W418ˍbZ5ÜsYSg %W_':|lV9p܏ۓkE!;Dƕ.鄓d#@0cϠ,Z Qp'hA9nYV)63mޚLyPWB~Ls|O"ra. Ab2^YӠ?6aһ&*/kP}T4E~D&?j׋ X`r| %gfADNJNM7?kWZ endstream endobj 5007 0 obj << /Annots 5009 0 R /BleedBox [0 0 612 792] /Contents [5022 0 R 5018 0 R 5019 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29773 5020 0 R >> >> /Type /Page >> endobj 5008 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5009 0 obj [5008 0 R 5010 0 R 5011 0 R 5012 0 R 5013 0 R 5014 0 R 5015 0 R 5016 0 R 5017 0 R 5021 0 R] endobj 5010 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 536.1 178.0272 547.1] /Subtype /Link /Type /Annot >> endobj 5011 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20210608081201-08'00') /Rect [104.1732 519.9 216.9837 530.9] /Subtype /Link /Type /Annot >> endobj 5012 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 503.7 157.1437 514.6999] /Subtype /Link /Type /Annot >> endobj 5013 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 487.4999 229.5017 498.4999] /Subtype /Link /Type /Annot >> endobj 5014 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20210608081201-08'00') /Rect [104.1732 471.2999 201.7652 482.2999] /Subtype /Link /Type /Annot >> endobj 5015 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 455.0999 181.7727 466.0999] /Subtype /Link /Type /Annot >> endobj 5016 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 438.8999 180.9312 449.8999] /Subtype /Link /Type /Annot >> endobj 5017 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 422.6999 165.4707 433.6999] /Subtype /Link /Type /Annot >> endobj 5018 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5019 0 obj << /Length 19 >> stream q /Iabc29773 Do Q endstream endobj 5020 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29774 20690 0 R /Gabc29775 20697 0 R >> /Font << /Fabc29776 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`SMЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛk endstream endobj 5021 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=383) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5022 0 obj << /Filter /FlateDecode /Length 2012 >> stream xYKo$Wli1r|RQ?_Uh-Ɏ,>zQE;mO~|ҽuud=~N XVkMIZwz7wh.1m, ;UVg1(0&v=H壵˼δd>Ztvo}4;]У "Ib;p02 scrɱa0ɚȚ7d ae>cG A*8iO@{Oarr}}8,"|Z]iɰT h [poæfb b ^{_ n1 ƹ+$[Q_R[+@7 hBID=j~5jDxh M`jڋ|_! iUd_DnMSza_Y;}㱛{1qr~L:ov,Pۚ5vzGdɃI5.Mt[uk}?Vcş>*SѨ }Ttnc~~mUߞcO0TpX]$ b2ӭ$i\\a{k[h͆qy-͛e%@d{&Dl(s_*7N}u:IdR?-ʨF mHT[$J~#jnL[8Jo7O&Zɒ Gѽn+ф,6,ϗ^_lyXYHCڔpS`u׷/$=D߾FrL)sGmل֐ٵ=M94PX2_HGsOʭ'͌[7 B.:W/t3ހ _( [X+fL%:Nu%rf# xu ^vU ̯|{3ܩ#3&ȴ4|f|  cz)9J;$>sJ6XNa807ꅷĮO(YfwS#MmD0;YmeR#&E"E .e뵖wQvkxĄ͛Mƣ-W!l6!y֖U[`Te]FXA*MƱVm_#R׺ш[^h+*>RxaC% 26'3/l fҶ |lYqd\_ufVEE J(!U}C2:GE45:ٕ 6{B]5 dOSJM2l)؅>3ӬU晄[O(~ˊ E]9vf$1¸!Z-L.|gC/ eC>ݯ`Z%fQ,.e[SP+CV0)4lRIC_^AHYƕft S}˪ؚqeG:k2'\(s%RʤSje%'~8T7\7 l~WTdߒsbdñpv.cز&L>8cjcym=fH/V\oҸA .W}{8Q Eӌ(}}S~0ͥ3Jj|GC·pxxLl~eu;n㾸8lL%\cm%n O9{ hMME1ѱ35xBM endstream endobj 5023 0 obj << /Annots 5025 0 R /BleedBox [0 0 612 792] /Contents [5032 0 R 5028 0 R 5029 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29792 5030 0 R >> >> /Type /Page >> endobj 5024 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5025 0 obj [5024 0 R 5026 0 R 5027 0 R 5031 0 R] endobj 5026 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 386.725 111.681 397.725] /Subtype /Link /Type /Annot >> endobj 5027 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 386.725 139.8465 397.725] /Subtype /Link /Type /Annot >> endobj 5028 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5029 0 obj << /Length 19 >> stream q /Iabc29792 Do Q endstream endobj 5030 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29793 20690 0 R /Gabc29794 20697 0 R >> /Font << /Fabc29795 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛrl endstream endobj 5031 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=384) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5032 0 obj << /Filter /FlateDecode /Length 3650 >> stream xɊ$_gAb_)eJO#Ktf.}-cFٙxx|]̢ߊTeuf ~4zx5?ơo/_VW+_ZM:SB~>/#bZ|yY}2Vڸ{rU(UPad r ( }Pz+>|E۟fK M\}J53 "P2c7e pAΖx`gXםGkM'tV+q yy-e@m>V 9ͻRʛ`&<'kamFQ6 :, Mŀ \fUDAn٥dXj}PN(k5%ք jNd,`40Y7O" ób1y+T+[G0kf_Uġquῡ7 F%6ng0~lƣ!%FA ˡ"Uϓpܞ,з\n.DQ"˹G Ăe& ڞ'T- l=D;kVHfO>2'z!lCcaO *22_;$v"lE/(j|p} שf{/eUࡰ±Kd터gN-Dm0^1}#N8~0yPЈc3J _B_զGSt]c`r0LkAMtbwu35WRXtxӂ-/еp8 ^(0?64N(8>`"/Mnw"`Nh2W_ s6J)1_I0$y!ܱW$=)tƫ`۠nVcП ܹ`k$8OQŲQui5/-bSK  2 LZ#WSefL-^X5D3b!NZҕ^[*q8]1MH N_AU4TUjb޴SEL cgHDGu)QF#.;M;*e,VU*}˕}dnP_z6jpka߮:t?a#UqL\D܃J5@;q𲇼#u'Qu6i2&nQ, V<: 5G9On+ȳQG&J)A`אFvShdA]¨Sҩ 9P{9X^phǣKƻC];dt2-mrk)yE̘II3@1[`:IY٢ h)} A.<ݷ?$x8{$'k1OmM1/l1|3аI5{ ftC*%~<õ2a%.q3c-US+݆%kޗԽ&%N3p Y8ԝO&{s<-_] 4"΀F)y7,n919tŤ9CZ]{6т(8xɊ|t=Yf 95q4EXT,~hY,Xc'/(L$wbו1 Qňwq*qWcf%a2ɔE;>Zt, n?]Llt$2'7CH^ts&ciHI<&\ (VV;J4FW7h{M0Tϑ./w2놃rU>Y90m$6T3¬ t- i(.TT伧@s2fS.B+?XGV?iْʈ ZŶ4-v٪he] ZS1aE;=c|r 1O0%톯Q'tAc l9g<& p-n֧X7WotƒjR;竮:_^hwo;ޟnwt٘ xⶔJzM1W U2šG#wsfqS uv–VlxJxE2K8+t, %m6tp?[>]KׁiNac.1_ތ|B^_><&[9@w|#P2@'ģ 0Q#Qn%̒-fhKh+E<"ؤ AMv Wᘜ?x!M3&p+p0@ʌy |bq'r Jb1hJcIJHlIv5jQSqeX1a. 3Gу*[^>^K6`Z2VN~/(.Fԕ?Zgd$'\ZaTj@.py;`aDK7e~+[\ i 4epqX F05l_p-|]KR**a1T*TB-:!`!1 /z1䒐[ΰ4Odl$㹏}b݋NF>1k6 [eXrURlE4 +f>R ύo8b\P}, 6.ۍf|P10~~~s%ѰEI7Ω2?ɸxO$M::}f<a?UP{2o lE8SEb,xtr_/A\L!>pvG)J; yGx>gܺx?S$yY1Yb dܽa"@H˝p[!ܹ߾o_[ t!aP1g?sj.|4\kʟI(,c΢bW( endstream endobj 5033 0 obj << /Annots 5035 0 R /BleedBox [0 0 612 792] /Contents [5043 0 R 5039 0 R 5040 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29811 5041 0 R >> >> /Type /Page >> endobj 5034 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5035 0 obj [5034 0 R 5036 0 R 5037 0 R 5038 0 R 5042 0 R] endobj 5036 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 529.0308 184.2532 540.0308] /Subtype /Link /Type /Annot >> endobj 5037 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (get_designs) /M (D:20210608081201-08'00') /Rect [104.1732 512.8307 160.5592 523.8307] /Subtype /Link /Type /Annot >> endobj 5038 0 obj << /A << /D (unique_465) /S /GoTo >> /Border [0 0 0] /Contents (get_projects) /M (D:20210608081201-08'00') /Rect [104.1732 496.6307 163.1552 507.6307] /Subtype /Link /Type /Annot >> endobj 5039 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5040 0 obj << /Length 19 >> stream q /Iabc29811 Do Q endstream endobj 5041 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29812 20690 0 R /Gabc29813 20697 0 R >> /Font << /Fabc29814 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐk査=0G35V-dQ\0Ɯi,N DW2%ī+O1%PƵa(Rɐى9_>Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0yp endstream endobj 5042 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=385) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5043 0 obj << /Filter /FlateDecode /Length 1883 >> stream xڵjG^_Q@Wj_@ ̢1 !Da.G#6 bjyv߂Ҽ~|}uud:<U;Րaxֵv ^^,N~,(oMj;B~ NhQWA5ϟMn%y#?ˑž )a. VA(,2#ˡuC0H9?k]x`GGgR3p+uxt5{\`po6єZBVvDkǡ%څ,Sh5M-Ga5jG#s6I,(S0V\;н .;ڽp{"N.W\brt[a}dwj_ѐz0Wn %vܩ $8;1> ?ڝO'ԸeBfÞQrTQ_aҒtjLȖoB}1\sqvHr7%9}݇xS=fqT[%t7%ϒL_@'AQx {; |#az"N|o!$щ?5eM Fa+UX.o3Dʐ#+$*7E!Onteq^jT5{l28> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29830 5050 0 R >> >> /Type /Page >> endobj 5045 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5046 0 obj [5045 0 R 5047 0 R 5051 0 R] endobj 5047 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 349.125 124.1605 360.125] /Subtype /Link /Type /Annot >> endobj 5048 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5049 0 obj << /Length 19 >> stream q /Iabc29830 Do Q endstream endobj 5050 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29831 20690 0 R /Gabc29832 20697 0 R >> /Font << /Fabc29833 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}D endstream endobj 5051 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=386) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5052 0 obj << /Filter /FlateDecode /Length 4003 >> stream xn$ί/ @Rml>9M2 )%p[ݒ@SEv>{5}oKf:>=y7%]~)'7==Z' Nϯr~;ڝ_iŬ3cwԦpݩ5'J,]Hl+ot:*C!'xF~E?fd'2䳌Wf)' x>W~n[T w/[0FI2~ ##cgz1 `̽ .kSTԤTP5ɕC=-W[)`u !@"x-p U5xK]XW!2  EYF/؂TPt+;VK8z@X?=^}P@lT&ݭSJ*,nrZNͽdC^;^ﵭZ68N &■oۤQ(q"D`xgQpF+R~I7=5'B}^'@:`@넝<OĉanA?hG3 ͙]BQWl3M]w!I0DM-v.Rg:bȗK˵L,?7rh϶xo(^ČkxS*kmwM T FLI& FD+tA,H38`0myh;C,:PթweshNl5-\y``ONXm$r$d"˵8F/pe\UlֆN[=UO͑wRa /_Z*XW2ȍ,ptCaezX[: ]ǻFyi cO]:z!&^^)060|ta\FuK=t>ۀ5;[&fزz`GX-\hZzF~j~x1'€^1TvLQ 6ֱv|p)4+bzzlJ XA|`5/b`k5;@\8v@CQ=":q7ve+:ᰁսclV5~ו3e' ה-Jc*jL?󹑎b܏?TsSS9es~(y%k+U-#S7Xh3l=Eix9^Weaڦ&jRLK1@@cZgWr$;2[S0dZ+ Z- uULjx',%j!H*&C+⼆i `_TE+ -BgȨZP0*.bHrnKN 7FR ) 5ZXPndtb5U}S1=gѪRS Z/CNWe@|9ԌYⵎYgҴ U5c{~B[1Մ*͑kڣߦTrzOuJ wKi֙" 띖|v|Xa3Lv9TpwUw\#V 6r8\Ik]Qgp3`iQ8O'Nu`kP^?6yL51#Ei6u+AB+ŋ]ف"^'@К;: :'R* xa/SP$z '+c̞bplo$sS@*yFhc;b!wXg5YƄolT1]c%-6)J]݁ :SZA  'EvmT~s|yF~zO[nW *_'x#hHXvczK7ɚԿ\tLj*h >rJ#Z*ʽ `=QJ<5xInQb 2sA#L5RZLfrLĩD6pZHdҧ]l,.mc/1s'Jk#^.{Ũy1:fٖ2U>lM ) 89΍&B ΋N*lH.ALv㽖UgafOD_ėe-Qi(BuwCPZ1I/KṦ/?oόá 3:ݕZ%٭r0zKq\#LuO7|HyZB~*c;3TLxG3VImu2>C:{>z}_,RLgZ#\|~/ d> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29849 5061 0 R >> >> /Type /Page >> endobj 5054 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5055 0 obj [5054 0 R 5056 0 R 5057 0 R 5058 0 R 5062 0 R] endobj 5056 0 obj << /A << /D (unique_516) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 386.6385 168.4297 397.6385] /Subtype /Link /Type /Annot >> endobj 5057 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (delete_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 370.4384 168.4572 381.4384] /Subtype /Link /Type /Annot >> endobj 5058 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20210608081201-08'00') /Rect [104.1732 354.2384 158.5077 365.2384] /Subtype /Link /Type /Annot >> endobj 5059 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5060 0 obj << /Length 19 >> stream q /Iabc29849 Do Q endstream endobj 5061 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29850 20690 0 R /Gabc29851 20697 0 R >> /Font << /Fabc29852 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#2Rwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLoۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}Kt endstream endobj 5062 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=387) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5063 0 obj << /Filter /FlateDecode /Length 2624 >> stream xZI,W<ߞi̩=oSmx}Phɬ0zʔb"lC߂T|y홑loó)KEx|~zڔ4n n4ܤ#߸N"Tt"V?h`c>i#0DCNZM|i cR|sr1&*\>0s<֟}dI>y[~v]p.9LFy4wwd2OEkCV0i?TF( ښu9%Qۋ x+' b^Yؓ;1{ԳrF嶍>fcmka`A(krh*PA6` ~{ ƧF0 q3qcf_v%Xt)Oڶ%g'=̞B$CѲ7шGvÄAP $ ل=E,abscYx =ިp}UG~}aR1ໝX4{:>b)yr85d0l,Úrq7rPZ 2!93nW7KɶĚ< N҃% m cU6Qml/pmQ&ےvhKoxvcu}jW`zU~I~[i:8) Pee}4YZ&| 7X%c*V ? WTk3 xяd=9We6:=25ĥ8 i:&ڎBJI/*[ߋ l9rdH㞶۰SNy_$WyAy/&.;,G=`hYib4d FCŽ |tq O "D~ruu_ynI6XꡂpϠ(Oٜ[[k ~洘@A ~Rzlի` L|ZwL&Sd{a> ,˥~@h뗄lRd?@t*lYDlA@.IC2']D;N_4TcXB x:e N|^LghXyIل$;䄫$/z( M'-tP,hm&) SnJ..%|&^l_ғիhޤ8wi^ ݒ3|p 3ğy1ա#LqT m b[m2mUM.Zi:˴sn]qծgXk |{DN~+r **Rt߸xlJV"H> ߳7Sud*0+GJ̣!~age=2=KmFXL1lKup~cuq}tn)7f XW/0IM:鷱A^)fT׿2o? f TzNa%X H+jmWFҶpYaocb*~,v}>$ԋf>OD}JhG[?_4n˘:h{VZJ:YS h E@taª9cj|}8DNG$~#̆ɼߟxï)=l{C4hG6ر wq[ҨB*sz4f85jUn+DGrL#Y pF endstream endobj 5064 0 obj << /Annots 5066 0 R /BleedBox [0 0 612 792] /Contents [5072 0 R 5068 0 R 5069 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29868 5070 0 R >> >> /Type /Page >> endobj 5065 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5066 0 obj [5065 0 R 5067 0 R 5071 0 R] endobj 5067 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 302.125 140.952 313.125] /Subtype /Link /Type /Annot >> endobj 5068 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5069 0 obj << /Length 19 >> stream q /Iabc29868 Do Q endstream endobj 5070 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29869 20690 0 R /Gabc29870 20697 0 R >> /Font << /Fabc29871 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7i ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?Q endstream endobj 5071 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=388) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5072 0 obj << /Filter /FlateDecode /Length 4382 >> stream xˎ$LL9>mbNuWucڛ VRIQD-h;#|y_*,/ H߯w'X|y5}'}|ZV[-o'u0aBv//|9}j?X>od`Kоyzxj?,ƒJiMf]-8+?-?LbfK\BYU0itǧ3j Du*A]~`Ǐ^6rw<Ü6O$el ńHHW.-GA[`e==l 34NxbND=bzE.5wi)hd݅uPƟ fVҬ'w (߯>tE{,|5Q\_%EDJDG:-Ry񯗰|A(AkY֣WxߓDT&Vsf"GXMIGHp+lvOHmYcΩE" }}+|D_4&.m xK= noVws8W t|ax ׃LO RVHf Ĩ_nrVkȤU ~~s+H]])rWO,;d;ڈ"5z K%4Wpz{N$1 :bFH ;gg{BFn5cF⍼8:kL阦a:VM۟v絫f/7iy={/y99?Bg1Tpa e:5nu[a`Ԭxh ʜC`!Xm:U~~oコP<[Lg|\2LVIȨH>q~يt҂)l]Na܂"'yF.C 7B+I0O#K ?>:_ѩ=tbDT׮[慇:8L=o² [Fwh'5&}6nؒm銙tbW b%;3YvDl};Bf#c#YeA%"'lǏ\,YsnUdê]m @}5ѱT.ŭ ao+b@rcE NͲѐf\U)c]R'޳^uc3Iz29+"IΤÊ9{֜0i!:qRط*wܝ?%f·9ܙwhZ?-?r@i(W2 .C$b ^kXΉf_E}g`ú#oxȽH,fSIZ(dSPs"zVoY ?q-x) *?[1$-(ȑNQI5i֗ =iʭ$E4(GW0Y&Cʊ˨[+?4ddB8p˭4Vz!:[ AqH.o)MKNa@qdwn&M\[Fh{ FSd} ҝe64xX**}YE&vmը܎ܹ(U[ z5]8`9Juh9u{۾[-捨X^ []Ua/ [Aa¾TWjl{' 19X% ĭnd#;ͱE(S'3if~ukn9j9xƅއį%τ boH4nVTV`q/G VA]Ľ d F ,0P]`r ݰ6fh" V=д[\X'VVn^mI#=lYڏDԆ6X ^|R:|mA^7;.%9vW3ªD7ٵ= z[M qޣ!#lVVs^Qz@7˹i߂#0_TuѴB4 DD$%dX3z^ 62!T.8-[CJ-%&&cZaI|pD(kWN;46R#l9;!C˔~ t 8m_"e'jƟRՌVOr炃{l;ʀ߲wt": DA!@Y 7dr6xUޢ1U#|kh@ɢ@-(ϿE*0hDZdɌZs2?A9rd`G9r0M0#Be%!G];bK O ֑ &[0h'쩹ڛ7Fsm~';D;C9VP%:]$t7 #75~@qCM IocErf:%-8>o{!j~[_.[ڹ۪4cIuXoːygk Eэ~jp(䢚q]^Ƃ10Wl:]ymw CNjMCD4ȅFf0tv:Yt3n`T^&>o18Z=7[ALb8^)7! he9Kuӂ$1mL@lpϒ0Ŝy/ʑY6{箔 N\zj|\Y*[}ސJLS_^iZop۸i+ g!r:`bkԣTj*8è9$hl m!;Om?W1[al&{hL҇@0L+L8Dv0"\xFVw-rb^J6<ι|̫]ws+}wհ#: (`qB,> ALCYy-`4TBǭ2`.Іt_ۡ(a}I9 [iu#AC:/.}H"Q;HeĶh|=Ƨ0  Gs !% 0隚ֿˡ I築$Tq,bQ4b4ȯ+.fM"ԡwB. ܊ V8#jJ8qjP9ݭR: \K) e*|!I-F7( wVL)|C8cpiyfB|./[#Fwu/"J>Ι z9^ri*(24aFakn6Iw9*lGH.#4ոAM~m k ) u+2-yl`n/&qj?F|S 8p|cf׏w7t=YV aVN7lkrsk޾0iW|s&W!1E@a2vonxŻ{g kd}> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29887 5077 0 R >> >> /Type /Page >> endobj 5074 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5075 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5076 0 obj << /Length 19 >> stream q /Iabc29887 Do Q endstream endobj 5077 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29888 20690 0 R /Gabc29889 20697 0 R >> /Font << /Fabc29890 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`S5thǬ_{8u SJ* ,䪍(査=01H[&#`6P\PS)4GCID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fѯG&DS384hwm-־0Z|fOr;L$u$r!o V΃sV7ӬZl?7‹!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dz)rݝCr<3/*_'Ŷ endstream endobj 5078 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=389) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5079 0 obj << /Filter /FlateDecode /Length 3277 >> stream xɪ#_gjr_!:[>ڞ1}wDdRfpz"c_R)Mj?b6WYN&]U_Og'6LJYՔBV~{Q8Jn |3ՊLcdc/J`RVڊAr J>lM <-O8xihX[@^r>)Q`JPm] $n n3J * tBg[^ʄp@ear5≓ s*F\SH:KYL( t@J+>}Sx"I 5LF1 81eMyQMuZE>7t, H6SI'(fF8ne\k q/Km 5Q.+awuf0l ؓ-=m`qaWN&v%iWraWZ|U+V%* x`ՐB0*4CrM#[&]4g׹wS>c]3Z*fB:{r>rzmi+ƀH! 3s\XZ~м ^{)4@q0S@,v7 ; QIq\2;@fͽeřF5 ha#ݬKBȁi{@E Ry"`R .$r#ue_N8_'c4RbASO{*pr]ao kZ4[_d7HSWYi=ɯ}C\a|LI[7CY̔)!f- ' jNu:̢aEk/ H8CFkC|qp"!Ͷj{N7(8̆@i;` 0#؍2s Љ{|ebM{&w`iI]fsH)E+I &>k=2Km%Ȋ)//c5dos6TR}7B(hy,oK-Ffev,OtkL-> ^p5(gy3qe\7X34ff يk05PhCnghC}uɦ<\VBfP.~FN*Eo9)N᡾MFb<7rhztI6i,e"vߪ}+U[ <-N,cu7;D}T%Bɠu)N[g.c=زzzR FU{eYkc719M:B"wUS#eD7pEx]mneXh\uP_# ZCUFW%{rbPRDRqp vѸ\T)1{ͩ#'x JR]zyeZl\4 ^u5^,nosj3ԹВ[en;[nm0</ln@%c9e<Wfv]Sx1}%,C,lXina(T{̿heK8+V6*{hjr!HP%_P䘘 s$.CX wLūFNrZYͽfZQk0r:=\Gu7ō=ZRJ٣z̃Pƣ`zsK2_V5<ؤaAd{&*x5yi3w~MKS0*PA{rS sT`/5'ZҵʽfFb{&z}@tp$ Z]yu1RhwԮkJYA讥2sQ^̗;O֝_ė,}| Õp8W&|y=ϗ>]T| Z4!p~_"'k 1(>>91qzCQnWЍ;tҡä!Í#IG|v1{r8kmO뗛&4_I-lhgs;b~tY~&;<~*'%IpW)/RI"!\/:dp9ٓ6"f Ivm]`U%nG3yep7^S ({+|CģI>^.Z*&/ꢹdMS?MӼBo* +\ (Z~V 'E d SYeP*2n*Dk-XlaAZPqnB5@vK35>m x1+\FM[62m*z/}Du3p^ Yk w s~l؛mǙSˢJiUeW;un`.h~ lz[ɉ>qƜKD\;[:f8lsfRް嗃tsؒΦ'ISXgJu\[l; @<ot2ʍx:8Ʋy4o2GReMgY2%R: 倌)u>s"gsO0G &Z?=K3 9xF rKᮏߵ.Wj̰dt_\X-KP&1ʜ-k[AW Xb`V—uM)1E\~A|Eha endstream endobj 5080 0 obj << /Annots 5082 0 R /BleedBox [0 0 612 792] /Contents [5089 0 R 5085 0 R 5086 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29906 5087 0 R >> >> /Type /Page >> endobj 5081 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5082 0 obj [5081 0 R 5083 0 R 5084 0 R 5088 0 R] endobj 5083 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [104.1732 316.1 172.3292 327.1] /Subtype /Link /Type /Annot >> endobj 5084 0 obj << /A << /D (unique_683) /S /GoTo >> /Border [0 0 0] /Contents (report_frames) /M (D:20210608081201-08'00') /Rect [104.1732 299.9 172.0157 310.9] /Subtype /Link /Type /Annot >> endobj 5085 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5086 0 obj << /Length 19 >> stream q /Iabc29906 Do Q endstream endobj 5087 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29907 20690 0 R /Gabc29908 20697 0 R >> /Font << /Fabc29909 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7YPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)zyfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}^ endstream endobj 5088 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=390) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5089 0 obj << /Filter /FlateDecode /Length 2106 >> stream xZI,7WlHYkJk3 >< ^_狐e<ݨ3CK "[~FjʷoL4.IcԍJkjtom^ɀgcl\_@2$Ye~8bEhFǒy=X:|V1G^D-ϸY RD[1-s)i9־ } U"xϡc,u[9VU:`Jr LTS.;V^ XWx>(jy@N;f_/OyM{݁?0>XăZldHF(b3VQi7N^sj>sGHwUcq~"]R$QK~A~) Pe4ʈF>% .&"fwDi ZZOϟwX& jѶpOGwnO+bZD߁)©` " 1äY" eBôg0VĺHjUՈS4u%e)0s)#U#Tsyj5ûCV>m7azQ/s3CȲ>)N,+>]XV,ЬO6ΥܝI)R>E[;&Qs_n6c2.FW<֟ XvA<{O*lm$ rIA~ݱR h8}|"ޡfO6S|Z`G_/STx1J1Z -S4.`pZeS36~W=+uTCæH%d,*M2[װ:ǝΨtŇROp ]_ :\F![P=l*hEU$r(eծj]ߡ/$m?ҩsg-3LrUM">$WT1M^̝ *rEXe=E)̓tL|;MnWqO;4/AY#m V; (y ا'xTxƨІ]1V`ō Cҭu*ق^b)QЌD`tXIG VXSV_YU PG͠ gYtDR.rʬ u(ьph46P(1D%¾Mq@ DRkJN#zBGF`rϝa`Kb!<]҅V&G5z&\W !oĸÇ9tۑue+>zhr;3#*bͨ4^d*.negVdי]%&B 81QfMe> /T_3 #'|Pf YSTO1\>tYɑ|"~%G\( }NŵKJ:NۣҘ9H*}/nӇc,^s7cHOZZ֧4utS屻"9^7h;f~O5Z{UH4bR]K{P gc[CwS#: VSb}> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29925 5096 0 R >> >> /Type /Page >> endobj 5091 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5092 0 obj [5091 0 R 5093 0 R 5097 0 R] endobj 5093 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 363.325 137.278 374.325] /Subtype /Link /Type /Annot >> endobj 5094 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5095 0 obj << /Length 19 >> stream q /Iabc29925 Do Q endstream endobj 5096 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29926 20690 0 R /Gabc29927 20697 0 R >> /Font << /Fabc29928 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`3VthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5098 0 obj << /Filter /FlateDecode /Length 3817 >> stream xڽn^_QRDR`n!ɳں٤( dWoߤ|b ^fU)__^Z#1u^%_^?i\[Xb ֙VRw4>qK!:p/ȧ/ъD.V>ˠx1$ տHbФv%xZS,O`U~f9iּsQi e(*d=< Svv|3ss1 Nxzi: bK00an@ O5ڢb47(DՠA2@UGi< F$MԸkzޢP+ b30 5Lq>i8ZmlwO洉A0mS-D+4򩵮&z"7Nly.NJ,"FwWJ%8)r:pw&j͏ 'OcW+BUP*h+g|U>Ygj+$XU٥:0-C-C]D1EGYl+Xkrέ~*+K\'FQ;G7ۺe4]@*{hUW9w!B 22o*.領Eٗ T(1\/Cu#eA^Ov{\wsT U45yt:r|sǝ. &P*[%]y - ]=:WDbO'f/UtuѵxtRDNiKXT CF!@FFfy4u|fdW1Vbe=$nmeZZ羾÷q֊kog+!tWy?XѪy&ƱUt_g~][05w mf<(_xgC\ yG hOQlvM n dz`ސ5 '*hU9G՜[c . +9LM@j6/9gAZ%@BC`=OBZu ؆i%' X)8,}  5tHC$!~RJ@4+1h,]Cc'IyjB&wn_ VkĻ-y.eRkX^>׵[b▞P XJ37[ibp^*3e2$(g 7({}Ө pQԒB .Q[}wZ3hݩꐦ*߱J]]K6+Wwlҽ,}n?A7ެyc%wW!e:Z*e.6U }]McʩʏEcadX~n+lG~qOթ\fcNwxBްzu/s0%X-X-.?jw*ڠr ge*(ہ@A^ϩ2@] @J .& 5 1Ÿ+ `;Lu=h f;@4~FCYS۩US!F F P S\y-.lV{g)N:k!ZU]*yk|A\tweWwHigWXpá/Omw.[޸vOk{ xS;0%]JCkLoo F0qukNL4N@ wx?Hd~A pKi@Ccq:3" {X*ocݬs$NOIƶ$>/tNgVPQ0MHU\y|%>4̥ع$0֚cRP"+NZw<VRΡ:1vaNg эCl<~%+7E~-=<-#|`k_8 4 h~POxUd6Xmhzb45K )rnb\b*had 8M+M2(=L 7Z۞7.5tNL^Y!^΅Jl8E%' a9iϕ!@-Yk\q©L6ctb,[A)rgZs].)V}u\1[nӐk,6gݨQD짅?OC 1$ptceO][#{hμs"̾åݵ(tG,7bQ9Fy%M O&78[^XX 0غ?j/)Ӓ̴2J_ԸXiE{6ps?׵{3MrV(+ FJu8{/WP ,Ѳh)jRڲTkE`ob#.%Z,IIB4obW)ל=T븰S;u>QJ!N]!T}5]]SP oX(C|N/<)n&FтjaJ޹Ҹwܬ=#2h{,wZրoU,CQ+)ڊms9MLQ;[^XǒR)tE.-rG*m+ZQh5ĝjL\8?flNf7Dpѽb/V23t;C|v`=W"l.d1U0. N{t*l^¼ Z3^YV!لV6oւW}bA%o/{e"<2 /,`A>ˤl "ІDlϓhAtx̰[:p]}%ng+y乗p7B ހ0܇VPO,ό&J\tʽU›8F6"l oh`&XH,f*Y 4e3-7Y mJz?2XVflTuCv}ƶFL9 vU <7k!Kb~`WTw(|E[o|Ce x9m5+$kR/K3u$'~i0tjpu@!p7 U&nq {Vg!SraMe6\Dkje,#G/H s-1|+ OdиTgWhѡ/ iBGRew-[y"9E-5u("6U TVK.蓍JmheaGs˭G b*;nyoT!-V{9gy}>yXą8tnq1X &c6a>KIv\9_>B]zoӵh7jڏO1GRm d0j?XȔg:>{"gCGg~TyM?gZ^x1V:eށoMێĸ m|Yo{}A--^S{ڐ睫{X=D^嶮fA؃A|,Yd{h endstream endobj 5099 0 obj << /Annots 5101 0 R /BleedBox [0 0 612 792] /Contents [5112 0 R 5108 0 R 5109 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29944 5110 0 R >> >> /Type /Page >> endobj 5100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5101 0 obj [5100 0 R 5102 0 R 5103 0 R 5104 0 R 5105 0 R 5106 0 R 5107 0 R 5111 0 R] endobj 5102 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 321.0538 197.7282 332.0538] /Subtype /Link /Type /Annot >> endobj 5103 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 304.8538 195.1267 315.8538] /Subtype /Link /Type /Annot >> endobj 5104 0 obj << /A << /D (unique_238) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20210608081201-08'00') /Rect [104.1732 288.6538 187.8062 299.6538] /Subtype /Link /Type /Annot >> endobj 5105 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 272.4538 180.2547 283.4538] /Subtype /Link /Type /Annot >> endobj 5106 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 256.2538 208.0022 267.2538] /Subtype /Link /Type /Annot >> endobj 5107 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 240.0538 172.9067 251.0538] /Subtype /Link /Type /Annot >> endobj 5108 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5109 0 obj << /Length 19 >> stream q /Iabc29944 Do Q endstream endobj 5110 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29945 20690 0 R /Gabc29946 20697 0 R >> /Font << /Fabc29947 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwok endstream endobj 5111 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=392) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5112 0 obj << /Filter /FlateDecode /Length 2822 >> stream xڭn8ί/aKj`9UO`4P[IV8UUp$R7I~Z*?%f#:w2iRq|>;|ַ0+eVs Z*S8K ~ ?V`3'C/d6^iI#@<$J'T>) f/^mZшX ^Ax`JE8$@HRN P{G^-Dߖh'e8L^ y7!0xx ĹfG'D#/2F [Աt=é$Hs: &faCKs*N2~}Uu92ʾ _-E̙{=$cb]]+Wh|({;g ;2Tb223}[1VzB%V6Ks֎*#%L> +LE9/*CF:x_zy͹0{eEć.V\lsK)(WsԶ ި8}d#4TO͈y^ #- sfIH@_'68{|U83xAͣ+o5W:wVM,nh^]W7]Q[z:jq&(ږoEt@s2+@e[j8]IHKsG>{d&l<:34]~bmw ܁%-t[XJ҇jA6Aԁ5k=20춵~$6{A$ d ρу8 T~W JN chjnW: :jo3[̖?կ|S#eB}!T,xN{Hdʺ?xn9Z ؋e+I=I̎\O4%6(G(r[fft7L͏>%bTicWP`ƐDL ǒt'!:t c1mNƽ:$`d*AppuW UmQ|k@) 9~%w$$|ArM+?ggiȫ @?Ar+n-|d]MtNrpF8٢Hp AjiQio窷&ss"'HAq3`WM$b6YLJ"#1do=;t;"G"M<.‚2sY|0DhӋHv^%دoHf!ӑ8|984[] YҠnv&dq?`ȿmmj0i¾YW{9W6p~cM=DF ={ 8McvL}%8aZ[v#n0c x-4F{]|ͥqAN 8q 6{OiDgAdMdn3g(,$ѽIG4,?*>t ZU7U_Mɉ_ o't~;O:z G_c&Ѫ`69p_zX ˻GUd3e ڎdr&c>ktfTL7\*DF uy /2&bynBY l1nsNTu>p c.1|@^@Wwq-&= ;U a:?3-<2|wN> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29963 5119 0 R >> >> /Type /Page >> endobj 5114 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5115 0 obj [5114 0 R 5116 0 R 5120 0 R] endobj 5116 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 5117 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5118 0 obj << /Length 19 >> stream q /Iabc29963 Do Q endstream endobj 5119 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29964 20690 0 R /Gabc29965 20697 0 R >> /Font << /Fabc29966 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7YRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛrn endstream endobj 5120 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=393) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5121 0 obj << /Filter /FlateDecode /Length 3843 >> stream xn#^_gl׾ fgƱ``jk6)JS0Cu_Uu1|bϯK3KK6X߷?/,:&[>.x`5f_~TSԺ:اl sݩƱo˧O+y˗%h_<=<5Edhҫv%xZSY~I -f k)%5P _O wL'Z3~OڕXegΑ$ ;㒆8>S'ŝ,4:1z3"xQ\QdoYcwx Ӂ)0ӿ-k#ԟ\\\6kZl?T ihl]}ajϖG=R =NVڍ$ORQ0SbsV'wعէ F@t׀aGYPȋsh] 6 N^`i`rpf YPۘAծ٥ .vs ΈgdOl-X(eFЮ@za8\Guꕭ#XU;UD C:/C@obEMU/(o]Oel5)>ZE6zzd('2y&Q 9zn 8XF+yޑC4TH3db6@/^D'4t|Gx& MX#XW7Ȍ`852+L6sxfr䐂hcNѲC7qk Lgu'҂,> /4c{zT"Es>;h85qfIؤ(B>#lS+ۅ;OюTU_jUb2LeąRΓ z[8DMD9u>oE3#0/ʱ [FIιTg FٹQHP˙ԏWc8H 6[<6'GY}T-*:`6fv :h;dèM`H)/U7E#uv^-" y`rω6B@<{A͙iӺ=<e4P6vfվVv͸).ܕvVG|O*06nw[ߛ%@Ūb mp ,a0Wb 4td<=ׅu{Wh CnBo[if"-NH"K, ;pߣ1DIWB^Qeutxk0ĄM;=tYׁe*v0h=b̠Jr0ҙnS<Ċ$~7Tv#&8֤z0S/؍Kб\!#)>.Ɠ%qhIuv'J o7cH}^L8tc?rS9#2;"3uGdSU{|S2e&MO+R^̊WECJlSu59}St<@pCB|E=B$):"d515_Rw$-Dln5:+n\;9zm!*_PaL)!mTc}tW"'uôQۦHF[c/RxJ[e23(>8L?'0H~ްHPY[1kx ^ڮGR4KAV0,H1{97Y|#E$ƋĘz>gQWP7b<[Qh<^ $${}[dp"/lxV66xySZC%9%I[Mdxn! wNNy^ۖSW%u)P䣬6L՗z_tqG-@^Pcym cjRX] x0ŭ`3 ل/xmM4r^6KASߎXX]_-/q:e<:tntx2ȅ˒V tb|,t]A+H %|d|"|yM3\r߁b\#P"L NGaEGqMxWȬ6@ER\M[;0 eؼ 43hY ֠`WX /X-DlH뼃\je8sԫ@\x k6tCKjo ]q (zP> |§+|}IY X&קݧólH52?ˡ#PaTj@.py;I7-ݬyyW,:OɅ4eP!\C+cq49԰}Z"%^bCLDޢCV8G% SܿCJ. l KD7*)n5Ǘ>Fet/":URǬTV*.cɥ^Tm.KM, Hq.|i|KedW7wn7k僢!->n+{1/>yN~Tz7] ,0_ ;+DbHp/_}vq"wtm_ÇT>u +q)$FY7 > I%$gNBx6tx>¯>))m$dg)O0Nɐo6[:ށ8/?[ܿYGn,?t9P>(ϩ~K}>aH7 ߫לeL5pǜE fI endstream endobj 5122 0 obj << /Annots [5123 0 R 5127 0 R] /BleedBox [0 0 612 792] /Contents [5128 0 R 5124 0 R 5125 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29982 5126 0 R >> >> /Type /Page >> endobj 5123 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5124 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5125 0 obj << /Length 19 >> stream q /Iabc29982 Do Q endstream endobj 5126 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29983 20690 0 R /Gabc29984 20697 0 R >> /Font << /Fabc29985 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {v`~1OEҤYU.o>;`%( Zn\f  cR)N2&4%*\)ɔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5128 0 obj << /Filter /FlateDecode /Length 4345 >> stream xˎ#_b~FNߜ,Cv`Oj4mYb7Y75oY5{TZ55|߯,Ǐ_jE5<*~Mڸ㯰"R",'_6y9|gm«'agdޙ羞2NY*| ~ QYp^y^|;Z}ðKJI"p-Iy'3ڋ_;XxkO&|qۙ P?0 ( P0m=XqwUڡ*>M&"QV6*LM)@ґ<|iϬ _HUU."ÿR>+Ƃ tzEYEYVK̂,u ЗX)Z[h^Vt u9Z6+ \c}!@>y΢N~K`qhe؍ bL@EVdiX?fv`^Ф<[s\0g]=-L2U4(ȱ.=eXeXFeWPYˀo..fvU7C8VkPb;VM|qk Tu̒, ќ=WlU~ZT*57 :(ka5"iŏ7?\bbR>&e?jLTkp) W[W.EA؆o/%XdczpV a'~!i]gxĹF۰<Љ,giAFafJW]̗Y>vCf~^ܣ-fe*o Fʃ=:ܻ`?YZi@VT#0z-?SC WPޗ5_ ;Ydh 7ٕCa|BwԂ2qxLKR5sY*h+')ŝQ[#{=m]3$F8XJI)b1HAW9cl[uٽ^+o47:pqXS9-yL?yo^o2+{ 왚0Ux@':~so@ÑoBW?]ttwwP:dN^lgë[]t'w7KVfQʥQis \ro*\R^bYOLXDǺ$ДD@W)=xSrq) e[y1ڗ6 8훠#9/ceMUjZ>^r,v/2V;%_?${dwaJ4KQicW[6~tUlkrA{L^mu r_*cI4{kRU-E-=VTΙ)1iOS.LP%K{P_5Im.ޕKpt,Rr(#WiZ+^q}5ݡAu+Im;fզCxrTv*!&{31{5ѿbi\dj%S?GлҜMz~]9:H'+R&uO/ض X TOલOZEǀjfzp^y2+C{( 3`;[\} o$|oa<7yuskvwBT҉ ʩJd`FA:HZhl|kK<hUOHz6NBDT1xʦ@WsZN0f z!AqW&îMЫ/wc>_ȯ߀<M3_z@P1܇ ɗ#Pn腔 [j]bϳ~eZQQ7QvҐXW[b/|Za:ɰ)Lcv~^Ʉ4 mW`ҍ9 @V0/ 2wX,[!=2 ҟuye=xOAbG)tR2k=0=@]qԻ zKL+-*_'x3hKDX E}Bu1dMSYǡ>3oR0tp,#p}$O=D:8q  /iޒyYf#C{ o 賌Ѕy ;%ٙSm x73C%aaiވBEƟړ:s_Ʋx݌ Y< FY8rY/؊&XރOq|nrHpa餲v2O7wn`{!]||{1я8hc1%؜^vŧ4ΩMBs_sNI<ϔ+p֛ ̌7q&cG +?-@L`=D?wT7<}d=I?9o#`햁U!a!S!7|>W(}O~Oo+Da߿'x})Zo& 4 Exiܛ 'aI7I" ˡBc2N&tFD4*B^z,:,|S+yS?-/X endstream endobj 5129 0 obj << /Annots 5131 0 R /BleedBox [0 0 612 792] /Contents [5141 0 R 5137 0 R 5138 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30001 5139 0 R >> >> /Type /Page >> endobj 5130 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5131 0 obj [5130 0 R 5132 0 R 5133 0 R 5134 0 R 5135 0 R 5136 0 R 5140 0 R] endobj 5132 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 197.6017 686.7] /Subtype /Link /Type /Annot >> endobj 5133 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 192.6352 670.5] /Subtype /Link /Type /Annot >> endobj 5134 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 180.2547 654.3] /Subtype /Link /Type /Annot >> endobj 5135 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 177.7632 638.1] /Subtype /Link /Type /Annot >> endobj 5136 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 182.0037 621.9] /Subtype /Link /Type /Annot >> endobj 5137 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5138 0 obj << /Length 19 >> stream q /Iabc30001 Do Q endstream endobj 5139 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30002 20690 0 R /Gabc30003 20697 0 R >> /Font << /Fabc30004 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5141 0 obj << /Filter /FlateDecode /Length 1290 >> stream xڭXj,7+jhEس0E7!/rTZj{fĦttꡖF~lNwtQ)}.'Hk:6H,i%SrP%~rxP8{?BiR NvkbU!+OY Ͱ%KU.2<+smYs)S6ST1gGɠˈKQWT ~}.饛Ӏքx/K:5]1a7Md[β+@Kx3XjR၆PGUai]4FpeoѨ䘩_%¹]{U:7i%&,Jcp{vVѿ-|<^㱇VdKǛ4.PTVɓ.W(CxG3=㉭5R.# 'tN ƜEz>R~}5ϭ』bh՘sms*R0rr4s]r2fbu!0\rOGjdF [ endstream endobj 5142 0 obj << /Annots 5144 0 R /BleedBox [0 0 612 792] /Contents [5150 0 R 5146 0 R 5147 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30020 5148 0 R >> >> /Type /Page >> endobj 5143 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5144 0 obj [5143 0 R 5145 0 R 5149 0 R] endobj 5145 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 5146 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5147 0 obj << /Length 19 >> stream q /Iabc30020 Do Q endstream endobj 5148 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30021 20690 0 R /Gabc30022 20697 0 R >> /Font << /Fabc30023 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5150 0 obj << /Filter /FlateDecode /Length 4119 >> stream xn$ίp_[m7;43~ƥXRKN[UNm1'|b//K3KK6XOWX|m|Ln>>,'-ou2L I#Sxzno?-Z?o\._}1/?,ƒIiMfGe L-u\XK%/IJ"Dx:Xg:C:^={ԮЎpz.;u<&a/K {N;%Y iqQq yϫXs8 A>" OZ|0>͚,|hD-)б@)+ A̮OڮX lAai@ 6>(@2]kUX<!T[F8/->X=~39MGeɄJZNά J!3B.~2>5 UO" d]_YAMċTP̱ܩINyA,p ]5f `hi~kVv5&rzeuJ`A*?ש"v;7OCQSUu%JbmW4x3H̑5G=!ГNdO$bY=4'& -9,5!H/B4DAO k<"J-sL!3ӧOj :-lu[ F/7z."4(b>I{5%[)Gq2;I6[$$}^J{)KS5%_7N{Lԏ?; sFsP=3)WAIP,cC*:yU|_0=9=a&y-)7lq"ZhD@/Q/Fa3_ O@ Mۦ`;B0Y42W 36J)1ߨbI0$y!WMIz(DS@A}@9!zVoYUH@Sε0UAm!x !y*JKa~iynkwZjPQVt,6YK|#jSY,!fep[/u 'Xr[-J/D-J@Q3@ԋT5HCoX&fꮭ(zfð c|;$"ك- (}n?E7(y}ĞItbx2+K*>lc^ yT%? ߓ6P6jpkanWt0G=-qq*ƭ y"u'Qpmkz؛F,@o {Ѓum.#BQ\dGZQ˙,E.+rps.K#PGa5$8v |2= 'KܫhsٸGCn[YzpϑKj(V%h詊ޑ@ߥiMQ},.,g ?Iq6) j"icd$Pgʱbc^Գ eSy?zԉUvgqw+HrG0x7D!PvKd2rFu2f8J4N/{G+Y ƆГ8{WJ*μpQL+@e;2|}R-#aү lM9!JBXşT/$=EdK.GW3"γq59NiK?0Թzλ; B ;w?&1T'PB+N [C*vw4 ys^Uj&[vъ$nJ»Է>]Sv-˲LFcʺrV;~R r;j0|Ұ7#' s I$T-88S޼|e'.}f8+tRw .:s|qf#) Qf#;z,#_3&=3'_KxH e)?etYfG'Ufe*S#LwM;hㆻwV~P_l!}I:ř Gnzr条qT /ɒKN_8c,,֮lߢշŭ)`3ltSkF ip>խZMߎXX1Z->.dwt.,_وQd  ZhtTbصtIǘ=ݘ7ȫs/=^:c[rQ|1L`8l׀TpBb %.:V'vK ?VCiNqԫ cݚ-U8&Ai!\, P2@Nva.x9a gHl$;Z5p (ةW2@l- #Q&QJ}@7OW7أLtY3aFcZbxM]_T댌T?U:UZ E9}_WLZU8/֢W3V)p<*Dkhe,N#G/NR**a1T*TB-:!`k 5)$䖲[X'DIK=1O,{ɨ5:fئR!iZ%zQz,V4A4_7Y1.TLFu|F{V>(Rqf;~V?o3Q檝Õ0߇5mMSqeHR\O I_ͅ} wSfp\;[,oGJCb,9ZNnRat38&$#`C wz&̵k=W[Yt='#<,"7LW)o`<Kڇpi #tF^UIy GyNְ/U$]g5G b'-g,KdF>,jU1 endstream endobj 5151 0 obj << /Annots 5153 0 R /BleedBox [0 0 612 792] /Contents [5162 0 R 5158 0 R 5159 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30039 5160 0 R >> >> /Type /Page >> endobj 5152 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5153 0 obj [5152 0 R 5154 0 R 5155 0 R 5156 0 R 5157 0 R 5161 0 R] endobj 5154 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 345.2462 195.1267 356.2462] /Subtype /Link /Type /Annot >> endobj 5155 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 329.0461 180.2547 340.0461] /Subtype /Link /Type /Annot >> endobj 5156 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 312.8461 159.8332 323.8461] /Subtype /Link /Type /Annot >> endobj 5157 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [104.1732 296.6461 185.9197 307.6461] /Subtype /Link /Type /Annot >> endobj 5158 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5159 0 obj << /Length 19 >> stream q /Iabc30039 Do Q endstream endobj 5160 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30040 20690 0 R /Gabc30041 20697 0 R >> /Font << /Fabc30042 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5162 0 obj << /Filter /FlateDecode /Length 2686 >> stream xڵZIoW<B*{:0 ''=A9@2?{\Zlww!KȷToRKb˫sZz')~g'+_Vf0f|~2n/R($<h/syM{a^:#@/ =T}6E,h!m"}Pe/nVƇ|b=,69zŴ?I2}R#b~Hj\a![Ƽk]ѹ!=9eX']ԍi xvxN\zp^xZUedcS:`^ks|:e^fBKHnVW]*l誱Bcjl*@ۅoAS}aQ ƕؘ{ZZnm3DfX jʴIv0+Ñ'EgL r\)3ƛyIakLbuoҍ0 5rV аmibE+)z8FF5_ YVZj1=}u r~,* iw{O5ֆA.妞̋gy0竿N%\Uvwrè+LjΫu|9C/xcΆɺ4ǔT-'zR zr۶Mϙrw[uc~JU[sj "=7&"9s<ꍴBQfz:뷑3U_ X!SEr.xsOt[>CB(?ioz+qCzlb~УV\HsЬnpQ(U1g BkwiDJAG~E~#9_{[{`ϡ{~]ǯUf,]_ɴvF>|!'1ڐq-\3l$i6. MBŔT&O %P n13gmuT+KGIsRZ;7.jQ^*7GAY\$$~1w3?)[^rܐ7J&? N'tʷ<$ /O_vq&`69`18 |*v+ϼY%-HU:{:GqFC=AT)1#WmzZZ1-tIn#*pRU}9ekd2̎r=?Ě#UkIhW6l)bxXVD_S$"znfxwEeNtL3VlYO3pϡ,L'ptg3rz4R޲S7\TT3,UfM+i]1`Gj$+Ki6^ %?LuNDU|P @lYqy!x$cx>3TFo샼**BQ8UN422qLQ mSˣsM0oEJ3^XRQ nKYe,\t~R8H7cְTFĥqlgĹQq݇" QcyPe <ǑM'F2b$%K<Mo]V\vV|SF.`=.t%U"_zRR}Y\iQCV* cmP"eKu_S/+JÈAijmimL=\N3B{iv[q[TvUqzci ~_W|1}r0MJz[ID λZ3 <(t W?n  /=8`Hq5,];g45&G*@9En>N,Oz\7Cf%7TX":BM ڇfɑ endstream endobj 5163 0 obj << /Annots 5165 0 R /BleedBox [0 0 612 792] /Contents [5171 0 R 5167 0 R 5168 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30058 5169 0 R >> >> /Type /Page >> endobj 5164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5165 0 obj [5164 0 R 5166 0 R 5170 0 R] endobj 5166 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 5167 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5168 0 obj << /Length 19 >> stream q /Iabc30058 Do Q endstream endobj 5169 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30059 20690 0 R /Gabc30060 20697 0 R >> /Font << /Fabc30061 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5171 0 obj << /Filter /FlateDecode /Length 3610 >> stream xڽnίp_C`n30 'O/[Vl>Cjn(kԱy|JO8UJ³j+"$ŋo!]-mu7Xf fkYmͲ##seSNjI] 6:mC)1sdJ;w/O;2\un"O dk&{ʒY<iѝ甋h1Jbf-2PN𱷵I@"LxsPUaN"MltLV-T;:g]] xÚ皠`)xdp]/tIMG "Q':dحtpn}sur33j乗pWR^rށa3DpQ3P1'3*0Q'Q3^:f)h'E&og)B˴df*|&JRHt@;÷%%Rvn DQPRkZ1ƌe("6UTN*҃sQT}.Kq M, Hq.J X >OE_VΉJG'>Igzq4];x/ӽg3LF+Yv6,xg70M J y倌7W0 GBx #<}OE>ȕi/r( d<ձh)l}~aM< w> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30077 5184 0 R >> >> /Type /Page >> endobj 5173 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5174 0 obj [5173 0 R 5175 0 R 5176 0 R 5177 0 R 5178 0 R 5179 0 R 5180 0 R 5181 0 R 5185 0 R] endobj 5175 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 360.2461 174.7052 371.2461] /Subtype /Link /Type /Annot >> endobj 5176 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 344.0461 199.2737 355.0461] /Subtype /Link /Type /Annot >> endobj 5177 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 327.8461 159.8332 338.8461] /Subtype /Link /Type /Annot >> endobj 5178 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [104.1732 311.6461 185.9197 322.6461] /Subtype /Link /Type /Annot >> endobj 5179 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 295.4461 187.0252 306.4461] /Subtype /Link /Type /Annot >> endobj 5180 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 279.2461 155.9997 290.2461] /Subtype /Link /Type /Annot >> endobj 5181 0 obj << /A << /D (unique_325) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 263.046 190.8202 274.046] /Subtype /Link /Type /Annot >> endobj 5182 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5183 0 obj << /Length 19 >> stream q /Iabc30077 Do Q endstream endobj 5184 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30078 20690 0 R /Gabc30079 20697 0 R >> /Font << /Fabc30080 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nC;fũc8TRQ e!Wm EI&7]NhAb2Y@QʘJ9N2&4'*\)ɜf϶fUf^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|5ʄivP(y Ph'-:A/} endstream endobj 5185 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=399) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5186 0 obj << /Filter /FlateDecode /Length 2662 >> stream xڵɊ#G_grb_@JR09LRE6EVfDF}[*V=:gT*uouq)sUzC83\nJpz75ZLkRL66kآ -'ls˕Dq qj{XhYeeMW!&胲6A'{PqKy:^:bsPHұ`Ji3Pu~& О#@?t\ؙÂT_pIxq=P<]pX?\x%S*B{8)K/KKyLX7Sg܃XîrMaֈ IG'9Nҩ"R 3 \ARei#ĸGa/=KP0rM"Fؤ(JZϖi|Xyh!`MVV?X n-[{FLՙd5 P,QB@A}q/Ow-?09U50,xLN3`"Y7n{i NE{麃[& IcH͞ߗ_oɤ7:kA9ܲ>N5ئ?щJ%s+wRo(רCK/%JG_"͉s nۍԩ7R'?Nỏ9(d&dB7 3A\f(U?6V萩NC^jӒ.Q΢CFKghkTIb5k`fԫ6KjQ|emv1W *fgnVLAKM3D87U8ެR#U/x:dN TOR%te4bg[ #V|4UI' ɇ9j}SkꘆVع.٭SmH8+ag xIuYv>sAu3P{LrW[bEiPN _0kP?*6D9,>%6N0N}/Ƙy=ؙ&oj= q,?3q!qIWm٘?>-ߠ->EED>9/|J~(.9+[jP.la5p &"U&llmf2? > I@<0iҫZ\ %[,nzL1p '&xjޣeZxql$QH>ߐŭ'"I+9oȽcTOWD:JD>Nd(gk$75t[D MW>0p{&G`/G?._s.x6 <@IA'0DDbJVMCsV h^m!;V xZ koMkVK[),q򐔁5-/P<E&<}Q_՗ېJ RC@/:$QaMn?MrM4#eKyh_ޕ:S Uћ*aBj;+vzW xu1БM1m.Pҋu]P`jpO_2*2lfDZ\_ MԫԎp@e=A'exX&_s2=+ % [IGbE2tB# 3Y] ZdefBg:!lF8WJwy2#G#-q斥.ah[jf05Q (Cz|F22Mf@K aW 6* oj@%#( , <>U<ɫlpW!":N`RQ x!Ƹǣa#ڶ3 IR Jo2&~ &ZKf K3"jNy;=#}+2au쟆 $(+|G.MC[NB&di^KӛKkj T5ѶC ) bWR%W~ FIeZ5gaEtXt>5C endstream endobj 5187 0 obj << /Annots 5189 0 R /BleedBox [0 0 612 792] /Contents [5195 0 R 5191 0 R 5192 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30096 5193 0 R >> >> /Type /Page >> endobj 5188 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5189 0 obj [5188 0 R 5190 0 R 5194 0 R] endobj 5190 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 5191 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5192 0 obj << /Length 19 >> stream q /Iabc30096 Do Q endstream endobj 5193 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30097 20690 0 R /Gabc30098 20697 0 R >> /Font << /Fabc30099 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5195 0 obj << /Filter /FlateDecode /Length 3935 >> stream xɎί.s_ڀov`$M۸UU {=>b NH.^ZglJӿˏgX|s|Ln~r{ ~:uօ$#C{o m6?Φ._><T_hȓ]>/Abo?,ƒIiMf'e o/L;v.K(kҡP?X"<,3=B:={ԮЎpz.;u<&i>,iuR)XHCݧg(k]⢨rҶ1Z"q5p`'㿄%k#ԟ_Z\\6kZl˟?T [V@Į>@b@>kZ`'mVu*aj[6Dx ZܥbVj+"A]'dϾ-fCnZ4Npi< urfq YPA Tծ٥ #+q(P+B@uqTM/h#e߳`dB&X᷆⴫1y-T+[G0f[w:p;C;:ῡxbEMU-(o]%j<7}4dpsOP8O~.DP"G%6x[o: '$ ŠnVcП ܹ`k; $8OQŲQui5/-Ϲv7jUi@l#40G0/18b 1+.#+k lԱjfB0`m+!*Ge.oݘ^A|*51S7E;6&ǸrDVK":J_O7J^4\V,CgwėXYzTe.WaQ J2@2'lv¶] t:'0G=jvLe yCN֣lzdM\X-wluA@kBsݮ Vg+LtSI\C_KبO _QPS;9P[9X^plhǣKƛC];dt2-urk)yEHo@1[`:ɽYnoK(5&,E2|EkLl7?< ']D;LJ*U]K+Lt~Kܐ}W.mL#13>@l{!|{ZQ<pVԙTN >Qc…'!LW^$$" ;AXq7}.ſ~3PԺ#ۨXlT9?zhԘqs/` Kn"WpN9Rx\%>Vz +Uf){O!|" ,@6˖FKQc@v#&4uRݸ5?~mr/Jv"eDJPxɃCF-itIb1[GfWc!jrE3zn+2z_&K w|U{D $Md*+*ؔr C2&;j\u8rݢD^`kԫ8dy_~۸@Q\k&"^/dx7̀3VgT#AZixr,;\ެYeGp%{u;^Njr@/6~NQYxDk{F T#¥a[su;tF_[@"W#w63Tfl!WIL)vQΩ ;[vhk QP_S=4EƸ!bxu+t_6A'7vYd$˹-6%Y\)QAaDԏg9jo~`'id1F}pY-@|bڸ8 m?^2_Y9<_4&qĆspH}vUi {d0ܣWv-xЊCE E#Yu:iyWkmb@Сvv-@EtФ)Ԫ|ԮU1қLU."v=1!1=9N<\iÊI:؅zv,)]JDmk>uD`rs=Lu ij6>j<]0Z mMvX<2EmoQ,ϼy5|l 4y!nyOGfƺiK>g lML kggeqkJ%LeٗUg1k6 9 eXrURlE4KsS dqĸRYd;@2 l]ZcHahDžOQLe>|ꧫw:WR I7Ω2᫷R\?ˇp^u+^3rK;>^|^FԮ!zE)g x> ~J 뵧}<+q)$Fewz6 xw3}~F?L? y8?>ieOrmʴ?~_/ŃOa9_j{' endstream endobj 5196 0 obj << /Annots 5198 0 R /BleedBox [0 0 612 792] /Contents [5211 0 R 5207 0 R 5208 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30115 5209 0 R >> >> /Type /Page >> endobj 5197 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5198 0 obj [5197 0 R 5199 0 R 5200 0 R 5201 0 R 5202 0 R 5203 0 R 5204 0 R 5205 0 R 5206 0 R 5210 0 R] endobj 5199 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 237.45 197.6017 248.45] /Subtype /Link /Type /Annot >> endobj 5200 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 221.25 195.1267 232.25] /Subtype /Link /Type /Annot >> endobj 5201 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 205.05 192.6352 216.05] /Subtype /Link /Type /Annot >> endobj 5202 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 188.85 211.1647 199.85] /Subtype /Link /Type /Annot >> endobj 5203 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 172.65 180.2547 183.65] /Subtype /Link /Type /Annot >> endobj 5204 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 156.4501 179.0062 167.4501] /Subtype /Link /Type /Annot >> endobj 5205 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 140.2501 177.7632 151.2501] /Subtype /Link /Type /Annot >> endobj 5206 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 124.0502 192.8057 135.0502] /Subtype /Link /Type /Annot >> endobj 5207 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5208 0 obj << /Length 19 >> stream q /Iabc30115 Do Q endstream endobj 5209 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30116 20690 0 R /Gabc30117 20697 0 R >> /Font << /Fabc30118 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n@ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo endstream endobj 5210 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=401) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5211 0 obj << /Filter /FlateDecode /Length 3029 >> stream xˊ$y!z?(^mMfOcmع;"JIYYcϐW(ߥ N/iL8?Y|ږ0+jOReS*bP t,9˜(d{aR 8P&V`m/ KYiP槴Z.- zi??f*y.L^1qa/zr~e.:ls+Q@2vG<{F(X ~8]q][a!pwPC"Z?aXEx6 2.{lBBz1wdE)"Cqݵ謘.eQJA:)ꫧ;,Fa]ǃ#Ib,J(Yb*}hԣBqХ0{#lI}Ił .sdC윳PufER| ]9hPH u woy3iNl[PbWrAI5%FTuf&)0 35Q\}yOڋ֪5 wOr`>bOlvd n+;rm̷nC@05}TXMq.c-¸9(iVu]j0:չז }@d&\Q`\b,-[}?PuqHkG! w8vTjփxB͉eZ)X+,4toXZkb1`w-Ld 4QcW݌ӽ{?J\; oy7G iWpTZ`#])' ^%kwTUsX%H71WVg$7gU+Ux}+#F#K|^]%lU<-הCF9܉ ~w]lwٽ-HN!ArO Rѯ8fYJQw |zRP6r?![BcRE,JKs!/ 8#|l1Y]_ SrOY`]K|\GR1.W,gݦ198!`KN;?ٗxD0>f<:ڏc,qB!^GB@&+k*52EAzoGx^ ~.}_6|娗Gs{-1^Ɯi5YܻԤL#Uy(cnaR(R y4n.WY,S ]釿%|cq]rs7$zr}`_,* 0 endstream endobj 5212 0 obj << /Annots 5214 0 R /BleedBox [0 0 612 792] /Contents [5220 0 R 5216 0 R 5217 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30134 5218 0 R >> >> /Type /Page >> endobj 5213 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5214 0 obj [5213 0 R 5215 0 R 5219 0 R] endobj 5215 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 5216 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5217 0 obj << /Length 19 >> stream q /Iabc30134 Do Q endstream endobj 5218 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30135 20690 0 R /Gabc30136 20697 0 R >> /Font << /Fabc30137 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu$$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwo/ endstream endobj 5219 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=402) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5220 0 obj << /Filter /FlateDecode /Length 3882 >> stream xɎί/ `zzڀov$M[VUO{"GrE1Rݒ4V)Oqxqˇ?ƮBˇro>7?ilދUgӵQÝZ߇C97!JCX><}i8,\o?,%CNIIe4:0 o/L;v˜]2*_ /&n(.=.\ZOc8_;35(BXw~ ӄs!2i@-O X߱ uA>|wk|˟ VZ:,_C. 6(<$ ~g4(m\@N_!⦌ћp7X(j(AVґh~Xw5DpzekFVo֣J 8T;q+"PTTUTҨZw(gR;wh$#tU`(/2wn3E P+CgwCPl1x2T7\0(Q6`LƁ CDt IoI5WDg~<]0*h*sa^7v|5\)zKm4awCZҒ-F(_OPRSgvm0q{'*N+t★-f>=vMw*l ,xV޵ ¿k|)کBt CE54YCdZ93{h(3U<E$Jgp /4J5J hPS_?@tƳ`Fc3 On\ `ĭnrb٨Ԛ*Ϲv׋%jҫ2LZؘSebK lıj`؂u/ْB@܀N =*pi4\Mp\5b3qb(zfb؄^_H4$պQI({{Ne24xpJ|GB]rq6B"QIH$ IZ~tt?a#UqL\wD܃J1@;qk𼅼!u'Qy6q2:P, ;: 5{93HQG&48Zo'ߨOoɂ¨SھS;9[9XnAǶp+ohǣKƫC];dt2-˼ 2R0&l 홐8&=b.&$%gʢ×%2M_Ti˟chIQxJ0\݇I!IWCB$T'K XObQS1[vf끺fp<[ht9W1zp)^žTMJI@Əm9)ss>h9"nTlhpfe ,.\}JCd$,qtŢgWPձ:ˤb:/0{yw!SqƶUHvkM4P~>H2 czK/pFfLPCRҫ+s5\|7@3QQXki3ahKΌ_ FλjW4]"dh"7TXXSR4 i2ko}N]P'+77ף/2 Fƾi@E 'IP+G`wPF2wF=|V5g2w]: ;Ahlm_T[|#ٹ *% ^!wC>_1S|0R y}!5꘷}u&G7V;F4i]YWvgwpzȥJ7G{?Z֫oX7G6qz2rhC5+FWg_ Ƅ;Xvܡˬڏ] cKo* <ll~F'zX}$&.dvJ)ffxVOԤBn Dea;.0=f۝}ygi.#u3W‚wNU_c91h:Г/K-X6KB;}=A^4u܌k˻s=P%_ϽVu½񈜇7MF͉Cް`Z_xbeٛD¸2Fq_s ?@DDI_?[Oݡ6Q6E)&C_+a< '4+  ^d#8Lr 2ůF1).[8.|,ϫD_泗np-oozK?Vof~ Oat ^i*Z"'^&.saߥiwqLt {> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30153 5231 0 R >> >> /Type /Page >> endobj 5222 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5223 0 obj [5222 0 R 5224 0 R 5225 0 R 5226 0 R 5227 0 R 5228 0 R 5232 0 R] endobj 5224 0 obj << /A << /D (unique_202) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 143.3155 182.3117 154.3155] /Subtype /Link /Type /Annot >> endobj 5225 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 127.1155 195.1267 138.1155] /Subtype /Link /Type /Annot >> endobj 5226 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 110.9156 180.2547 121.9156] /Subtype /Link /Type /Annot >> endobj 5227 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 94.7156 177.7632 105.7156] /Subtype /Link /Type /Annot >> endobj 5228 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 78.5157 182.0037 89.5157] /Subtype /Link /Type /Annot >> endobj 5229 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5230 0 obj << /Length 19 >> stream q /Iabc30153 Do Q endstream endobj 5231 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30154 20690 0 R /Gabc30155 20697 0 R >> /Font << /Fabc30156 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 5232 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=403) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5233 0 obj << /Filter /FlateDecode /Length 4135 >> stream xˎ$@z?3|s<@ANc6@6~HzUWt47܋HfVʫ,%n{Ix3X}ClxSzt/d[sц& -Kψ7ʚp]sʓL0<?dmpζ>`IrP?i H`kpQFB&VQ#KEz{[NkC68[(f"A "RV%R˖:MRgt)Oo- Nf@6j b(-'^ S0Jq!lʎטjt|[IWϪuҹxRPźvp`8p&.,b]|OuER*y}zͨ(cR`Y֭eA hUڻvc „b_!W`J>` [=U)_rFP%FI_@r2Alܓ/`H Ip8]T>} b.Fp Ψ/3\ϫ iEeRTހķu[_~Y咁ԟxIT#0HK8ȈeSw_gA k+YkyEnDeV;,g =.Q?i@8i\:B$6FPq~9GPwNт)BW2uR&Z$Tr fiϑ5~زF+Ӊsc~},IH>~}-x maIÊ5J"b ]b4ukUFrLˠII'HWHl4BlL~ԲXxi_86Te^x7h֧RH[~J+>NX>gV:HTw@ dbnY@6Ap@u7% ւФXQ&L^ze#Ȟ{; kq#3A3Scdi 1`OO*#!(Wπg5ic@+LkuF_rvkNW.+r{g|'%[L){P F$u}|}6l% [i#̙ɷ2o%+|rɣut<9A{Rk^Fwd9qy:r1YGvOfR,wAS2 XON#z\B#iwRCzkYl]h'_mx'ŷB2,}Ok.C_#5irL*@gKPW.oO.5,b .fCw8v}߁[}wI?P’KX/p)E*rZXmR^JܹV)Xʕn5.XmbhEF1vKq)[ 6y_QgLKmy<.& ACZti ^/P{ɤd﨔S\.ܩک-pF{lD.<;u͔9Z߈XhX {pN@ Un@ysfKʸvʥٔxKrهXzE'=4<8L,!3_x#B~ 'dWg/&LW:y._pj4ȕdeig*6ۊdpxkA5? 2u*v%U .E G `b@;XS1n,Hyˈ\.y=ϻ}b/Dnn{]]R}/b :Bش(K8$FABJSV9sϞURT1Kꖶf uMX9IeĀVTZ3_U N@755O Ȳ¿ ,y&`[.UG9uTmSxhqQ#LMJ_ ^uh`.DUr)Pri]a9i#^=٣b5R,an4mn2ф#_~oL.m\o4I5n&?6S:y![Ԑ#!{./>#lT"Z+%,^-;j;n(C'n+:=3ߖ@8μj^`^:Gx}nG{Ni Mrx]Gfؑ37752jP\^=ϗ&kR)/ W93E'fёH݇;T endstream endobj 5234 0 obj << /Annots 5236 0 R /BleedBox [0 0 612 792] /Contents [5242 0 R 5238 0 R 5239 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30172 5240 0 R >> >> /Type /Page >> endobj 5235 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5236 0 obj [5235 0 R 5237 0 R 5241 0 R] endobj 5237 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 191.5297 709.9] /Subtype /Link /Type /Annot >> endobj 5238 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5239 0 obj << /Length 19 >> stream q /Iabc30172 Do Q endstream endobj 5240 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30173 20690 0 R /Gabc30174 20697 0 R >> /Font << /Fabc30175 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5242 0 obj << /Filter /FlateDecode /Length 1139 >> stream xڭWK#7W9ҫ%`CnBNNfC,VJj'd`W*}ZjxH\=\^ű98SlT[S?J\n.9#u~gG:ӉKm8Np<;( v8 Ogӝț3(@-oMfrm ~o ͹&_Dk)V%$SFfEJhQU`jXY2aa-̘ _!RU͕Bc]pl=y{]Bfu3Esw1IC͏|$onOߴpkԛ >:ܩ[x3&Hʳ OW6E֣sAjzvrΦ>76ۛ*7mk 'qP2 -Wl=J(tw=` tb6t3;ۘ[WM\lUR~H(9?j6UfFIRGɐUZnETۍ<ɫjVGJ7'Uny)cʔmQrRͶRT3Vodpq/(V;d'˩X@T[A\Zg2fAԙ:AN 2VkVb]2gQb YQ|4&*^-Z k-}MjF3N,uDHm{i#f4JD \9X*0gjl5!-Vb\+dbjaq WF>ݯ)45|x%o$nA^+VҒ0)*^i$u#fۤyJ GWVsᥝ ˕T/*w^tcts.LB-03t7rJd_C{lNduNUD xYX.ژ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30191 5250 0 R >> >> /Type /Page >> endobj 5244 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5245 0 obj [5244 0 R 5246 0 R 5247 0 R 5251 0 R] endobj 5246 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 386.725 111.681 397.725] /Subtype /Link /Type /Annot >> endobj 5247 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 386.725 139.8465 397.725] /Subtype /Link /Type /Annot >> endobj 5248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5249 0 obj << /Length 19 >> stream q /Iabc30191 Do Q endstream endobj 5250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30192 20690 0 R /Gabc30193 20697 0 R >> /Font << /Fabc30194 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7H th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0O-j}'2gH5<a%xp8!Ԍ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5252 0 obj << /Filter /FlateDecode /Length 4242 >> stream xڭGjO̶˗/FbФ7KD/..2bfiJZBْl.gzPu|Qe> XegΑ% 8F{r:e,2Q 9@-F>06 |'/V|0>%͖,oT R" Haj_-.{EhTyRT3aNh :`fZB rOj  !]m^` JnC]4F ]ML ^euf Y8PoA*n٥,']EQ"]Kyu%NIȒXYڪɲFoЮɈzmaX5oN^uguY%p Ďԟ]x ŕ=5Uս@ih|x܄H~g]P8nOq.DQ" GsĜMeι. JgK;-a[vD2'nJ.ض-=[޿ o,平O^ GxT4;LR'}ҍ9cdSQ)F(/퓹ʾtjy&m9;9V9uQ,ԟ1;q99Nг:Gqp Si}bUQq~Cuuj%fj·0=X6˂*9oеpX8 )#_6mN=`"/MEfCE]}L-5$#DiM#/l1r S@3а&Sc̐ii"+C?_[;WEf^ܘ;,;ˆ}Q{1Bg/u^&&3\0Q@(yLrZ̊ I9hs4|_JR'OCc.U].&DeӰ}X ( y;㞸]kx  ipզ&'b?2ߩq !JB12 3}O3˸к)2)paޔL< HEREQRp/ؾ#IqL0PDXXA7 WAqՐWyM'-2f J"fkb ȊHq~-sޓ0$ p2n(`8G;b&Q~B6;Ko?f{\-` f{Sޒ`crZMC?tMw0GO,❅"yut7ϖ.ǂ2܅9GܒOP6G5йBpޜ/%@& B)ƴz-:qh4W[(q%RZ}1TвfRQA L_F88gSӌo<VjZV)Ǭ .؂k`'[uk [>r`~"_HPhDoM fF P4'/Koo#b] 撑6!\+ׁxb>2PC=-W' DÛE,ݧ}VvP&^W-t-S'އ/r  {Hf٤F @CPԷs߳p cqSjɭ.t{s=JZ}Z<15QN('o{w-ļ<2Qlo1u*݊.3{@6󔭃vP9X0[SXݒ 55~,n 4LxΌB.O4m!;GsAS!%ι[<\a+a&{rFonXد &9'v`K<ꪠmv-]nhb/wc>W{O Ͻ􀻺Iuƶl*,t׊9L6fwaxDel #QnQzۀK..}XG[)%MƁ+ěCwPa(y}^H̠ n! \, P2n>8LpJO.ZdK?xGR8U:f&k1`^&Hc\Rg JGG_-%UoGg-`""8?P\T+sHpkSem!# hf]wErkћÙxH+\X)3Fks *h0rak]JPE<,;J% ߈RE6p³IH)iO!%Rvy"c'ѽdTJVlSYZ%zQz,V4A4}cs{,T_*lH&Mv*u ) mMt|_կ]?}a]ͩ2tmGo<A:lviTvr3c"o ?V-[?ފÍK FÏ0mAث}>q+q%{<jL3!їg}!/x^WOK̴~A 5F! Wpn.Bዬ /MTdVxk?sjRReM>k_^zEi\o1^Dc΢?O endstream endobj 5253 0 obj << /Annots [5254 0 R 5258 0 R] /BleedBox [0 0 612 792] /Contents [5259 0 R 5255 0 R 5256 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30210 5257 0 R >> >> /Type /Page >> endobj 5254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5255 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5256 0 obj << /Length 19 >> stream q /Iabc30210 Do Q endstream endobj 5257 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30211 20690 0 R /Gabc30212 20697 0 R >> /Font << /Fabc30213 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶܹ endstream endobj 5258 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=406) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5259 0 obj << /Filter /FlateDecode /Length 3556 >> stream x[K6W @n[ !ȩEг%ŇWg6I(bϺ>:=[|dSdLs1.NGOp?e-\SYk.tn}NY56x C9q_a^F2a0ɍ9)ꖤ[^ȣfv~5<NpфidVYCR483ph=<3 So{S| f'.|1i%\- >úZS>'s*Rx$,'۩y۟GYY<}cy?6lJ ׍ S,ɦ*_tl"1]H1ۅҩ+I=nj46Qe J,Kwg{& =ڲI\s]ݞZi~މ;R!RM/Yh!?)Bܵ~%b"r3 0q~*[ˆ[غg˜QLXPB7NqU[j@`#uυirF3{#(/2'Ec̀t@v꒩p@Ax2s:vlcpp98Mn&}gu(KZ۹m6+J97dbs/9Jgh>[:Nfn\^NN6۾2?`ֺj9(N6Įl EŚg  qvoF~iws]6c!:Ka<*vh+d Xb]a6POƪ5m/ GwҖ7:9̓y*OTjmgDVnp/G ^$u<3i?lGj((okSKs{`+\l' sZfUg/#U|>H3H!lb Ve3si Mޞu?2GlFs656ԸzLZ\:x1ʐB6<] : 'PP0-ps0lnȹPHF̗{ǴqL jF L;[ۢq_/5{hn} \d4.h\O֝+涵-J].g hKS*$DE0-l`7%KFz,S7"r0C?$ukH1j:v@M4S(p9ˤ \paۧEОh3}nu.ՍIbE0ֶ-sscz@@4=ZIyKLl2~<#JgnA\M.{ ž 2;ӈo F{}7{QEh'A agx6%/d-dFpHnAy1?ižRVOg^')a3Z,ډr6V|eOQ_a?1S3/U<,Bh6IQYyz(g.;m5WAox}6|%zq#xSE%j i<ˍd$UYyuC?Uu8*\g\h5-ˀv#>[͡:lCp jX(#۔1 1K6!;q!,jF#ӫ`i+yP-`(-FN9)嶫dOpߺ! j! 6!f5!P+!) JLwX"34>5 ީnjqY0剉^dfZ㲊[Wēbߠ}}`lWxߊ-\q!M y8W_WjM#X_}׹b[^ͺu/4Oh31<5_c \t腫jŊ&y0,Ku |^I,(0{0я%KX>oYN >5w#G9SZT|v牦 cњky8+Y xp͙ܬ5^Wkl{+Vl\(cEE*rŨ0(*Na,UAt_:u>~n7&ut1ԧWq&Bvǟ߻:?>0:_Mx ҸAAsec k˝ |mzYjPog ̝+'kP;&L97S)7{t\37 "enIP= 7rMH+"k:qxsuι~7#jͼ RgnT=J^HF Pp7gk(sӓ*vBX_HTG;iB1m 1Aۻ cLi*[/b15u]M}fe$0SJHJr!#VnťC>L+"67f8i y57"5e.63GZ\ j2ޤ UԜeX$3S$ߘ؆I R_u#-EvnIDX%xcLXF&уꜵfz!-{S{Yle<%΍o8ڸRYe;A~m ]쁘+sޥˍW͟0\9Ϲ?}O=gJt4~RՏ6 RɎ)d͘D'NuOV<s(郧j= |Hgcq4 p^&@`E+fܓ9@|?z&'UdG{1K WL ŌVk( Y.u"}ڞ߅aHrTA{/l7WrDlbt/),7̡YDGщvw-Nv! endstream endobj 5260 0 obj << /Annots 5262 0 R /BleedBox [0 0 612 792] /Contents [5271 0 R 5267 0 R 5268 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30229 5269 0 R >> >> /Type /Page >> endobj 5261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5262 0 obj [5261 0 R 5263 0 R 5264 0 R 5265 0 R 5266 0 R 5270 0 R] endobj 5263 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 610.4 175.4312 621.4] /Subtype /Link /Type /Annot >> endobj 5264 0 obj << /A << /D (unique_621) /S /GoTo >> /Border [0 0 0] /Contents (get_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 594.2 220.0307 605.2] /Subtype /Link /Type /Annot >> endobj 5265 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [104.1732 578 204.5317 589] /Subtype /Link /Type /Annot >> endobj 5266 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 561.8 219.1617 572.8] /Subtype /Link /Type /Annot >> endobj 5267 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5268 0 obj << /Length 19 >> stream q /Iabc30229 Do Q endstream endobj 5269 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30230 20690 0 R /Gabc30231 20697 0 R >> /Font << /Fabc30232 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ; endstream endobj 5270 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=407) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5271 0 obj << /Filter /FlateDecode /Length 1611 >> stream xڭXn7+xm 0@n!IſWUd7{<2l(v*6ǬAKC_?E;{m<>`D}y<NW񌹃Nۄt6!nީR tЩ v$јD+q}f>$e95 uXVv/E; e zwN-h.9ۈ]8,#Tg8{gkF5a] ondJASl>i3-u)(څk"]ү_J9'bJf/e5}MʙQ+F db1g'vXZclt1SBC;uJS_aϺJrP<=Dspz< jl=l:6B_\݇ck+Mv ϻshY19D[3,p=B ʉ P1{&Qs+9 !dlS6̗9gég_k9,O6aſ Al•\3dD_w%&C7)KMLs/G35ޅn`,ay |GIi#vf܏,P} jd[U?Y~L&+q '6Gt.ҹ/?e~ιFWkC ʦP|nʸ̒m"ΡbFyûAϗ\] tYS uBQ'I9[!UXG]ȇ#>2vQXu"uwm6,o"W_"ߌ|Tn/\fuA[.QSGx_gsҾMѩ*YC| fs(iVN7p2sر_Y?'/q֣hX]4 ڨK̏h-d hגӌڀިRG[ ycBmLfVv-#}W~xC[+4 zLRiGefL 8apG[IWmÜ* $S"I]PuP7 -g9@I1ˎJh}'%ԦkVSHپC1R+|ܦsOk,b1բ,3đˌYxaN?_1?3"C9e=vD]Wn+Iʻ1qVd.Ss\H!]w+ʼn`bQ'O⺬[sn=eW|eiLaaSsҺHp&^wT6|f;J75VG+*NzRi{;AM1r)hf0[Pf9Kl>q%E[hF+9d.ĄplYmW!6Y^cZ/%JKot HmG]59A`##?hߣֻ$4Y?Fotp8O49T< >FM<[㹰,RIյbXk_=P1Qz P%6JNT{a|~s endstream endobj 5272 0 obj << /Annots 5274 0 R /BleedBox [0 0 612 792] /Contents [5281 0 R 5277 0 R 5278 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30248 5279 0 R >> >> /Type /Page >> endobj 5273 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5274 0 obj [5273 0 R 5275 0 R 5276 0 R 5280 0 R] endobj 5275 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 377.525 122.505 388.525] /Subtype /Link /Type /Annot >> endobj 5276 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [127.818 377.525 169.6455 388.525] /Subtype /Link /Type /Annot >> endobj 5277 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5278 0 obj << /Length 19 >> stream q /Iabc30248 Do Q endstream endobj 5279 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30249 20690 0 R /Gabc30250 20697 0 R >> /Font << /Fabc30251 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 5280 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=408) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5281 0 obj << /Filter /FlateDecode /Length 4034 >> stream xڵˎί@|?=|ANA`O=3f{%RTU,R˗,NxI.^glK?/,_u~M>&||Yj ݅N`}!ɸ 2<7 @槥a7}K2P ˇ q Yu%ho\=\5EdxWKpDf[,R| K(kҡAx\"\qqpM>y#SQ䖣A2Qp:  t)tuyTI ; D@` Q&(! K_8[5-6q* WόTpv^OYjg=$+=Y.U+q؅L /X"x9i2T6.!:bn[z Aުrs.3ԙY-!aeprOm:6 X{͖l7",_,K@I|ԋ4t5HCoTfM 1N$qp;$ %HF5Dw)+֡IƳ;Tج"=TkS22gX~Wnml>Oa\?31S Ўyl!oXz~܂Oކ5g~[> `5Bw9pf'>t( zo . j zS vj˫z0PKf@G׌*Q;}Ӈ Pz"!d#zH )2r0'lX5녝c-N*J? ul5(]et_KmK-Vj}Ae0kנC-/eS9^rVi3@m3Y˃ƒ#K)x1 ȶXlLËfnaӀE\v9åʫksEЁO)e<kZr-涯Bl6E>yo'2M+x%q>TJŎ+R\}is F-*֤ 3I+21LV&EdHM."nvLMVFТز՗A&\wT5 щxAcdCAa>V*UbzMWPT7Lq9)cX p/ROL^b@T5 H GHvj I7NWKq<^BswS C{uk! V:NX9_u:ڍ1T`l(A[A `|hn M ڨPM:\_0CZ]Vz9Ł!6}˭u wG2skdoA5#:jձu?u!^(ʇUn::b~]ld%ԫ]]n\Խ,.v8@Sۀ tNlUM=֐؀JnsĪpcY*Uu-y fTFɷ45u{;^Ws^bE`} y#,rr.g⠣=w/ܢ(9i CZ!a V7֚OU`5}8}3O9GmM;WU Zsz! T I~8򶅼 n!9(hƶEP0}( {4nMƘɷ+ͪ=/C*`8ɣ2*D5{R[Uôc\DOthƕbH)tχ\vKfDbiTڟZO񹿣;by7xEF>)k͆J$r7UC֟Tl%, %>rdr$TYe;@r b]Zh`Hax6|xO<YۏL*qNŕ Y~`mb6Tv㓱 krʇo At[[Y9n=@N?| GtW{L+s)%f\oxR<3}F}C~$W9|{dy_=op!&|8-, H+׶#!gaܵ  >1Sj˷ʜzRum +@?z, d"Xb} 5HǒE " endstream endobj 5282 0 obj << /Annots 5284 0 R /BleedBox [0 0 612 792] /Contents [5295 0 R 5291 0 R 5292 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30267 5293 0 R >> >> /Type /Page >> endobj 5283 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5284 0 obj [5283 0 R 5285 0 R 5286 0 R 5287 0 R 5288 0 R 5289 0 R 5290 0 R 5294 0 R] endobj 5285 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 374.8423 201.6332 385.8423] /Subtype /Link /Type /Annot >> endobj 5286 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 358.6423 218.5952 369.6423] /Subtype /Link /Type /Annot >> endobj 5287 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20210608081201-08'00') /Rect [104.1732 342.4423 219.3267 353.4423] /Subtype /Link /Type /Annot >> endobj 5288 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 326.2422 223.3857 337.2422] /Subtype /Link /Type /Annot >> endobj 5289 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 310.0422 208.6732 321.0422] /Subtype /Link /Type /Annot >> endobj 5290 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 293.8422 220.1022 304.8422] /Subtype /Link /Type /Annot >> endobj 5291 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5292 0 obj << /Length 19 >> stream q /Iabc30267 Do Q endstream endobj 5293 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30268 20690 0 R /Gabc30269 20697 0 R >> /Font << /Fabc30270 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5295 0 obj << /Filter /FlateDecode /Length 2720 >> stream xj$9й!4HΆ`C'T=PuߟIrU5 &!-RN[[V׏Ϻ9,}C߮Q;>[C6k}6NeW>`zoj(eagp0`[]l-puxGE˲,k8^8d;NG^Qm|0.6Q#j=5km@ēCk@0`&e3ຎ;*ĂN>ȞȰ2Dq(@XÕW2&" o<a'/t3 y \mXZ1I-#\Z#B$;{qÝN!PpҸxA+HZ 1/e_왙$\ijjmXIy]DN -hռTSAF)v 5kʪ|-oUnax\i HaB\ fCt0/B#Ei6nC}7xtlN]Lo|m 0IGqϕ3,k'OQ25a(o@LrΗշs9@]zxL6rù.-_ǥ;}]_t><_+g^BMS60R056pnN>:4ߎ9vFh\,~LZ-8Pjq/wѾeY tԫS\Â.a8rSDrwe[J[O%nv:5áX&sJM59WW Jfe խK"ΜL ub .g]eVdI};^5RыP8P'O>}w ;Y;H 2 ;ff;H:qKxxw3A'ټ s7HTcI`05ǻI~_{M'Sm3~P}й{nwct|C".v"ExX#T#0 I򻿶#Nt* Gc+<0RF#7G[<]^JknL!ڙ|_wͫ9N9IʥY o8uKmt E_ G987sg:ago};drHsbwXu>f[]Om{K٠`r>Dl{I ЎW)Tqm"չsP ba(vq{. Кje[ko0=麏KlB~JG~J@Njx]_m A}MIU q; >&RS*jIzW tPJ5OW4}o!ʇuB}4}ga:<4xƁ rҒj3-{Ś|c9+5o֮AC_L G,m- u4-'q> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30286 5302 0 R >> >> /Type /Page >> endobj 5297 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5298 0 obj [5297 0 R 5299 0 R 5303 0 R] endobj 5299 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 386.725 124.1605 397.725] /Subtype /Link /Type /Annot >> endobj 5300 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5301 0 obj << /Length 19 >> stream q /Iabc30286 Do Q endstream endobj 5302 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30287 20690 0 R /Gabc30288 20697 0 R >> /Font << /Fabc30289 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H`UthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bI*H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5304 0 obj << /Filter /FlateDecode /Length 3624 >> stream xڭɎ7ίsU/@V [2rL2/6nvUd=e1J.ޖglKӿdz_Y>9Nu~M>&||[~`5<ܞdp\~VSԾdܫmہS{j؀%??/>/.\.}0[~X ?/z%+jg\okJ4˯?)O`VX~a>|ra-%&*,,;wzЩui`]nzs=PM v-Z@[}oX;XC)Ns6\4^hi4Ѭm3+(M"рv DQukv)D `biD*N.'XN>KuoZw#@vmrMmr/Dn~5FfkFE~a8\m}m U;u C7R}oC zhjꪑ@k"hOf5Cy r]zOz32Trse&/ԧу:l) rKC#BM#|M(`:NA!CZ 8ܖ-'pM69aK]jn-" nYH3mmnRnFL]OZ2dqvs+uV\zsN dU;n*,+5dCeC.Y.~a°[R5ZWWT${$ݮҡ#ZNӺ̭uJncr*iѡoq5!Ź[#ä@,v;,|zaGnn+^Ԫ]m6[N-:UkѰoE̸IIa j\S.y`B|ҥ|j5~PV5#5_{%CO]i.?$$s<Êv Kuʹ]9b%Cl񨦛;mO_^.o^>N7_ KcDDtJ#Rg,@,Un6@`'=2GwjD0Ьa1vO Q -iާk1+-}Ry#Ӿ֏"P9)сy ž4iO*- 1UfBV a92x'`6oew-б:v xTN3`"Y62n2uyV{ O0Oݷs9X^OFhuq <ī֍klV ES5&K'@+r֮^OxlC8@Ud 4ح:5PNNަ/9x6quq4MM$njR0ׄ Tږ{ɝ&-P q=_! Y /SJܱxxn횷 f/ #hd3i6x kk &uXS`0փj|^jIa=+k!][ׁ1+\\]R~[(z%UopD-PV#^/I-W1Rf Ҟ` &wv [%^qpƝpwNn;43C zoʜ_Rums~ʉ'ћ>-g,KdU&A >,ZS endstream endobj 5305 0 obj << /Annots 5307 0 R /BleedBox [0 0 612 792] /Contents [5315 0 R 5311 0 R 5312 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30305 5313 0 R >> >> /Type /Page >> endobj 5306 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5307 0 obj [5306 0 R 5308 0 R 5309 0 R 5310 0 R 5314 0 R] endobj 5308 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20210608081201-08'00') /Rect [104.1732 483.7038 167.7037 494.7038] /Subtype /Link /Type /Annot >> endobj 5309 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 467.5038 173.0772 478.5038] /Subtype /Link /Type /Annot >> endobj 5310 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 451.3038 175.4312 462.3038] /Subtype /Link /Type /Annot >> endobj 5311 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5312 0 obj << /Length 19 >> stream q /Iabc30305 Do Q endstream endobj 5313 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30306 20690 0 R /Gabc30307 20697 0 R >> /Font << /Fabc30308 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1 endstream endobj 5314 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=411) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5315 0 obj << /Filter /FlateDecode /Length 1942 >> stream xYKoW𼀴|?^ dvh_%Ǔ,bC,ֻE|3X4ӳsΤh)˿/NwS4Ιǯ֐kqv`otgm-;HIHK %OwaL@zǃqdc`*j;0~|om-L^y Dt’Bku F~ NG ]KBd7U\Svk%s K7zF0މul΂HBSo.-&GCe_~/M,KiCS.?/% @ֱ`KkzJnxoaAF1%=dq5;f>L05=_5PMC,o4u8@sۤ[PrOK1Li'e_'Y*<Գ PeCfmT0Gq 5.Q+)t # 뜨y 6cq\(FPt8?.ϵkɽذD=L 1-^Y0D NBFsyQ@]jm#Me7=ȣ+9.٧}Bmz'o!b,y2}AM\Vy(^r4{[^8wȅɕ L~{V4;Gu΍5aw9kb:MCr{áoϧ́vD8åue %f\%5qXrC:^ ߵ:Q &Esj$i`5rz7,+ޜGn_;ct礵W;fB@ǍVi^'{=#Y[=Ci[)i\9a>yš=#q4ш( 4S.q.{VUzY./x4r WLKG eG: Nv44iL0NXM& +'.~2F K)-X;` 6GTdW9S b"y }K-R5uU- H&.-AեLg0gS_JW b,S#Ĥvwe@*VrݒwO7? `A](Wi!'ĝiƴx?azs#g%N8s)R}ENc֭ 0.yݲP8$;iFx9I}aSu ]7V7 a5f AJ6pez3;1x6 X̺TJ;E⵮$U %uR 6= X;&rf-eL>MzUZ!BӲ~z=3=vc7wf<mY:Xy]gCy}7a&4)F8,.yˎ[sٍ & nclJlU/4I]$Z/; %ꁿ^q]iHz--4NuT:)G)wdc]r}MHw o=C[.9}Ϳ;69cRc~ǸzJu'k&ĀS/P40֢w{<,݉>\5wxxUIT0U ORxG> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30324 5322 0 R >> >> /Type /Page >> endobj 5317 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5318 0 obj [5317 0 R 5319 0 R 5323 0 R] endobj 5319 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 349.125 124.1605 360.125] /Subtype /Link /Type /Annot >> endobj 5320 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5321 0 obj << /Length 19 >> stream q /Iabc30324 Do Q endstream endobj 5322 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30325 20690 0 R /Gabc30326 20697 0 R >> /Font << /Fabc30327 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ A8Cfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5324 0 obj << /Filter /FlateDecode /Length 3867 >> stream xˎ#ίـh^ u SU,[i kM7d,>Z~FjwGZ*+e65ocWU19UIkjx `,fA؝I|%zЃ_dо~zxjwQj UZig\5K4bcI3Z,âUwtL' @Cyg3\^hc@$gƎ4 頾 %g@2:֯ZΠA'@ΧdG?](6*I,(*%ӳ . c:EA.; `T0Yq0!RVHYG  ^6'J}tW*Bdgĝ^NL ~cpZj>NM"'o"Yybc=#K*_ d7d火Qk4Q;|U`!]&>hdl`.E0_vgYxQAbqB]Kpkd5+~*̀bZlު[Cf ;CRaq \؆5͇}pH;o(2@ub]4Lt3Le<2h)N=C< ҃ `yQޭGM?/8[8-l,VTk*0 &Q (OB\rYeaF:)|o|J3kyu> 8߻`댏S) &3:ޑ`>a.< :㠝=KȆit;)᮲ƶo/ueBtqz=+N^rۈdm %rJRY-;CqDq["İnLЏL+Aa7 U^ە=!ڲvэDzmheo F3z~~{.l|wuƒtg4ӳC@;5!MS7}*<´UxDڨmfEǑ1Ғh}Q7 rȻpV_q`旘 ߒ@$BAmBӅ,?r@Qx3mT X ~p"Kۆ(&DFe/0*b _V &) W0;$$xq>PO%n!LF;ıHglgR2B!S4υYxk߈d9tTlRjMKsnziAZz {UY9pd"Ks %D(TV+[ lľkgąp8+|r b[RZ?Mi╋p:;iFUjf&ڋdGL חYd{AY2*l?A7hyV kYt}x} N:x:>ijqʼbr,i_ 7ޘmҎ;fsj#>؎wox}/:iz)gxhpIb;J̹`h[{w͵Xvr"Kxes>lntU dHÞ%}LQ"fzY>JSPe3hZC= k*oi-<1 |'@KY_P206͂G8I߯߰:7t~5x:@ 7ӠLQ|l(baEmXdꚎ9K>^Q.l-w>vd̳; y3Wˤ6u#l{Qn`.h')иUt>/޽( `L }9ví\cLoQ>Pe 97-.$&$X*&mOots!g?q(:_cH:GYkNx0[.&8G匹zȵ S5m*$u;C :G 葉BXhe tՕŀ⺹sƿ5M $^w9NcM@E,C[bV>p_2muH΄ԃMuLVw 8=T顱4gq0n=K͘9*@;M ~fR3oQsl`28^/ N;ZpmmvHȸ}'ɍA^C>$xIB!񡨵K>T}/%x3,'`#ˉr"h{c9rQit#3w.納[9m j,^mBAŰ3 J ha O pf?Mvfdb«ԺܺJr1`YpBq&ȊtGg(+i`2-L[ t57/Ryv;-ρe{y5ӯ؁yӍQI{}>,I9;6KM+J, ԡ4`1&??ȹ. ¹ O=VaB4-84qAǺV 8l*|6'O8HjHɮՏTAbu#@;@}ى/ʤ alp[U|NTwLtƋ.h|ȮlLrASL%F-vx\L)fnAh\VR_$ξo'|cZ@;<w-]G.-nGbM|h7^ *.K߇MRe툴\ItqXp@s'|c2?M^ ]ȄtXםWSAc0}mA`yh6B:!%X`pbhz7>GTc]@e$𺒣kx†>ir0=6y`V;4=;Un /~!˭F+hB\Fj &G_r&\K_TT1T)TL5:.3Bg);eKyp%7q2y R[|}t{""1:e؆ ~ǒK(`YL,̭Q鍙#Y-&RnPdoTՃ!.>o轜8U#+ aI 8-ϧ]?3`^}\ʋH\42x<\c{zxl/~Fw9\_?y~'Χ GN):p{%[[rUs ƾ>/S#~|ێ_d.O8a҃9mS4,}C4ÑwñB8T`ƾWT_^obuw gT>#v}*׍"*6B[ HY endstream endobj 5325 0 obj << /Annots 5327 0 R /BleedBox [0 0 612 792] /Contents [5335 0 R 5331 0 R 5332 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30343 5333 0 R >> >> /Type /Page >> endobj 5326 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5327 0 obj [5326 0 R 5328 0 R 5329 0 R 5330 0 R 5334 0 R] endobj 5328 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 362.0692 155.5267 373.0692] /Subtype /Link /Type /Annot >> endobj 5329 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20210608081201-08'00') /Rect [104.1732 345.8692 145.6047 356.8692] /Subtype /Link /Type /Annot >> endobj 5330 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 329.6692 161.9837 340.6692] /Subtype /Link /Type /Annot >> endobj 5331 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5332 0 obj << /Length 19 >> stream q /Iabc30343 Do Q endstream endobj 5333 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30344 20690 0 R /Gabc30345 20697 0 R >> /Font << /Fabc30346 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} D endstream endobj 5334 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=413) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5335 0 obj << /Filter /FlateDecode /Length 2557 >> stream xZK$WPJAQUݵ̞ۘƞ50}ٿxYY=cYj2"B_ԫk-wG^}mpouock0c~~;ZI/ /^E^*(oM@GB~'W"mP}b#Qw_XNʚ%3""c#&p  HtDܝkQ8⃍%*4XJd8#,3_IhtH 0k9| ,VDqi>F>hB3d5Eljz5{a6ƽgQqoj9):95 hM X6_Q57.YeQhk}l7\\XLzrEGtE/D%? BhPA,@"pk>6mCYf< ]6#!zy4$r4RLef `Cl ]Sk5an:вQ#ӷӭC4 W QIc.aZpMjxUn("wM?љcs_x>GNjaa7ITDS>; `SYJ@\P3IMA[Ʊ!Dž;!8jqߒIԐ B{h:;=JXr˜0_`"c|[9N\*q #xN S dH@Sjrh=C6@ϢJ 3\ =ܔ,4 kmv8\|."֡o=eUuvĚv\[Sh78*] Wx=6VV;V&kSV9ӳv19|Xwjj01hsYCEs:CGKQ$R F y]˯:7G ĮREar%E?zs6/7w'ùN^- j(7E F/.ehj7PP2NZd2hn! XXrWru+3j+ ydWjޥ8ʟ$ܧ~& w|:Xx}M5e|OnC iIƒ5qZ2 P2m>N`%r'ү oojE.a~CHQ{u)dVBURĶA8PA95]b%ng&l3DEq6e<˓HiqCZ#U/fn2{V$%G;$WWi-e@|CsTh禡 @X,X^AxE@9Bb{'bޡ³^Ń|ZH4Eտ6':,I8*Yx"4\ڈ)ђpWҔ_l'Z 1R1C4S[ʤ!xxevF=v0c3i 𦁆(/bGmCE@1^x&%K Ha%uӄLqƶP㥒oy +ISR}Y3R6ImC ՗Ry~Ů+v] x*rUP3XG]td1U*v͈C`+|; x ^_}i!gL"c}Oڋs!ݵ*40 [&[C|r?Xh z b_y_{>!`,_dq ;hM߰C!o.7[V5>*8'mN߃/ֆ5.bAZ K%X Qj>-T\. endstream endobj 5336 0 obj << /Annots 5338 0 R /BleedBox [0 0 612 792] /Contents [5344 0 R 5340 0 R 5341 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30362 5342 0 R >> >> /Type /Page >> endobj 5337 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5338 0 obj [5337 0 R 5339 0 R 5343 0 R] endobj 5339 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 386.725 140.952 397.725] /Subtype /Link /Type /Annot >> endobj 5340 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5341 0 obj << /Length 19 >> stream q /Iabc30362 Do Q endstream endobj 5342 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30363 20690 0 R /Gabc30364 20697 0 R >> /Font << /Fabc30365 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5344 0 obj << /Filter /FlateDecode /Length 3531 >> stream xڽˎ6ί9Im d!dEнeŇdd3HȪbY/j&|/Y. :>/A;~0琲O/OƄtГ3K{7AMv>[bq'ڌoܿS=Z?9 3߿|?j3'3o}K?O'>2g+cA'xA{x9~ s[cJ"t>݉m|\`mt9+ؐ{-,ґޯ8j|rRW|+Y,EUQe EѮ{"(',>*K B`SJ<x4x$`MhEMqZw+4rS3zf%c };/"݋SAHF5ϸ RVC+%ܡ\f^2\eܧڽGUkF_nml>*0̑ȁaܰܝN5@q𲅼aug^ez4',o0D@(]et_t-VrBlk>|Ps{EHEwӇs~S֭+L{ɪ,5G$> ktzN GK0i\!/v7Z1"4x  &, n˙⭆UeUEmł1,仡eKakH> @]HO}AY aO!; 5Sg \o 뗼3#&#$I mkYk&rl8pFcp8ђRU|O6٥]ּ֘!)7cjך)!b8Y*k+"(n(5MFA1&RַhPכ`MtU9EV3rS8AV낪zhn5W])TbJ"8Q%1`Z2dmQml4Ԥs[Nz Yn~Dq<3w: 7cHXI}WM;+HKcꚷ:ng[J)a n{ZN" ʇ. QFaĄ,<,V@SQ`^V#7ev+7D3pj-Q߱{YVr]ka\dȸ$\4 ~GsX+Cz[Rҹ{6v .9D$Q5%7찯mwn q5*NL/:Xr nb%m"zJȤ;5d9B'N3N[W}aʢhӧ]J ;.omcOeyING5|{ƍd&~}*9W䐽`'v.xdyس朖wjpY?7G\Fk4jHx |sKtFc9Aufv)@& v缸l͂I6\ǣTW`0V}lu]#ߩ=s][ zoS)o|"^m GvuL-ln=J w qvnW"֐մ B<0enzRNsƳt0GѦ:I;6dfp0acؼ |4ˌmbn3$QYV+r™ I\ˑ[!e!uمAOQǂz+ƞNq[h?`WBnC>^bO|חT}]@ex}<>X&YPg~jx~qSskdQ'At;]Ҿ#&|*,T!"+S1 LQjٿZt U,òS\L_LlS C.j+@ZIm=|yY-mְ #Jڟ[O瘎Xf&Ȩ#D5:eP9鐳f#ǖϽ]*`Yt>axXxnrőKeeW?)tns1[o|G{9O~Xc6ecѸ/)QNJ}?-CH̷!w?,1ᆭSć—;N=pZ+w6qgL}3 7$!ؓl2GRm [䆁wPZWXsH/XYy_}>/"Rr,/J{Ab2nqo܎)h퇸M< .m<~9T[Q8\*- vQ8N#, `wT,YTv K-_ endstream endobj 5345 0 obj << /Annots 5347 0 R /BleedBox [0 0 612 792] /Contents [5354 0 R 5350 0 R 5351 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30381 5352 0 R >> >> /Type /Page >> endobj 5346 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5347 0 obj [5346 0 R 5348 0 R 5349 0 R 5353 0 R] endobj 5348 0 obj << /A << /D (unique_662) /S /GoTo >> /Border [0 0 0] /Contents (get_scopes) /M (D:20210608081201-08'00') /Rect [104.1732 435.9835 157.4572 446.9835] /Subtype /Link /Type /Annot >> endobj 5349 0 obj << /A << /D (unique_685) /S /GoTo >> /Border [0 0 0] /Contents (report_scopes) /M (D:20210608081201-08'00') /Rect [104.1732 419.7835 172.0487 430.7835] /Subtype /Link /Type /Annot >> endobj 5350 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5351 0 obj << /Length 19 >> stream q /Iabc30381 Do Q endstream endobj 5352 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30382 20690 0 R /Gabc30383 20697 0 R >> /Font << /Fabc30384 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5354 0 obj << /Filter /FlateDecode /Length 3178 >> stream xڽZIo6 W`0Km $>egia2#J")n"Z6+W_^uY.@ouyyZn f&Wc/w' 9 c{1 sa|ڋ1aowJB(qOFͭv8VScÛ@=4S3򞢭u`$7+p L l"v6d!~x,Sӵ[zzF\O(xB"=ʙ6nuif{̤'9$ zTfmm 53K4B[5eݭzqOtؓ~B;NIXM{c`>Zp}eoR:r(ѝK`@Xb {:eHFpF>+.&΀蕕 "HV9pICșK{IF rNA{mH{y h"B,;DzŚljp Ɣ(mwŭN̢K=Z)(7ʮ3S\$aɜ097nr,%TJ:v-u0{w*:_BPw@d\.W@d-Bۼ[{ `^(/NH~,UNaH:Ti[,!}-s_8D$zd]" 8E-TW=FlEQ-{)؃Q m×b 1M`Bg93sʆ;oa)S_(^NQn5Tuv{# ap+B46 )JWh縀6D4 BNL`jwl)t}?h! ,s{%r7C@gp2egqN|T3phTdN,yĆ7xH'-caXlzd`[jpRY彐~djJ1pW!-I j9^PB4^[ov>zzç{ſ`7x~<8`0(mm_Ȣk_ ^<.N%!Z"',mVb-iރLDERp@GE섌d0CɓAdK-T6@C\+)olɼ;jw,;:ڼ* Um^!-氮Hq͸R ]\0A V3/`qǿl /:v #Q-1ܳDI9y-DNw+}Bv+4H Qd c"t]]4N5@'H4PnvG䁔qCQe7tbӬd}s^Z4S1Jy/*@]˯~' -#u edH5Ȼ.:ν=IƆKsQz>5p3a㳐]OjaДR Ԓn]P^Ydr(TC# uV́H  {[AqEŐ 1RmoP֤"\,÷DxR@- {sn*g$_0J@N~0d^PȠq2]S^ lΩ#/uV&/w%7-evߝʸsC!Jϛ2B J5^)RRdCDW͢N"\ھM<|eO7khFSƖ{фjUhH *޵uwf0M3\ ZguIk/V}&^vzRJ}Ү!դ] !_jB4Sb"ʂ -NF;JF]"6@)ćжv򦛕k(9)Ga ջ T;m'F;Sqr?:3mYxW_zC\.ϳu"׀i7+nVU܉m٦8{>rH}Ss(ᰆ9DeF$s7TE=.a~6As|/-7Q*\u+2M_V63dѪ m7CUM7'=mUl2Up4n#݉6a#HDW*m>mJ$y’c W(yjyglJ{H/玸L#d2~9Cu1C&1&g.RNYPN|> ]&3 M؄Q*ޔOoЛ7Fn!8T5ն儂l/̥D\~Ro+pFNW\?0Y*0ҭ^rUvJ阥U4O;AGKd;$N[Z鼴BA2m G%?~>'xf_KZ3i~ENfܝA~o.Ox!Hdy;9$5m^e|q \0tl,xE_uP5t7S"F7^\ C+~yދB&eɆe[CMD]l\EP_,9 endstream endobj 5355 0 obj << /Annots 5357 0 R /BleedBox [0 0 612 792] /Contents [5363 0 R 5359 0 R 5360 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30400 5361 0 R >> >> /Type /Page >> endobj 5356 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5357 0 obj [5356 0 R 5358 0 R 5362 0 R] endobj 5358 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 377.525 140.952 388.525] /Subtype /Link /Type /Annot >> endobj 5359 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5360 0 obj << /Length 19 >> stream q /Iabc30400 Do Q endstream endobj 5361 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30401 20690 0 R /Gabc30402 20697 0 R >> /Font << /Fabc30403 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻Aχv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>U]Ѿ 5Ժ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3ȚCC30y8e8bwr63pΘ)U|/B5_}t{ %BJ J‰p T[zw}= endstream endobj 5362 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=416) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5363 0 obj << /Filter /FlateDecode /Length 3581 >> stream xڵˎί賁~vv4|#'%r.ԋ陝Fݬ&$Y\,v1wGn4]bXEsX]>~sH/_?rpboqf_yT[f,fl]3˟_=e&+OCjOFo}5_[+8-bQjYb&{x#? ?֘H 1l>') Cl陰``gB>{b?5pbY^Sb6~C=g1垩)ڃj;f\͹P ;u_tJ{FaPY4P0CDO->RÜyM.jdږ ڤNsƜ;d,J >O(Polr23D.9|yu]\%b !!E_k uAp $!0h[jR !8E!ʸpB8*Oצz㖃)QrĈ 1.sJ{/ۃXv3tb }_N~dC!Vռ$Qh#)Tr-dKn3h}3' J)S1֐To77RNi[ighio4](.H `FHR*҉dbF)YK;5h م4L5h%ܧr[eOMQ(CR\ʒ=NܛAd﩯pܸzmQ9pW|GEqnN xAlϜ|i*z= X^ROB`I08˂/ZBJC`x5m(a726o%eq'L`9Q1LHY\ElW?F%$ }!+,G&!=U=j{ @jIl 1hlt'YU;FƄI&m@At]JK<ЋQ,-5IL,Ȩ&+a%$lIa!AM6lIYi5ܰR`]C<#U%_!>y V?]iUp\w@ Lu#>Y)lX$ۋڑ`)f#."bJk TT準ǰUPTMdaGdRdc} &9r '|8gN='ᆵpÞpwj¶/uy#!ֽX*ػD3Pa< y eAY (m "ζ|X"-K[j5[vviv^# n'aPqVVwB&5btp[^u`FI '<@L`{3~PxҴ.vcC300MfXCսun&h.`.H;۬</yԴ9Wê h~,R`2S9딭=HV !PV<}n: |sOnzp8$k2j0j!?]c]Lٌi"x1XXmúUڤ Yêhsoi=;68۶l 5)a=L<0]sl:nAX>wNU LL!\Uvbõc MX2[l}fȰ+Y"6i)Xi,▶sk\ Ym%Oz1fѣ17;)' >|MՉ2+%΍7).1U6فBx°.{u9N~(`~Sauה"Z^"%W_QZ~Զdm]cnݧMKNWbkT[{d3a~{Twk!Y gY-+`ؾN76=yxW=~Oo*> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30419 5371 0 R >> >> /Type /Page >> endobj 5365 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5366 0 obj [5365 0 R 5367 0 R 5368 0 R 5372 0 R] endobj 5367 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (close_sim) /M (D:20210608081201-08'00') /Rect [104.1732 539.3 150.3292 550.3] /Subtype /Link /Type /Annot >> endobj 5368 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 523.1 190.7432 534.1] /Subtype /Link /Type /Annot >> endobj 5369 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5370 0 obj << /Length 19 >> stream q /Iabc30419 Do Q endstream endobj 5371 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30420 20690 0 R /Gabc30421 20697 0 R >> /Font << /Fabc30422 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 5372 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=417) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5373 0 obj << /Filter /FlateDecode /Length 1780 >> stream xڭXKo$ W@i~Fn99 ve~>R3FzOUvoWi^t:jz|?_vN?ަl Ylϯ֖lmxNlcm m򼡴jp9';l 37ӳжr,_X&eO'7$!2}~͐K(q$Nyh@~Q/^G3WRs/AI W2.^w†3/xRw:؜pE̲$5$Wt\-7SIGknEC+}?s= q OWĆ29FSǵKB$a@8;eB/ endstream endobj 5374 0 obj << /Annots 5376 0 R /BleedBox [0 0 612 792] /Contents [5382 0 R 5378 0 R 5379 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30438 5380 0 R >> >> /Type /Page >> endobj 5375 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5376 0 obj [5375 0 R 5377 0 R 5381 0 R] endobj 5377 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 377.525 140.952 388.525] /Subtype /Link /Type /Annot >> endobj 5378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5379 0 obj << /Length 19 >> stream q /Iabc30438 Do Q endstream endobj 5380 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30439 20690 0 R /Gabc30440 20697 0 R >> /Font << /Fabc30441 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛA endstream endobj 5381 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=418) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5382 0 obj << /Filter /FlateDecode /Length 3700 >> stream xڽˎί賁l;ZC;K~?"Y3;+ 4;jX/U'f*k>N 槜?K>dKZJ>Np.-<ڟchf"7X.C`.;uG8G0}KwoGa] u~Ʉ鿂 K"N~+.kjM&<ēs%8w[{"hHyy~C(e%c7 3K%g&38O'+Ñn>w挜I?7of:.KQF(QEpy8kˮ){ZR_"0-2}M4ⶢ z>pl >0Tv%gx~v>з;؟E bAT0!2E}YA숤g03$@띸<3 "sȴ½c2U⽸}H>OxGI a9z h7f3~g";1Ų,CG'Uv~Ϩ$t?~R N"̛$M%H"pQ6]QC0ǭ4I/]' fH/ЗL}|x){'1Tn8kE8xg2% 5c@G\lwn`LorMGӻ`su[p/@=b;RHg%qX]mJBhp4IQ|W0;>枏TF+ɺ]V,-l 'D^e4! ?!6 r8VpP>(FH^| ˀ'П^y!.*JT sHڹJL;C$AeBk}n~mŇw^3Sw-sS, uNrSNnF,oD%>޳eԝuM"/Kjd cTXSiGՋw4ihpTzO dFӄ'!:BוQ &Ju:p10od2 .O$: ^ҀH,Α8Hy"vMvIY&,Fd՗t@`Nc۴A64nbSFw A(`έ5o?ο$=(HMq٥Իtz1ebAF5YE88)4[K),-%d6fY<)k[ ̱kg,+hْG>y hru4*n8cIRt33wT7m9=8Y'2Qe}ϐiSjĥt7Dвaxašn6"ǰMP%2"2Ɉ:L b,zl5Hf=aZrA^7pFa;qǼ^6M]$՗&( [`u(DI7# ̲4]][`.mAGslB`p+Zwqt1,F*aPY+UŵC `,!J!0o7pݰvQ{¾u fGmStզ@tf-r;Z:VL*u6҂Bxil95raBg*Q]8C; G#[->$Ҟ_ޝ_t9w?J"Sq?mR8&:$8ф٤tE<7$4']+Ca2A׭*H(?(Qo1d:&IJyK|~ ߎ!f,%viekCSSQ&d]ogd}$i@9ceB=gSċ]rFX梏Nӣ(FAUpU)JVv|sЗZ!H,hS]]dT6/AnjXi1{Ttnv^Zj+< :Femhq)2!us^8Kf}%Mʻ޺#*8;evɻxPe4C q1 ._/ycy $b:|Pצ3mib-z.`4Ά%9% ;o-t7± O/T̉q13tmP 0~PB8F;ZבFsn.9\#0oO0A}CHYSKZcB>@HS7DR658N*@*NV,i:^Y X&#e3&;RQ) P\z7>oўˮ*#eDײU4OR׌%CiZ]{7L-~ GWur'g7yJCYGwb1N"xY>He'~7nB6U5/U&MnHGk($"J>,zRT endstream endobj 5383 0 obj << /Annots 5385 0 R /BleedBox [0 0 612 792] /Contents [5393 0 R 5389 0 R 5390 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30457 5391 0 R >> >> /Type /Page >> endobj 5384 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5385 0 obj [5384 0 R 5386 0 R 5387 0 R 5388 0 R 5392 0 R] endobj 5386 0 obj << /A << /D (unique_690) /S /GoTo >> /Border [0 0 0] /Contents (restart) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 135.8807 608.2] /Subtype /Link /Type /Annot >> endobj 5387 0 obj << /A << /D (unique_691) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20210608081201-08'00') /Rect [104.1732 581 120.4477 592] /Subtype /Link /Type /Annot >> endobj 5388 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 125.2547 575.8] /Subtype /Link /Type /Annot >> endobj 5389 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5390 0 obj << /Length 19 >> stream q /Iabc30457 Do Q endstream endobj 5391 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30458 20690 0 R /Gabc30459 20697 0 R >> /Font << /Fabc30460 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=tD&r;L(u$R&WIR̃sV7Ӭl?EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JԡP/vb z7}  endstream endobj 5392 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=419) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5393 0 obj << /Filter /FlateDecode /Length 1485 >> stream xڭXn7+x6 Ќ4rS0@ANr."{[㫅lvw4s:E]uY}'1ji6hgM%}zWkZ<G<ԟwPA `75\ǝnhXn]Fg>X"?x銾|Iw}~HBD_>X[%w7i@J 6n 4iK~ԧ_al~6%>&M5Vdкd~\+8*v^l8{T^B)p?mMQcYID_~ 2?e]gUMkAVLmG/|u_Z{o4HV\<8x=gTA!K>i)>J''sW=[VsѸ\XoBGQs\;N< [&ZF(Q(aIKm 3G9";ƙ2PTY3>;rHX4W|L)-Za6ņkRvCjvA݅X2D|ζJMOHYGL5EîAu1X=!"`h-q( C': 7ofߐW;ȋ]qWm,mK endstream endobj 5394 0 obj << /Annots 5396 0 R /BleedBox [0 0 612 792] /Contents [5402 0 R 5398 0 R 5399 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30476 5400 0 R >> >> /Type /Page >> endobj 5395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5396 0 obj [5395 0 R 5397 0 R 5401 0 R] endobj 5397 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 439.225 140.952 450.225] /Subtype /Link /Type /Annot >> endobj 5398 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5399 0 obj << /Length 19 >> stream q /Iabc30476 Do Q endstream endobj 5400 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30477 20690 0 R /Gabc30478 20697 0 R >> /Font << /Fabc30479 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5402 0 obj << /Filter /FlateDecode /Length 3766 >> stream xڭn#7ίs, [ɻba/0szѭ,y&YM6Y,֛u23~b))_eOL_:$OxiBnOadp\~T)gj_H2hlQSaӗ_/+ወvzȷoMi/t2% q )L?Ya}&޿ K)i eI:T"Xؒt:GZg #/p cRxTU|$@pKS3"$x'!:!Tz> D-}3p~~(y(< h?qR`+Կ58m4aۿ?6 zB g"x>@ӳ6@$c=O/8[h KXY"1}->շK/Dw ϋwd؄A6|)R߰61}(87xe'8yџTni;, 6! #aZ@DfBXM2,ņ,TYKܧY3۾y ĎĮC< ͙=45uUP5*v >,_GCzMک;#YX.(⛕,ځ*Nh`I6‰gKGmX04LA Q ")/z6'iS̗zBfK_ y#Lˎِoͺ"Y`}fDE^J;v߼R6FIA?0egL+N#CA#2 dc+;'d=`jN4%]A:"+km,>]~<+! *mu=yēHƠCfOyT7QSk;+1+|J {O.~0-;EBCQm XȓmK*} kplhǣKBz!v2 ؈:o9k܍ [GYzPަ+bMk/pLvr 5}9<yl^_< pLZ)b Q99SޱD5<8gZrrUu$fh13{LkU>+ݦ&@{ arP0)P)I'F T8q7Y7A?Ns|8KraJyxB隣SܧwzZ"^ĢTFYWs>CTqiKYK"+0Iֵ$i3͎h;62G7 H:!n`m4'IFY .RE+T%xlةr²:SwyXhb8ND),F/d7$ nA"3q!F4e>EQ L#}uć^YJn;{dm%]fVnܨ L8 T-:`vɚMUms:ғdtO%rJk;89EW9I:kwCHpM4#UeI5@Ά^&7ZLK)U}Zb05E2PʺN>b:Wbe !~6zT"akrovgkVm&/yX T T=el5D![zSpQG1;5:T=U'n(HC'%KA[)HJm뒷2~>ݶ[jXr\\, oE ϓ/"TZ6b*1$0[],V#Jj[.&`H*`^S\i"mIMGÊqו5i q@@xds| fdp_UV#&|[{sh!G* md:2ALaBAQcOuWZףK/@YA`AYhnS5ڄ.y3 K)nLoSBQ?k2H0"at;Ͱk2p%˘ş1W[O ϣ􀻺Juƶ,",xsp&kG\KěKaMGqIha.P6,VxKI5AMnɖa.m7oifn}.6(]H@fz^ Pʜxx /) "Ξѷ=jQ j+dƞqH?`W7} |^[6Z2QA5V?9*ëhbxMC0>#3! @ Wr!#,# uPTDuBXnop%$7fyg#Bĵ,h0ra{zoTQ3ێRIV+QѡM+ qi?|m5%-Ҷv KBpjzꈷ>Gevo"uVǬ5RV:FRoX/[M,W87dsĸVYd;@2 l]Rh`Haxbc/&U>cP|Y87H&8PqB׋9F_6 ?‹"3!<\cOR|ZbZ!"u> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30495 5409 0 R >> >> /Type /Page >> endobj 5404 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5405 0 obj [5404 0 R 5406 0 R 5410 0 R] endobj 5406 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 150.4282 608.2] /Subtype /Link /Type /Annot >> endobj 5407 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5408 0 obj << /Length 19 >> stream q /Iabc30495 Do Q endstream endobj 5409 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30496 20690 0 R /Gabc30497 20697 0 R >> /Font << /Fabc30498 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}>B endstream endobj 5410 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=421) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5411 0 obj << /Filter /FlateDecode /Length 1455 >> stream xڭWIkd7W< rsh!'v`|!3FWO=h*=xN#/p?vN_ՐA;kj.!Gk}6x>c?/@%PPrї7ҏ;0'X.6;] '(aHA/zdr(4ww}&nKd[ExNle8cm"iK_w[" Ff&MilH4vH!#jEsuG.꫶hʱ,Tb&e~)&㯬Ti-ùT ʠdTFO+8^ejag/qkuHeaF?Q^mMH8) ;q<̩셬LjAO1IiRʊpߤTjW԰"mXB tѸ(`"vG$*UH8$'suT}X3r el4,,>Q>F SJKԆXM!,!y=kRvEj$tBŰd TL-RY8>Ee[*_t4-USU;o%yX2f1 C'=]1"ZZkxƋ]ٮ5bm3],Qsa}̘kq1X|ő$kz<9gXf^-dldM\㼬t^ŝ q?֣dG+n@g̏M"Vc8|6jc!J3 %&<7No9be<GJ7=OpTy.H"em5^mRպRo}_GnJ$UD:ˀE a<' j`o&mW/510|/g|"z!DJ/U-ZH{ͫM 1-uV/\کiEAQ;3đ05ܠٟwLVe e!Lol~cB،12KW[΁/5ʆC/(.n&ݒ%q!s޺]ԄU⺶lv/ZK")q@޹R=n$#_ʪ]7R WZ;z7wN|V|6VN@ژ,h?}wk^}oa3nc=ޯ˱qF3k(mַ7i\ft%9{G6ɦ1=FNR[{`jץ3Fc>hx|}E)rRc-(9a럈i*0zbSZ8bW^brqtwchtc6 Azi endstream endobj 5412 0 obj << /Annots 5414 0 R /BleedBox [0 0 612 792] /Contents [5420 0 R 5416 0 R 5417 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30514 5418 0 R >> >> /Type /Page >> endobj 5413 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5414 0 obj [5413 0 R 5415 0 R 5419 0 R] endobj 5415 0 obj << /A << /D (unique_56_Connect_42_vivado_preferences_commands) /S /GoTo >> /Border [0 0 0] /Contents (vivado_preferences) /M (D:20210608081201-08'00') /Rect [90 453.425 183.412 464.425] /Subtype /Link /Type /Annot >> endobj 5416 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5417 0 obj << /Length 19 >> stream q /Iabc30514 Do Q endstream endobj 5418 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30515 20690 0 R /Gabc30516 20697 0 R >> /Font << /Fabc30517 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HPJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ F endstream endobj 5419 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=422) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5420 0 obj << /Filter /FlateDecode /Length 2382 >> stream xڭYKo7Wl{lv [9{Rb/ϞE2dXߴis jdWuz8~OaNaI^?_ ˝A-ǚq띚F4[h1q[ZT{8k, 5hL[zA~Y?=oER&e@i?62'nտoYxxmGe0tdb1GşPAYј% =i\)Kr<~P[G*]> "VhYq.нe)V(9,<O9Zrrly R_$m]OE6Nytj P+ܯ9;{BϏBCr'csszB#Eycx6x[9z de'^x!^P)W \-aI]yu}2j #.FKf6 kJa$V \bɲ1"6Bo#aX MQXbS{tDl";U7_לߛ@0lqs{Nm D(sdNf|ak(x)#s=xdqb+V:@u Ϋ ؆ (BV8+UԄ2iսA"9'ǓlΜ؊dpHbSvwjm?"{՚+fɎGƊ'Ο)+28Kwqfsi0W$#؂(BiM@l,+wQ-)`ق~ISJ9C7B\ṗ*`"1c˲U*Bv9 Yes aZ5 t'Q6<Ɂzb5#̬ڤed4U?"h1+?KDYw{^NQAYTTr^mN[g]yؐى6K3XXKA U2Y6֢{ .oRǡ#ukr!&I5^ܺM]r:N[rHJԻ.79`>q}ٱWh)zk3;1J|hhƕ7Iܶrq6ljoߊU6i؁2/ݡ7}h0eY$W&%`^ǝf`M-%[tc@M1r"ƾ_u&w-CqѸ /9Xekj7c7 zw*ylRg9/4ۣ{H2AbgeN#)(݈IlZ3c$E Gts;-W/5(fw|$NQ#&7$z2Fbz`AK F6OH@P%0Pl-OEƔV5SHjo )pʮ䤴^skki4%s5k Pl)[ڼIzgn>uLݍB/{zmsLg&1/˜bj Fu\W SOCӕ鮁Qh&B'Ѹ4^r/rZв6jߙ)W->J~F`a͚m̲iG:״˒O9S-[,!g0{N- 7tgy gyt<냩+KQzV~8C{h(> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30533 5427 0 R >> >> /Type /Page >> endobj 5422 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5423 0 obj [5422 0 R 5424 0 R 5428 0 R] endobj 5424 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 377.525 140.4735 388.525] /Subtype /Link /Type /Annot >> endobj 5425 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5426 0 obj << /Length 19 >> stream q /Iabc30533 Do Q endstream endobj 5427 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30534 20690 0 R /Gabc30535 20697 0 R >> /Font << /Fabc30536 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ AEӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 5428 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=423) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5429 0 obj << /Filter /FlateDecode /Length 3986 >> stream xڵn_ҽ/ @31>>){~jh$@쭺Nf7+;}yj*MTdz^:$^ަ?h4[ _!2/?3.$w4x_޷p"# c_bڈ>FO/?O/+>u #ooMyK,q ֔h_Rv06tYEkWʒtDϏS;c /ޕR]A }Js9Ɏ |1i2\MKbgP7;FPĀI`MTu֓>˜crRvbraSJ-5,8T (:?</ȕ4/4GE{va;m?/AD;^@Ϭ kk=ݝM賸`bcd,;6]`,平O^',TIjOQޣ٥;{Tk{vKZr#DPڈRX}egN-Dmط*0NUN:yTЉ}ϘOs;_bDFGzPo#:xDо?0_Q:3R?SA*"q8ӣ"k$sYlҫ52 MpNE)^]VovpP `x=ן&< = x7PFa~_ tTܟ`"OM(Ef<+Le}Xfh+UHgH–ULr8j߯ԫP9!zoYUH@Uε0U;x !y*JKa~iyk/F1@-= *\]40̣jUY,!fiprW ьX{t",eDe.oݘޤuA|*51SwwlV [a=5;${a$պQI({]M;ЊkwėXYWu.Wa+v?Td~dd&w¶] t:W :{ݩT}o[Rw9r +c,muTp < yv2 Pghz[XEe04{.eaL{)j+ӻr0`KfG a;}c #S[,[Fy\ dO\G7õP)ؽ΋/t&n9y}GYtF ۟ׄtyKǧw/㱺P /5nis[lo&7w5 &}n0aU(ĭ@-=BA"s) 2"_0K{5gJ{U0oey-v`)⑩E8O QgyhwUO9I > D 1 :j YZ sԯ/55r ظG¹GngS]8?X(w.khрĝ*°mtEElLf8 K0@j6p}!< Aʄ ^-`mpнuwBuնxd*X*=:Ym`z҇qBD̈́fn{Rړ`OE21M= 6{dr.t=$:yb~DƯ#?l ndF @|zg'¹1(0&7./Y#"=T.|1F%U5)فS 3ۖYq*ЙD6P~}oywk+&*l,elKg{p{3f G:S췞#A<ޛz)8ܮP Ey6R8ThԱ%׈ F؄<5jĚk[AqCEhrmͨ!&J̥!ӞaQGk4Nn9r?^T' KgOYVc4$nԯ,o<32J&왃;VG$"}syI\ah4Oug2, # = m!1vffM fz5@vP4:2p|(آI~W.K~0- OA7o0)<,T Z'RjA5u)FJOߕլ|4+ /mlҦG.Vf:Gq97zd&LfSC ّ]IB;^ђhwKh ñv]2,-Ep4=5EuϼN%b;WvN͏olr=jdbGʄ.ytбȿ];vrڏ<6=;lKM"۞ˮrc4P;n\-m̵ؚChͥ3pM&%y{yt8r4{ɋIeuO^ûv5lEnn)Oo0&J Nkէԝn~Iisp/ă8RF96I-1&p wl^x]ˢ3f &I׋>dRPoG,,~ [-F=bNo9#/Σ X^Gjj(;p%^A+hE`Go]KׁBc.1|B^m><&[8]r?\1܇bfM\844NTt$ʭ0::JC|̱T ;0-2l^crN4 m+38A}lXV+/Xȷ/uF_A!8l18ʐp0ζ$JgPxkFoNMAroϜ"kkZ[S㐠 9¶~D Sߚl0:8%zpzR7 x|OH+ϔ|FGx P|0 YޒGL?e, R^ߗž*hp N"-έAwm=eP\b~+4뼓:cO |hk2G35pǜE 7 endstream endobj 5430 0 obj << /Annots 5432 0 R /BleedBox [0 0 612 792] /Contents [5440 0 R 5436 0 R 5437 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30552 5438 0 R >> >> /Type /Page >> endobj 5431 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5432 0 obj [5431 0 R 5433 0 R 5434 0 R 5435 0 R 5439 0 R] endobj 5433 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 524.7039 199.1307 535.7039] /Subtype /Link /Type /Annot >> endobj 5434 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20210608081201-08'00') /Rect [104.1732 508.5038 189.2087 519.5038] /Subtype /Link /Type /Annot >> endobj 5435 0 obj << /A << /D (unique_732) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 492.3038 193.4492 503.3038] /Subtype /Link /Type /Annot >> endobj 5436 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5437 0 obj << /Length 19 >> stream q /Iabc30552 Do Q endstream endobj 5438 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30553 20690 0 R /Gabc30554 20697 0 R >> /Font << /Fabc30555 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HPЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}J endstream endobj 5439 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=424) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5440 0 obj << /Filter /FlateDecode /Length 1977 >> stream xڭYKo6W@\@wY!ؓ7`^`|߯Hj$6d(ՓU]V/z9,NOA;nKC6bԚd~zѿYw:Z[A;s:9˘;^Zks}ƒ.~POn񡶆-;${ͬ?|g[{G\tocVCXLneH[1/@; LUO}ҽ!~L׊N_ N@*1-A:"'VCmfLhr*F9˻r>7f49$|z / `B7Y|&$ )0kmR74jȺp|lKWr7M ЮA?AƤgOFwvE=wYg|-mݕL$ yG 0[S;#Qӣ3ql R@%b\K6g͹g{_[@Ԭ*; X<6ig#Ә=Vk@\?'YAjIث\CMdvzl LʹTc`DOPpHHf%g!|.5]v³$đmZU74Xо,;ys{֒M>xccH~s@1V?'azPNK?j}Ruv8}M0}~!a!=A7mM-Dz_G}-:-i%Cן*LO$Jv_'U,-!XSJFbi^5^1w/`@/1,&b?ע%cC4tȵ,DzI@C7c\E! L YrgI|0ԚpA!-?\e=Vl>puNn_ l%<}6F1Rۑɪج,?I_̬v(<덐YB,UpipIV,۔tj:(^L<Ʈ pkzܠYe&L­-ICyee#*WzD]5v۸Hb>6じfjCf0J~(4SH? bG0X$e[ RCN+i5Mgvfx]ތ4@L /y2{ʪ}N׍VpS6PO-I5u w\i*tcFnkAk <2>ל{ }{61uE~}=(W-JJ<DZ)pÜSaWH0hѨ?=g ׸q~]HTbj_KqNxpӿ ܎q5f<^|/;wetwq5Xo xڜ߇n؆#?>n/;G7hj"wSa>,T8QU endstream endobj 5441 0 obj << /Annots 5443 0 R /BleedBox [0 0 612 792] /Contents [5449 0 R 5445 0 R 5446 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30571 5447 0 R >> >> /Type /Page >> endobj 5442 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5443 0 obj [5442 0 R 5444 0 R 5448 0 R] endobj 5444 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 386.625 118.9685 397.625] /Subtype /Link /Type /Annot >> endobj 5445 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5446 0 obj << /Length 19 >> stream q /Iabc30571 Do Q endstream endobj 5447 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30572 20690 0 R /Gabc30573 20697 0 R >> /Font << /Fabc30574 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5449 0 obj << /Filter /FlateDecode /Length 4262 >> stream x\Ko$W@w&h4ANA |T?f%yx-u.*VE 3h7%v::3?dU:}r5Oϯ_qƻb =?rZ]}n{?owB,8~6|ixXqA~cU_ ? zsGzθWkhTvOYi2|b`ع8im8yJ:T8$S:}\Z3k?ZmܿOd~튞DqpT%Z+rAؐ:.H^$SցV߈(o1('\O)L/ѧ~wh0Li~"ji4O gP Fr6Osr san5tyi$8sO);w`^33s\01#0.C6M4:;9ީ6Ѯ;}x` ]a֠Xp(@.ϓI[PJ-~aٽٝ6KCDXWpHU4uH0_ ܙIrK!hxUۍs&i5Z?SXV*mNV5ou^%m(0)l(U&MEh }ܫd(Apਣ GQGDqohPԌgf(IKm4@'1!K܃Sz)`{ m ]T ޢ"!>|hb`AU  ߐz)Q{džqЬ +`₭A׌?4Oh,X(ɼst;cXUQ$]!J;Vl{OxhBix=?],.j#T-!.xWF,AU{x拀|)m_a?Ʊ |GeJ! x@I$p*ϢW b!ȃtJc R\˫b!C$9ea⨒G މ)w-uŰGmW㪻\KQY0,aGNbS慤>&aYص0_I "=!iu|膯L.)eܰ}Wh:+ 8^i(|h"ua3EzWo}߯L!J(*^a2 !l~^܀~R/JB6 R\tIG-h&X%YU(pZ ժ_P5ɨrPjMZ KvZZPުX9Ăf- qR ΫEefL)J[+?5̈3p^n qœV[Vdwy-MhT5J7RS3uhLB` #^,ԾZ'OIݓآDw)+֡TI.XEWu)WFjLUd~ ٨ijpka\ mQP\)Q-~ wR jk+;{CPZA=P]?t|YQ> :ǡVoPZ}o&V UO3!l9>hBVK+^Z}[oi18VgbDޠ- ?S1ed+%j,e!3ux_ԭq&7Om׼ :0oȭ@9۲)VO}{hvo?~I`!tO?q^q]'s0~NeC+א9'7| 9hO -A  .EJש9&AqXY ]% B'9 )IP*]ԔdI{PyM@00;r]M݀)pR-D/6Vd5= _ %Njc$3n{nO6R1`n*7>x`%R_3z!ޕ;?²SKyqgF mFhh KE3G@Ό%Tqi9%h:sMmK^ /0Pc>sP1;؁g?}ԫV q !wݨrŔ M‚A=]e(+OX5Q6*D m`Yݨa75x@ vR0_ж9`7]Q>]Nd^X=>p\4W1-!ⶖڤi[RӋ3;Xp<š%RD"̪!rS&u[ho_ WVz{K$DIj,Wk g"+: C@s3V!Oy9Fw'(Z* s:m)rV.ayo#6؁{ g@U(9Z.)9/:{4խա.m2tjE ۛ"6W܁St*h 1VJr+KVUG{).pJzvC:]0`ewQ&,-ky>eXHոqwְ& 4,9Dt'ΰra|k)9.H}qŘsרVZne܏.]Z݀w׃=Y ;5kow[Sӂ{77ܗAk{}'ĝjXItKȀA\U/II# nf$Z%}&weъE^iW)',.LAmdD݋Iٽ5rlGT͓Iy9y ?5%n`Fՠ)ص)=e:tB¤>cU[ZĮ2q0a̋NnK_ 9AΘP4R7Bc̤,qVor2x7 WIL"f:ZNֽ?s9̫5;VZn܂J (ݱrOӇZI^fqCQ#Une;chu۶1ɓhҜ'/4)[ͣp <63-"WbAP?07~A$,bk!Rauy3ߪF  *PW&Țɚq˒h?`W> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30590 5456 0 R >> >> /Type /Page >> endobj 5451 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5452 0 obj [5451 0 R 5453 0 R 5457 0 R] endobj 5453 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 363.4462 179.7817 374.4462] /Subtype /Link /Type /Annot >> endobj 5454 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5455 0 obj << /Length 19 >> stream q /Iabc30590 Do Q endstream endobj 5456 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30591 20690 0 R /Gabc30592 20697 0 R >> /Font << /Fabc30593 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HPcthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%^Ā0dD(Ͼ=jֈvr=C\EK}G@F #E3Wuœ}"juZG)ȃ;6+r8knT-=%CO4yrCodԑH5J\[-48יq|mau3ͪ? l?7‹!H5h}'2g("_@9Ê:!6g \09 `RrqHB>W!ӘV;!JϡmтGŶ$N endstream endobj 5457 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=426) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5458 0 obj << /Filter /FlateDecode /Length 2747 >> stream xڭZKo$ ׯy-0`wm9,d63HYgfFJ*H(4~tKUϯʆ4~cyxR3s⪵SFAz&f1hz/(Bmݢӿgt/b8Eo ÝpOz\g8k<wOk fE p=GP?t:\zہIOr;KRV~]ºph"ID,RO"YrYwcgHYEliu6AװE~A#u}8i:258gr\QRҞGIxd_GG`|Ir]݃4h=cT^j8{^#ĮYC)r9cRzR`<^1e9;=?Xn[TUcQ.0bE,@^@26ȫۆwY"սeTʮ@Ty-&9.DC˔qS,#“y\=\Z=d19; 4f \~;nWm 9\Nj_Uty \<\]3-[dj*i?~UV=}EUBG) >XHxeb/\仕9ۉH֬V*ן|!ȝ f9%"To^a.B=o7`Cp>ԷLԺ7֚yiLXڵ?;)O 01ʃX- R "g#EHƹ ]-ٜ&rO>W;ИC2>Nf!xiqa`ء_դ }!H[GMoP P^BYMցZ'1TK<킡b"TFS%I4U;//HCj@s2`YVi&PUim&anK\}#Ie/ed_պHd}(~*u>֎D+$㶼lׇ88pLtӭ#5.p:.Yh#p5KZ/\f+e9N+ ]]z-[`r2IJC',fX B-ͥACY;ءY^ٽI+3alu4%`VgEBχԴu,hEL/Ϣqi4Z륺l'Ȱi`&?vYa!֖Rn* 䕒4k,KŸ$w`&mHY=m'RM\w(SC9R]H)m?W?#`#JH|Zjlˮ_>%uS ktȘd+xBii*4ڽ}BPVaF?\NY l?{\mY$T䢘\ P;8}LQ^o񖣘SQIyCW+[rIݰIx>5cMQQUӅ|bY H endstream endobj 5459 0 obj << /Annots 5461 0 R /BleedBox [0 0 612 792] /Contents [5467 0 R 5463 0 R 5464 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30609 5465 0 R >> >> /Type /Page >> endobj 5460 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5461 0 obj [5460 0 R 5462 0 R 5466 0 R] endobj 5462 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 5463 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5464 0 obj << /Length 19 >> stream q /Iabc30609 Do Q endstream endobj 5465 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30610 20690 0 R /Gabc30611 20697 0 R >> /Font << /Fabc30612 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5467 0 obj << /Filter /FlateDecode /Length 3730 >> stream xˎ#ί& 0V|ANA0`OHVZJ|fNnwGYejunJq,}?O?^=4Ĺ\e`tQ!CXM:>ğ>r,O 2a??~ht@`kK+ >Y{3?/gz{*N"^/eOt^[\K#$T؄9V5[{J}b-1,&GiD s԰^L*R}6Lf[sЄLLܼs [d`$2HiCi=;U=8qЇT]XlK"+TM_i SʈMJ/IՋ+>J*Ih 1hlw2G-V!U;B֪~"m@By:JKa~YykF1U(ȨF0/Km SbKSfUYY,#fIsA쫆hF^FGte tJ@\[~w)vkݩTUX.fMyQ͊a+u};r"كVϐiӒ7F\Sw5\6,C+9<_tt\n<VF^`d5hkhF1ާcTtTWajqMܸGܝJӸwxBސzu/6ee]XwuA@kBs^VPF}T(fwQ&|M̵,hBvN]Ɂ l[Ǝ`:O0;^'6Qyђj-<޹- k#JڃR9$9K44y & KbRCcc> NZ|d=r2y`DBsK XMp2TB^+i Hur HBjq͊.1f(ؿ:XB~#MK=uf%O-GD? tm p48@5 H,bSY2ߵSרl_l ̦%- ky8m1xz48ӤƟ7(&*MW%kpArkc.yD93eJ6֯dtMTti)sYt9PVbP[ c!BVo`Tc *a:LYq,}b V hi&ӝ*vN}̝Sf@V.G(DAd h'@"8+.(S6!-\Xs\yX0aGh$ng)B ZvNJ23FM}XaC]HYq/CJ剜OxG_zM<1E&* zOB ץPFF,74A,~asMGKf,Ev$1^bSiCSI-n罘E\'U]Lߦ@p ײEF@:Ci<`ASSL:mî wx,/|߾rv6.'<{?8*$F{UV7L}lW$2ɞ >7 I+4ɸ{G:lu@N$=mQwӑe~T<09ĥɚ܏ -ZnQmsL"&t^Q1gQ\ endstream endobj 5468 0 obj << /Annots 5470 0 R /BleedBox [0 0 612 792] /Contents [5483 0 R 5479 0 R 5480 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30628 5481 0 R >> >> /Type /Page >> endobj 5469 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5470 0 obj [5469 0 R 5471 0 R 5472 0 R 5473 0 R 5474 0 R 5475 0 R 5476 0 R 5477 0 R 5478 0 R 5482 0 R] endobj 5471 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20210608081201-08'00') /Rect [104.1732 462.7076 189.8302 473.7076] /Subtype /Link /Type /Annot >> endobj 5472 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20210608081201-08'00') /Rect [104.1732 446.5076 200.8962 457.5076] /Subtype /Link /Type /Annot >> endobj 5473 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 430.3076 162.8527 441.3076] /Subtype /Link /Type /Annot >> endobj 5474 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [104.1732 414.1076 188.3617 425.1076] /Subtype /Link /Type /Annot >> endobj 5475 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 397.9076 182.9552 408.9076] /Subtype /Link /Type /Annot >> endobj 5476 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 381.7076 162.5502 392.7076] /Subtype /Link /Type /Annot >> endobj 5477 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 365.5076 161.6427 376.5076] /Subtype /Link /Type /Annot >> endobj 5478 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20210608081201-08'00') /Rect [104.1732 349.3076 167.0492 360.3076] /Subtype /Link /Type /Annot >> endobj 5479 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5480 0 obj << /Length 19 >> stream q /Iabc30628 Do Q endstream endobj 5481 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30629 20690 0 R /Gabc30630 20697 0 R >> /Font << /Fabc30631 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5483 0 obj << /Filter /FlateDecode /Length 2245 >> stream xZIk%9Wܐj ~[j0ag(_OlT>g@UVjb"v ҼԽuudj=;s27dcmΚd{kObZ^=C圡ݟsbEw9޺xK%P2 ^2<Ѐ x|Aa8 a z(4Nc]N{+6"DwB%w, t'A~"spP5rd`E%.y=^-S?F>h]ݩ黿ebCjl`DL@!HPŊvՋ]75\a}dt+.hhE҄Nz˪AъF6lPk0y< 6fqǼὖnbӝi19,r,K;,m]-g \ v74l Z21wMCx=nq\Cn>.SuVk޴tڰ@]*.DZXˢ7)q qCx(ڂɼ2 ag2/o~ڗ hQ[΃QϞ %!v gqɗjn 'u+S+lH'ہ%E>E#usK"l{r~}79h_ٯo-M3k%awH//c{9%lM.Lkbz%v+cz+va{^ U?+QHGu 95Ȣ#_q[y'A}|+IþT|B`koW Ħo BS yj NtooÄbm7ipNB W.ƃ$޾CI . BAdPu3iEɠN^&0E9rn#xu ^_GzU댶"-9;u4c2ޏDBÀtO 9?`rcj @99gR"rZB֪%5qeLL ZK,۔H?JVV2 s ɛXS޹@zl \-EK:WHщ^DJ  +<£2IWh!8ukh :ޕ@m i^iJ$rOs @0uQC4S\2h ״,3«~٢9va,*̨! ZάЋi>/`9%l|8Wfd]v!HJM3ѷEB!6JbG"ȍjH S}˪WX[MWPĨ^ YX#> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30647 5490 0 R >> >> /Type /Page >> endobj 5485 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5486 0 obj [5485 0 R 5487 0 R 5491 0 R] endobj 5487 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 439.225 122.692 450.225] /Subtype /Link /Type /Annot >> endobj 5488 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5489 0 obj << /Length 19 >> stream q /Iabc30647 Do Q endstream endobj 5490 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30648 20690 0 R /Gabc30649 20697 0 R >> /Font << /Fabc30650 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nC;fũc85 e!Um Ln;|/(q21r$bE0EP,3wPtNUD]IeNW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)^G+QO TϬ>JجhVazצb/mk: s[({L̇h*$S#2yk|Z yp3ΡDH)vhw%‰pv҂bwo& endstream endobj 5491 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=429) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5492 0 obj << /Filter /FlateDecode /Length 3659 >> stream xڵn$ί賁iҌƀov!IRK~?⫧gFZ;^vMVŪ"wEß>RR viwek/S_-_ޗ=hrr{;_6}Gc۸x97}}g. #t / x:K`%FKجxaߗ/?//_W12`%h_<=<5@ϋ^cIiMf'e //,3Yf.Z;P֤CN ;_'hۣ06/B gH<ӻYhdr.Kzq!B\&!%ؙ#ʼnu# -B#Ogl=j0sxe: JC(;$ @G?\]6kZmo?Tׂ3Ʈ>ò@ EgX)| /^Nm-}cMc G88>*X#Pj+9b4E շK@5>U!z $c41 to?Xz ŐEeضYlCZ #X᷆pc@ҖT;@fw CwR}OC zhj{QhSF5xȦ=Aϸwpzwʢ˨ꄮpzt'N [ޢ6d -j`ByԢO &`P0Kk#PGj [{d #jy-;̲HZ$3a[t QTuKw9za]bUc|]KU45_ONzL4%]UQ|q56ɤ|ap>$Pf]D?A^j+% Jg'1߸miftrfwGBBG ;V^czpr%foIac$ן[)x@ #xc|0 _DMw2"/wD"PNX4}TWk@!MvH$bC<^Amzjd >'x̴z8jB&S׆vu/[!m@Fx*KmHayiyν[jHYW7A4ܬA`>Gy5ufVdHXq%\`MC,#n%[ -e$eDnBSl׺ ]Qeb蝚I`c~=" %HF5ϸDw)+֡IƳ;Tج"Tɩ݊TeadApkc] |>a\F3ssw:mm՝{ٛF,o nl} f3LE*HAxaE;k2T$X jFC$U'df) G>YwJ׌~ R'zYOܩ+DβC1*?cTc?xS(&:W{Y gY ҒW79|OJюv9Ƥa_G[bO\ScҤm 0#<,T aZ1$HB'4_]n5{S]}3QUn0,TN;`"Y62n2M@ bS{& ^6v6=9[?pT&/˭9氧M7%bgm3'kOmFQ>Z[!#Pall0>Mj?ScϪxMz,/]%.%(VMe[lMQF;GuSӉ{Dl^uu%ju0F޾ό;nMIо>l|6|Rgv!l|c2BMp;D&]UPlF5j6p+nES5&gP\R~Ӎ i 8!GO= 4?EVe/oHmu+KABٯrmj0Y+k%㼖5xŜI>޿t?zHɴC|-SF-3>I~BHG*ٞi^C 1L4O ƾjX΍oO]0i>E &jT?XX.Eu#&9={ekeAlWrΟ#ao fG.Q'aeQJ5f<7QX9*6Wl@`IŖ`3cїUg<]?$zч츺' Oc;aaMtu-`@]`0֩j-idpoϰ*hEau&.S.)_>L|"^m_xM30= P)܇j/=zCAx3 Jtʭׄ.ˎu&l .5tLn͖a*m/Yfn} J7kPr@0OL6VI, VfoI}]vCOQG)..PWț=[h?`Wbo|חT}]@e x! <¿^Q]ti(K_L;Kni[;Ҍzꈷ>Gw27xEF>)k͆Jk>\MՐ[\0}esMG /U$_ &uެUoq*qb_/?yInSqe8׭ixJ!&|7v8Iq1av.5Q%H[o|Y.Tb.MN%=۳g{.YxC3\00`1QB^9c~/D '%yO*Y3'O`OZ$y9EQ ~pP}ׇa>S3P5 zoʜ;^e]t7l-gI,Yl:rI d'v- endstream endobj 5493 0 obj << /Annots 5495 0 R /BleedBox [0 0 612 792] /Contents [5501 0 R 5497 0 R 5498 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30666 5499 0 R >> >> /Type /Page >> endobj 5494 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5495 0 obj [5494 0 R 5496 0 R 5500 0 R] endobj 5496 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 214.1402 686.7] /Subtype /Link /Type /Annot >> endobj 5497 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5498 0 obj << /Length 19 >> stream q /Iabc30666 Do Q endstream endobj 5499 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30667 20690 0 R /Gabc30668 20697 0 R >> /Font << /Fabc30669 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HYӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}>D endstream endobj 5500 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=430) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5501 0 obj << /Filter /FlateDecode /Length 1172 >> stream xڭWMk47 W\W!,d졷B[ʦCeI$7kK}zF4O/0xR: )sp~ގl85rCtFG>T0E0[_Kpv.>\.W7B/#1:&ua,Q\M ǃ7,lIK>pfb`,)<> (|֕Y _+[JKԎ,֐<,mNj(aVfXioa}Xjp|h["Wm1p:!zi ב ip-#ҭІ=]H"Zn>Z|gyo<|xvQkmbQ0w^njz?ym/k-.GkzyqΦ)Xz[s2"}tGき?h>-W'izh$wz8 n%6l/k{ _qf>O2/? endstream endobj 5502 0 obj << /Annots 5504 0 R /BleedBox [0 0 612 792] /Contents [5510 0 R 5506 0 R 5507 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30685 5508 0 R >> >> /Type /Page >> endobj 5503 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5504 0 obj [5503 0 R 5505 0 R 5509 0 R] endobj 5505 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 439.225 124.1605 450.225] /Subtype /Link /Type /Annot >> endobj 5506 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5507 0 obj << /Length 19 >> stream q /Iabc30685 Do Q endstream endobj 5508 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30686 20690 0 R /Gabc30687 20697 0 R >> /Font << /Fabc30688 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HZӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4Gۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5510 0 obj << /Filter /FlateDecode /Length 3694 >> stream xڵɎ+ί H $vxOmZesf5Y,"=}̤iT`f ~A}S_~fz|Lnz~kӴr};_BzR+سb1 :"Aر k |9݈yhFZ>Mi )B?0XЙa'x%|RyߏoIbHYZ`ʇ؝a `9 Y0g'X4~Ou9D e:`OD 5(G9)Xe Y!eB vO+@ 9T&k}[!z٭̜sdws&9 H;m%]<Jx ~s bZ;5ln c k/]sGݤ@%,h;A͌@EքLXo wHwz:gJLEQ't"0GGđ;zMCS3xH`D9P;/K S2NPkV!OnOA[Œ}f"j9R'ae1>o;YwaPq}2B/Mv4g@xԺuͧnP=㯲t"̡*pnW ZI>}l'cK^p  ֭.09gڗl@` -f54 >e]KeD#wulqTv6œ^h1:e$Su}ogÍ}JM|+l`4j}.XP+BH#7 pruI0=x&~⹗hW7Ԃ (~]p=RH$A4~7rm9 rS/MR u3ƺ9[ͫp_jAB4c $;Kax97eNM©Ღ)B)Hl9\CT'1cYh;^@<7!ƖZh?`S(ynE>T7Q>YkK*>`&Z2]Td7T#`5ueˮS䄫:ZPEf!K7q^! ˉrER<%7v)K!8W LQjؿZޥ Uݲc(\Fj_tC 'H!OGz0?咰[֎4OQ~㽍mbݚLFTjf @nh޷\jMU'ke) {,T[*lCH.NLv彙u )tvMloD_K~ɮsw33W@8-YyهvfgҘvB׆RPI̻M_wx {*j̭WKm3{ETHv,I?,>.̙xþ6b`bF yC{!g,/<¯<KTB+Wgi8邘^<ֻ  JWP87o<ֆ`]$>î`7[eNߝ/ED^Jkr}5%2N4%?l] endstream endobj 5511 0 obj << /Annots 5513 0 R /BleedBox [0 0 612 792] /Contents [5521 0 R 5517 0 R 5518 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30704 5519 0 R >> >> /Type /Page >> endobj 5512 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5513 0 obj [5512 0 R 5514 0 R 5515 0 R 5516 0 R 5520 0 R] endobj 5514 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (create_dashboard_gadget) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 227.3347 686.7] /Subtype /Link /Type /Annot >> endobj 5515 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 217.4127 670.5] /Subtype /Link /Type /Annot >> endobj 5516 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (move_dashboard_gadget) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 223.7652 654.3] /Subtype /Link /Type /Annot >> endobj 5517 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5518 0 obj << /Length 19 >> stream q /Iabc30704 Do Q endstream endobj 5519 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30705 20690 0 R /Gabc30706 20697 0 R >> /Font << /Fabc30707 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5521 0 obj << /Filter /FlateDecode /Length 1235 >> stream xڵWMo7 W\`[v[z(zrźHjFc$hlJG /) Bbgp![m%8?ݐc{DBLO *"ﭯ%g8A?x.Z+I{Gw%cOK]$9%i逈t0ݰ{* pu y-_)QA~Kq?X* \gZZcџ@zjϋdzP-zS.0+d(s%Q ^~3[JKN,֐<,mNOZcA©Ywd |[MR{Dj։(֓'HGt2tBHt+ad3Eb`}pW,ɻ[gy<y‰jԅm],ʣt:fLtNjShxi} Tvb1pNr.4ChDŦpA's:/`lq'H\/{zOB@.wg~m63*;t^ke̎Q"O=b?nl'MiWiWQn xWl͏^IcEL11J~Z8+^LNcN4IGFl~u]޸U֭ 69C[K%McyۤAB,~>WTv#_(ʪ't2pWkiIq{qBUC$5)VR"tg@w|p ͕w`D ݾE6f.p"ס%.ĆnN "]RxƤԎmEzj&]|L!AB(TtGG&}mLG cu"NJs\*vq OĆrrF[(!{=gݧ퓝_. endstream endobj 5522 0 obj << /Annots 5524 0 R /BleedBox [0 0 612 792] /Contents [5530 0 R 5526 0 R 5527 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30723 5528 0 R >> >> /Type /Page >> endobj 5523 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5524 0 obj [5523 0 R 5525 0 R 5529 0 R] endobj 5525 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 439.225 122.109 450.225] /Subtype /Link /Type /Annot >> endobj 5526 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5527 0 obj << /Length 19 >> stream q /Iabc30723 Do Q endstream endobj 5528 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30724 20690 0 R /Gabc30725 20697 0 R >> /Font << /Fabc30726 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛL endstream endobj 5529 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=433) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5530 0 obj << /Filter /FlateDecode /Length 3619 >> stream xɮ#ί/ $v`OmZ-%cM7b,9,],flϷ?/,:&[>,?hrr{\BzT2c ml=팍s 0R˧+La^KOzθOkJ4˯?)%8+-bfٹ9%,I*@]Ow)x|>.Xkm3?]bfjG qdzhgPK@"`G? -F5-6q*g䵔0Ʈ>A@'9r )i ZB/8L mڄnjO@[}_J3BDw ϫw76 N)gn]s}LFI:2&<!gV0E*D֦b?`VG텴\᷆pХe:uxa$0R0?C@ߥ@5h^c]UqU"=y2+f}=ŰP L1d8 n1}t[:ޤ24ZM Z&yC4FBQo9VD}R{cN .}"K<,平O=La2 k m֍1Į_「I,$5H_ON${Lԏ%]7jjuͣOP$M~e#ޭ5/|`y\IupR!Xod &z`%`gL8ӂI/е9 ^(\_o}&P?24E^l @9͢yg&b i /H ċ~PHz6M8錍p۠nV#O \^ ZjOҁi:T6). WXZjHQUVjHY5(G-18&`fLQ=^j53b!N-Jo>)[k&e.oݔ^ joTffMEqNͤ1*ՒWa)F#;MФY+elV>l j2!UEwDE1Հ[j/G?q8'ssT}/[Qw,_Л`o%-\c ^x횀< QM YZ} M-k[} =۸{>uejkvЩmMttx 70}0*uk@VA_B5b@p[Nam0$ws–::\#H a'5++{Pէi̟[tjXꦈ՚mp(֡Ve>-Y.cΕF"B焚x¢"$XY^KRia숵JSb-; 0ܓ[I*k z.^i,c`&]'FϭY@5_%]*C&RJe+CizAvb"gS/`J%2v`uFoN< bE,$ #,{T~q<:kD*|!@]1wNJ"B+ChrӢ*LPq:Q5&?0<Tϓ0I # ,c1g#bM;EnyÑdWkq 'uGƇ}}"tYNg1qBlƒJ FϧixʣC QMOuFa/U5| `X#cJqsa\wDfeW椹x\wGh"0Rj)7{d`yX&U3}Gt9o 8d2 ݯfے,]:{ހ{֯XӖ;`~g\7OvdzMX;$vM6Ʉnu!HxPڊW})JXGZ=-,-D]M U \b_S=Avdu10lsU0+ZB.8.u4{ɫIe؆$uc7C|ڪT7k6\ 'm3N0{OH3lc&066ՀaY>9yOwxx# KqfwLtH n! Em{SM:miMI()2>˝vrbŨZRڱnsKlm69nM|`MLZ |(UᷤzmKmmuESۣsgA76հyMt޵1X Vmrct4BqظDV/x<Öe1ZVnUa8=6^h|`i,nIv2O:b>֘ EX (B,ݚR 6S1?}YuCC1 >dICSNXX]]-]F<32#fM3VjNVkG| #`^ N+?DL>$$3,ɣ4̈pS]~n2ukp,_H̠ ݆}/6,ݙ#ށ`W S?X@[^7B:v5h (ة\yh6B:!-X?skutIv hzR0S5R{t.x Gwv bL/r0## 6!PWZBFGWů@"f4˻"d}ѫKtփCZA>eوP1\W d0qD om=KU1T)B_thC 'B;?|'rI-mkg\'2J_xctXF&"5:e٦z-ǖKdb+YZQxnzHqAuVd;B  jݶZcHa_7^Ne":)6]? 8p\wC8.n `L'︇Xf,#<.?0誥}ӵ]ʹmN&,pwF4}7s/s gRm 6FBw2@}ҐOD5# ~Ir=q $X0c|ʢ# C%<p}zB+ؽ9}w?_mv:+VZ4+":O?>,zC+ endstream endobj 5531 0 obj << /Annots 5533 0 R /BleedBox [0 0 612 792] /Contents [5540 0 R 5536 0 R 5537 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30742 5538 0 R >> >> /Type /Page >> endobj 5532 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5533 0 obj [5532 0 R 5534 0 R 5535 0 R 5539 0 R] endobj 5534 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 595.8308 195.2587 606.8308] /Subtype /Link /Type /Annot >> endobj 5535 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20210608081201-08'00') /Rect [104.1732 579.6307 185.3367 590.6307] /Subtype /Link /Type /Annot >> endobj 5536 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5537 0 obj << /Length 19 >> stream q /Iabc30742 Do Q endstream endobj 5538 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30743 20690 0 R /Gabc30744 20697 0 R >> /Font << /Fabc30745 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5540 0 obj << /Filter /FlateDecode /Length 1573 >> stream xڭXK7Wl0 ;;;r9ma6*[=ul'6ZuIz6?QӏϺY. g䵵-i6f&1.,' mɄ{QcLEǶ Ms,a~QԓV:At[fv yB9;hF\+9^!%!]#e&Y}f!ZR[.^i~C?(Rs¿w lcE{4ZyM5(ؐz! *;$OXYSiOĹZ\.@gmHZ(ArtE(fYɊ<GNJg;3tiѤڔf.{u:2xQeoOob(4Mq턪Zě0;jH<קůpdD> FMͮ$9d us-/6"$چMȸ]ncu2PDt UTS,%tymO\Tߘ1ܘN5d5ܛ]}7olu~ιFWkG `SU|tzʨd9Hg5B;M8g>h[YS uB$glhf+A vj#6 ذzz-xEEp7-D@'Kd̏MnY2E ]Nik)FUx) Lj9&hlcjo9]9YGBX!nP; J(>ÓbjS56#uXS+lܦ}OK,eyIf=N=t!=H!tnGe[c6mFx!Ԧ(Ak(B)wݦDkjz+' qYԥJ\C01 l5/8̥-H] "7Tv/|pf۲J;w?-7)n@S+^tߧK;.jr_x{\ eNeOyHc9ʇM8ܷB#ozt̲5>潿q^Z_ߤ#qv`3vyTw\(Cwgp7=+Ċ;z׌iJsLikiX9Ohrxm,]#H2wk#&-\X~PoGc/ԞkBA^w֗JKi&G~G$TH` endstream endobj 5541 0 obj << /Annots 5543 0 R /BleedBox [0 0 612 792] /Contents [5549 0 R 5545 0 R 5546 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30761 5547 0 R >> >> /Type /Page >> endobj 5542 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5543 0 obj [5542 0 R 5544 0 R 5548 0 R] endobj 5544 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 439.225 122.109 450.225] /Subtype /Link /Type /Annot >> endobj 5545 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5546 0 obj << /Length 19 >> stream q /Iabc30761 Do Q endstream endobj 5547 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30762 20690 0 R /Gabc30763 20697 0 R >> /Font << /Fabc30764 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4l?EŐL$E d_ )2̼d9 M \09 `FbU$!īEWeGyݝC.]@ U8Ρ\j ۾{w$P endstream endobj 5548 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=435) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5549 0 obj << /Filter /FlateDecode /Length 3588 >> stream xڭˎ$.-0`zzۀovi;v^K=*$KMn焏`nJqA|Ӝ?O?^=4Ĺ\eXVuKl`~-k,4`Iѝ>}y|$O~:%Njga윗 l -Md_pme&]H)-s .Sg8">O[Y=@f؅~ Dc MUq˜ > ?4?[D4/19|XSȓn.]s6]b.30$`ϱڒa'k%zKX`/ ?`*A .GLiH*4W楸 s,+@sꌸn!u';>0j M'W)L!UQlEfVh|bOb6/G2/@75ഃ:/af"NG@haTr0r70?yrMKchiq dv59NpH'Dzkb>We2qCcdhFA{"dM*#N]X?c\}¯rc!QH3OC-hg1 Nj]EdYWȝce Аrmƍ1vzo^$FRch'qZyߊnhhHøVr"#5h?tަVޭbEL,&RͮثbV~CV<^0;HJ:;4a.G) t]h$sP0|(e@cF6D$J .G$; ^%@,D2ݯ@&b i/ԀLBD^ @: ^j<UKzdSPA#i?tgpHݼE _5skDi(d  dt5.za}YyQ,-e 2*HY3DB4+`e,'{/sy!A>[Ȑz=HPYV?]iEp\@Uff޴+GܬZҷ#4{2Qm} ϐiӖ7F쥻"b Jk l*={[Mc*LY<Y܋ b,zh5ߍc/Ǩ0Ʃz*ƵppFa;qżQmz*ػo-6C$PqM~ $%v2B).gi6fuuʄLW«jUT>ԏrPOVd/q 1ىq,wqv$VDkڧ Ēp_F {K" '!15%[Tgq0MWh|87ccD8=rgs&Z"5t#EgwlAeA.0[oK5G흒o\ǥ\6e -#,c-p=l ,\R/޳yH{N~cKp]]L3m.s*M+>2oSKYkż̶@N1j 4L;Ks+\fg4lK2R gǍTf_*1`h="j Ns ZQ%9̹Fbl>^Sj1aZEo __\Iԕ_N d$(ԥ2Q/ +08u7[ڿ9 %L'TYgaDZ+sq69q|qek}OPiԤ$$5ԇ@._4>(("ni{e6!12z4y#Fj zg+u+4.SM,ʜ,wˉ_drdTdB 5(5a]nnz01qFzb~Yg[Յ#@q-KXԱYN8>JLpaD]b-`ʷ˚oA:˜XtTۋQrU[&io3`HՍʍS8D3f=_?_r |_\]q=[e,~/I{ XL(hz?mYwkә۵O +nEGuN߃/.nA-a/Q/_9F*Sd]qonA}YM endstream endobj 5550 0 obj << /Annots 5552 0 R /BleedBox [0 0 612 792] /Contents [5559 0 R 5555 0 R 5556 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30780 5557 0 R >> >> /Type /Page >> endobj 5551 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5552 0 obj [5551 0 R 5553 0 R 5554 0 R 5558 0 R] endobj 5553 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 455.4 216.8352 466.4] /Subtype /Link /Type /Annot >> endobj 5554 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20210608081201-08'00') /Rect [104.1732 439.2 184.7097 450.2] /Subtype /Link /Type /Annot >> endobj 5555 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5556 0 obj << /Length 19 >> stream q /Iabc30780 Do Q endstream endobj 5557 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30781 20690 0 R /Gabc30782 20697 0 R >> /Font << /Fabc30783 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 5558 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=436) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5559 0 obj << /Filter /FlateDecode /Length 2082 >> stream xYI#7W(EmMh!$w`撿JU;fZ+/i 'lJU1Sᐥ_Pg)jekڠ55냵Z' ; IM %ǝn&we'KwXm6e~p}}.僵hwa)וZv[XdK[zV{Җ;Y|e*88 ('ȋ|mj]h;~Q?=/ښ&Dz_XLuY]NB OkVZ^j%KW[A{F${|v3#uy N3󦡍qa䄗:Aʝ1ɸSdOUM/蚏+"G "{`%&5>^XHa: b#/8AoN;\ьNft~`Koc@G&25"͏Y*D]- {cit(@dD4H~фڃ {Mi^^ ^J*Tw^<ӑmқ$4l [9uBaOF] Nüɽ?V:{ @u1"b: Jh39('ɩ ̀<> >A@ m55"!G>-^Ok-M`$ ~r115@"@`>tlC |%#jqpWt,V/>۫ $$R=?0/]5PoH$&SF75 Rb>.zwIcJ_Σ8q 13Ϊ4Q@Jģto{7KKC¤2Wr4T]{_I<P) VpP4.+O:^ h|h5_?7lBmp1R޹Z;٨+r ƺE|D+&oʘZ 7T}92x`' }g,z]UU#O0Hz)mY4#אHjDV~38\q떤u  h)_>x'LizS@X,X^'8c^DJ <ë3T"Bp<$bp$1q,iޣmJ$B @ ?pO4I}fbjP AeF:/{Xv/]$ޙ@Lco +ά̓Ћi셲/( GW8wγD]r;w.)5apS- YT0'u!x%Oy%Z:R‡e*JxBc0cBH_ݑ>/dc5$T<1Se[>BUR%_Wa3&>'hmDz*fҐo ,鸘5a|OxH L5h"%2b*{qO\'l Kj8?ț;7y.Ot,T@9~`A_bAJG KucVQMtPS}Y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30799 5567 0 R >> >> /Type /Page >> endobj 5561 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5562 0 obj [5561 0 R 5563 0 R 5564 0 R 5568 0 R] endobj 5563 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 402.425 112.528 413.425] /Subtype /Link /Type /Annot >> endobj 5564 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 402.425 150.346 413.425] /Subtype /Link /Type /Annot >> endobj 5565 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5566 0 obj << /Length 19 >> stream q /Iabc30799 Do Q endstream endobj 5567 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30800 20690 0 R /Gabc30801 20697 0 R >> /Font << /Fabc30802 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H@ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5569 0 obj << /Filter /FlateDecode /Length 4048 >> stream xڭˎίyk&4hf& 3~E$nwgHzWEM'7Y;,~6>78U]~n_/qn\b.a6XxW/g;9C(n9;~wTsߧ/^D!vӷ)8n\#\-ן@';#;BwKvӯ2~l02 [s,yYRŦ6OW[/;% Q/ ?_dYtd~N8(HD1q F_sHV.)^JҁFzp9x9g@d hM FW4[;/19XSX7 .O?9Sڵ*Ȫ, s& xy3_/g:$ ]J;y~G0oZ.C+Ѥ0 s,)B@DHWo>ܖEcRcN 3!hm8l<̳H^_EW) 44 G]mvӰwؕTk{aZKym)9~yrwًhkYM}PbMR!kUlb_3n1 nb?ZÇ%w%?q%9* 'щ1KMņ?26߿`ߧ], u/"Р4@i߅$][&t}t-Xf @_@w47{x<ѴrE*L/eLީInI IS^Q$Ƶ2N lzNEnw@|`C wUk^:+NN`k]SSҎWQ*To@Wi*OرN"8ǝOA̵~ g<7&` OgSFҴ.Dҷŕ{g(qӮZA44v1 fUX25o rkDi(`?(w[{Zƈk&Cʆuh%㵄Tn6u{[Mcʩ[+Ʋòx2Jz| Q|Um?: ;Ur+rRsc2oѶc-=d\O9->$/jQSesȏޱT"[rMPhu Nmq@E54Cu6-p9Q8keљV9yw|LɼDxUgb%{.so 4%%h҃dݺ@r!ͬ/PYNXIƀIz-ĕ^[|bĵ}'gi"״!nY0"ʇ8HZ~̥Fk2X"{Z3sU죷T0Hͭ)ҿtzva$TpKP0{iQ?/XؐW#\"_݃Hĕ siu0țqSVvQ M/Y[GEߚtYwq.y)]"&,%-:o'D潴p&R[+3milƠ~2 M,hy6YQ off UnxMpx_d]5^a|IU=L7byћX0q<@.<_EdNbiSk&u&fq#qK1ھӕ};=xu4Я>598C"н?7$Gru>.Rmcٳ5aNϖtٝ{8<N鶝}=yVV EVԃ3{HK%K=`9N'N\b9s:ӺCIvΤo7jz^6m.}8yFѨkoD]N}R M=.oaq=$uuHz|/" τ'<Ǎ772ۊ&;>T(KW\KyKCoW0pɶTܖq^`e,qc6>S$v |Um @FVZJncn1\_!oO@߲ ws-n=@0܇gvna g1LTH{;c |cX &#&lzD s oӿn !\l((Ø!+O@~au.G (kQ\FebI)!8H;m$s, mn.PWț鞁qJ#)պmGՃ. Q>Q$5!Zx'+n5R7d$ Rh0tip 8>!<'LI|{Cjb3)qGeDK=e,#G:/hobB 5";%_SM}xb?BvK5,9m#mcb=MF1fK2\BM'υ˲9΍o]nB .A*H.c%8tM^L%gyUlsˉ~1Fi$q-KXфO3ǘlCs yζa?{֧wcGu\}VqNsUJ̀a>p00 PS,d=Yg^C o:WW9sa*c_񒤽BL; ʢ`V"pn仆5>z6tGFǡAŪ8yR' 'xJ8/FXVx'@ fFIǒE s endstream endobj 5570 0 obj << /Annots 5572 0 R /BleedBox [0 0 612 792] /Contents [5578 0 R 5574 0 R 5575 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30818 5576 0 R >> >> /Type /Page >> endobj 5571 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5572 0 obj [5571 0 R 5573 0 R 5577 0 R] endobj 5573 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 553.3038 187.5312 564.3038] /Subtype /Link /Type /Annot >> endobj 5574 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5575 0 obj << /Length 19 >> stream q /Iabc30818 Do Q endstream endobj 5576 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30819 20690 0 R /Gabc30820 20697 0 R >> /Font << /Fabc30821 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HPЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?I endstream endobj 5577 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=438) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5578 0 obj << /Filter /FlateDecode /Length 1654 >> stream xڭXjd7+\EnBC!+'ځf~?{uvGz?k--Ԕ}N.^>۳af6hgMIov[>翀:@h堬>B)MԸ> [Y)@}ЉE/V I2NrƘ/M}@doB#I(= rtwÂ<d^ `ԚfTAJ')M# ~ʉ#o(Ȯg'49 }lީG:eo݃ DZvyDE^q҄FAAcTty J.$ƞDp7#؈_ G`E#'Y{SCexA=yuYq] M EEJPүǍun_(5{grS}a݈ yT7Nsq곶ɱl'(9*ԅHjsڒ~Kjؒ|ƕ@xy,Iv- w]a)pPV\`/릩[6r8G%8G89J갴͗ R$d!.~w(dNO;WY!=„]J۱'ú(iWE1dYp,rLsQ24(>~A SJKN>Ԑ^ NQjjuA;ͱd S΂ih-I:)X(b<"zDƙ2Z0 [C'=]1*z^{3yuENͺxŻXQ wۘ1gбѨg^|ڷ9٠]3i 8k6cc[p&NX/m N^jQ fDo(' e [ob{̲s뵖Fʱ. ׈5?j>7_LUdmn x-"Ry3m3"A$e=OS՞{0ULm 4ުe/vşD/׻*xe9}T @͢|Yq#Dg8v$T}h\"͵Et79ɮX۴t2uHlz,ac5J.2 d|6_+ypٍ/C/˻~!c|Y1u|]uoHY]oxC.J2>w> oGJo}^'v[/uyqSh} *$/t\4'r endstream endobj 5579 0 obj << /Annots 5581 0 R /BleedBox [0 0 612 792] /Contents [5588 0 R 5584 0 R 5585 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30837 5586 0 R >> >> /Type /Page >> endobj 5580 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5581 0 obj [5580 0 R 5582 0 R 5583 0 R 5587 0 R] endobj 5582 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 324.925 112.528 335.925] /Subtype /Link /Type /Annot >> endobj 5583 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 324.925 150.346 335.925] /Subtype /Link /Type /Annot >> endobj 5584 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5585 0 obj << /Length 19 >> stream q /Iabc30837 Do Q endstream endobj 5586 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30838 20690 0 R /Gabc30839 20697 0 R >> /Font << /Fabc30840 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nY~oC25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w- endstream endobj 5587 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=439) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5588 0 obj << /Filter /FlateDecode /Length 4059 >> stream xڵˎ#ίـ:| ,Frxֱ`'Oj4ҬlvU$k ;%gu ze←s/䐲_?>8wk;_6}/ֵqb_OnM]`UjwO_GNф~cj~h#o}%Y?++0+gv槏K)$˒ML5Ig#P= _gL:4s~RDu&L?S=_~!|f$"rI=HUޭxk8)pL܇S*B40iMJY~AA>ؘR@eIy_x9KѢ& 0iz[t`)!Z߀m]ƶK_ g3 t#ϯSDW }+cܜ (ˋ2ѯD߀_BOqBzD3pW'*ۻk` pļ>GIL a^dzsbMppn a]ݰ |#_ܒ=< O?@Yd6fhEPn67vXPD_ŚPT[VSR;i`6._U:VIb{٦kdu๡WĹpc>w/ m`~%5 A PGu?{]QG.{<7KhꪙU9qK Bbh9(\=> Ѓ%q{,_9Tb#6Mwh5Ff-' /2(E"i5ɰK,N24`W@B?$ ܉|S1m5sem}ePeI(:@x4x^D`2S{XH.(7HRqB8]WxvFlb\I:*'Γ ~yKՅc3K`U^H@ؽ?/l5'=$f0+ͤ=;YzwJpK.KI%[Y à-Gh"ؐNU[E6*d`kdesexJzprKm7 T{QKi1ӭ[}77i6t5HCWhXfꮝ~1$ qt/.TtԾ7"uoԼ%wILbdۀ- ;[zaG;åvZ]zTp[eàKVwY=LEvTvS?# 뻙v:n0{#G4&G4]fjmfhbMmk.O)MK̊i7*z ݒuN {^3#`1 K \hAݲ<wA=lu[Wdco=xP`8dG,b<lykyw>e?0'(Ǥp)G9?IB黾1ڊ'‰5:FGcO3@k1lݒ,N]^`ѫl1?pVtx.A]G]l{颶% G4\3,duzϼ+z"U5PQc8brDZ幾穭.8<°%7b)X0%ZD3OX1ʼnԆA;ju! ̀͑yA![y/OZUX\ "mL@Z׉t\2:'U_I!Ĺ+O*~bM/kڡv4r6$ORtRװqbEpjX%B!?jȻvĝ,;W~p9UDuFCq*kCEA12e$!"@bi1O« zc^`MݏT NԃNgsUƉT 0w"/[VJ;A P& _Y\6 D GJeu0F)*G,Hm_SMh)ӌB]̦CZC2k2EUl?9o7*͟=YI{9wJ/Zm?}kP'G6S)ɱ\)v-eHCam6Td\,UfcAى=誣Dum0:^vBA"{pk:|}⨆eOJyjjdeRsx=ۛ|nmZlE]įFpJ}~ɹ𮍙.T(YBA;ϵRmi6x;,>Z+d,$Wz"<`.Z2GXo -WT+$kR7gM< Hй5Qv3 ".F)xڞgWZA,BĹA`Siჶ&-&TQsNrIfWTbb{p`H:w4|ni;72|M&υW21W875 q$: ~1ۍvrP408GaˬCn~ks@WSrX\DB7Ϻ ׸OR+֭h,`~&P9L2 (;9zJpvcb u,dl^`w¯^:!$vgEiO019}‡'eQ4 ů?sV%}g6iBŭCQ!n(UW?*{UBnX,DfщK!ɢX endstream endobj 5589 0 obj << /Annots [5590 0 R 5591 0 R 5592 0 R 5596 0 R] /BleedBox [0 0 612 792] /Contents [5597 0 R 5593 0 R 5594 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30856 5595 0 R >> >> /Type /Page >> endobj 5590 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 5591 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 5592 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5593 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5594 0 obj << /Length 19 >> stream q /Iabc30856 Do Q endstream endobj 5595 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30857 20690 0 R /Gabc30858 20697 0 R >> /Font << /Fabc30859 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HФЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5597 0 obj << /Filter /FlateDecode /Length 4597 >> stream xwbpf_BdLSY08:tgd}>/.2ʓ_'6j Yez!B\2}:1ݺ-t8](z\F ^% ˈ8gY0!၈Tۗ~3 sY'Ξ֬XԦyy99*6Ҝͦn6* WX &yYA?u-)@cԫcp#;EAS-SU$. HV"Xgo)X$U@ iP$UP%kQ zIXfu@ f 7o|Hᝈ4(e̵d4h N4*|^l['D8MXVW[E.G x#? Vj)TJօCG8V~(:V(!ED R{\Rgoiq}ҢE/GUD(#zCB))n&͡IN TҐJj Aa ij$7kusW5DAzEC+V5^KRb 1V4JW%OjFXZdݫ7YUŊwI lRGul/C _.s`״/qrH0kߖ7iQ+N,*x X U_>"]͖*Qi>0.HG .̒>]07ƣ]K҇^4b&;lBd97i x UFDnK&CLDGȦ.sbv@tKoeM':>ȶ l31!qwZ>(llq;&i4tEWNbq7fccUh-z)bKfI(7!x)1q/VAvoYEe7&{G@q˗:)/B BIٕRAv`L3k U՘}:%.`UQd *a1{vfŐ-=N o0sOgv~.A.8[Y+oOb_r̦C|fO9)2%zF˄$*A;8<\zBӚ`LzHQ?A‹: `$)$[P8W(F0*0,pY_yHI.E3%uF=U2a9ߗ4Չ:U#Y::f=pL|%SKŁǁ@C:vݕh;X-f@:Z&ndzkCnYʡ.:ȜS_'+Vt[rWql.8eF:)țNRUQ&'/A6oga .bܛ+$pVF MOdeWk轰7^w8_;Iy=,тg=H_)^:QH:G}j}N9k$A=xօIkBpLyQ<E$RT¸_mP8)X26q6qD;fvi'?&v(`YYfcUwk\˅2MhP[`Rd⪑qYdR}yg S7yZ-j v&ORo\+;잞WnJbOKj(T um%kN`\qN ۜɟ]rIRhVUed^r8! ]j":w@?;=86c1$AK-xt׌-Z=$"+stjgcLIMNI 3ք>4S'ɷ޿kQ1у|?^.H%Bb4Qb垅aY]B{Ib{ JTYF:oMI@BWlv!,mzBfja${h+ <, ay?בe6W $! 8v| Pf9v?ri!>0VORWL )nJky V0l/-~n=sIDfĬ=LZd͸{c4σtD#OяePvVZY)k&rfy<BvA7\Wn4z=hzDW9ʑǖaNsqC\Y=a1蝛=y#iؼ^`0L 'I?Wm<{PjDYͿ͓jF{G>n<'{Q%|x2`Yw$֩ fW%[%rҤ#mj~s+"JU+'HW)Ц ";9r׫8a4#4Ƨ=p5nHc!r&JS-YCC.xTDpmNm:Ǧ{:5KF?&!VLcFYqI޺KpSl;ͣ=F7|s8{W62nhmW*S%|簫Ndl g"yMu=4㉳9X^dmy?oWfv{:__#s}%+ic*$|:[7=o"oK nq=DWzVc2;OpaXCx5_:2nu._󠧪2qq"BjWkP8u/4h jL؂]&hz]z$8_t1,IwSz8E6nʸq7UBŝ:": >^-d 9tp )-) wPյTy(raoQB1Eou&;Bk`c5 hgڇ9v+ZiBTa;FI͹f- }oQخ@*ʢQCNju2Gv;[fkXw?TtmBZaz4;RՀ riwmҼJLm a^  zE^;5{Boc;=m˼$Y A 6nT }{IZh-6^zo*BP?x(.7VPokCh<! [zk.Ed=d0| v>Y^.nG |=}eqi!BL ዿn+DtXf"c@80.jوn9pܺb1_\_f/ o)![Naa t/cP F kr8yc(%Qnaߋ֛?lhS.A6E]&bݒaؼ 7/iWnZ:JWf~\dq LF1)cKbAs;ig92o ONj9ZH?PBr7GE|^;·|V}J%"X5EQ\tMWR27@ WB"DraGKeq/,'j8i<%_\ʣ</"%2#Gj/d4\|\BLbW*;Q?Q +i+`z5?BrmKDxlvQ}2_":p~Wv٦2x+&RLE4 &f>R/~4җ"Vun`|}Y8^tb_ɘKD?~qx-]AaArQ/@-SaE~kDb؎Mw*[ Q!B?,Tށ`#X[cq4_y޽80эf&ROWopܔVo W8 4-w8|`<5:UgAHn_ځsS W =saEBž*-J?p_"`+%(um~$G,}Y԰ iK endstream endobj 5598 0 obj << /Annots 5600 0 R /BleedBox [0 0 612 792] /Contents [5608 0 R 5604 0 R 5605 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30875 5606 0 R >> >> /Type /Page >> endobj 5599 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5600 0 obj [5599 0 R 5601 0 R 5602 0 R 5603 0 R 5607 0 R] endobj 5601 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [104.1732 422.9346 200.8412 433.9346] /Subtype /Link /Type /Annot >> endobj 5602 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 406.7346 164.9372 417.7346] /Subtype /Link /Type /Annot >> endobj 5603 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 390.5346 180.9312 401.5346] /Subtype /Link /Type /Annot >> endobj 5604 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5605 0 obj << /Length 19 >> stream q /Iabc30875 Do Q endstream endobj 5606 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30876 20690 0 R /Gabc30877 20697 0 R >> /Font << /Fabc30878 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nPTӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5608 0 obj << /Filter /FlateDecode /Length 2505 >> stream xڭn#ίy1@  ̩ /y;ӍrҼ)pE/ِA;kMuo]h[ ϱXsJ,{Z뭋G|,)>.@A{{ړ X"v~+"x[0 'pF {0 '>d BۆsCT t9_,{]μweD8FIB$fB#Dtd2! i+ӳPE;Ci >I-@5mĊ ǃUP4ng`@Idga(\há^SQp7~n1 V+AA'Atٶpz5<6A̚y'љ:}w^x_,s2>Vv PusµZFS>~=Dv<@4D ӑ=T?|4tǛMƒ8w|`MŎ02;`Axm"D-lE<I}Oty6m1kUw xLm]3Є a0 T!X7Bސ 8mh{@ʈCh4헜zsrGYbLtISzWVQ +UB+!Kޕ-XJu)>nN.e Ml f2⧓j`j@R \[WwDoG`zzkznUVLw}Rha *Ŋj|^yZ8_ֲY<"y|* Hlv2mpݻ aQh fwདྷ*6Jط5[mͦ0fҢ摽zEEqw ? (!qSܧ簯SH!eRFHLӄՑo#J0' L)-JV .א(P?d'7`9XN2PAX2nEkx{0vRQUGW]Y].X\7p޻l )[@+LIt}8{<\R?L |Exe'ջRgj!>8N}1g^t]CWAca ^#u&(~ګ1๖("#N_Tϰr5GWp@7&5}w,+_k$"z"V|h?6eN B3ULZdm=36{ee}!Qu4r2+6K2O >QPؿ X;xcxꅢp!"e;N`RQ x!ƸǣvӰS"%L$)Z>OdC0C e_òiu;"&bG2liN/yjq?QdhB&6|8ބ]R2v)E5aRSm[8` {i-%u"x';闑 T_V;G*fCV9m(W"fZS_ǎ74 5(DC`AA7_A{Kg;> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30894 5616 0 R >> >> /Type /Page >> endobj 5610 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5611 0 obj [5610 0 R 5612 0 R 5613 0 R 5617 0 R] endobj 5612 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 425.025 124.1605 436.025] /Subtype /Link /Type /Annot >> endobj 5613 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [129.4735 425.025 180.4255 436.025] /Subtype /Link /Type /Annot >> endobj 5614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5615 0 obj << /Length 19 >> stream q /Iabc30894 Do Q endstream endobj 5616 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30895 20690 0 R /Gabc30896 20697 0 R >> /Font << /Fabc30897 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HPЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMQ endstream endobj 5617 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=442) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5618 0 obj << /Filter /FlateDecode /Length 4017 >> stream xڭˎ6ί9@+|rsv=9,VdQRt G"%c:Iÿ^bϯS3SS6إ˿oOL/OM/OZa:Xw]}!}PݝnB9:}|Q_ 5HN_}1|p?M_'=%#=kg\5K4o(;aV>wdF0/KX9PYWwny~ӉЯg21?_"n9/] ?遾/sցpADK_=9@J9M6q鯟*? I %v>H˹\M;L68p[s2cC`fHفNd@&kf,}cB/bBny^N3Ho kc m7 2Mk,A̬s ' g2BRHPAB9Muԧ[NȬ{M(:ۋaq# cz u#d+d?<7M]j`X%MhGzlf1SwS=q0$zˢ/*>.`SP^Į`{i羧( kkDoz&ŐuHI_Ȁ+);6%7,DXHKɮ ̎ھa0Jʛ)G0*".< |^'aAq3$aڽ<w6ukT /![*X%k {+c QiWmTKtkR7xkA!;hI 6[=U}a֠hӛ3&7$gzFf.z %ss͉JlM@{ݸ'|kV4+}~dsߥr<9˶7.%66cbpC-xM9o*ZFw=z2/g؝u^n*v⮤f)g 7+ʊٕj ʝb!%I:KnnBKRJcn~5K !EV5簶/Cux{G〬,E?IߕH{w XXQתLa1 SWFZ͡HwrKY,-UǺJZuSƀJ0Y FsNnNi 6\}7Dh8m!;Zj/vœѡy )h3dʸD{^5v;jL_4D*;Ag TЪs,{Yvm]nps|s |@^ށ<& e^={aVwaDMWTpc D؅^זˠ.L&8={:l;L&Be]WbC@ u{P9'R~۵(sn`q-3俧Ĉ.;(1'o{K ԕdO8' v#Dw+QKC7O=| E XrjJywSϨ.˫$ts}: ?T:U?(!pd} t -nf]| ܞHIkА8L2"%F+/H /"BiRdP#©D xS C;O:=tЉ.rmkGX2ڊ۟[O}KFDuYk,wn.͟˖K`9b+`Ys>ǩɍ+ J*oxo*U^ )gqmo:ˉ>O$?Yf룲P> ,}HGދ~$v.zmʹX.])R\ 2Dk#ܶ/t^?qNz%nj(dO>UѶzBvlULCJ΀AV 8QBji} #~|LL#@n0;dkmfb"<Qa'ݎj֭CE}s+8֎A~lfo\}?M,$# endstream endobj 5619 0 obj << /Annots 5621 0 R /BleedBox [0 0 612 792] /Contents [5628 0 R 5624 0 R 5625 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30913 5626 0 R >> >> /Type /Page >> endobj 5620 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5621 0 obj [5620 0 R 5622 0 R 5623 0 R 5627 0 R] endobj 5622 0 obj << /A << /D (unique_516) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 558.6 168.4297 569.6] /Subtype /Link /Type /Annot >> endobj 5623 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 542.4 173.3797 553.4] /Subtype /Link /Type /Annot >> endobj 5624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5625 0 obj << /Length 19 >> stream q /Iabc30913 Do Q endstream endobj 5626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30914 20690 0 R /Gabc30915 20697 0 R >> /Font << /Fabc30916 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H0RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 5627 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=443) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5628 0 obj << /Filter /FlateDecode /Length 1706 >> stream xڵXKo$5WI-q ,M6>_ɄeW]vvOiҼ~~ѣuue7u|>F~M X~zWkZ<x?T1ޛJ.͏& c=Ahc+j;2xP_A/-[~/wWN{0띬g뚣Y?}R&*bZ5Ȫ2;lߌ``Nh-X[0L2R|POZb$L. 'I[brX7Ă$MWbd)b!M:U)wWx?X{s[G68BSVBYyZ\hJjmβhw}>;|VoUYj1dk>!n]UgB)ޚwXqTIGQ]0o3bE_G ӻD:zMF$)wHdLzζ^w}Mz+ҤނYwM]ص v٥l:Y,TojT[&mN}.Wa$& ش![iٶu!J )d>=%?yNt=גkOv{r}Ѹ<hvT fȨϣlYiq _l.m]KAڡC~;0wXS$ ;C0+sIQkH^/.;9xjB; X2)ipv[ΖJ$MKPu }BxSݔ\P3Eep2nXt2pd˻[_#k+w.;aWh,Q@x[fLYD4f /V -a1G:pH\[Fl$e5qbGLsn׋]5JHH{,NT;qi7HQXeZ.vwl@s,(ޔ>7<8X{&bBlwKEm=)]Q4ATeKyH )8sϭHUHE9Ru;#[^hǙD_)ts0k>gү/l! fށVbm,NlG'2̋㝅Uߡtg U/6ːHk#1R׫tئשPDA4%VxfKuĮ4(ܠYwIڛP& ~/uma$|,1Ԇ.LKygCyL#70M`"ܝOeˎ[M &Lnsl"lU/4IDIa o>8#C/YU6ݷt}wA"굴[Ƿ \(S@dD`bܝ^jr]@3=:8)wCߞNrW1G gLy1ItAzh}}5݀ڀ)TydwL5oq|=="%XTKx;'lK p@(O%t׽_Z;N}tcqu|-bRʯ9_l#p\6EۆrpFSM4}{o\|O endstream endobj 5629 0 obj << /Annots 5631 0 R /BleedBox [0 0 612 792] /Contents [5637 0 R 5633 0 R 5634 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30932 5635 0 R >> >> /Type /Page >> endobj 5630 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5631 0 obj [5630 0 R 5632 0 R 5636 0 R] endobj 5632 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 5633 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5634 0 obj << /Length 19 >> stream q /Iabc30932 Do Q endstream endobj 5635 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30933 20690 0 R /Gabc30934 20697 0 R >> /Font << /Fabc30935 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w$R endstream endobj 5636 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=444) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5637 0 obj << /Filter /FlateDecode /Length 3884 >> stream xڵɎίـdF]US|ӀANA0`۸TK'=>u23>joS3SS6X߷?u'k?/׷OZV\l/SоyzxjI/qФKff'e o/L;7v.,8uI:yJt䠜z-, ԇgeVlHZgjD/#Nj`Y9Ĵ[|T. XG~wF';c}YPO4t!go19":]s pDN"Q0y>|D۟j &N9CAW#@{"?'z6zwQЏʧD+k6LmԺdh]vTZYDLt׀a&^ʟ|9{|0d$R. 'd31daxGfa. ҲZdJ=0V5T*kG0uf[7UĮq5΅8CQSUq+z2.GiB -{̝ɬw&+{n3EQ -I@O'- SSqeˣY+%[_D2[I9`G12H^,平OҞ9\+9ޑfKǤM.M ƗFCZ%--<6TG9~i̝P,ҩ器%]9V8uhQB'Y?bvF+rOdUd˶Rxg=;^TvZT-EJ#O%jAgĜ~W_ 04DY^b&|K kZ}Nן& i]Wq1<d} Q؆i,CS)OPi~ Wk@+T" >ap+P PmP?@NH:BUw0mP}7 \ ^ Rfh SyTT]j KsRt*r'05Kc-y5TfdYq9\b`UC4# ֭\WK g :yY% .Q[~W7)Vikݩ*_JUClQ>ŒWzS$}F\RwD7.+#TK_,,ݫT: ۰ T!!$X~W n)lUGGcq' j׳#S:h4޶7nd݋7 %ez[0Z&(4`y4rDI7%Ro4=YPjqtBVrаn;hn!@l;agjgv+ͅtlD ipU@FJƄ5tt#KيoC NhVP՗WĠBtuS$'NJ>))u]sSP lH)QgRGt+!1 S~irbvMWRjL 瀉8 }g*XI*Ț$ ֦ μje!GeOSzV^R2 BdIqu6c*n]ueۼp@7B) /'_uW@8[/S! :0:b%CnZj+)5N: [m O,.{zSd͞. eݤˢ>9&hZ5{.䕅%@X ++Q"PɋLw-ǧbuώe=b@rU+ˑ>}T<χwX^ O}]l] vJ7MzX:o zEܡgcfBPy9z5R>O^֕0ӹ(1٥<2bS|(iȉDW2GIBF4AVC1y'Ȳ jYAa'Q(]ٔlrXSӵ3 9Jr ut/l[uI Z90;%؉+XRѝ6`ʯh=r1E(ħ$3,b]bQF3h:qVⷊ%BdOwSӲEQyzst4kC sk<光tY:dhx`Aٍ0L1OK5bJ00 sW6U%-#IɉMr/yC0uP\.%@S\J}Kl@84r`fx^G<_+*;,"a7Y+d5Ȋq+;b(St&=}Wr+)V*rZ ɼsYťW椹h,]W՞ qjh`<5b}UxGAE KoT nk.6d`o!;II @1yعs Oi>>Y=oO ͞٢=Hd'+eB򢺴B@A .klKQiy -*r[by6^/+^)}\m1۔j$Cto 6=u6^}AƧ]Mr*;;}͋ICeHZ[~gܢ.#Wr,CwnW)#r:J1ZG l ~I"k!(fc-˒TQD/ WӍ];]`0͂lc`؃و,[{p+6$v;]f#k]j2t'8nfAl8`ʞVH@V:|%Z2.aI[4Wy $0ԙL%wРƺ%>x`R`clkxNnIi 6SW6{}ܗU;}baItOY튾{1zœ|ux7SHkxfÅ˔vt^j{_@+H' 7 lq|z"?}y;M3 Waw; aX[Լx*&J\t$ʵטݕf(h+E^kK*6`Z2ut(.Fԕ_Rgd$^HЩ2\(1uD -,yyW,8OɅY288WX̂#G/RJ_ UTݲc(T(ZtC 'lzJB[A)$䖲#,Q|֔_&ѭdTJ ZSYk>Z%ZQZ,4A0`b#ŹMG -E$^]&d,:_,nD_ƛU~Ew~{J8խr۵*xiЧ\>CXx5g~^~o˝]IN9o O6YwnLCHaݑ0`aL'O <;ʇYb~A |2f@*n7spۇmCz+ *Ƚ9 k56~, \aeL}c΢N&L endstream endobj 5638 0 obj << /Annots 5640 0 R /BleedBox [0 0 612 792] /Contents [5650 0 R 5646 0 R 5647 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30951 5648 0 R >> >> /Type /Page >> endobj 5639 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5640 0 obj [5639 0 R 5641 0 R 5642 0 R 5643 0 R 5644 0 R 5645 0 R 5649 0 R] endobj 5641 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 193.2457 608.2] /Subtype /Link /Type /Annot >> endobj 5642 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 581 162.7152 592] /Subtype /Link /Type /Annot >> endobj 5643 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 183.3237 575.8] /Subtype /Link /Type /Annot >> endobj 5644 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 176.4817 559.6] /Subtype /Link /Type /Annot >> endobj 5645 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 166.6697 543.4] /Subtype /Link /Type /Annot >> endobj 5646 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5647 0 obj << /Length 19 >> stream q /Iabc30951 Do Q endstream endobj 5648 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30952 20690 0 R /Gabc30953 20697 0 R >> /Font << /Fabc30954 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@UG"e*)sm.\gy<~oC25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w* endstream endobj 5649 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=445) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5650 0 obj << /Filter /FlateDecode /Length 1617 >> stream xڭXn\7 +.pU1g&tQt)qx!)xA\K((qWҼ~xcuu4d?OQ;ϏҐA;kj.!ӝZƈ ՝> 1X@>ֿڸ m we 操%NWՆ>}~ރX>X[/wSL:T.E>%Zts ZC9;5VL/ \NL.>0Լ=6bojmtn9 Y}ִ59}ѱtY?ҟ(Lƿ 9pۘԊN JFmQ{}~ƈ߀{ ?fiOGn'i1}J 9:7w^^͞ew!JGIJKBY@rfݫ׊!]^;տYs`V;Ӊc_IonQf&q=N' W7ܩ_ep]I!^|Od`JiW+aSl8^!y=kRvF1 鄚7 d )9KY޸lK%㓎%jpB_t1XoJ.ֵ4B͢N&C^`yz\7 xu ^x+M fګGp̘k㴡QO:`zjgczA;9gҘ{Z.2B\qŎZp{l1'_//(Yw"ԟ/ڈd̏HE"EC4k-T67[k =b n1o4#UY*b['N},vtEݲG)ˑ0$zdq=r+R6Rj׶ǭ]h'*>Px>aҙƘvՐv3i,w]},/> EBS#ZNC"g!: Hd%±MyOKYTR{J3vD4ٞ&oDZ&xeEp=.(e<ӚZY_}廼T(ҏ~8:L1eB\}e"J&)c[؅楒Vsy٤B8~Z.OO>*$e~o~BI,^Hz-- O'TH=ȉTmbvw\7zk0Ǹ?خT,\ ds5[׏m=1uEֱǼ^ҳ6Ot#L tŅb9~O|CSC7{|IUA펩}-͟Nod쐜p;\.њB"9aћ2 TD9χX)GZ>ȫ^|xMDqBSA' endstream endobj 5651 0 obj << /Annots 5653 0 R /BleedBox [0 0 612 792] /Contents [5660 0 R 5656 0 R 5657 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30970 5658 0 R >> >> /Type /Page >> endobj 5652 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5653 0 obj [5652 0 R 5654 0 R 5655 0 R 5659 0 R] endobj 5654 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 5655 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 386.725 175.096 397.725] /Subtype /Link /Type /Annot >> endobj 5656 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5657 0 obj << /Length 19 >> stream q /Iabc30970 Do Q endstream endobj 5658 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30971 20690 0 R /Gabc30972 20697 0 R >> /Font << /Fabc30973 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=϶fEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1V endstream endobj 5659 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=446) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5660 0 obj << /Filter /FlateDecode /Length 3796 >> stream xڭn,ί賁6I@h f>9 ){~j,V7bv8ӷN𕊛^ߧZglп_9LN_SO?tpr:obzTgZc~Pw RR S,:X|13<@Q"g4 o\e߮7PZ0KGDo QGσ#fgn`?@B)LsDϝWǐ&[!ʣzMQWQsxR餰I؊KVբy?/\Dt kO?,˙96-JS,}:hM@+@KɁ5~\4vimQր{{2Ӽt,9>)UJgxPϽp6]Cө9HZoG>&r1O${fuĠ:BAډ,a]RN+ i:"[k C],`Wx &;+,/QDLfDeihuX#\) cm\Kbt\'o2ۢ= q…<$hq_,¶L$d8?>`%ZK[1a(^cx&HVa6ӽv[]k:F*"R7 wM_dAAd(УJW32ԵSݹr28*~!- v Z-n{'jDcRx3bQ2 uO}dQx%#F*ɐq$1ypuZ!"$G/bc}u>K=؞ԏz\K/x!m:2bk/Ϡp-Hj};bQ'6+( ߿JbazoI @8 :AYA+LH]K[ >jt7#j 乗pWWBTt}!^f7Z"4ԡ2 %.zVu«V N~#ؤ1&Xcؼ | i [-\JWfɢ!8,%J_F)(S«fD_M&`B68ː?J=f))C }oXI߰^<]>]Vwk+=y< waqSl~ɴkҏdWLxeE"`J: yn?kgx^䒻~»vȓl\gx0qۢv5AHcuӯۃsxx~9Ԡ 3<#P ?DÏ _/7s=ig;a5pǜE ?I endstream endobj 5661 0 obj << /Annots 5663 0 R /BleedBox [0 0 612 792] /Contents [5674 0 R 5670 0 R 5671 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30989 5672 0 R >> >> /Type /Page >> endobj 5662 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5663 0 obj [5662 0 R 5664 0 R 5665 0 R 5666 0 R 5667 0 R 5668 0 R 5669 0 R 5673 0 R] endobj 5664 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 553.3308 204.6032 564.3308] /Subtype /Link /Type /Annot >> endobj 5665 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 537.1307 195.1267 548.1307] /Subtype /Link /Type /Annot >> endobj 5666 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 520.9307 180.2547 531.9307] /Subtype /Link /Type /Annot >> endobj 5667 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 504.7307 205.2137 515.7307] /Subtype /Link /Type /Annot >> endobj 5668 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 488.5307 165.4707 499.5307] /Subtype /Link /Type /Annot >> endobj 5669 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 472.3307 179.7817 483.3307] /Subtype /Link /Type /Annot >> endobj 5670 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5671 0 obj << /Length 19 >> stream q /Iabc30989 Do Q endstream endobj 5672 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30990 20690 0 R /Gabc30991 20697 0 R >> /Font << /Fabc30992 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5674 0 obj << /Filter /FlateDecode /Length 1830 >> stream xڭXIk$7W(E@n 9̄/6T=ĦZUR/i 6y{)gux?vV?|KCÓZv%xgxR;~X梼5? ZwDNQf]u8̏?YN;]zK#sakIh픀"Ujkm @10\ʪY )Vd63w;Τ$gPK'p(}B﫵 P`pl6єZJUvja%Pڅ`)`szSap$P'`X*XobFVPrQGv0 dW#z A}ݲAdl/`HtLɡok쥗}=uQ4G 8ab42-=M3Nqo )Qg!8HLrMBB1 %Dȍ$Q'zXsY|@69>Ҝ ed+SeZfjZ%Y Yc bjRzIRpz8 ״#&0ϞA׵B'cC/,, K`0#WL.s B]:&/Ĭ#?s%)窱fȘ4L4dy?Muʃ{qeF`.JSAQ~7&`,,Kc7|- tS dx%)ptoZރF+XY$)'n-+vPuaf[[HWұ#SuzW^UnC§Xauخ$ [B'/`JiW!&fKlP1BQh*j`3 ɛX2l;*6ٖƉO:@USE;,D.<=]'3nrMx .D[Y+bLKtޝz+”W75xyoZ6^qwox?3Yz/d^l<m[jŚ8M|S=fuV[%v75OӟԆ]Fe~M,)jzR6!_kya<CX xSo4z~3ym63OT.j =RnirSFYAJKq[HUs^w3nyJPG;$U夛€r@<__T.7V`%f @mX;1BCag="C pQ:ړh :J 6=.B-Y;E˔XI$H]@P:M4s*7L-/˂ruk,̻0i2B*8 f* r?( 4 )av>Z7QR!&LnsllU/4gr~# Б|eKV /]_ qW7i<㕰cj*|ELnpjokpųwt+&]-39p<?-*a|{E gL:'hj$ `k`CvaB+=M  Nmo{ - ԍ5ZEE6?0ojj>=恲 endstream endobj 5675 0 obj << /Annots 5677 0 R /BleedBox [0 0 612 792] /Contents [5683 0 R 5679 0 R 5680 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31008 5681 0 R >> >> /Type /Page >> endobj 5676 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5677 0 obj [5676 0 R 5678 0 R 5682 0 R] endobj 5678 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 5679 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5680 0 obj << /Length 19 >> stream q /Iabc31008 Do Q endstream endobj 5681 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31009 20690 0 R /Gabc31010 20697 0 R >> /Font << /Fabc31011 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ= endstream endobj 5682 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=448) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5683 0 obj << /Filter /FlateDecode /Length 3710 >> stream xڵn$ί@/ @6|rRb(ſpyU]R˓є=>6sWN^gu X2u<ÒC^~0&G}p:_6u֍~i5:s?+vp&ކ~/T͒j&o}5YO?ovM1cLM:%>ј (}`KN0zΏac56szzbOg(gJ\sk?G`w%: &<>*"$ /`pE뒒 D|aCEn7Ӹ-R.Yc&_:E-PڬIZ_DO9:NmF7Tk黗䐚䡴X[5ʞtԏrݥwrs8yͣʅN||o\ |GͳiiPgi,$[8A'Ebx&#j¯$H1dV1#<+E?Q؆i"ś,KS8}O(4yT~ ~e1A)ՌWxH6{ \v%WUPf5؜~F54^࢒ݜ*$*p^ ~Pomv[+LTaq>&|Kԥ,HBͶN]ȁʁ~[&[ucG'S2V` ®V2 lD x @FJƄ#t#AHz QbΫ\sĶC =Mhs}C0qPl ]?g<7|i6(n8E?qLmM<<ăK<:ydj8pPv3.rnlR2|تa8l]/ću8 ̜&DK2qRYK{pe?56ˣ?2c-+63sBć&Ell.Yl8%?N@鉹L:ahhx(jHkԨ|"Y9@綉VyIѶw.@,Esw ⃚{hL`X:0KC%{.Dijc|҄Ęӣ*z<}cy(`, Bf,h5Mbw>t_ʀg 鋅m>f[q4$5d5B;<8 R 7)kXJ(./i'OG[ؓzKlC+ntnʴؕlC=SM0) ]ɭ$w%w-hH:67`  3a0̛o"ms\4K7QZ#H3mc"9qp!yVri>M2=3XN!B I1{$pv}* ԅ+ [T=hk:'S̻^w%U+tyXkSj}Sg[@~ 4d-$6zTH]}4܆"6;\lФ;m#"c_}_ZkYldj4]R/Tק7;[͵}K癐@ xBu*~:= E˧"yp`FovrdwJFtscGi`ЈVN2Eu3IvJQgFڅ*vq]FL)7Sڵ"l3%DwP1/1l^gr~ ͌mbł29@n aA)K(V E-ϐ_!2&FoS`Zk*Wɚɚq[H?`WBrAE/`y7O+|sI[1Y XfJńOVx^P\l&^SW zm#<iȅM9 ?ĥq}+VFY/TQeةf Qj8C3M\gR|nneְ OD!jQ{|c̜E'(MFqLZEˮ0m,xZV6 -7;D&?

,jSn endstream endobj 5684 0 obj << /Annots 5686 0 R /BleedBox [0 0 612 792] /Contents [5697 0 R 5693 0 R 5694 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31027 5695 0 R >> >> /Type /Page >> endobj 5685 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5686 0 obj [5685 0 R 5687 0 R 5688 0 R 5689 0 R 5690 0 R 5691 0 R 5692 0 R 5696 0 R] endobj 5687 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 197.7282 686.7] /Subtype /Link /Type /Annot >> endobj 5688 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 195.1267 670.5] /Subtype /Link /Type /Annot >> endobj 5689 0 obj << /A << /D (unique_238) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 187.8062 654.3] /Subtype /Link /Type /Annot >> endobj 5690 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 180.2547 638.1] /Subtype /Link /Type /Annot >> endobj 5691 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 166.3397 621.9] /Subtype /Link /Type /Annot >> endobj 5692 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 208.0022 605.7] /Subtype /Link /Type /Annot >> endobj 5693 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5694 0 obj << /Length 19 >> stream q /Iabc31027 Do Q endstream endobj 5695 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31028 20690 0 R /Gabc31029 20697 0 R >> /Font << /Fabc31030 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 5696 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=449) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5697 0 obj << /Filter /FlateDecode /Length 1307 >> stream xڭXKk$7W9J-xw- rf7q`R[=;c&6muz%߅<<9Hcx_Omj1C[s /$gXi~BLO*"ﭯ%g8~@?+h]$?K:GO&.NIMe> .tnyOr-[?s2ry]qd<0\ywXb= mrUF?̬0nhN>d"FXNX 6,|RXM2N,н%;;+zSE<Z΋i0+~+$Y|[JKʨ0-Y!yX ڔ]94p]0؍XE>EIaMR9<m\\yT /6rA2Pn6lH !w" ~ #o;(Wlmw.m̘3XԘ{ilBKyY,*UΖ9FwH+1ۛMشQ.h447[  m%K%4{ocJ1#M뵖71;;FQɈ?lv'M5SVX۫<ɧb6GJW-Ohte[RF"):V}Qfkxĭ$wJF^\WKP-g}YL닄j`t!E>Av "kVb_r E]j8ߡh3lRa]wF2;i(9aDHmwOKICiG[Y"k4:!? kz 3)Z&)BxB5$?_ke>{|EL1CތL//Y e'1odZIKz&#e[wWJQ|VҚ0)ε=`{/oI$t.OyHi7|3UݍϕFB>#R 0qI3.'_Oj,!WBH/1˭Qors;tEn:2}@3α<ا~ȟkvG4IL-ABt鉞Bx"GSHh)I%rƁ>N.Tr5Ɇ$Lö1{F/ [`zOoΥ?6j|#yģFJqtwcH'T)>,/v endstream endobj 5698 0 obj << /Annots 5700 0 R /BleedBox [0 0 612 792] /Contents [5706 0 R 5702 0 R 5703 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31046 5704 0 R >> >> /Type /Page >> endobj 5699 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5700 0 obj [5699 0 R 5701 0 R 5705 0 R] endobj 5701 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 5702 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5703 0 obj << /Length 19 >> stream q /Iabc31046 Do Q endstream endobj 5704 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31047 20690 0 R /Gabc31048 20697 0 R >> /Font << /Fabc31049 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר}'2g("_@9 ?ɜ Fp|s(dWLj$x2UPcY@wP&]@p94C-Zݛ endstream endobj 5705 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=450) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5706 0 obj << /Filter /FlateDecode /Length 3693 >> stream xڭ[KWleI fg&`'%?KR?flJbEm2?|NoS3SS6X?OL_~:?'Oڧ`5vօVu'ckcm\} =L_~^o4/N_}{1o?L'=%'=kg\5K4ӯ?);Oh~adF\K2' Xzy<p|+`eHm00Q|:S 0w,TLt׈<{,CB2'ZDJ.?`031dpFa#b瀂 i^~s+b\ZVYc1^% 6Oru/Qrk^uޣ3GONV{@=f3X^@ͷcYEs g! FG,zp(.5ɬ!j+cwoOlcL-/gW.ij`fYV$/ύ}12(-}#f cڍvS|jԾ;к5N桶Rr}pwES3Q;vfC}XԱɚ{MP<&U<5R? 0uȌJo >tKA m0$Ch+0cyp"ey>[RX ' 4aI;4] @HRQ8/@F7tH (Hh"OEF`Uh]Uq\w*WRU3ЎU q3lຏͯ\{a^i_{[tAtbdV: i ށ4B3=! jݳʝ~waI9drVWA$=l8Ci*CKэX&#>EAɶ nds*iI;n I5vP]%4,8h#,|oI@86rx`z^c ITiE3JDxъ)Ye,<Ӹ(Qiwn=p nԩ Us8,EUtIޒQ^qeLkK35msll 1ɨ2Ȏq ^A% Ӊe!!cCZc h D@(j 9˲y[>q A^>|ø~NWA2[c 6W M^9KSݪyS~)J6cnu:q«.Yͺ:0xlf屙"}]ʠXӟ!}!n;oŚWl]7s\cOR'eܛqOvX]D4ö4}rU\ɒT\e-5c5A4[zo1޸WM)>ϑGЮP}Y&VSqR%ӣ2=\JS':tfu}S{oU~US{َxVpJsJ;PE[I8bgU*,%_%`$`-}gf{<~2*!u֟Ng<ܞV.ϴM l x,fj5 _f2!ճ>dǹW)xh sbw6My6Q \>ق Smӹev,sBZ0G:ddڥtI|뜫-Ü՚s+n.rQ>Mfk{|Q%I:,8 @i %.:RZsvVRS5M.R=9I2mc8NT ȭ&BG3x>@`gXQY\ ۼ 0`)QČ$[+qcIMgux2݊}T=RP*_R[4.%GDyCu1RM,7~9:#=:N|_xũYS?/E.yv Yf=Ccu_ ΅K體xv % oTC^8aV@|8jKii%ZSZ|m}tXz"/Q+5jeBn4hޗ\jEUke* 9ƈskLT*l#H.NLvEoq&b_/%?\׹'Ťj,SuL>zmp$6k~_ !5m"]>˨zyՖʱ 71Y)c/7/sٵ3 !:Hww` fI|g}F/' gxW/K(W)4?):V E 49c:K]0ġP72N痢kr^s法CG7u-g[z"Ct&&7N >,ZHKX endstream endobj 5707 0 obj << /Annots 5709 0 R /BleedBox [0 0 612 792] /Contents [5718 0 R 5714 0 R 5715 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31065 5716 0 R >> >> /Type /Page >> endobj 5708 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5709 0 obj [5708 0 R 5710 0 R 5711 0 R 5712 0 R 5713 0 R 5717 0 R] endobj 5710 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_probe) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 187.2012 608.2] /Subtype /Link /Type /Annot >> endobj 5711 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 581 174.7052 592] /Subtype /Link /Type /Annot >> endobj 5712 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 159.8332 575.8] /Subtype /Link /Type /Annot >> endobj 5713 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 177.2792 559.6] /Subtype /Link /Type /Annot >> endobj 5714 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5715 0 obj << /Length 19 >> stream q /Iabc31065 Do Q endstream endobj 5716 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31066 20690 0 R /Gabc31067 20697 0 R >> /Font << /Fabc31068 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n Yӡ~1OEҤYU.p' J endstream endobj 5717 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=451) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5718 0 obj << /Filter /FlateDecode /Length 1589 >> stream xڭXIkd7W< ^&4B;`_*-=3^Iv-Oh-'jJY>SQ/pֿ?-SC6wjm:X#Z'> 0ޛJ.ǝnhX.3?X"?x銾|¤Sp){Gky3h޷NxJ>Ϙ;Yyx!mk FtkY<;YCN'0 S(;Y3lάqJ:$VOl,b:6U [rwm w/w ؆1QBFVBȾzִ59}DұtY>Oh&㯬!A6 !-N JFnQ{}~E_>eiN:O~H}!BZ _ q#p7pCf?Sf`%jZ0!/AS B(>J!&'? QPR! sѸ\ZŚ˄'S"mn=If_N,&B; wQlCM-.S/+=*w9'die(K4-PoW'5QcK'L)v VGG^j4DE/ց`JiW+)kH^OŚ]ߣP9MP3wQ,iEZmlK%'㳎%jhB_t1X=a]l-1 C'ቁ=]1,oj\[5Fyu ˮtWhL{ y@ihx3&tTLF/(AK|X 3 9pH1۫(\N;e5l1'_/o(Yi~GopIm23h7HQZQ7p ^t&{ c?h>7YEڶ -Zz;RnYRiRFtR$)Oi\TTdZgmcgpq+ꖵ9q%ѯGJ/׻9 UQ^d&®.I'e{β++G,Ƌj(ޡó^bCwi ytXS'lܦuOKYTR#{J#v@prf{J{3 Z&xŲ"ă~Qe] ICwĴ,mFɯD>Ύ/5ʆc_Qh]M]$q!.sܺ]yYIsh*Lq6JZ%HC "l7T6|pf_j7 ͕Dkit[ uAg`]\wX %7.c~?I.WXF$ƷSױIpqv` <;..ˡ[瞕?lSC{3=[ߍkB펩}.?I`8aw4i,dkHj-߉aG2 T?s=~\)G8|WMDqщ]O5QId endstream endobj 5719 0 obj << /Annots 5721 0 R /BleedBox [0 0 612 792] /Contents [5727 0 R 5723 0 R 5724 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31084 5725 0 R >> >> /Type /Page >> endobj 5720 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5721 0 obj [5720 0 R 5722 0 R 5726 0 R] endobj 5722 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 5723 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5724 0 obj << /Length 19 >> stream q /Iabc31084 Do Q endstream endobj 5725 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31085 20690 0 R /Gabc31086 20697 0 R >> /Font << /Fabc31087 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 5726 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=452) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5727 0 obj << /Filter /FlateDecode /Length 3818 >> stream xڭɎ[_}CрovMOmC,HުkzbN-_gbп?POˏװ8|}^!e|z]3o}_Ojb]wq/dZO+vr˗%0XyxT md_Rnl0]s' մĪm>-  |Z/'塿TcX6X;<-q4!Ny?qnu(Zr'?7]CH!k mZxcL( LPLN 1g%zWc^" ^a2olrD0u t iSe^Fcl<ܣ >оf's\q\xdS>㗓ڔĂl0,P1 x~8z,qFgNnNGm8u~#uܧ#u:75OMi ٠q:6?'V @OfpY:C = Mx6@[:Qj;`pehz 8k$ ,[~$e@nDk޽su,G)SExXV$C<2dnk-CnT{<F@,((8H a_&`_Yh甒:^AG"!T=.;ͪ%3)Ƣq|@t w=̐UF5Os @[#Hcֺ~A;t #g<]FΥ><׽U-5qL$Ȫ+g8k07[kCڦZuVlIXi%ܠ%>KXF գwK2p0,lr% V>`]hU]q^:+trW3X }P؊p;#݋ڑfS}͚7V칻BbJk $Dzԩm)7e+Vnžec}sW| 'x0gN3'5ss:}ՃGI׵+goNYa yq3젬کj@uXH  KHшi҅ ٰ ^NrB gBk@bW3oU۲kpg}LDw}5&bT#f9"ңU;SE/GzRR*44x]7Ow7cM1Ζ}:l #o蓯`'j%/ 󋡈D*I%Х0KnQ4&Bs8mmܸu5 w +lN!I I?ߐ^hg#y4;CTf {ERC'11k'T|1 a[ayCQ,$wш3 $; s~Mta10 o:E+`z^R{޼>ܻt40,RA? bl؛]f=Yec|N͐(sj#m{ (6@e(Ynm0'IRn`%۶DfLHnUI[ҘD۝XA:ңлU2hyTJhiI:wC{5ҽƩaTJL״~|y>i)'I!-ATI;+^[KGC>%s8??Vdơߞ+oME sz p2qs eJt, K6d:Le|W*SKWZb)m/> H>u>ZwK\څy*IܐXB2(ug;)X=ȞƪnJѠyfV[uZS}fJ7X]Mj{-=JsUGHT梓Սr:_겐QH#?!Y,W,k&pqqRg.^\+4k՘jS)CKVsRs\O^E귮b& p9d|ee;j: Zfحum՞ag+ՖYf]uzQzF1Ag|(%uDTx6#R[/B 6y\Jt0i1lޅgv~Y l܀ 0{Prr:dVpFY_&+U2C5!d IzʾGM'.PWɛ=-u~8݆|T=Rߠ|SU-*3{G.K`J7ɚ?S볲/dй5B(ȗmjC|W=b%(q2D52'!#J- _[h/*ji)6. fj|&N/~Ѯ3qo&yb;&I:_k); /ƃlW|Bze4] X~I]I1gY Oe #y*u,1/M[s#b |OCP@8~O b2뱂/P'>dW僸M< c j95}iz9NvyqJfm7K-2.z`$}K-V8" endstream endobj 5728 0 obj << /Annots 5730 0 R /BleedBox [0 0 612 792] /Contents [5736 0 R 5732 0 R 5733 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31103 5734 0 R >> >> /Type /Page >> endobj 5729 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5730 0 obj [5729 0 R 5731 0 R 5735 0 R] endobj 5731 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 187.6852 608.2] /Subtype /Link /Type /Annot >> endobj 5732 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5733 0 obj << /Length 19 >> stream q /Iabc31103 Do Q endstream endobj 5734 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31104 20690 0 R /Gabc31105 20697 0 R >> /Font << /Fabc31106 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7QPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 5735 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=453) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5736 0 obj << /Filter /FlateDecode /Length 1494 >> stream xڭXIkd7W< ^Mh!'v`|!3FT*ժWeU;m;TO/zNQWG(˿_:G휾c>/ JX|ֿ s™>c>@泍%z+pa |¡S?p){壵˹iut}93T T|~S up"Y .C~6zSvhO&(D1O")Q4'|ںv%{ښ)Dz̯_3I@D>5?eoX[7K7~7ȴeNYgGv- EJ[4`/]x~&?<@ɇ5(oWA iJ'_?近Ғgo)61$bMʮS xCL4"ҔmdY"|ѴUMQWOU㡉ysepJ($@7V: K z+Ƃ][||#^BeWHL{(Ӑ>Ϙk-7NlOn"-"`l0OΙ4CdW y#-K8YWȗـ/uT[evw5/qy{N? LɛX$Rj=n|;Q7[k2d1ńݛFど-fU\ֶ(Ķ0O]ՂYH R*KsEbR>Ӹ܋TTDZGn-K uLO^9 TI99I}aS `]7/16K%_wǚCgB%Է- wGM] %u*AmzvhZu@|Lu+xbKy 02i+}gnUPV-F]3v^@b>.cDLkh{|gC/5_Qh]L|o]]v ]G. YIkMq]lV/ˋB)Ii@)>m(#|T˓UӢێ%,8WEZT*GZ;ޞ&QtcW\4uwރZ@l\lKwoms,\ ^-"gL]"NǴ' JF 0W-ZO{81YFƘϾ+IB톩,1R7tufsۋmX^nUpoj}O> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31122 5743 0 R >> >> /Type /Page >> endobj 5738 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5739 0 obj [5738 0 R 5740 0 R 5744 0 R] endobj 5740 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [90 415.825 146.6555 426.825] /Subtype /Link /Type /Annot >> endobj 5741 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5742 0 obj << /Length 19 >> stream q /Iabc31122 Do Q endstream endobj 5743 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31123 20690 0 R /Gabc31124 20697 0 R >> /Font << /Fabc31125 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM7 endstream endobj 5744 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=454) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5745 0 obj << /Filter /FlateDecode /Length 3709 >> stream xڭnί4{x@ `_b_=HzdUzXmы?+>b6˗Ż%iR-F/Sхh/r~Y·.>ŦQ6Ì=r{s rgcY|++ĥfy^rESe/t(rOJ*m9߄Y0+-cv3lJeTMt_#0P?LSJkaY"Cpw&2 c6gx-\{qpa (EՄզ0A;O XК"rF) k|_"mZRyA&jf~9#]'Ns\;[f=$SV` hME]9NT4Pf+Dৃv@o\.Ign%V׹"{p${`NTL pJ'i{ ;' 5GށC~Xw=hOQ tVmz ġ oi^pqR["n]rRK?Cs-*5酢sm^+# ΃2I0GU:RjFf,א,2Ԫi)Nl@[:n/ эV04B6%d1$3GoYӑ+0YojC'.X~gB6ƃ*>2L,;~GdCLo"{RyjJ y`{Vh%r3˚!ƈX)2-*eQ>#A XɡVn3+#ƻ#&Tᇾ XQ{7 |vٲbG(GOA{aU)2l7VulUjgxomk~,tD:9or^p .FVj!EKHE=1m-g2- KIJ:/F7%I;FP`"-o @y3ou_HJp4V:^@"峎1oLSM-!L'☥34Z3Bz8(B&S׆vx+.ҁ4U*ڐCR{w[bHYWY(q6TSg"Aaep(qll!b+ 2`.'eDnB/lz ]QNl;5&uEEk}} Ì=w'$KYM2$<@-nmlVu u]EiS{mc*e 3eYXVv&pkc] ql!P~D:`ň~/ w@޸g%DYQ"GTRgc=dq^XsQNQB %$2`Q ,R3g>VaU均oR{KQ*0^C LSf~" r~jkuJMq>g| %Ni}K*ݩ-&H Sy!RNjA\IGejz#7 $Xw. ')P-{_EۊFb#o+x ]^`XXdal~l'döжv֊Gi6 >4c\#i9JHfĥjTQۦ+!- HtYk.]5ͺլEЯ}s+@U'[J XTXh.sf ++4q{]Z(ݖS),=Pgظ+yB4F?>VuR3z]7-~aȲW*mX{@KǗ W<9B;-3` i5w]RS F3ЮAZҌ-vS)ЉR]bmx+z"4|OJ61q儻cm ^p&k n^;fe*1& 0 P eŻ<ؿed~T:2ztkEBEz 5SoH lkM<ő1s@=.T-fӹ_K4%<6IvV9N^{xCa&đ2!4q%)!ha "㭤Ӹ+9vզZrotKڀʕpBwjߊxՖ{k `0Yaj7Z+vʡn8ol.g)Eg{5lg/g=(pwȠV) ~41һ'[v^c.OTFti>sZz;ܻ[jr,`cѺl}_&'cM;$+5()'EgbuBt:x- ŔzNRjS3ڳsu,{ni:كc7:²/G,/o1foR55sCJxa`Aq%Mp>Y:hCqBr\fѻKH6r ^NuW#55%J@)*hQ@{J`u:딋=͔'Ŗ(5.^:Q UXG䮕cKcFt[ė֚!&~Drk8rlM%)" sL`*,y7-YWf! \l P1C;FH0O F[!E&uzҾG .PW7{:-q~Z98݆|T=W U5*cЌ7IlZ& "2^ڧy&V/#~0tpO(!hd}_ +.]KU9~Xj_ʟlVkJTLW(_p-TgnBe_ĘKKT|^8b&z&Cw-6fƺSG<9#ٽ+Zl wp[eco祘J&XVChXxnryїJ*_ &u^*QomdDħMC4\ YhbyОJk۟@W7w?Ct=1uw|[ڛymRSo R̷RۥWk9օ銿tʜeowьwk7 BfBZ9cԣtOEK ~OB[z:\~"d< *C)h?ĭw[~dV|T廭D"W]ovݘq rΔxĢsa 9b>^n(E ?i endstream endobj 5746 0 obj << /Annots 5748 0 R /BleedBox [0 0 612 792] /Contents [5755 0 R 5751 0 R 5752 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31141 5753 0 R >> >> /Type /Page >> endobj 5747 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5748 0 obj [5747 0 R 5749 0 R 5750 0 R 5754 0 R] endobj 5749 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 181.9322 608.2] /Subtype /Link /Type /Annot >> endobj 5750 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 581 159.6022 592] /Subtype /Link /Type /Annot >> endobj 5751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5752 0 obj << /Length 19 >> stream q /Iabc31141 Do Q endstream endobj 5753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31142 20690 0 R /Gabc31143 20697 0 R >> /Font << /Fabc31144 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 5754 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=455) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5755 0 obj << /Filter /FlateDecode /Length 1517 >> stream xڭXKk$7WZ`<36 r8ϧR?$kbVWSVYN[N}(g=xN,K/_?vNՐA;kj.!󝵾Zƈ x??C{SCE_~1A'zǝv|Dz|Q;=HYo-./w,S2˕ژM yD#S}+/wUϽMOYէCmVZIjP%D7?^_;vӑF̳'<{x)dO^yMec#{B8RcMACM.V 7##o~WśW\worqzKB$*._UiJI֝\F؇ezXkٶeU8&kl·5g7fDWFO~BSJKԆXM!y!y=kRvr΢rL5pHPFv1,Bgi^ζڇlKq:)ꢝ7 }xxb tX2F;nH7C+N&#{Pob,D޴\\gյƳ]ٮ1lm3M,Qsam̘kб00=v9X T3 9tHtB 66% 'kJedlv'p\/(Y~ 86p;ɛXR}1\Fm5D)& $&l O-ƃSk*XmYmOt]YH銺 MW-Oe;HIe[q͹Uj[TkƗu[Yd%юvR6rA<4x5__(T~iE@0Vjb,0=R^VBLU/-@kͳcMbG:> v?5:(RS%YGg nM{a&F<Xr~E?^seѱ¢|,FbY2OӚ eCc-fg2MܥeHJSVilv/K,ɛqt-YU>7]wkiIo?i{_qg^O^`c5R /Z;ҘϷ}K[me܌cez}@[1h=#ҧ9JMq08:) ;.!d{to灌ߣa="'n?IBo0v8w}rլm ;J>H܂k:<͍w@/QkhNFxϱ!{8X#MqCt静Ze75 endstream endobj 5756 0 obj << /Annots 5758 0 R /BleedBox [0 0 612 792] /Contents [5765 0 R 5761 0 R 5762 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31160 5763 0 R >> >> /Type /Page >> endobj 5757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5758 0 obj [5757 0 R 5759 0 R 5760 0 R 5764 0 R] endobj 5759 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 415.825 124.1605 426.825] /Subtype /Link /Type /Annot >> endobj 5760 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 415.825 162.6715 426.825] /Subtype /Link /Type /Annot >> endobj 5761 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5762 0 obj << /Length 19 >> stream q /Iabc31160 Do Q endstream endobj 5763 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31161 20690 0 R /Gabc31162 20697 0 R >> /Font << /Fabc31163 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ Dӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ_ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١POe P/ڢ_Ћm߽?; endstream endobj 5764 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=456) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5765 0 obj << /Filter /FlateDecode /Length 4620 >> stream xڵ=.x=57m)hH? p<2Dˣ/`}~]U--X6q_~\7 zf{ِ0@< I rA<A? }9+%$a(+Ce$qYT^`ҟH|8,BdOX6.D9iդk=0WbNV5=juY%P a[8@1&n.غ"9<0^wϥҿM'IxF} I ipc=o?^Ðd?p||U~uuZu p.8剃Q>hYi;*uTԑ <ؓTL8E+,Y<"&|މb:|BYZڵ% aQjuXwrm ;j4/xy_!rnh\t휻VTršqm8gm47<E^m8.k,iQziNcid'}6*%萮H#/1'|K k@FFmyAg|WhZ x@䳐ϋ/F7|&'{>24D^l(@9yo0M}%@&ߩVHv$,$yA2lz*I h ީ{ Igh<f_&XD7/XOnrb٨Ԛ旖\{RrYK|7TY,!fep[+u4deB0`mVz!haMq4*&8}'U PV+% c31lz^o;${a$պQI({GNe24xpJ|gJrq6) wUIOH$[5~Wn-쿫m:i?a#UqL\FܓJ5@;qk𺇼#u'EäVGR/?FjuF :Z}L eWmrݘ ϠkT^s&Ti*Pl(-Le04`u`S! cɽ/[r=;@V~DCvRCF܌, !.X;;ptWӭA}&(I yO=@y!p:dZ0'eI1T g601Jfa2%FI`rh 9B6d` N`~q)50% 9wehq>|g1/*Nn iI)7 1 fj:Cf^ykhP̅:A,_F`-ʇَGkɫCj7b=e'#F OkKaKΗ24^E@H oaayJ.8 j Pr>5"s-)|(. QC$%͢$%2oJ#E$\QD <*q=ہ2$TH^C5&>{ |M;rT5sn' d ZkN5E$YDٜfGzhjäht"Cmm5aTne :}JPU9D% ~S붧iMDGJ=2T4PܜR\8XHʐ.gᆬ~amDe;*28Bf~!g0SS.`һ` ?y o$RhC]5\Eyod/Dose  P4+3 |HX> |Z<6,|(;8Ɋ Nڝkz k6ڙ6#m~2} lWT$fokJ\[A֯23REZumJT5Z{rS^(;vi'udps =,UK?0l,:1Uy7ԡUZ #9U!+tKx4l'GD(^5C;"J&%uX_i>'g\<7䄦O-RaPXz.YþGExDǨ@=o# nu۪EY-ȝ+KdJ bgIYT&e!wlTMD1Q0Q[`D ۑàP3<> pG+GΏ,rT)F\[#!0ؿ'[) -Ҝ-ݧiXmjT;r;p̺QMʖ2ei)P7Pq"biΡ:}$uC-  Te/Qc1R,&qd5_g{s`Nuf- fn\ Z `SE&4 u6'(*0A؁O󅎛IFzgr-t"0vH ^klX>I(n "0>x+=<-{L [ȣZPbj~¸2~'ÅAkɭFr/(GdiRkq+Fh"8$ #j!oݴ?trX MaT-eax획Uf% A51<_*Ɠ엦cq^pLό-9dG;@<9lJx#&ฃm3JZM1 ኌg_+.[I6ZM-&/c#{|oʀxb l⃑slf tV:lyaصtIe:b܍|B^_~J7؂uuQk:P ,]tCNi_Q\T)s+HO< pkSm!# 4uA3]ܾHܥxH.)3Fkc _U`Sj雔x|.ӎRIFWTCZ8azA|SM䇔\rK恌VS[|}tXz"Qj(uZ eB j>\Ee* +hn5qAv2l7ڛAQÐ-Ѩ3<5Y;Ia4 ܍s* .rox%ЭuI1bоӔ6űvKiq]v< O;Ei|bW,I.ucxI盄g>/r_}>"yU* ԛ|Y)O0{2FZ쭝4 '5pl.ýO~ӯrSkAj{yNߝwZ8\I%|_ʦ\O0Dz 9+?^D endstream endobj 5766 0 obj << /Annots 5768 0 R /BleedBox [0 0 612 792] /Contents [5778 0 R 5774 0 R 5775 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31179 5776 0 R >> >> /Type /Page >> endobj 5767 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5768 0 obj [5767 0 R 5769 0 R 5770 0 R 5771 0 R 5772 0 R 5773 0 R 5777 0 R] endobj 5769 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20210608081201-08'00') /Rect [104.1732 450.9423 169.3812 461.9423] /Subtype /Link /Type /Annot >> endobj 5770 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 434.7423 180.3482 445.7423] /Subtype /Link /Type /Annot >> endobj 5771 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 418.5423 144.0317 429.5423] /Subtype /Link /Type /Annot >> endobj 5772 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 402.3422 138.1357 413.3422] /Subtype /Link /Type /Annot >> endobj 5773 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (reset_target) /M (D:20210608081201-08'00') /Rect [104.1732 386.1422 161.9177 397.1422] /Subtype /Link /Type /Annot >> endobj 5774 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5775 0 obj << /Length 19 >> stream q /Iabc31179 Do Q endstream endobj 5776 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31180 20690 0 R /Gabc31181 20697 0 R >> /Font << /Fabc31182 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 5777 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=457) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5778 0 obj << /Filter /FlateDecode /Length 2427 >> stream xڭYɊ#Sy@#$|kSc ݗy}YR=Y2_3zśo9,}m:?֜yφ<[sɼ:={kox2͝˿)L(ٗ` ?Zo]|n̊q H=pOOS>ey6\jcNτX2VXcp!T ߘ ֳ7P~کm(2xDirjqCYڔ'SAFu/EvD2K(@B(kV!ʀ?BDCEnJ]V#$|9-&,zV\x:M E6WyH<-₏&aa `pAH(m;RgWeLw *3͏͇CGٺtbH;aީ|Lts 9/WxN]/:*N~Ug]HkKٺ߸3} My|ƹAC2&2PMԺ:(Y2/i)C/PBz\cEB.Ys M5A-Aj\ `S#pK 0yhY|yaqdцq;д51.H 62~Zx܆J6CD[7}MU ԴUÊd["y~p4딽YS2<>`inYaW6rKs`z o-F;^BL$i~$fj  i(y`;.vW5SSGaBM=)?RŨ P,,m%F>M0'i<Ӕ}D.91ۥ2AQcV<~=XP qYmXBڮ1 ᪸وJW65FQ1VQS9i"BA_KL^9jw42M!}D=|.+(2U;P#B_~5޼|Ì7gqk/'=?F@AK_I6%1e DQԳR+#2ə< " q+}-d΃Ost 2>\ΚP5=$k~JahDxԱ4P%Cv kt~е:e-:>)$f ۻm+%n9,?k&%,(Bg8J&JO r d '.>y9L-UKM.^UR^$w ͍4LiMkwX!['{u CΛihc|jOqGAF(tvtHor4\C0NXLnW({$J{I`vlkHh# gvz ,cA䤃iݼ CfXB=@E4$gK%)7g ̫)//Hw!,n#BaVF I4m+ŖO)7|!~o~xeڧJ]E| vC>̘fGdxˇ0-"632IS[xnԇ kBtqΆz-״(Yw# =08eڀ@;xjRa L7H)R w`QsS/*}A]NSm8N}nt]V˳E=)igY괵TGX4ZꞷuTؓğɼNV1qhsNN_XT @C8XY~Ṯ l^l <>]28RJQxh ׺ڜdqL^ض)UGaXۈM*%̃,)^ F"W%+? 3 *P D鵟CސDLSFWT^YP^4B };;1 AO7e^vVҪ0)*TҰSSOwJO >7U5o~L W@^ ]SsԮHWtIu ]"A%~ sv9p1s%7YzhZX=t-xцLc}۬NgLJcsOދc!JiSZ+iF.B EoϷ g&|i}{e* YZI:8!8!o>1T0,={ً "xY0ejmQ Uy=l m(N ȆePvcsm7"+]fɑ < endstream endobj 5779 0 obj << /Annots 5781 0 R /BleedBox [0 0 612 792] /Contents [5787 0 R 5783 0 R 5784 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31198 5785 0 R >> >> /Type /Page >> endobj 5780 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5781 0 obj [5780 0 R 5782 0 R 5786 0 R] endobj 5782 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 439.225 112.8525 450.225] /Subtype /Link /Type /Annot >> endobj 5783 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5784 0 obj << /Length 19 >> stream q /Iabc31198 Do Q endstream endobj 5785 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31199 20690 0 R /Gabc31200 20697 0 R >> /Font << /Fabc31201 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 5786 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=458) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5787 0 obj << /Filter /FlateDecode /Length 3552 >> stream xڭn,ί賁p_Af!%v`H޻S^fkus+kcUo6ITuuf ~4~M]d8%:Akj뺯 QmƶVF[kh_}K=4}yVh l)h?=5I/dhҋv%x[S~QYa}i& K))%P N@#A9 Z[w7PNp[>*cE*<T!R+rL@d#˂(K~0 S>=߅K+\6Kl?ӯ?T ^%]RI]|4OKBo`@ھrW觝60^%j^8q[|Pk"5݂])]u9>ye1!Yt! 2 ??:12#BZ qtóuż15uꕭ#*nq$v\B:=v;gw{(j@i@|1m26)>b@g:d8h@aI='-j 8w05 WPBՂو`62(s“o)1̖D}R{cN.jul卨K,幯OҞ0N޿E͖nϑ ۵{j-|,B"x(lDr,ҾvB٫w~ܖvfCcɱGq2Ƞӈc10Ɔ2 ; Z:=A 3]t4VqEnvd+)ott.yе8 ^ɱ!?6hN<`"OMEf< Le课 XWf mRbqQ+%!`I/h?ԫPꃺLt W=LAݬ*$*pZRժrv[<le=I6k~W n-l@G~q0̑Cρ~M\D܃J5@;q𺅼!u'둇mmz؛D,@o ajlu&|K^FB6N@m`z[:­.vFvYзh!- &XHC!Qm(T"TGhsB!O!F nO']scNe!9tS F.3ZgU~ӣr0uB_sI`ܚT3G$C'/DO,#$ <GK|A  庼WIM4/(.ZX^NJ9 VG!4T(Ш!0&nމ;d'6\J|DB8S2?Eಜ9 k 89w1ƒJBL C0)~vb\taTwj$ڕJ) v&{NS\V]I`$7oeW椹x{\WGujB`j2^ec~6=[G51f 0hn>I} gqGfDc*\j6wd}r`4Π-QW]yX 8VI#z~X5Դl2nm}yex`,3>XܪMp{o- :U~NgUkѵ[ݣ6uU@mOil׼B47 b(zc4;޸8`\?ivvp,PB-q(埿c~S$˃9=cM7)/#k4$&xfPWg,ƺ͹0'\(Ϙ&d g@hTkUcHvNmD#7@чxuRPoG,,n.t7!:e$=RrΘRsazbJ``_TЊ@% îM=%\1ލ|"?}y]3e)7a;W aX; Z5aEGqIxP vmvlm0`7PV/~j2uK Wᘜ/y!M3&pp0@ ayP2' RQ,Yٹ_]yPNInSqe8Gx6ڠo$(.m aDf}ٳ2eBB$f00Iaw=ęV.g!`ILgO3<_3'x $oH9z5XldLaVێ! C""<> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31217 5794 0 R >> >> /Type /Page >> endobj 5789 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5790 0 obj [5789 0 R 5791 0 R 5795 0 R] endobj 5791 0 obj << /A << /D (unique_744) /S /GoTo >> /Border [0 0 0] /Contents (create_macro) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 169.0347 686.7] /Subtype /Link /Type /Annot >> endobj 5792 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5793 0 obj << /Length 19 >> stream q /Iabc31217 Do Q endstream endobj 5794 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31218 20690 0 R /Gabc31219 20697 0 R >> /Font << /Fabc31220 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM endstream endobj 5795 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=459) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5796 0 obj << /Filter /FlateDecode /Length 1152 >> stream xڭWKkl7 Wh]8! d&ɢ@2)$QYI&7cK?e[^o]i^`u9y[E \B 1Vn-!HDp(Zrt%DuuutXw"|9ggE 'Ϳz]m}Ey@ehx3& w楯40v9ڱ8W:[l!c7tb5\I˴6[ i%d^ 7nWocL1xSk-?)kZc h*lGUڬY께ّ;%tTyNء$%<3Z}fukQG֒'>r4`QI',de]50L.՜eaxq*8TPOZ4/ægLcuʱ`>ҫvJ.#[Nw\(2OpvԨ?R-W#?PKJ0t9o~6$1P&~ޘx> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31236 5803 0 R >> >> /Type /Page >> endobj 5798 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5799 0 obj [5798 0 R 5800 0 R 5804 0 R] endobj 5800 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [90 415.825 131.8275 426.825] /Subtype /Link /Type /Annot >> endobj 5801 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5802 0 obj << /Length 19 >> stream q /Iabc31236 Do Q endstream endobj 5803 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31237 20690 0 R /Gabc31238 20697 0 R >> /Font << /Fabc31239 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}@ endstream endobj 5804 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=460) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5805 0 obj << /Filter /FlateDecode /Length 5097 >> stream xڽ<Ɏ6w~r4зrЇrnT]'6n˗U~%Am1Vb׷%%?o/~f8-m;}_V.c_Hjdl{|[_;c+^ȡ3bstLK^}E}# 1V|]Uӿe_X2<қv%ZSY~U?i3؅_ʖtրj{x/鉯ЯWD Р "\K@gAʥ͆p_9@[67-@K 3~)BU+^@ 0O}b1.Oc>b̻`},fK Z~̈ Hπ$x=#__j, "O_?^=WhPIаuO )]G Md4i 7 ^ l7oomyHL&zD:~Ml[Yeuf9,BD6!,pՄp@,ic@,Vl/z}z ġ@!l㼞w3ƥ,.Eݺ֥w ͕ʵ-(ͫX5 )pg6ǒpFl>z:"&e8E{XEd@Ul%[jιF+ jg3 x-[|j@ωd$9݂[}-L2)i 9D{-)z~ppKTxGQ^2OPs <-IcNA &`!s ߓw.)TRA>,(Opbؘ3YR ج=Tswd=]HHHVR 2~.M-Vl`^ `Bߙ!w]Up]J!l&ҠnUQЌwAbW$AUM<~@ b; !t*aVg## #owHn ,m~V!ɐ8}Uhs$b]=[)AC;ItX߱䤘o`pFEql@T2dZ>[zڏڏml@mͽ'@*Y~sX NI)oAW+AA$̠d7fyJe`!DjW6u"ZV BVz`6X;_+|NpdIS?qH *)x {=+(uȁ?*Xܭ UEA)SQRI0@(܁VD9u`aˬˌ/ F'BKT]LhcRTo 0u0bIbe5j FE)^m;鰌tS:$.LXۢ5`W,D$ǵ}YP7;^Αk \B˄!=ٳr.PQ(6鉃XHPg[&tc r0\M"t)h"irf|ڢ7bX+jwLȥx)I軚Q5,ߺEo1*ޑ?%K18ڂ{4<|LbV(%쬪,R,?MSX"w @YC7V6L#KFҲ#03,FeOdB%&1Cc,+3G#lųc$oQ]#0,Î諸%HXbGP6ѥ)$Kg:n fzؠ5o1ZL^{WCj ΌwN$)Wǹ/)5،&ʒAgh]%b0Eu_%r"oT@BE.6F.0a %RҖ?҄j= o6. ŀM0;:~Q7`ŋy*F`xЩ~q礀5 I,K:~E.e|q.X *Ģb6 2Z<̂!TĂJ=`T}?>3,T (Z8+pv㍀JB CL) MPx!2-gbapJ iox8wGzݪِJn3PjG: L&tli1RxTyk+QIFrk$Fd3Ô gbǢf)[[OKA4b`s(|y M |{Co9t +qONm5w]5u8Hؐ $b~Ed.;)}Kⓥ_+" ZAk .aP Wcj%SPmσj+)c ;^Xu%wU=!ע^3eJjrX6D+'Q̲;qv\F]=!aZz>m삡k--~G-U<6ϋJmGͥɌ{YQ9) ޤ3 nI<w!TZRI䝂0MD뾍Ӣ*,7&=ÊvJ&.cz)M^u5ɹOY8LgΔQ>_O~SrVB$Wtۊ׬ֻ/(0iIufôn>,1-U5A9䜗!,_ )i.ySY4,}G`9sLR?/V1aot2s51$78 U B-"j(0誻N1/WږhH*3eR3t/NU?/|qkT0XlmTٓqIjbx*T<13?l%#ZLvHrZE:ΕC;`86u\~ y~,! d'Y$nޒmA܌l+u=5LVxYQpaܝ96@a5wFV:lJe6\\1_n|>!/?<U3_r?Z1<t:P#ӵ_9EMGq4]7?vj챎ăQI~uPar%ee^ /+3eA}lv$Ԧ 9Q,œݞgHJY` j_VC ߮G |b![@\x k6tC[lxdVp*uenよ[>QJvbJr @.+%xM2_f}FF!cLRЩ2\(_i- 14˽"`=ћKC*2lV8X /c/>\[_ syXv J235^Rmپ/rI-mkgX'2xuVǬ5TV:@c˥Tm\b+YZK(΍o8b\P}, ~6.ۍf|PbHaxTדqg1gy t}=z$YxeuG)RWI+d~F?<![G\V3/ Ҟ`_>~8tF E~8ß1y:VX? <÷ؿr@~y>-gY"YHF@ oaW endstream endobj 5806 0 obj << /Annots 5808 0 R /BleedBox [0 0 612 792] /Contents [5815 0 R 5811 0 R 5812 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31255 5813 0 R >> >> /Type /Page >> endobj 5807 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5808 0 obj [5807 0 R 5809 0 R 5810 0 R 5814 0 R] endobj 5809 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 500.1346 201.6332 511.1346] /Subtype /Link /Type /Annot >> endobj 5810 0 obj << /A << /D (unique_455) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20210608081201-08'00') /Rect [104.1732 483.9346 191.7112 494.9346] /Subtype /Link /Type /Annot >> endobj 5811 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5812 0 obj << /Length 19 >> stream q /Iabc31255 Do Q endstream endobj 5813 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31256 20690 0 R /Gabc31257 20697 0 R >> /Font << /Fabc31258 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 5814 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=461) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5815 0 obj << /Filter /FlateDecode /Length 2192 >> stream xڭYIk$篈@c_@T`v12["#TG"by%eg,~4WITGS_8k!cfZ׎%+^,^Y_h~1+XoԀօfGzOUA]u8k_M:o%z,c9^L(leڠ ႈAP6:!WEjwAw*ݚ^ Gs ?VkTvNv\vq-2McCJ`څǍAH-@t59Zҝ%֞6^,# rӦfu;z]|1ڃfkV1pkG D#/:9AtT1Nk7*Ksq}gALnԿ/рafF$!.;}d9f'7b+Y6Cp/FYgtVWc95ւװv"#˃a|=3C`<.ȞYJ@ˍ=gտ'EvNq6sP:=tѯV_[2ɹGI]MHH`:tTRMBGdN9&rH#zEdTEˢ2](Iƻ3,93ꁓ=͔R}aʸj۝2m n η|(#o1GvcrGc2$ ObMbsκ$,oN^knn8h[ck{.SPV OH0FeHѤkkSXRt+[SpTQd}C(A69z_۬kxYn)(jv^K"< wj "`{_)w̭OWc!2or9#\6ed>Qɾf*QϷRoٿlP3T0eԧ4ښI~po[++} !\BMTUɼ/͎p?+WPg`A6dp+0U!?dJ4NQR fs_eOC:6Hg5< Nscj5jvM5[^I􎞮g!qinnׇyš(W$ȥ/<1+CSՐPti Admp_6"9 ?[*I6ey0/(|ATMa6e݆zai͐DݡZly-S>#o~xe'ڗJ]E..QN}1͜vİC_"``bD-Kd9$<724vt6 na'\_̿=G2W o/ љ/]&f~,{eG4^ޡkR=#qGզ-Cq̤U\SmA8,cf t]V˳EB=)igY겷TgX2[-o=38qO떩;Ʊ'߅_?y9f3b眜:.A8XY P0YU<.TR4:Fk[NtP28IT/lzQ0C|lQ) |fImn04O*e8`22I{\V.r~?,/~O4C2vuW|1P(;~)p1OD$q^6) z&;rXIj¤8۶r6RIZJDNqO#A)>vG.能 t.Ҁ:+QME}ރ$)S5uwhR/9Ǥb6fn I@%Y~,8tFBΘ">{ϣMJF͎ ` +<; ?Zt=ybOW0O+`+ -zswr@;˽$kqS"+V:q.> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31274 5823 0 R >> >> /Type /Page >> endobj 5817 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5818 0 obj [5817 0 R 5819 0 R 5820 0 R 5824 0 R] endobj 5819 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [90 425.025 135.562 436.025] /Subtype /Link /Type /Annot >> endobj 5820 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [140.875 425.025 163.7275 436.025] /Subtype /Link /Type /Annot >> endobj 5821 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5822 0 obj << /Length 19 >> stream q /Iabc31274 Do Q endstream endobj 5823 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31275 20690 0 R /Gabc31276 20697 0 R >> /Font << /Fabc31277 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nbЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM$D endstream endobj 5824 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=462) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5825 0 obj << /Filter /FlateDecode /Length 3838 >> stream xڭn$ί@\,@VmrrQK~?oRK/VǷ|i-;#^yӵuqXe?wMSǓ1?냷Ve[Z՝o܌i %Q?uSk}mӳ~jpdcq?Bښi.d .dxz7ON -ῢlMD=%N Xo{}VH؈=:'<+.q6_8fZƧbʘ9&)v֙&*.9@7ynL8+-ϾRF`Sya)\ 1P o.&`C Y" „kspp qK >U>@9Y3LT CAH9@HVϤeaS㊙=שޫW`JܺW Ce8y6*;e,C= e+C@-UU>CiTmgaBd\D?A6=d>;&\mWQi0iDĮG;G?sx5\A]s6bQ0+{g@nM>2|$& `'\XOd2IQڎC$HgO/ၰ8{@/@^?mޯAUR#cjnG҆#'t=QOW5SH%PXOR>]U-e㚲Έ@qlcS$ o&ԯL*iq4AUF;yT8+{+u?qoTOLD?5gV~x L2j·1֧Mti@o"Ӗ_6t0Nh?r4EѾM"`NX+L诽 7f mRQDryԗ_i%MM䄤Khf6'5vk!JaV#ڭ@B4U,ZV\nF1@-; *l/3Ϙ40,N ZTY9\b`UC4c F{t&ؕxmآ2NWnLSoRl׺S UaN D;6 -HTd<(}n?E7(y}ĖIlbZx2+K*>lan,,nI6?T[ v51ަc8@\9Ru97.Sh4n֐Wd݋1jKjcV{.෥ &3U~A[/u0PGM[A ^829,LvYP( hD]" }{@[`^mDC ٩T‚Wg#:#-oWHkye[Cpd89G?rda~d鄢a? x x!sҞOX\a1|L\;ώgt~ЦM<~=IYƭ&kJNt^3$Nf!u{2d{/@OVA@0LW Rr]Tʼno4F'/'^N{|}!G"^]|.ةak  N|xO`vpxDpyJTWwD03~n.@M_ʁX >R/%+r&ÈLFIp,U(دtcV÷Yu!O6 iDǵ'֢YEgU+b7*})ED!'&F Ah妣T\DE-5!w?߶"C}{P4 K*_[bG k33˸ӂHm+tVtţp[ WG0J2ҍ-:.EB-mCg>psVVٸҜ ok_)'‘4 7G8 `j p8^z_Z2j4<07D(^LVⱽ7YDSPWnvZbe9/cU 麾B 7# h! + R3&Ct7ɜiYElGH}aY#Cxԫ6hcT\ЦeQRd,|$3 b#t̲e/I #Q D z(ۂ;< 8rR4o0c$Y϶HlP&؂x#}5;EB.΍nH W9&ha L}W4d4(iMi5RZF]@wnt}6;l%IfG*X8щ lԈy:wVc7GB5 גs2ߚEN{Bx1}L'm+Y?L|_NSq+>MԛUC& ywTuu~G̾ۼF~ߑtea8<]T}Ԥ+z]8ge[[*{jQ!IdF;n6c\ӏq U.o4b\Ge0ZQlZ;ioɌΤ1kғW,|:)Y jkN- -i^+;e8dJ>Wp|fԠ9TdR0wH}E߶Aj,b4nlxI6$^ew5>26S"@ ,pg<Wt09(q6`![<;zkS |,:/vĒɔof?qV scetƻ3oWϜ;+@A Nf;j]Kׁ c1/Wk^v]ݤ:c;*,*0c2ޏ@9)=:8!^Yl2r+OXNu"lrc[CwPal03l^E`r~4Lb+3lfz718,% #E8)"k!޲ : 3ߪF 9c^YcҲ+ؕG[U§z%UGg-`){s%-)⤚xM]_P뜌ě?T:WV E9q_xť;ci\wEJk&L<xJ.0F Z k體x|PeORIfTo + хi=|CJ! 剜VS{|clXF"QELj(uZMB4 K!jvYhfaGsk,T_*lH&Mv3:iEt'DԧeA\|bː֟7.y_p #OᎥ^_va= ġI2 =se>> yY޾OʢæS$ыxa^01垐W^(d=^N{%> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31293 5832 0 R >> >> /Type /Page >> endobj 5827 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5828 0 obj [5827 0 R 5829 0 R 5833 0 R] endobj 5829 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 171.2512 608.2] /Subtype /Link /Type /Annot >> endobj 5830 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5831 0 obj << /Length 19 >> stream q /Iabc31293 Do Q endstream endobj 5832 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31294 20690 0 R /Gabc31295 20697 0 R >> /Font << /Fabc31296 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7&o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ* endstream endobj 5833 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=463) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5834 0 obj << /Filter /FlateDecode /Length 1493 >> stream xڭXIkd7WxLComBC!'Oaѩ/8=H壵˺pt9oT T|zU wp"Y .C6zSvhONE;TyRhWNYavh=&c!YtXbmwϋE]hJi19jr,Kc1I~]??R|=૦S5|?^_^1WȽ?[{,[G=;{<杂@}HMR=XG/5T 1glͩH, _#(,afL5 ШZ0=5v,BIc:$>yj!;6e 'ke6MlQ'](Y~ `86pɛX$RԪ?vZ7n6Bo[kcB>F1RۑWY!IWqafx4Ɯ fAlޅ 3^}""z!KOU%ZH{ͳM] %ur+AmvjZuPLu+xbKyhZI wc&A҄XV6r~E?ݎ. Ic3iM-Q伕l(bZSlH?EŁZO:ˎ4&ױ5`z\^4N9NJq9ᣢGMn}d@WZ/6)&2Tz$F`݉syﰱJ*Eqiɭ98|9*n=c~EΘE~ywJ6F^15` (d Esxwf(ljhFWz뻒Txn# pTivHP-#A'.o:\KDLQ>ۈ5l/ay98c]E1ѩHZyA)G7 endstream endobj 5835 0 obj << /Annots 5837 0 R /BleedBox [0 0 612 792] /Contents [5844 0 R 5840 0 R 5841 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31312 5842 0 R >> >> /Type /Page >> endobj 5836 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5837 0 obj [5836 0 R 5838 0 R 5839 0 R 5843 0 R] endobj 5838 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 439.225 112.8525 450.225] /Subtype /Link /Type /Annot >> endobj 5839 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [118.1655 439.225 163.7275 450.225] /Subtype /Link /Type /Annot >> endobj 5840 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5841 0 obj << /Length 19 >> stream q /Iabc31312 Do Q endstream endobj 5842 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31313 20690 0 R /Gabc31314 20697 0 R >> /Font << /Fabc31315 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪ=A ^|v,9)C ( 'C9PmтGŶ endstream endobj 5843 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=464) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5844 0 obj << /Filter /FlateDecode /Length 2456 >> stream xڭn%ίyp'Г fGFNgC2 ][2!HVkc~VY7tY.Q~^ӽ9hgqk){}jLWzqJ:_bR;܍u×p'*籖qrh#n.eJK38 ;߾6k 4x3ݒտЬ4a-zmg-㶤f*v3 }&J9‰JgjT4B*77S_Jwi&MR^\}7"}o>Rӭ؇&T.#XMzTu+WaSR{W>|Lg~1;F%BW&`R8d`Z#JA/3T9o5ۆ%CT&+)Y y`u<ܿ;YaM`5.1tj\p@9X/JZ&ZÙv^'a<\]J?,H|^ן{AvYZZ?J? R%< ch *xa)#,rDop zC ia<ک mEwPZ!^YrLY?jQ4DpFrr"\|֐S]LBCl$Dn-< وz,XQ5}LїlQLн +;,gy$ՐTUiF Š :!z~"gVx^ ,TH ."j5#I-g%TzhR!DK™Ҕn-u0͇k>Zσlw3-Ì4{ Wo9˃M,{K endstream endobj 5845 0 obj << /Annots 5847 0 R /BleedBox [0 0 612 792] /Contents [5853 0 R 5849 0 R 5850 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31331 5851 0 R >> >> /Type /Page >> endobj 5846 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5847 0 obj [5846 0 R 5848 0 R 5852 0 R] endobj 5848 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [90 439.225 120.844 450.225] /Subtype /Link /Type /Annot >> endobj 5849 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5850 0 obj << /Length 19 >> stream q /Iabc31331 Do Q endstream endobj 5851 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31332 20690 0 R /Gabc31333 20697 0 R >> /Font << /Fabc31334 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5853 0 obj << /Filter /FlateDecode /Length 3763 >> stream xڭ[K$ W@U~ fg6`'YvoTE}H,hoG*v:dU7ukϿ]ߒ-_?iiYƷޮu!Ԯ)Fuߞ'*5} שb{;*??->oB/FEod7KDfgF-fFMkSʖtrZ<<-XB~zRrS͘*#4L0p{߱N q9]QE_> Ā#jF֭ I/4n(,E%fA@Z'RaNܠb(|R#J >Oo h&.k*`׳ 0Kv3B?i})\"?z'ymloRO.GmpV]Qle͸R 0Bs֟N 7\ͧ ryA&;/Ph% >6/Dw>DXωP$tXh7hGF#ZİfTӉZV!~[+֛bNV5kuQ%n(ש?N;o(CQSUu\J3n2i9#K^ wEο3X.wcY4/UMӃhL5?sC"#NMJXX6yփBFM{+p wA悭m_ ͹'2sR~`p, CFDB',wlO=-̏oYPFA?* e * )E\{!XI9AT#>|`h!iDj׫X-A}Ւd@Y22;؅1 a%foIa 81fˏ ϴx@ āJd~C0!bb}G,4qPw k56oT qKv$bq^mǕz*I l ީoT?`N i6X Sׂ@Vޮ@4U.JIayiyε7-5WO& 4G0i18j +.+Z!+#^n mee. MJ)NAU4TUjjډBL^,}n?E7ިy- KYM2%ܩ\bQ\eܻMFHUdUwpo?a#C\?=Tc[=֣PlzdMܢX^7: jBs FW(iU\CJ-B V5+۸ԍ,AVUvv5J뾉CQ];dt2-۲)֎2"yѡR&u}u D젝dˬDAҴe=HBy߆t+v-x1CtZl&MZq^|'L\SorHw}4LyRΗ,?ҋvH]`z:2_0juAʣta4Dfc3^gZۦ`&2'xth!ߙ[ӣ$X֘QŃTg48aS燃:j1_P_B E'CWLC%2Sj'{Y / )6Ӧ>čw9n!ʝeq*jW@p*ЇSi{4EQ1ZhКS_bmAA6-E 6k0.2+cXWFH'udZ, N3sū c`{0MUrOvܚEGJu Y|~)Xm Ӵ.*Btru p~ymwm^ͬiAL 1LGHInwhfuzIvo#1S. 1FCRӾn.@l֎DGLZ 8?@T#,/4n "Jm2ȚOLn˖i,u7O̠n"], Tb<Y>shX9aA^f?~$.;=eM5jQ W ԕfcM8&38:G۱G+*_R[t.%GDbdMMY_euFz)oٯNBGGů6ir~^]Z*2l$V8X f85l_p.|\K_UTôc(TBH/:.` ͇Ϡ|0?-ekgZœQRjjK^Du0A Y+Tȍ-ǒK`LV6aei&7 .>UVNLFu|톽٪5 ) qዬ~p}~/aʺа BoSqe8>ygxp6zm._t  i^3ܰyS'L7<Kp.7DqWLw]Ӈvի}Wp)$G } Vy}/8__?_1sSy_}>/S.Ӿ^_,j endstream endobj 5854 0 obj << /Annots 5856 0 R /BleedBox [0 0 612 792] /Contents [5865 0 R 5861 0 R 5862 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31350 5863 0 R >> >> /Type /Page >> endobj 5855 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5856 0 obj [5855 0 R 5857 0 R 5858 0 R 5859 0 R 5860 0 R 5864 0 R] endobj 5857 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 587.2 192.4702 598.2] /Subtype /Link /Type /Annot >> endobj 5858 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 571 169.8542 582] /Subtype /Link /Type /Annot >> endobj 5859 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 554.8 219.6072 565.8] /Subtype /Link /Type /Annot >> endobj 5860 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 538.6 210.0207 549.6] /Subtype /Link /Type /Annot >> endobj 5861 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5862 0 obj << /Length 19 >> stream q /Iabc31350 Do Q endstream endobj 5863 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31351 20690 0 R /Gabc31352 20697 0 R >> /Font << /Fabc31353 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5865 0 obj << /Filter /FlateDecode /Length 1602 >> stream xڵXKk$7WZ3,a o?_UIxIƦG]R髇JRi2{{)?돧ujڠ55Z_M{kcDkP_ J㽩Ͽ_i~mœwet操%3tl;E$e^-ЮfWr#N;'^g#U!ZʖnՉKp7s:ۮTfY8MgU[3ɱ,$MA5eXvunu]2V~3O zmOP}Q )eN2׆zWCBkRvmD91 mZ7bZHUfWj-L:2`JE?`D.(-\ i-ЊD 75W5Z|V^Cyᲃ^mg3yN]ۘ1GОh3f|@d^l mbΤ>Wg{ lYɚ8#_T/bN^jkQ w"v`86pyM,)jxR6J#_kbF /ycBǶOGOկ{Uyۅĥ֞Վ[ Un$3ߤVŷu6987-/&vj1UϘE汼ǼKI!tS0P,2.`V~vxJk}3n94~“ء8aw4845$Wt<$[>Y"7e,. Q=ݨ߻<ŹN9<\7/G37hjm&e'ʩa-}-A ^ endstream endobj 5866 0 obj << /Annots 5868 0 R /BleedBox [0 0 612 792] /Contents [5874 0 R 5870 0 R 5871 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31369 5872 0 R >> >> /Type /Page >> endobj 5867 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5868 0 obj [5867 0 R 5869 0 R 5873 0 R] endobj 5869 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [90 439.225 131.8275 450.225] /Subtype /Link /Type /Annot >> endobj 5870 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5871 0 obj << /Length 19 >> stream q /Iabc31369 Do Q endstream endobj 5872 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31370 20690 0 R /Gabc31371 20697 0 R >> /Font << /Fabc31372 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ P endstream endobj 5873 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=467) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5874 0 obj << /Filter /FlateDecode /Length 3720 >> stream xڭˎί賁i h fGANJ .ԋYIGdUzH-_hsG*v>d]|K?.?_bqk1}z\NV[no}_Hjll| g>q}i_K,sjrc4T-,]>|T_cȪ]>/Ab?~Z ݿ.z%'jg\5%_]'0+-bf ʚtbqItg⡝`Y5 XI`pOZG ӣ._AʥPBa]xZg"H~AA{e)6ʽ@>% =Re:48Qx(^6|D_h@-Ta@@zD^KI%AvaO@xw^i, ];y@6bwiAhc+Y!% hdէz ad MtAyrlcSbʯ̉#WWcM44a[c3+J"RO\XյT7P[q>G6ܢ:nqFO@:=ughCSSW ,Z5mLAײ1a}$=u dޛ7,PFNJr\Ё8t%24ZeAf!\ `˯1̖E}'Fl1m`MhEMqZ7RS3uX S3 lz_OHjIEG})ҾQF3ܝ.e:4xpJrUGJ.*>mrj'UYX,íw58@ :g#t1ۀ- ;[Ҝ/M7qYva < yvM<;ydNZ| !|K^FB6M@m`y[:"񣝎Vv&F~EC Fe \S daNRG1r(}Q--vRqHfd?xC)FbUU Q7\="u TʃjŕPjEN:mw}Xp%,ZbIn(oY@9Ě̥r]'3E܅+T9yG2.GezOv 1v 㞄Ie;R ιJh$Hq[X?T5m$T]̝v.Q@|GUzt#LB©DY!Xjf*AH,]UiQ1a|k8QЉ,2 i0+XN*Sxv˺Fa5zkJǕ19-ݔ ܚqMM/=t%'i,wgX$m!eX3+wW;ž0vzʃrO¼-n.u$h `m"B".~T,% ]jdas:W>if ,w`iI]= Kb`LOñ֏C,gO'ghM @ Ҳ S%&d“J~ f;r`N!йV#W9S#eBysi)w{Dd{i NEsث M!IP-VKll 1{r SvLþ8W7Fn7clzfzs͔Kq t,5d8Bk7C'ͭ%tyގ vz3jփ\LK2=DSjTb/CWVīelBdgw!25aa3V %vq:iLZ,g^ϾW1,-J ~ʣގv kL R[vbM@ͽ o0ܸ)t,}[7R.F+5iQw&gː(ԑl ^:B8tA<Eopg,) o F JݕӞ<dw͈M٩oS$|MFgv͒w[h09ywBZLpGC]U%Լ WNWnJ&yŵLM2QqS72]Vl6<]wl9m'9O7]Ǻ >7ic"X IUXV_T4jj]_V)AFnk-B4T<턅5؂jt#GuX#t`0 pcF'':@A=5k#B][7 kxr|D|:Lp9I, a+3?~º`/M5]$8 k+ƞNqsK-Wpu!z!Uc\|-o(z%UopD-P+^Aqt' O.FI4_NLͽvtsO+V*X:}> >GceS:&9˔o3` 7s7 XI*`ԾW' ?}>b |_ܷPe.~/H{h b22z6gCQ&<,uv}<*T{cU݉t? kVe~Y,`6%Eq endstream endobj 5875 0 obj << /Annots 5877 0 R /BleedBox [0 0 612 792] /Contents [5885 0 R 5881 0 R 5882 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31388 5883 0 R >> >> /Type /Page >> endobj 5876 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5877 0 obj [5876 0 R 5878 0 R 5879 0 R 5880 0 R 5884 0 R] endobj 5878 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 218.5952 608.2] /Subtype /Link /Type /Annot >> endobj 5879 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 581 208.6732 592] /Subtype /Link /Type /Annot >> endobj 5880 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 220.1022 575.8] /Subtype /Link /Type /Annot >> endobj 5881 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5882 0 obj << /Length 19 >> stream q /Iabc31388 Do Q endstream endobj 5883 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31389 20690 0 R /Gabc31390 20697 0 R >> /Font << /Fabc31391 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9 " o=kw!g37FEo5o6},L>UI 5\fP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5885 0 obj << /Filter /FlateDecode /Length 1598 >> stream xڽXK7WhE,10Ciu?_UջmLvJ*zI]?i-'jJIcN!>G휾OjҒ̵!aSl"]9ĶP wL4" ζJMIGT5E]$B_u1Xqޔ\k- !ъE'aYL-c&rj xeVʹ7e!7feb~:*DF;_c2pL/t6h'LC5ϫZ.ymdMؑ/ڀ-U%njYz"X~Tqi7H?|Qq V-#{տxTzd*6k!/zZGV=RzArS59"T36qǑ[D1R_6 8ny2Jg*>Px>aҙ1'+6, f|lYqdl8YX;1x+!\BL}h8ievNpɩ]8کi L2խwf-@bY.}XfiUP ~+Fu]uz%I4R+2*\} 廼F* ~8:L|o]]vB]]ׄMqaJpJ_/T7Б_B# U",?]iHz--Uc4;q夸]lv( f}}0pm$<k?uTXq1Yu>=:`|^y~&x K!jBѼrRG< p==[ߕ44Ɠ:ST|GlXJk<|:vet8fH<bcmճqA ؆\M]E1щM7PI}YJͅ endstream endobj 5886 0 obj << /Annots 5888 0 R /BleedBox [0 0 612 792] /Contents [5896 0 R 5892 0 R 5893 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31407 5894 0 R >> >> /Type /Page >> endobj 5887 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5888 0 obj [5887 0 R 5889 0 R 5890 0 R 5891 0 R 5895 0 R] endobj 5889 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 439.225 122.505 450.225] /Subtype /Link /Type /Annot >> endobj 5890 0 obj << /A << /D (unique_56_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20210608081201-08'00') /Rect [127.818 439.225 175.888 450.225] /Subtype /Link /Type /Annot >> endobj 5891 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [181.201 439.225 213.849 450.225] /Subtype /Link /Type /Annot >> endobj 5892 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5893 0 obj << /Length 19 >> stream q /Iabc31407 Do Q endstream endobj 5894 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31408 20690 0 R /Gabc31409 20697 0 R >> /Font << /Fabc31410 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 5895 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=469) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5896 0 obj << /Filter /FlateDecode /Length 3887 >> stream xڵˎ7ίsu&0IVܒ5CbYoHVZ#y셭QMf4}d⧏/SsSSueߗ%NMSCK%L^Ytj\d6}'On'sv4V{w dga><`>u,?}woKat<9/^ |{d7fvܚ!ZUZbS#]ԏ4Ehؖ~[2L4.{/'~/O!y @9Fl[M[L./KnyyY*ßD'aey`svA"+9g@Dfm|r^brc_BOκLvy[ˮ_9V@8?G9L.V}\Z;[$=㩏=H2MLspDTOmcioVCȂXeyQ`.j j`9rw_齬Ym@ݙ # k+%4>~3[C2/ 9;W=΋>3F>: |#vg#v5vO5UiZZusugvNpvy|w֪ R-C' |! F*(Q]ae)0'QR\o)>ܖBcQcMt-!\5g/G c"gN"EW&)3]t[ح)|LQtwLJkѻC-]9ءX ]/"V wE`7Qv4A~=Q:dR҇}ٷ.7x U"({VԒ+æX xVj˓Aύ6/ +§bk%ӄQ,^`BS xXe|0ߡDmD!u} :~ sZ`)GM0h[:^ Щp /DNjh 1i '$]C]+e>=[B"n( uwv!m@Bu:J}Ha~Y^^bjE-PYVyfk) V*ͪXGʓp(y!A[ҕр`]\3ͼH NWbǪt13E6+֡툋d/͞DTK_gHi3Z.P/Xim.7i+ZDe5Ƣfp[c(:ĕ?aj"n\7w(h4^7d݋~>MoY{,{zКijМ~;k>:L 3A\C;KڨB ޓs- ZP]xMl`/[ֲ!_-4S]d ؈urk)1TYvK A_>pYvPVܗU3nɵ ];` 31SN: &lj)P(90YF Ҟ%Ϝ)y=JeQm)GVi h>*p=9)WX|.R2qOO&oYi-v5դoO6y\ Dodzq"Uq7&i21 #JKH(ϻ#l^Ɇ$cIkFc؉X;dĘ0)Y `B&5 j`@`h#eZ֌c:0̒gu\ܶdKϰSYҸ`5XngēsFDZUm!tfZybk,a7pTa؁X Uc/bXT8&^PG~8>'3 . BGGSG@qn4/ʳ!`sxJo8ȫ< "2A#L WL'iF 5vNJ25>wc?\)_ E-mװ,/JR~(؋~1K7xoi%zZʍToQuoc\GRNptL_h߮AѾշgUA"x:wI+Gx7'/kCR?MG!EU@/סʔCW> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31426 5906 0 R >> >> /Type /Page >> endobj 5898 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5899 0 obj [5898 0 R 5900 0 R 5901 0 R 5902 0 R 5903 0 R 5907 0 R] endobj 5900 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 546.9 202.7277 557.9] /Subtype /Link /Type /Annot >> endobj 5901 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 530.6999 208.5962 541.6999] /Subtype /Link /Type /Annot >> endobj 5902 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20210608081201-08'00') /Rect [104.1732 514.4999 215.7957 525.4999] /Subtype /Link /Type /Annot >> endobj 5903 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 498.2999 212.3912 509.2999] /Subtype /Link /Type /Annot >> endobj 5904 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5905 0 obj << /Length 19 >> stream q /Iabc31426 Do Q endstream endobj 5906 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31427 20690 0 R /Gabc31428 20697 0 R >> /Font << /Fabc31429 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-Ňn8>϶g՟EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ endstream endobj 5907 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=470) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5908 0 obj << /Filter /FlateDecode /Length 1760 >> stream xXn7+x Hrs '%rX~^UF- =R|UKiҼԣuuTeovN=,CC6ڴ6FO-;}T%{SCEqʄ1zGyiQom,tE]mxG|ĠcPx0 W;M%)-Y㢏rXO_7C5֔pIS4N+)2Kk7fmkKδ5#es wC%+pv[N7w곶 9*r,K ӱItY?~)&㯬@ {өd|3'xV]=G.9 ;lQc+r\,˕-ŪL)-ʽ6Jل֐]](S . .[ h?gyٖJ^:`)ˢEt(q6 AtjŪ=b\=GZ:^zvm3E]Q@xYgLґ(#h|BZ9=٠3i4+|ZĮldM\uGSsV[eu-ҏ}y> N?LƼGM,zl@#_kiF]b%#1Gש%xTfULֶU(ORؑҙtESgER&)4k.1UmS՚綍QKQhǙD_^W3 ^^Qd$®:nm;vβ+|#㝕.QCgK7U- [GMcNV%ܦuOSXTR{[EĐٞƧ֙D["Pxee"Wy:-c2q|L1(TgHlD?buH1J"us9pt]ЄU®l6/jK)Iq />nzF>}ɪ}|LgW3굴A=A.ne0%oylBX ]W_'Bň>70-y}\c~c}{ Θ:"xޏ.g/m'i\>P!L`GvŅb9kˊ0=SC3k<IߓjwLcOF6|E;b[Ssq=?4|>td޴8lihE9?P \Mq MDq!&ʩU>,%S endstream endobj 5909 0 obj << /Annots 5911 0 R /BleedBox [0 0 612 792] /Contents [5917 0 R 5913 0 R 5914 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31445 5915 0 R >> >> /Type /Page >> endobj 5910 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5911 0 obj [5910 0 R 5912 0 R 5916 0 R] endobj 5912 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [90 425.025 131.8275 436.025] /Subtype /Link /Type /Annot >> endobj 5913 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5914 0 obj << /Length 19 >> stream q /Iabc31445 Do Q endstream endobj 5915 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31446 20690 0 R /Gabc31447 20697 0 R >> /Font << /Fabc31448 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM$F endstream endobj 5916 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=471) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5917 0 obj << /Filter /FlateDecode /Length 4948 >> stream xڽ<ˎ{}Ea;@vf&M~?|UUi{ps\%DQ$Eb V|b׷ 4z/,_~:%[-Oj| m_ ؾz}-a~!V|]Se7- q ֔hY?+-f [)%-P iqPNV(h#4,3?j-4~\>-ҼRfCR/_5`[6#O Z0@~ bw5ReMK@lcF/Wc?Phc$.B_ϨG7 OԘe >O҂e,Re@zPM @| Af@# Fr|Ջec3vo@s\! x੝6M0̆'\,j$ͷYk7(ZLDuOHh̑}޼ 11^]~ǁ7tɖTՙ t.dQ?`%&&@[,ko 3`=@Lފe:Usl sUv gNcGa+?CqoJ @u,PЋTlZKS7L]VD3;ywˢm*6/: O'v-jGNBh gNƖ% |jy`3Y;WU[M[e`S&Zߵ| Tx#KLŃuqcx/B[gi@*kHkYsAʱp QhBw3gh ?(s*n}63%b)4& Y]|6)K,G8N9jw?Y,mdi!F ks'WKa-4!QfLWVEͭ';{$hg Ay`i,d:~ҬtMԌ.7n[z[' K ߒB|xuy}wFzr(r; \ȋm]wD"PNh+teo}XqJɢo HXI2dSIj($SPFݥ?@tƣp۠jV#O\ ^  /=x9dTl\jU9C[jHVWD>P#18gG`fLQ-Z|j̈p8hiBOœ<ő&4&Ŧ8}'iFUjjDL[gҽYRQ*l?E7ިyőS'KYM2%ܱ\bPR2&vk* e`cqjpka] |>q\j3ssOjvVmyֳ}OZY??jo*u-օ^`(0P[ʙ gi\(VR낺wڍFԸ+X?V5=(4Ji laPaఄ`ňQ;"kygnp;2D3k8jiب K'{@cɻȜjπN Ng0aa/_d',$@VE\@ |2ճ'T%c$clK`\M3wN`„Iߕ(oY@7S3AR*IP+$\Ggr hNwntt,͠#eh|>c(Z1idܣn58<&tV &utRK|i3!RR#G: ,vX{|x):#LBDDa*AHl 8uquTZubq 5-v 5 nY.ө8W =jѧ J'sjJL2Juz$\4Ha-Yߦ46vBlQ6-z5hcH7%%= tU jg݌[ש3ق!vCy;%؆PsȃjhX ehAL{ 4;l>= bT)^!$fraagPhR폌quk6]ϥƨc,si` ~pQFwCjyTvK:mP~tdVYU@3kN{o`fLiW'S@L– h:n% j6`˜]4h$ѷF#h a~)Q+a 7Kf;0O2}ZD=["Da0h}$(8<27ћ!,)` %4ԠgF^uN&EaSk$!Z}!d"%W24q}1oTɣ\(T{&DFPYl杇}  ĆzoDP+ϸMY,WX]Ka,Bk5.ӝiq vexKEU2;; MFfVH(K8" UPS)a*m#EpV y+- r T+7r|!`p$^L7OQIyYs(#ɄMk/5,3 ZP~\m.:@̖)p.,L# Xn;6.Qz7˘u3UjZjΑdkڿVSWwX#nIã-hGK>d hIy<5x>d ]w%tQ8r܈\E0e'<C(8u3z_ 5"'.zȌ<0uSD+$&gȽSx#ϛQ!PpJx7p_4SHD7W "tPpA?Jv րj.cW qMQKӢb)cDXAZrys`=u3܆>)FttvK\_Ȳ^kG.I)A*]*3!L%EpY9TCA0Wmvj[~ a#ubvmc0LM崼K%es(-cpc2AMy-/ݶq% 8б?|LLOK=E5oV~x˦g7Oڷ ߢ [5pn "vrv{ry~,y;JP̭UoY6DŽK:5xҫ.rL!E_򰮶Lks7ܚff6; Tٷ 6ƫMg) )Vӹt~#^5K&Y6`2f7]/f^qG0)9vn!sz@lç1o1(~m(u 6S0"|t3+9>d'!D.Vy;d+$[lFcl3QtgʊD kGXWfEuآMڴ )WGʗ)Oī=ϵ@uܺ@]+8}x^GGSkrp TF9!cmm7b0MrIʎYÁ=4 D_K̰)HVyNr9y 2&`p{!)^K/.;L]=D `rU2@ltCKlxFpubnGl[Q>QbEJq0 L=&YSU+f%6 Z+@*^- h E!M+BI8K.)Fkň} _Ux'~&cᣬUJ

oaP$=S8տК`N3hSxe凔\vK掌k_{;ֽhm>)k֕L|,ԋuֿPl%f֒!sk T*lGH&ALv٪U ) yi{$lOu>a+:w  e@,XpIպ~ϯN`.7N6ÿya ./,e݅2x. > ?GuO'W,Ӗxu c`@ߩJVȁO/L?`ܦ ~$OHQ-~_Xuǔgpu@O$<pۼ0oFl;GMg׊SqGe>_-B|[Kck|%7?y\\ifEC3փA|,Ya  endstream endobj 5918 0 obj << /Annots 5920 0 R /BleedBox [0 0 612 792] /Contents [5927 0 R 5923 0 R 5924 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31464 5925 0 R >> >> /Type /Page >> endobj 5919 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5920 0 obj [5919 0 R 5921 0 R 5922 0 R 5926 0 R] endobj 5921 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20210608081201-08'00') /Rect [104.1732 539.0038 219.3267 550.0038] /Subtype /Link /Type /Annot >> endobj 5922 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20210608081201-08'00') /Rect [104.1732 522.8038 209.4047 533.8038] /Subtype /Link /Type /Annot >> endobj 5923 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5924 0 obj << /Length 19 >> stream q /Iabc31464 Do Q endstream endobj 5925 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31465 20690 0 R /Gabc31466 20697 0 R >> /Font << /Fabc31467 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5927 0 obj << /Filter /FlateDecode /Length 1797 >> stream xڭXK$7 WZ4cz! 9& 'sٿO]}& 5U%Y>Vj^:1biu|o.^[߯>`D{<1w}48cAޑ^vA9S 3mgp[2:&kV$ҲIx>`NL7+ {ð ٹ =uhdVyNXjZm0umW]H^bf؉2wy+ǿPSFc ǵv@7WM GYB{nfX6^{{W;"Ƭ92"%rE7w }3C)2/y*✘e'9<_3WN.幺5] 㐞d:Xږ&:|Ç"`!jC 2*ss9%̸LP衴҅_IdgDVy,(*\q 0^aJmJ}>"k^)6ΉIcxQIFPRC(f[+bhJkrBGey1?cc_7`i9ljuvio$E4f~?v[%'ogu%&?+JFGw XEu%3$ms ;`桄q2.M'm8w3,߄%EHFZ,e{n}1 >kMeA & 3m|}vLwLrn"ΏF|l"ï?F~ιFWkC ʦ(>ed=O!/mZ7aq|gBɭ:fL.jb|a8`ں :;1z&:=@ ]T+V OtݝrgZ^[Qxuk /z]|ٸ 8Ja-3ٹQiS3I{LOV"Q a,gL/t3|.J6Hj;e8DlNj_/Q4s;_/@F]ữ1Zw.6FoUx)Z?cZ4ۘZf_ Qbu;lȥ֑u1PvT5FT e-N8rjT UH[?,q܋a+m9'm f@<ǬHU]TgI CI>J(>Ó^ bjS5VSHk-=fǖZJ cPFMY:by{J/3fQWEe;KE[σrnB 툺2f]I$)@#Zf0nXʵyvkB)7n5F1КH1nʌ(u[.nB.+q Fl6eb\ZԍNIwyJnÁ=l%TmupF:Kg7{UfibXj:ysMm(s*!~kO␸vۈZOhc~ێYxǼ;KM+āցϨPvc0 Dibxr{IKsLi4ѱGq&R<|C($=^<,-?NNn<\XvDL]+o cm,uO A|fJK5I\t6; \Ľ}O"K/d-j endstream endobj 5928 0 obj << /Annots 5930 0 R /BleedBox [0 0 612 792] /Contents [5937 0 R 5933 0 R 5934 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31483 5935 0 R >> >> /Type /Page >> endobj 5929 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5930 0 obj [5929 0 R 5931 0 R 5932 0 R 5936 0 R] endobj 5931 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 439.225 122.505 450.225] /Subtype /Link /Type /Annot >> endobj 5932 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [127.818 439.225 160.51 450.225] /Subtype /Link /Type /Annot >> endobj 5933 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5934 0 obj << /Length 19 >> stream q /Iabc31483 Do Q endstream endobj 5935 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31484 20690 0 R /Gabc31485 20697 0 R >> /Font << /Fabc31486 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5937 0 obj << /Filter /FlateDecode /Length 3799 >> stream xڭˎί賁lBήƀov䴉K~?⫧g!AuMV"|]bϊT>İd]|K,?q:-;cB[no˾>]߃uk<|qvB6ȥB ヘX|O[hB 4?-_[l/-ߖߘ~3q%,dbe< xi N0 >3m4F@09i&4$},@ƗNı3\Ygxu >iMc_3@w:XF vFP)~Rd\7};蝱0T$I82m1򷴵t<yϋ09>J@bmN3=xXpglXIϺJ/ "D.7jM <x׀+l}1?֮ %'[ (CF?Jٗ"T?BI m퇟szcA>wo= C>/?Cs7Lf1Fۀᒌ ]Y9@W3#s>, 0Ōˡ7 ^&b°(8qxȈRh˳Ҹpd]Ȇ1/_3c&=:Rw/ }t51r3 )geE <8$0QYcf=Cߦݨn+Bլ}e-4<69~>;Y38vfKs(*S56q,B! ~_1uFS%XS,X2< ;E4bIwuƜ^bٿI]z_+^0{_=$Y^L-)<Y0s -4Rw  epAz[_DJ,K( \@'rb3 / qJI4J/1#%M{q'qZ2B!O[$ KghfE5s @1]^ۯt #G`MhEMqZ+4RS3zfD_HvվQiߨ{ܝ.e:4xpJrUG\rq69[), , ,íw51c8@ :f#t1ۀ=;[rMijlև&,t牿;`yvE0%轃.qg> RFB˶M]tjEZeG;]3ޭH`Mbw?C n}L@AY2;iυVYDz.kZX`PSL2 r@-lтǰ *qW 9S :B5$"&o^%t+  unWzx"T^i1UͩZ <7΃@?0HՆx7&L/RqQRftwdO?26݉:UoTt\F,:I9tUC%E;! rLv'Ne~S"u#ehtוbp l{-GN99K̓o>yۧj kI$q5WmpIT-n | #R3 bqvx|2uz"ڙyp[N7ɀm3`6&,w`iIV]pBA>)EjC>o:˜tt|-!q4ïw*# UfBQ /9WζV#[>б:U1fTȻ{Dl"{i Na/:&iRK9Hb=QM MeT5NYq``+*,7!]=䘗aAobm 7N*dYs]ԅ+ kt#h%wnsÎ~ؽv5{eg2Hw[5ٚ6[Ӧ1#Ho!:5x̵im˅n磸78ypc3EcoQI~ʐm\UDר| k DZS5&KGMs8D{~S^yJeU:9:9K8R8sP e8]^V&EڗO,yHxA8SY/kNd1@1ۋ;nshI:p%Hu[w&Sd?B~rnŒA›HnCQEQ甆_c˷-| jk,zx6vuu3izkچ8]nVoӊo; okr<wBP˭ݴMqqW7B.`.Ө1C&㵌5Aڬ\6m!fYi6x :m]\G[FͤU]>ߧ㱅ӖFGwґd`lG"Qot~ɰk:p 딫Kʗ7S>W{ϣ@urrJ1s#PDٖπ(qӓ*^d~Jh[v0duv Wᙝ˿y!2!p+p1@Ƽ;N0O2&+82C..;ذBOQG)] <7{:1-u~^8ݎ|T=RPSU-*]1.La%bdMCYO>+3< @  !@#_jW ^qV]ܾOi FɍU>epX &(_p-|^[*ja[\x"N/&)( vzf6?哰[Ͱ #JOxsLG,{WdZlte #ǖO]*`YKOqn<7HpQv2n7[]h`Lq76QNm$`p]6wMa4Ω2~<,bOŇt;y8=Wws N-,> sС>؇{9˔3`ئ w XM,1^˜LğX*.<>) S/gҞ`B,+E [/C&q~A=[vнB2^G[ו[uKҼܐY,z4;rXha endstream endobj 5938 0 obj << /Annots 5940 0 R /BleedBox [0 0 612 792] /Contents [5947 0 R 5943 0 R 5944 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31502 5945 0 R >> >> /Type /Page >> endobj 5939 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5940 0 obj [5939 0 R 5941 0 R 5942 0 R 5946 0 R] endobj 5941 0 obj << /A << /D (unique_429) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 203.6957 608.2] /Subtype /Link /Type /Annot >> endobj 5942 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20210608081201-08'00') /Rect [104.1732 581 193.7737 592] /Subtype /Link /Type /Annot >> endobj 5943 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5944 0 obj << /Length 19 >> stream q /Iabc31502 Do Q endstream endobj 5945 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31503 20690 0 R /Gabc31504 20697 0 R >> /Font << /Fabc31505 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5947 0 obj << /Filter /FlateDecode /Length 1586 >> stream xڵXI\7Wlx^ 94rgBOsWU[=!v^K%J_Ozv4^s:E] Y9jyY6hgM%}yyWkZ<3;}Q㽩//>tC&@hO;2A+jCLES_ 1/f}l#q,|SˏP!kb/PAV/ :]}mS}1 ۸ gn l 2JON,Sd!?=GCi3tŁ漨@CcdY[brXBb&e~~?P &@hj&תN JFr%i8D;'*B0+sm)"kHbMʮu9$p;f ,o(|RØT211hZ+Ģ uWrA5haPH7V,: K كz[+ƂMk#_ ߀Ww.®ꂶ,Q@x_fLQ)I_huh+v,BIc:$.Gyj!l$e(`qŎ|Y 8/lQ']w(YwW#O_o@j#.2#m&]6|6jz#J@sLؽ߈R`Yyb['.}W,ztCrW%9"^)/i\܋TT_DZGn-:q&ѯ*$i9YI .ЛI`{̲{@%賰)w(bBT"piyv$a8F*Am{B];5:(^L<6じԂPL[>φ]^Lk* rQh&R I,.u[W7S@.+ivMX)ck؅ꥒVsyq!#Շ t]Hd|HW7W$[Z@'!Hr:Kbc58?[:~37}p쏅oC1uE~ywҳ6Gۓ4[`Pq1h0~oqƷ#?lSC{3=[ߕ"4Ɠ:Cq t6bmݚA^]AbՍ5:.b\`{ZyR"S: endstream endobj 5948 0 obj << /Annots 5950 0 R /BleedBox [0 0 612 792] /Contents [5956 0 R 5952 0 R 5953 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31521 5954 0 R >> >> /Type /Page >> endobj 5949 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5950 0 obj [5949 0 R 5951 0 R 5955 0 R] endobj 5951 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [90 439.225 135.562 450.225] /Subtype /Link /Type /Annot >> endobj 5952 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5953 0 obj << /Length 19 >> stream q /Iabc31521 Do Q endstream endobj 5954 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31522 20690 0 R /Gabc31523 20697 0 R >> /Font << /Fabc31524 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 5955 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=475) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5956 0 obj << /Filter /FlateDecode /Length 4007 >> stream xڭ;Ɏ8vw~ ̝H 0nè4P}m$%Edfu*R"E} .x)_--).an.vw!%o˿qxw]}<]ߋiw?_|5?J$Kq8FZ_/v[GvWf˯uXuHŭ.i[Mu=.hBZ_Y>b萷m?8dbrxɱ/!/κ,vy㿖~i9`U5V[2<@k.]_ `]^~!ҞB/hK\Ǻưmۇ`;&@_}e:&\m8n..F\TEIY9񉭛| ʺ*r5Cx3c/ݾr '>R7 yw6hi'p֯)-W1D'gϔ{A;#!n`G\z? D>5sOLJTFN+8PHrLK];PpJ[{.$@opo׿Q@56(vK"*RW䱱"#T3K1Fk9zofd)ՈᡱDW1N+BY͘A=0=ŃTqA3Ac OW(+*e@N!`@5I**,“XːFX\=tš[ n X@07NcF,$*,G(; @~ rbw@诽 7V2QP5 i7Wj4SGL %l/LT[_gio;!CˆmhMgrxm jDOD"Zms1*9*S>aZjnτ{iso{;QU ?[`%=LCs ^- 煟u,D0#\$5㺥l=[0G[>=:؁ jE[.:e|Z]=L*0iv*)D#}r k%yZ1`Tm_1ٰ@q6zCjeӘa!3 *W8\uKƥ^{ + +xMV钛՜ONw<ey`ɼ,X-,S] &"%qiEa&x(vIx Ө m"}6ӳ mRZk5VC>I;S+c*LCJ|4x-.9Zv moWx'˙DU8 , Oephi+pmy ۣ!(_C*tb3ka!ž#Q٥rw>ۀ?pma!o+Jٚl Mi@ ,S7x&dQ0EM~peٺJ\Z|0Yn[W@N09SeaB:(hA% nbnb,s?h半&9" #9b@sL%=Ė14;Hs.!4 Sޭ,}vF=sB^Esx j} kYoy7cYXBCtk&#,ε$ 6jIAgM%TAѨkٻL *23;TnV h*G@d6wU|-+wnu.ꖩ"pkx7Y ۺB!mkqtxP\,յBi'RWQD'aEi9O>S$kIj$6נaۙ>{iyTQle l\-C|V0> $Vt؇0Q:0aARzǥ2*&y,:-+Om%$btyv(Jz]VtDQOFqXR 5 */qbW-b|LI†|On|[+3 Mc 99^RaOb5$1uwǩnpV--0c`Ek{yh"1Y;nr )4 d;ʤ; T~qNS]1Jj{mTf'R[ҼsH%OtV_cw>}Fdp^\>buޫ52 93ʾaؓ51W밭OF[OYƶ=y{)XenKfϖyo=_ ۖi$b[9mN#G&}^:SQj\^]I '<^;R+z1nxRtsL5N4L<Ї 淈'h"lK>>E~uX/eϚF['ApGShCӚ n]J)7GʗSOě=Oϣܕ:SMX`G^0cZ@,cls!Qn2kC}qH4m/MfרwZ=f.Hd ܎{.6P' 0O8e-aF۶=$|Bz1Jcchu2DL S[~"Bv#MO`x#jB<9@_ ⤛tMCY ri0pQ'e3VKE7'v _ Fɍ<3 ".LQ8 /|LK5Ԭ휚35+qG$~RዴBqKeDCH[i#w@,o&oȨ#&Z쨼tȡ7+V(i:\XL,,X(qn|zHq Vd@ 6(5a]nmz0407q6&:3S @W6ٸ-lֳ S"a6U}-۪x*^kodulL>1~@1/^/2=p2 KΠp+2@/ W\ v}_|A5Nw~I r2N.b?N`5IOmz]:陟u=:PwiPqyŭٚ|տH#/D/F9ѫI5ggFiǚEU[ endstream endobj 5957 0 obj << /Annots [5958 0 R 5962 0 R] /BleedBox [0 0 612 792] /Contents [5963 0 R 5959 0 R 5960 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31540 5961 0 R >> >> /Type /Page >> endobj 5958 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5959 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5960 0 obj << /Length 19 >> stream q /Iabc31540 Do Q endstream endobj 5961 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31541 20690 0 R /Gabc31542 20697 0 R >> /Font << /Fabc31543 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ R endstream endobj 5962 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=476) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5963 0 obj << /Filter /FlateDecode /Length 1469 >> stream xڥWK$7 WP 1ۄBN̆ع'ɮI2jlYvYv7QSϟs:E]uY~RslE5&}yn$<|zNDUP`֌ɞgmkYgПN2}sx0+9$r\`{$ca? Y?"C,"|397xLPlEԟg}sPr6Dpq.'OMG(#Mƭn.ypuf!%T @zwrVWDo~W,cց1Geɮ¶>#9yw=o[i"zЏgE[brXr^l&e~hO ?2Vx/{^ojZkZL6V+zHvg/Yӑ[G ?=n?l\7X4;}ʂpH,@-,H 6Ʃ0X\>l;r|+I#OD_7RZg] )6ckH^Oad׏)cAZ&_]Kfo8wUj-u4-!TSE;o񅘼]d쏖"C! Z1t2L ! [| ~强u^2n|WȺxL{ y4<~ ʚP > va*"NEm3<}Pȼ_7ӱM6G`KA?$eg&p77pIؠ9#ɛX$ޯcS]l"ڷ]4=Y1h4iB xl۳zҵf]$mݸO.ɧ%{o ifK /9DLz75OUi_(i` \{>2BwƲ^2bM KbRUY-4fT{|X(% TX7a4XYxf¸l%iJ_cY1;ʁ>:WW0ʢ@K` T`SǕB O<UM hwxJo} ډ]OxR0f|MF^H ECGj[-vi/cqY^bd{$w9~\1ܰ=X(-xY},,s5 endstream endobj 5964 0 obj << /Annots 5966 0 R /BleedBox [0 0 612 792] /Contents [5972 0 R 5968 0 R 5969 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31559 5970 0 R >> >> /Type /Page >> endobj 5965 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5966 0 obj [5965 0 R 5967 0 R 5971 0 R] endobj 5967 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 425.025 124.1605 436.025] /Subtype /Link /Type /Annot >> endobj 5968 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5969 0 obj << /Length 19 >> stream q /Iabc31559 Do Q endstream endobj 5970 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31560 20690 0 R /Gabc31561 20697 0 R >> /Font << /Fabc31562 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5972 0 obj << /Filter /FlateDecode /Length 3820 >> stream xڭɎ6ί9" 4 7g!3`Om$m~-q*"d& f|lOoS3SS2X׿u'k׿/ѯMoZ8V[o}]jSllm 0m}^>/D/:o/F_u˚4E;"<ɫ~E&3߅%u(Lqt' ZGE XLOZ:|yW ciE@`O!TOWw a#9pB-Mi.z;*v\4 amX3:hGBcOludOo cż1iɖT*kGp;f[7UbWY;v ;Ghu4LC3=PLJ J(PhsFo1q"H]f:u,:GgęụX6t -wJ+eH"2iZbPs:`xaeC,!tCqO3G |.—Bf#$˚ ߢX4 G~0$ fڔ Q؆A 4Ҙc4ي@#r¢g@e课X7RTPaC"!fb.qJC! j)℥#4u3.w @PΥ+UnĻ-OQrv5,/-ϱv7:nUx"$zRWpkp^ 2:.P RǦ!fV%[i2 6{Rzti+*4&Ū8mURW+UkOC Q3lϻ./}IbIE{+u)Ҿ^zk#&e:4xpJrEGJ_rq68kEUXX,-mw| a\zv#ss*UǵՍGfڳz>V#VO?jߗ1!uYK`6?:80rFnK1~}0K}KO auNԸ Y6;@UU::A Tsm=Ux `y;-ws#`7G;2Hr:ʍ82r@V/wb2N&$X+ L'fco Pw&GB (iZsg> )Y{$i-Y5\_>PVmct~w]Uӱ{19od)D fåMMu vռmyUŧdtG%'ȸb'!p] {umX]WQA,~!>4u"F$Byy/9wGC`s'渷Lpu6!]&B`z ?X>^ ÚJUy {dpv`?ÑeX' ˈgשJ%@xt/f%+fs&<L YxC$3cܖ.wY,]g=Pf_[PuH2!Q\#,&#yP2o;xTG֖w<1˹9SlOu ;+rn9}+nU׭nlzfzb}jș&r[^9xݬN[f8ty^ vz3NtnmdLhJޒGE+!yeފxŖVq鼋շQ.^54Zcj3q8i}vнf)>}T|uE>2hWJhez 紘uHJ{~iݢm&"]Bokv͗g+gb+<,n\FyG ۘmrŲ}R6pzmiH{ fҊ$ڭml"i"mVh۹oWgeN}1ֶmKePT۽ w( ]<.$"U1,M!PVaCKN1!h7f72;xxAuH|wY$d-7qZ}0P跟}^t['s׳eJACFn/fcѸUDŽ[|f茇Xv1\>Oq/EAcoϏ hEòa1.Aw)W{ʧ)ī-7ϽtGu6/",У΅c>,@^jΈO46EG\fh3r-`=jeu3ƺ%Yͳpӿy"2&p pAi ]P2GV}.!(Sn3c- !rII pL[ ԕf}M8&38:rnC>E+tC{Mx=hHW2ix\>&YSW'~KxqsceQo+8uh]T["mZ`\)zsVb28԰{)}Oonk(\TDj-:!#3@n)[;ҌX(+)56F72xAF>(ŊJ\2/؊"k2[M'8>WHpA62ګnWޛAQC׶>mS5Gq<Ç-:u3ȯFcXXߵ:|AWw œiXoWSo0 y@}Ұ֧3>񧳡OEN ~Qrrm>Xl?/HyBL-ԋ-wlx?ĭé |xvs zoʜڝkW.qmX9P&z#FE]r,zјP{I_$}D endstream endobj 5973 0 obj << /Annots 5975 0 R /BleedBox [0 0 612 792] /Contents [5982 0 R 5978 0 R 5979 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31578 5980 0 R >> >> /Type /Page >> endobj 5974 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5975 0 obj [5974 0 R 5976 0 R 5977 0 R 5981 0 R] endobj 5976 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 522.6 155.5267 533.6] /Subtype /Link /Type /Annot >> endobj 5977 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20210608081201-08'00') /Rect [104.1732 506.4 160.4767 517.4] /Subtype /Link /Type /Annot >> endobj 5978 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5979 0 obj << /Length 19 >> stream q /Iabc31578 Do Q endstream endobj 5980 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31579 20690 0 R /Gabc31580 20697 0 R >> /Font << /Fabc31581 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7i ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5982 0 obj << /Filter /FlateDecode /Length 1790 >> stream xڽXˎ4+Fێ*{$vZbX5 U#Mo}}8qjjf@t+ر}\|48%Ǽ4OC_Od7OXζRc4O/w΅\>:Z+yPq(`[͏>̂6cM h ˣK5ik 1 {t1VN V:v0 `̩EӨa<}JF SԀkz,u18Bq+R EÁi SE&NEF/ Exx>g=5%խ}!6ly|Ƽ' ؂:Ã:nϏf!YТ0dw&W'4pE3L{D c0Gμ#ϕ[7T.:ezf\IަeymKHÇ> Sx'|R 7 Ppa% **[7Žz;5(v붹ūSxȓIhl7_r..v,xTs6&^&!}^huy!l9G J72~hgmcP0m]Fulc k#N -D6-ʎnZd^00 5hs SK)?3-Rs#1IcdFtd*-!p[3˴lv|ջe MS-4J=yƑ[LL5S׶7XKc<$uiSr31/+7TvUtu@PV9>[([K(ޱË:2K:>jA5H֧}ͪ(ǚzJMyOzC/K*;)>q+}g"d15ib<&=A> 鲭q`]u ごަQQAR{/2M"_Oeˁ[{N J^C0j嚇ge RWBf&} oTw3`#|p/ݧ|}@u DzE?R?U?fQ38o4RMҹa8^ƊC*R]*y3S9S^ЧǓV*'陲Xey<%XH^ڕו4kh+S6isXe½C='\>G\U j$}"h꘦k# /rGذDp$ǫ|^$[a',Sd?uTl+cϣ/-k8}Per%%EgG:MC>,m1yr endstream endobj 5983 0 obj << /Annots 5985 0 R /BleedBox [0 0 612 792] /Contents [5992 0 R 5988 0 R 5989 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31597 5990 0 R >> >> /Type /Page >> endobj 5984 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5985 0 obj [5984 0 R 5986 0 R 5987 0 R 5991 0 R] endobj 5986 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 397.425 122.692 408.425] /Subtype /Link /Type /Annot >> endobj 5987 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 397.425 160.653 408.425] /Subtype /Link /Type /Annot >> endobj 5988 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5989 0 obj << /Length 19 >> stream q /Iabc31597 Do Q endstream endobj 5990 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31598 20690 0 R /Gabc31599 20697 0 R >> /Font << /Fabc31600 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5992 0 obj << /Filter /FlateDecode /Length 3893 >> stream xڵɎ7ίs1 Vܜ1 'O=}ߟqEjێvG/ ;#n2:;0U}[?N?_q:e1!?Ng𭴷˶.G;Y7Vgvl{w.b?N S4XyxO4h2x3<~Ee'OH YgMYcwb: Uu ȧNϗ$8S~NIgA NyO 5 BDG ? 2:OXiOU#4e*)zqXt(hxsLO/~v'ybYڜOH&0i`Hq?kyeL|zrm`ց\/o|^!Egމ2#ȨPtw.@=K8t96˳.7`l=}[Ph-1٠=DoK[r)r9^ediis[|T ,Xpu@zG`;'dqď\=,J}nDTv%ZгۂP#/q:g-' E( V;fk#4 NI$)r0/ti OuP շ4êA$jgO3 0HX(fP(h [r[cԱjJ<v_kN^q" ]Gb6n?b~ĝDV +DY(/KE䷰U,Dj/WVR]u q<@[`/+#2M2cW5*z\}o\X.mDZsZCMynC R6(^^R&| k@.2ឝ<^LC`#x# Q؆+_D# XP5V=x0π_s/i$d*^G"a]8Õ_i%顐LĉHИfE5vsP@k!HaV~IvRC[Ӂ4U*ZV2\nF -3 R伯*NԎ\xC99y6b|τ$uJ*h&e$|cKjY{|#c9BaRu-˄ <vŝ! @lWq#Pf_4NLZ}FEI1׸dXj LO\o8:?t{ȱ\]UCvUN%~bR3d3NhU3]+bKo8U+N4L߀TWۖ.I^(˸k@SȼRIiO&<5 (3!F%Ir-$iy/.9 $fsw^J~zBi{AiH˺AۣLMTҌN5DnۦۢXʉ}_38 wb76V R:pqYFR'湜:$U4&Qa6(E:1r'#6;KH&7a{PkMEاyxe;ƥU |p-||l"w$u#A")TTdfAn|_qz{O5;f֪9G QmUUr`({*]8|^q`H.}Dwj˪A_5=5JOD,l2Sn=K$q gRaj#*6j!0U x>j};aQgws"lB䂧tL ,0}voE;a7WI Ze$v-]n6|OR>M|AZ?} Rgjg=_v@R3DouQfD)̀(sѓ)rwXkt*h'El120M^ǰ <i33b\J&z!9,%w8(uxBH VBd5h p3Z#yS㲤gv#Vщۍoz[T}]@ex(̉Oh.VIԕ_H̳fPa\@!h{kjqB[mh\wEJk1g8%TXg#CV d0qDep>KTsNJI0SI؆o+@RY-e疰 #uURjj}et/"*BTCS֊ >|EՐvaU2afn)rsӛ0G&~PSdouՃ1ǡ->5a\}Lz6'Fg?d%Lo0a1,L1CJV 햫,\uďEp9q =}\WL%z kbJ3LEȜ1?!@N{&<቟'~t)W{q "c>[X/{!&c|> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31616 6008 0 R >> >> /Type /Page >> endobj 5994 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5995 0 obj [5994 0 R 5996 0 R 5997 0 R 5998 0 R 5999 0 R 6000 0 R 6001 0 R 6002 0 R 6003 0 R 6004 0 R 6005 0 R 6009 0 R] endobj 5996 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20210608081201-08'00') /Rect [104.1732 356.473 167.5827 367.473] /Subtype /Link /Type /Annot >> endobj 5997 0 obj << /A << /D (unique_14) /S /GoTo >> /Border [0 0 0] /Contents (create_slack_histogram) /M (D:20210608081201-08'00') /Rect [104.1732 340.273 215.7847 351.273] /Subtype /Link /Type /Annot >> endobj 5998 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20210608081201-08'00') /Rect [104.1732 324.073 185.6942 335.073] /Subtype /Link /Type /Annot >> endobj 5999 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20210608081201-08'00') /Rect [104.1732 307.873 221.3452 318.873] /Subtype /Link /Type /Annot >> endobj 6000 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 291.673 155.7467 302.673] /Subtype /Link /Type /Annot >> endobj 6001 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (report_config_timing) /M (D:20210608081201-08'00') /Rect [104.1732 275.473 203.9872 286.473] /Subtype /Link /Type /Annot >> endobj 6002 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20210608081201-08'00') /Rect [104.1732 259.273 186.6182 270.273] /Subtype /Link /Type /Annot >> endobj 6003 0 obj << /A << /D (unique_596) /S /GoTo >> /Border [0 0 0] /Contents (report_pulse_width) /M (D:20210608081201-08'00') /Rect [104.1732 243.073 197.1947 254.073] /Subtype /Link /Type /Annot >> endobj 6004 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 226.873 169.4802 237.873] /Subtype /Link /Type /Annot >> endobj 6005 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 210.6729 218.6612 221.6729] /Subtype /Link /Type /Annot >> endobj 6006 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6007 0 obj << /Length 19 >> stream q /Iabc31616 Do Q endstream endobj 6008 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31617 20690 0 R /Gabc31618 20697 0 R >> /Font << /Fabc31619 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7%*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ$H endstream endobj 6009 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=480) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6010 0 obj << /Filter /FlateDecode /Length 2899 >> stream xnίyq/Q5@na t_nR%݇tCHoT4RoX"ۜlpJ1f :Ǘ;mA>Ƕ+3ǝ.Axu:a -]h煤t!; a=ݵ.`OAZu wl<q9p .'].YK =ϧz%GfEN_E$mA^ QJ5JpH0![>2옙m,mbg))4Fe_ޡ+Hӑ!~(7T45"D ^ēc<Pi0k ]&vb*+.9ú^K+f},^g *'o 9C\D;y l* 1f74= ÈqO* 4.1.nx/`8{rTU08AIݬP')w󡨣xYZwMOꯐ^XJE͐ x A5Ur#oo}xCQjCONYx8։x? V{/A;p.L6͎``MDZȝXA:r(:*tW&W*ѽw3 FrC}xȞV^p8#'hѕD9Ch!Mތgii֋iQNۙfR>aH`_\ڦsnee>Igq`ZEn*s@;xCw<&6  Q69ZJh"ǻguzg/O'{ #C-qMyԯUZ&,f0=K,R a@dZMnʔn,"'VSyL ʒj#{(ۚ)bUn5tW%X%S*[ruA ua*0n6pU9s2oF! 42>QNphlUv)tّ^yY1وufG*exCt&j!s} N(^h*]ź$dYE M9I.~G z-4.J6'qj___"KS/wz3(le C! ޿&|+睇#wf/v3zO. k4dI1= r{ )"TIŔ0AGxKpΎUQyiѭ̀CdCDO&W!ȮmG٢$f<0cMҊڙ=w%*<\'fLTNtpR6h k-^LMШmP]K.Rq Ʒ}̩LoGslF.3:J&ofo]3|am tf.̼V<e[׏ O&Ļo5eM]sͥ|i4mUn=l'>m\qqtmv+Mt?K?6?v 7飬u󄖞?~ Ȥ !r$0HJ.׃ !g~X}ȎYY/^*`J̿b)#{q*g?i eR6ai,B ],K M-tP8`MR\ yE^~y^'M3P (~ݥaMg21hn'?j\'&:>P'c p~Lb +l0dMB`/^eLc>5EA]K_ \Db>m'1=SVHc bikIFbUuN zea&IpXYOn3@YAG%`* '5zVRS?iXk*fM"{Rׄ%# Ye4-ex⇥މm"V5:4uE @,>*Dzn*;[ ]4nuRXuB ӻ8Ԑl_FIVa/]V+mVĨSq~-%ZKT;;3hC]鰭v]xj?H nsP{vu= Ukxz>_IӇc}t^]GkO;t*fҐ3n# ~FL9 c5x9@/؆)p?pzHreL{P+pN+ުtlc9Up/<۽ʰw[CK_geN]/MZ N}{ KQ٫PJ,:W$}K Ρ endstream endobj 6011 0 obj << /Annots 6013 0 R /BleedBox [0 0 612 792] /Contents [6019 0 R 6015 0 R 6016 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31635 6017 0 R >> >> /Type /Page >> endobj 6012 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6013 0 obj [6012 0 R 6014 0 R 6018 0 R] endobj 6014 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 439.225 122.692 450.225] /Subtype /Link /Type /Annot >> endobj 6015 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6016 0 obj << /Length 19 >> stream q /Iabc31635 Do Q endstream endobj 6017 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31636 20690 0 R /Gabc31637 20697 0 R >> /Font << /Fabc31638 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nʢЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6019 0 obj << /Filter /FlateDecode /Length 3715 >> stream xڽˎ$.to>9mbL݋?|U]ӳk*$")"˗,]>-fl?/,/C_-/oq9Xo/a!= hl:c;f LAc_avNr4E}!)vy]S߿?/z%'jg\5%ן]ߖ_X+n1V\XK%,I]O Z|\ӑ>*ď(h?SO Zh`:zxO+5 00,IjH4EK8ӑ Ù;r"Q 3Dvp;!$GOpDpSc$0^4'({EĂ9d `e:v@6 3< k->|Di&._C5ƣg+)WVb]}ၡOg)|MK>Oв >>[y,~g԰IkS4I,>~ Dtd ipT,hG:NM|^C]~OvfA܌ŖT3+fb-[bŖR]9ZEy fbZ,TՁ#lf ;A@FB:K<7&PH h];2Fg=AĬeEwS PN\[9Ն. $^ 7pRyV`_0f+N^; uA!pDܿ2mi Öe8!c4H &;'FB2f雭[h?noYqW@DjѾhYUMRA[Rٍq:`TNǠ7ZhP07(@:FD_ .C$b d}G,DE3W eo}4io`9!ЋIxќ%=)~?tƳp۠nV#O \^ Yd9i:\6). XZjXQUVDfm |Z Ϋ ,!eeprK_2b!N6[Z+!&/A⒕\wSzf3>P4|*53Swewn&M\l/Lt O7Z^q)݉ZVlCg wYUTɩ TX\:~Wm9Ai?aTp,\'˸uxbވu/V249{(m wX5G;Oݮ fgށ{.J)a [C_K,B߳ui •mܭ5uajkvйm|t úoaPaTv jDip[5`FIƄ-ttGIzYAb-Ưpv?"9MӡCi?t)f-)/ݺMg*1Ia/M\?ἢLU{aZ+fÜ<2cYd+J2c5GidRU |Wz19ג4d qO If1G)GC6^&;@Sf#ق 6Ǵsrԗe]W6H i\ Zh`XIQŇQ_$dL ?'&*B؜g(V@$:C$,4Oh2Z *aUaϏ`G,ZAaV$bHg\U}UM}2˂\k>[= 0>[؇(B&q`|^cJ_Ma3aL+Pzи2x'°VmqQ_*[,ukck υqfrZG+*oZe+4Ճ Q-505Oͷ6=9[?pLCX[sa{;Un:ozWLG],;)KC5kڶy#;! W[(=W6P^AOtrQ'o?5f^/ 4奛ĥݪi_0(}㲋k[;5x֫n] hvWޛtgφO{Ճ}Tl|>Ჯ!6ij֍kl"US]M7W,\ nsAH0i?vA?%Z"eBw0]эVemw֎HNB:$P#Sn&,CR?&{`9Lr)&gx pGF:ɗ5h hB se䁼0yVhwwO}7أLtC+8#30隺?ˡŒĂd*@*^-h #S7q^!^R!$qOu6" $Å>i$▶3.̈́=^ D|PCs֚ [.jwlҜVkӛLT*lGH.AMvYu ) ~㳬^c݋~QtZc&@qNŕZK_Ή%ڜv0\`ԌI8@.mD{bhM86#颯|n siޮe,~?/H{ dXb򾕳)3X O퇴pѷ~HrXVw'lkn#䚬,6?Mg'X"$*x³c C endstream endobj 6020 0 obj << /Annots 6022 0 R /BleedBox [0 0 612 792] /Contents [6028 0 R 6024 0 R 6025 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31654 6026 0 R >> >> /Type /Page >> endobj 6021 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6022 0 obj [6021 0 R 6023 0 R 6027 0 R] endobj 6023 0 obj << /A << /D (unique_606) /S /GoTo >> /Border [0 0 0] /Contents (report_utilization) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 186.8932 686.7] /Subtype /Link /Type /Annot >> endobj 6024 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6025 0 obj << /Length 19 >> stream q /Iabc31654 Do Q endstream endobj 6026 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31655 20690 0 R /Gabc31656 20697 0 R >> /Font << /Fabc31657 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7%MЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1L endstream endobj 6027 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=482) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6028 0 obj << /Filter /FlateDecode /Length 1164 >> stream xڭWj,7+RzK`0sUW?*U͕޷fMZ։;MjO XS_,g2j`:o&IJre Cl1/5Z}Oz#T'*N,t-bF7-_sS,cJ$xHuVL=4S#1h>h;msA}9se;E?ݺ Ql9HQڵ;i`)va%tn{l^.q7`J{%ͦ#}="T$ endstream endobj 6029 0 obj << /Annots 6031 0 R /BleedBox [0 0 612 792] /Contents [6038 0 R 6034 0 R 6035 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31673 6036 0 R >> >> /Type /Page >> endobj 6030 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6031 0 obj [6030 0 R 6032 0 R 6033 0 R 6037 0 R] endobj 6032 0 obj << /A << /D (unique_56_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20210608081201-08'00') /Rect [90 397.425 124.21 408.425] /Subtype /Link /Type /Annot >> endobj 6033 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [129.523 397.425 162.028 408.425] /Subtype /Link /Type /Annot >> endobj 6034 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6035 0 obj << /Length 19 >> stream q /Iabc31673 Do Q endstream endobj 6036 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31674 20690 0 R /Gabc31675 20697 0 R >> /Font << /Fabc31676 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nʚӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6038 0 obj << /Filter /FlateDecode /Length 4366 >> stream xڵ<Ɏ7w~E d4(PloЇƜc[DTVVm9AEˏ,].,/`?_bk1'}z^NV[no}]HjSw1}}i=|{eg 3._|~lp'|_Se_ۢX2|ҫv%xZSYWe,2ubf기/-IJ" 'x3PyqPN~BVxu. Z~VDHx@'m|dxɜ> '{~V jRuC? xT2̓)ȤaJd 1Z/7 x_GX >OfM M\_* S|+-π#xd\#?oWzpc w ;vDKpV+q Z<7<ظշ1p@Dqu|>= {"K9ܘ a9f&27 /:5iYu!d ,0 Mw( !^ӮXSUl [ݶtT ġ5L yOՌzp*ˣSQNeyt*ꝩxrm Jŏ(*o]C0j<:DRyg#9!ГǽrYߏeѦUQE[ӉwڼABpͳ)Kh]|,!ԎPfK>=-8]-(M4M.Ëfo7g*@w=!kE%%_j@1GWLO2lPKy>[RX"9& > M u<AZ L(?`"/ 'EF༚*3%( 2+.#+{ lԱjfB0`m+!߶*Ge.oݘ^A|*51S-wl&MXۉʞHDku)QǞ sY M<8<@%6+K*~DnQ1(!nIU[ j戎ɟ0̑CA}P$cR Ўin!oHzJ4՞ϑZz3H3V~$&3yVVQDq3XZ\ >4zСrvP"` 4@'GviP*PqoHaJ$TlKf'@VqDC݉U*aЪݑÞ$kycrѓÐdX }.ϑab]05%N^e3(}D; AN<7iCoY"߭6hVpԀc.#tp::J^-D#:uxԔ]ۈm#F5Sɻ<pwd,xk~fЇ!R[*vt|go],X|'n mYҶTMYszqOt.=4HeL޹(#J@^9PluYD5GjܦPn lpK4 h bPUd4طX q]ڊk4ҕHdG e4k Qٮ 1m&e0hg Q@CJ̙qik.K b@5  u$@m(UR"W]$mIj:]h gEnmmz"n~wReRE*Ih&3r0g+"O'"C,d!]*;UȨ6d`QC'S Cg :&JD3u:Nr:I7<3Mfa8Jhqz#) O9Lh0`}]O:-vONb#6:CvƋV+Bժƕ Nf‰h*XT l| A}>뭎GUesROrlBXiш9f;upvhI<52@ǣY(sN> $lɇ>„A!S9B= ^/e&eMdArH%ݘu j诶h t-,'[m[GQAJM(yWrɩU58#ԖBpf-rF!=ې4{=R oU0uuujߐg)e4n-ٖ-j1{vr|,\=y W& V(m`4L^{\v3/:79.cb@VePqm]ϒVabV9= ftzAmlaRۉբMCrxK e} 6~ũ13H$y~cّ:MaPg7Ctặ -me d^"=7cqkJ%L¸˪3<%ȕ;mVPvر_][-(ј:&qyHakmy Y!KZm#nцd {{"V:0ZzIcaGj 乕pWwB\&,tOc>֎@en0P#Qn&^94HK)[,ޒ : y_*WȚ5:H?Ppq{ (zP>!|;§>*|}JlY X&+u_&^SS7~9:#=HOٟ* *\-ȅ"|&_W7hf˻"`}ѫKр]ZI>eT!XW$h0rasC]JՔiP$#SQѡu+pOZ|J㇔\rKp()k5Gw/":URǬPV*cɥ^Tm]b+Yt_sM&G OE$_&eެoMtR:~Un7NEu.=o ܂7Ω2[|]1kѸ7>JwM.n}]ܨ. bRDQ\Ǔn 7vsrpugBm phC8$ghrFo}j =oPΐok1{u4 Wpl:`ծt;g/jtTYw'efw_ Z2Mg,Sd]+ rq5*q* endstream endobj 6039 0 obj << /Annots 6041 0 R /BleedBox [0 0 612 792] /Contents [6052 0 R 6048 0 R 6049 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31692 6050 0 R >> >> /Type /Page >> endobj 6040 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6041 0 obj [6040 0 R 6042 0 R 6043 0 R 6044 0 R 6045 0 R 6046 0 R 6047 0 R 6051 0 R] endobj 6042 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [104.1732 543.0346 171.2677 554.0346] /Subtype /Link /Type /Annot >> endobj 6043 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 526.8346 184.2532 537.8346] /Subtype /Link /Type /Annot >> endobj 6044 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 510.6346 161.3127 521.6345] /Subtype /Link /Type /Annot >> endobj 6045 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 494.4345 155.7467 505.4345] /Subtype /Link /Type /Annot >> endobj 6046 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 478.2345 154.3497 489.2345] /Subtype /Link /Type /Annot >> endobj 6047 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 462.0345 202.8872 473.0345] /Subtype /Link /Type /Annot >> endobj 6048 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6049 0 obj << /Length 19 >> stream q /Iabc31692 Do Q endstream endobj 6050 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31693 20690 0 R /Gabc31694 20697 0 R >> /Font << /Fabc31695 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>P endstream endobj 6051 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=484) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6052 0 obj << /Filter /FlateDecode /Length 1929 >> stream xڭXIkd{}QPj6̜dS2.ŒoJVۈR%5z7QS{/vymnNOOfO_ץg9g|+>>Y?`O'\Lpscw΅}fDyTX7eOZ.6w >we.\\vc({}뽯b-D„Ǻh6p8;FcYxUƷb&?qWp’yZr9k#T cLcgKs-U)vvZZ Jx\xOwin5$lQa.23&pN}8X{˧ E&>W}vT?ɠZ4SGD-DqS>4OGf0C=rȷw[',nkuAcЇGp>ϔLG1/:bx$t4cz bCTeu0+Ȏb?Jȗ8 E(vF1='@_͎]!e~ۄ#QH1!e'Ř+%:}Đ}3&RojY怞fl a}߅Kͬ=9iTʚF|)/i܋TTTԷӌ{^wXϙD >Sxy01>/+Sz3t@16O'N73kJc2KIZPD+ip$YHIa8F*Am{Bݔ6d/3j%3[.#naLt9D/0,ܚ4n,&=/'肱o{m]Vz%)o]\DgCuG<` DmUOMe-mʐJ^\7nJV ٢^y3VV' 2S˺A9R}̀|3< K.#.p/t5 xr K_6鷏8"ʅ/TP%QY/* e\qcy:\Xiw~{9bր9ΨP4pt8#?]hhO_6&0M 8ҽsi,EDFA#uVEn>XZ\4ҍ9y,% endstream endobj 6053 0 obj << /Annots 6055 0 R /BleedBox [0 0 612 792] /Contents [6061 0 R 6057 0 R 6058 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31711 6059 0 R >> >> /Type /Page >> endobj 6054 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6055 0 obj [6054 0 R 6056 0 R 6060 0 R] endobj 6056 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 372.425 140.952 383.425] /Subtype /Link /Type /Annot >> endobj 6057 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6058 0 obj << /Length 19 >> stream q /Iabc31711 Do Q endstream endobj 6059 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31712 20690 0 R /Gabc31713 20697 0 R >> /Font << /Fabc31714 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6061 0 obj << /Filter /FlateDecode /Length 4881 >> stream x\IoWy= $rrR2V$ٓCM6Y,Z|]̢BT>dC]|U]?/{5_ίϯ_~XMo[=aOWƈP/>=矖^cWKxZSY{eW Ɵen1jKYBYm/ Ow:E< Ah_>I3igg CuX  ;؞@$L{bs ķn;I5 X`@ V.9IdV,hoLd~w 'A/<.awGY@*߫HTBXаJb&SXwY0d..էXLֶ 0& I{=u$RBuND= {5Xѧ+B-<}&]5e ?+ 42xĎ&6RX4n}blUؾBny@>X_aZA|ѧ~q4TƁWnTp&b31ʶ@JX* cEv廁ݳkv)&Q/sbd ({㓀xױ5<[sbXqOCBuk7:\T31ۑ3=a@++29D)+'֏kv\=NScq™}NM|lp3(Ɠm>c^v1;`kT*zpWm-iXgE`$5{~LI~ƷSJISjH1wU:u}&'ߦ#Ws?ȱƩ8g1-͜L'_Bp JyDcQyqvzzby3⨋C%fDoIQ1GUr@ Pbh) dWG9TQ&o`XȋKy-~Ro0[vJj׿r+d!;Bh$NiЬƤ WI&`۠aVSo25|mLZןREL=DyΣeR{_>YB-5QKO(YVn-%!j0ab"cYq9ܠ>JFՌXXv-hD:y =ߝi6tuHSX.fC٘ 6Xώme/Et7˟beo1H"zpY mx|gJr1jo9W̟IQͮWt'D`TFN[3t 9=Yϼ[ӛ65Vz[>:A5Af9Oݮ ;[>:LT*z4z^=YPGYu4۸t j/r0*;;:B@Nz#ɮv 2,mފk(yvጜs*->ˊ| źPrDi'w]XvWeoN.K^,_|˸R8RRŜ[ZlHJ~S-L-p-JX-h'Q*5ESVl2F(31%mۙ.\rwMvk;88Q?wfل95N9jvSUᙍ;K{N7dPB$IViBIK5kf|GMz~\RP6ƞKUڳE$r`Dd9aFer(QuS,AOgiE"2Vrd%~d Wf a(~6?r/$ȌwxY a }D7J24֬ =8kAH_TXCjk4 v MniS'0ݜ(2x'g@^"s, >#_1]8]f2>.uLlqF/e] S%#XH]<+;'/ <1̮%q@{M0j)(/LXax8:d]wT'3qj[b]e!؆$㪈-fOmb| z P(kM H {M ⫺y)~w :UDikb KH:~!.ep{ |#]̚9HmijDP!c'xY`dlВhR٭:D>𘊦sI:D>řJӨM 8pmKotr i.m3t\;8e vtVIJ<_9n]zB?C nDf53JH-BDO\ oJU"2.6wy:=*˚#,u)|j1_%|TOQo [Ķ!i=. UvWam{!v2DFpzme+[+Hf5\ެlgޖ4p:# Ɉ5J 1L[^w ,譋8{mw;?ivyK(Ғ 7cnFUIl&6hT5EbA70kg93sGv%U (k7Ȅwq~o/tE٦tܯ'D~smv1 85jr1;P)E2h!ђ=Kq$!̅D%Vh-3)r H{Ɏz*$AN Qߧ|9Y J~~~出T~KCFiGUC6z6+|T~`I=_>.yǖC>XJ4qR3qcդ~5"ssOL[N`_phzv.{y1B5|=~kˆkvfnb坶<\{9rCLͲ>F0u=;\N+j2)G a{Zc9i5r9 *}syIM763Du\]1+Q>5`2$w_ٷyW!)/@k_h`%@D'v G*rHQR.5w&g 7{B,qQnyKת2ˉKwS s&E_8<Dt &uA4ol-S2LӥP‡;DM ~\#zl膪pIP7rӿi^ީ1OrRt?ُ4m}#! dfT귵[2%j|\&v%6KTr3kQ˯6uBQ 6McNLג/Ic+ƶ >dWP}fT-\S)k4+Gi\0XqHw2- 2t*ǻ b8lMѺ psu|03 j(=ޤ`#&,Qt/ s>pJ} KH}yIEe@>cmk1lZOá&\\N`'l]3fpp1A swׂ}ɡ9f (џ3T;So|fߣ&Q=.l极qۖ:_vp*u\n[>7]6ެffҌvASY Bbj7 _LIDH. @W |*y] ^b)1oME.I0N YR) fּl*X\*}}fB{Kdyv Juen0V@*@OgG~(rҲ~+F|sXMkbლZKQ:}n4/6׭؆&4eX V7nj jlUDvd1^&?dެoѮJ Oy{o~sC$4Ω2] n\B@>UR2U0{}v0Nro(ԥo*~);_mG|cOO8%{ο0=RD#3+?㨯2׹Cmo`пJ3螕闕iŽ:\+2Ud|]ҠR5({|iVt mo0i7W|knZ!Se j>'% %& endstream endobj 6062 0 obj << /Annots 6064 0 R /BleedBox [0 0 612 792] /Contents [6072 0 R 6068 0 R 6069 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31730 6070 0 R >> >> /Type /Page >> endobj 6063 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6064 0 obj [6063 0 R 6065 0 R 6066 0 R 6067 0 R 6071 0 R] endobj 6065 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [104.1732 316.9654 172.3292 327.9654] /Subtype /Link /Type /Annot >> endobj 6066 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 300.7654 159.3712 311.7654] /Subtype /Link /Type /Annot >> endobj 6067 0 obj << /A << /D (unique_684) /S /GoTo >> /Border [0 0 0] /Contents (report_objects) /M (D:20210608081201-08'00') /Rect [104.1732 284.5653 173.9627 295.5653] /Subtype /Link /Type /Annot >> endobj 6068 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6069 0 obj << /Length 19 >> stream q /Iabc31730 Do Q endstream endobj 6070 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31731 20690 0 R /Gabc31732 20697 0 R >> /Font << /Fabc31733 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nRathǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 6071 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=486) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6072 0 obj << /Filter /FlateDecode /Length 2744 >> stream xڽn%ί@E@n!iaHF&FqOX6}/cUוFL%"Q?AL}$\v8$JS!1(kay;a ֦'T MQk847D…Zbx!'#ӋƽŲSb`Ρ)[t&Fu`=sƱ A`| /HV,VS|%+tT`G~M۳\rVbrՃ+>+z& M0wY ʡQ dc\=MO?P,@G{g#w4i@ЬnL.7qOs(k"IkvY5Mo!ͮɺBԬ9PZ#Pf 5nGWI7͂}ƕu4Q6Ƴ(1hm5C0֫U7\Ga5DgRhNG$M)yj$4Ta' -g#0 +`Z ;B >T\g҃nh"ꐍCIYwb(PpHCNi;#1b>"yN90Pv!|ʩ.LvF%X c†*}s`R/0C(sDL]o'{oz4jbC< sLҔDTkTY X:LD_ I$^-$z@juЅ@_%H6W P[2&ȼWHטr,A4 1cJDT',7Me(Em WP[JUc%ʼA#-~MPn& fx]ܿKw)KCFf )!"9tT[}jEeJ$!r4 V<܉"Txd 6%IA3 CI9g&rPjW5CHkɜi(2#+P3:dy븴Q٣|O11OG@KGʱnR^]&P%%diŸ>o!>VGZ\WI?~7Մj ik ^NĀkj`HGmd }1_#wg!B絥rEG=,Vϐng\3Yr nt2$`}[XrruM~7#xuI7B (K6̘#m׿Ӑ;sBlzgSt&(`۫ఖ(/^=fvYf%p79/rgq;NQ|M,l)jh7,௵ 5=[AJ{IGbMS]n yl' }Ϫt>R\&+Ɍ"vǑ[fKR/yk'nJ`G;$0-e̍9މ}!Q5ԵN Vld,M (Hd^lmVu*,2UP. "f-BG:J 6==Koh) f|&ImnP&}gB~`&V !xy?òrD==#ݽ2iuu7T^IP^4BmE&dQjyd@֛Kzd;@ +iSMG^*i]I^N7RO >ZȪrO?IOZְ5ǚݿcY=qGi+~>Ho]%xTWpA[ o,Tw?J"a~QB3&>'hF"IiJ/3ia/] &DȜ1~o!G"pʬ>{x0"^?Ó?ԒwW$1_~{7p97x.n UC *: ë5Rl2Cgdn*,N3A}YtD٥ endstream endobj 6073 0 obj << /Annots 6075 0 R /BleedBox [0 0 612 792] /Contents [6081 0 R 6077 0 R 6078 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31749 6079 0 R >> >> /Type /Page >> endobj 6074 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6075 0 obj [6074 0 R 6076 0 R 6080 0 R] endobj 6076 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.425 137.278 383.425] /Subtype /Link /Type /Annot >> endobj 6077 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6078 0 obj << /Length 19 >> stream q /Iabc31749 Do Q endstream endobj 6079 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31750 20690 0 R /Gabc31751 20697 0 R >> /Font << /Fabc31752 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-ZݛX endstream endobj 6080 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=487) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6081 0 obj << /Filter /FlateDecode /Length 3907 >> stream xɊ_ʉ}FUیs0>i1Fm.}%̬FΌŋGˢ NI/_RgJҿ]Z>|Y>,҆wIK|YNLk빎}޶:_]j*!N?U|uyA|Oz8iۋO OIiTէMrFOW7,0i*Nfqi J#eRX=2ЬK?-Sxz$Oa`>5Å@}~}+i0iz2Fq^*V`[y4;021Xo' p!&ˤ2/a?w%"Dz͍8 ORݨu#֜2G4ݮHLӟO5[>4ىV14J 5 8 FT:oC{~ d`KOE>ȭ4)90J5D@d)<ҷ+?#+\EK͋50j$KyM ê>ӤbiVJB[FRq&6P TflA0 v6ZZAP]ز(8|,>O^[h0C}!^k j#i̬ίj܂{qN(l0Y ]΢jO6rT5nH+ca 58=RX~+N꣹N^v^%H@*;?q'{(J*IRoԫs:&`,F8dгm{=!Г'C?YL8Y Q<+EmwM19g<2TU\рǸ5j  " F ~hI$j9Â1qD5FIb*/)~\` 9wTս~\g)Uz& jR7oB53mڄ>.Fe$e6V LPX}+չ∤[P-.Sl;^:±ÚDTgԠ 6*ê6V󨸱jo`֗Bt `#5[X`F~e M_k!'xhu`uEeG )}C(4y?TCh0J)xɉT087Wʡ{D3jΖr#4^uQDMKB"C;ͅX'6h7い<*XV*.%s݌bjZC!*2 bjR0yg*#eP,.P15'a2֭\WK 6tr[AlQ駋3@+K.Vikݩ*[ Uěn7l XqFVM"K_OK^0l\,CG7ėXXW)1 ۰ D!!ݒ6u:bpKan}:ڎ0̞]ώv$#NӸvx!Ond݋7 ^gְ=hQh5 h[垉DF]C護]'фɂBSөYa&;h W0~@3k̂B:6Ԁ[`M1a  l|uI9D;CiydK9${ziX˅YC =?ో$n /ʂ0BḨv0ε/4G` .aӹaH,]AL2Pk(o1QJzث'{a!v_92— 3G].CЖ,z>ӽ|c^km#\96گ8> 3H&\Դbqڐօ5::rD Ԧ2 MZ>ho$()<&0 3z9^\ő!ZcrG )d!sUZzҍ|Dzߕ&ìxVܥ4_R9O\ȑ͈Q}wSa7I@]kU$z+{BHdfղ/bDFڀ /q)<*F.^> K?d\Skؽn]ۍ,PԛF,Mb~x-NZSZX^\p(::+[Pˎ [-!Ɏf t&љBhvU`nzsw׀v֬.\X~ckދ)ZP!N>d{?(= Ԇ$2L htwj6.@5q7RUx4[X#ur索!{7M^g^K0LQ/,LI^vDrœgm]K}~ lIp; ˻WsTdY@UR5-ZCqr+3Z)teji$"Q跲(̬rAmX]Ҵm6dHٺ?ZPb6jXbXE^:ζ|%!5]tO Nx[eM1f9k7}̲k6'hNv;ٝAi:bHV8yΙ}@jA nf,N$Rn){yX薺sCMjGƕX(:6Rwnz5I?&c@mVbbYdBLumGT;düB![ʛ.5[k;fp!m jl3 n~3_|Y`+ӑz \ߦUF|9:.>1Q;}bn آd1GIg`exΩtrx#WŅ5p'mځJ~s[\@ VOW J\l1_ތy|@^/߀<.۴CX GtO}֭Z@98% %6DD0pU+xF0yE %ؤsJj&X!U&_Ni!.:(M!Xp '0s(e ߍbRX%Gc-`(cSҟ(.*W+s#J#1 OȅY9__W%U8E&5 g=Ssu) 3Js.Bcl THj-!`}i=w1u=Ώ\2!;aI)"?֚s#yt+"2UX'RìT:W<%ZQZ{^.hfѷ yDžϕoyq8'RYd@2tlM+Z kY7[^LmL2vnKǐL2(9´L LNh|T|0= %_'e}cKLcJx+(G]M,CH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31768 6095 0 R >> >> /Type /Page >> endobj 6083 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6084 0 obj [6083 0 R 6085 0 R 6086 0 R 6087 0 R 6088 0 R 6089 0 R 6090 0 R 6091 0 R 6092 0 R 6096 0 R] endobj 6085 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 350.2731 194.7032 361.2731] /Subtype /Link /Type /Annot >> endobj 6086 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 334.0731 222.7367 345.0731] /Subtype /Link /Type /Annot >> endobj 6087 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 317.873 195.1267 328.873] /Subtype /Link /Type /Annot >> endobj 6088 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 301.673 190.3747 312.673] /Subtype /Link /Type /Annot >> endobj 6089 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 285.473 184.7812 296.473] /Subtype /Link /Type /Annot >> endobj 6090 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20210608081201-08'00') /Rect [104.1732 269.273 212.8147 280.273] /Subtype /Link /Type /Annot >> endobj 6091 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 253.073 200.7202 264.073] /Subtype /Link /Type /Annot >> endobj 6092 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 236.873 228.7537 247.873] /Subtype /Link /Type /Annot >> endobj 6093 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6094 0 obj << /Length 19 >> stream q /Iabc31768 Do Q endstream endobj 6095 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31769 20690 0 R /Gabc31770 20697 0 R >> /Font << /Fabc31771 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nR ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6097 0 obj << /Filter /FlateDecode /Length 2850 >> stream xZKoW0|?a͌f6CoHԃϞْ 6ZdbG"T*[lKӳKc1EFsUAk(klKleqw4&Tct݄iUL MEzTԽ-j1ZTQ7\ -1D ӊv[q{iLqyݺf%;M.KY(O@0sk 61{B{&iֈchc8hSDg78ƎaA)?h޿SsrM/"MuqloMDozzM:mb$dPf՜_vA[n jH -ǻ4ˁg!>ؽi{Gn@Βmњ`Ek֒mVڈ6>G~ѷͭ88Zdcy; isJ[1ܢHݼiFha/̺k_mc6~prOaƾUeC|-8o b5v=W'H_J GY")41wTP(-wR̶@o0[.'AB; Ma 9bx`. _WA+OlCHX8~w%VO$@iLWmqN6F.W69+L+O `-4_.J!%]Ͽ߰ħ"KS[X]$ؒ.%y_~V>+:8< +6{ -EQ|5%^0̛b?NؖZz۵ӻ`:JQr[[P s!)`&2{ẢKO[n zfAM]Pn715וVBZ0൳ܷS;8YZNl\PD`sl'Țc}tP . twTW>9[*nv:J:^o㼱.g:SyLOQ q;1ޢ/'sn^_jJ;ѯ{_̌~%5lIMď0y OtBTχ+~$go-1!xyJ-=K@M6`_ 2r*1;xr ?$Jۣٱ\kCXPb %-Q8Uz|p& 8^gv)_[gл* MI "TI4ڭuI&[ws>_[Qz])u涨rQ|>M3#h8C_b57toGvBf[16ع&!)pi.[ivEK; Hvl9Y,"n#VĚ"4kN\F,L/ HcL~ 8zOzN+k6}/b}iLew+I()MY={*VM?CSŬ۽5`pީk# Y nR Lk MM]'fΒ#0(4홈vh(nl+GQĵfD3GF% 6^j05sk4QTW'ot'qimOfh$!YҼ ZӶG9z,\g&oQskpucjl}Os˥it;hM01LiQxVK[/MeAЏMgݮ;B ӻ81#Hr'm{ψY:ka[+#s*Wj`ŽF?\ !bmjŶ:xVɚ)-F>Ri?:kzQ\es- Z6pO*zu=]JKh!Ł 0gy}Ԑk 1zJn&/WKP #8p,jiOdm S,< *s~`i^ `GϜ޻z~4] >NuJ7 ڮ^V:d]NL'_} endstream endobj 6098 0 obj << /Annots 6100 0 R /BleedBox [0 0 612 792] /Contents [6106 0 R 6102 0 R 6103 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31787 6104 0 R >> >> /Type /Page >> endobj 6099 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6100 0 obj [6099 0 R 6101 0 R 6105 0 R] endobj 6101 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 372.525 147.728 383.525] /Subtype /Link /Type /Annot >> endobj 6102 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6103 0 obj << /Length 19 >> stream q /Iabc31787 Do Q endstream endobj 6104 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31788 20690 0 R /Gabc31789 20697 0 R >> /Font << /Fabc31790 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n*jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?M endstream endobj 6105 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=489) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6106 0 obj << /Filter /FlateDecode /Length 3719 >> stream xڭ[Ɏ7+x6PidT|Ge~b㖙Z+$ 6_yuuVǠ*C?韯A;?5va!e?=>9OSkO+yXg+=*umlO[{>?|R_ MV|OP6KZ 2gvvMVrBh{mg}\ֵQu&V L"1+|.X97f5x.1uA?I# tЈkGDPPLDqҺ<ɟp#vo?h+ܿ=0i5mfH3#.Xnk 9GcC10pqbl2gmuolDa"세xKp>Dvr} $TmQe ~QFۺ 4 g@]Wgim!]lgc=q%l>ybh~hJU0`n)>T5HH@gYU- YtF^[)cga'rwWmNl;jA&K 0 Z%]-NV5muJP58T-qG30 }4[ozfo(҂P50JȰNX؀39-t)͑̃Aӕ6  v jHi w<Ѳ4DѮ EF1<_,aX hCJɪ*`7P<2 jq^P] Ǖf*I l ީow0'xͼBk!Ha V~I&| 8MFˆRkjX^F]/FK hU`f-  V}PSea}8 +Q•KlԱiehxẗlVz!~ρMĞqwzbS>׃Fi LC Twm#M< 0J%"Zu1bḗ%vP8Pqe=oڦ015KX0NR⡟~7=z \1 ɋƃ_<Ȱa)rdM7"55Ŵl^ds9Fsf׎6* ^Pq>Ү~sg%c䮽}E1y="}.珧y*[]/O/cRJ<M` %Fkw]8P5P;DWԔڕ,O o *S n,G=[,iP|W~aY'ZVG`T{VF^eiR|-ERH:f-U* ΂՚uPol.|F4zKN>K;uب:PwFuY(/2ctBsU" j_։A*sWЖ ~Gˠ] 5R0:9@_*.iYETcw LvF}b{%l]6983:QD5)iNMBflt/ %oWfP]rե}w>;[nN2lM 4UT]#_.9NnmVr}PV.ip1AؚSBt"rq)i^؏ E I3IF<N:lUe]\vn GϱmM`b&g͋w-z=7ҭ`'l-$5,5^ XOA03 SH 2xjEmjS`㒖m>jhIA+r# D<-kLV [xMw5W`eՈH=iRj>:7F ML[GӿM؆X Nƽ:~Mz"][4 ލD4J&ָ.sW9NC~UΛ!s3(q~sy9SkmZl"r;U%5Bxp`nnb|%Om;cqt|Y}7lL.x4Ʃe:(=Ac-.3ū`|FuRM,puVzbB0OΕ|Lb_gEJ{c#qV!pWe6+|5Ig[ʹ d?Kcһx*BTCs֊m('<6},܋ T\e, &>"΅/Mn29\T} 1 {T9(jsޥg͞<>s4L0e?DyBuH{˯?1Q'үtdLgC;N@\}NsgKʕN<t[ Ïs(5[`_ )$FG[7Fu¾B90Xx=1x ߏ-w\鼤;_<šO2) 4?Ɋc(]_BonJ>*U{W 벮/E4ޖA7Nh\ Ct!3%| endstream endobj 6107 0 obj << /Annots 6109 0 R /BleedBox [0 0 612 792] /Contents [6120 0 R 6116 0 R 6117 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31806 6118 0 R >> >> /Type /Page >> endobj 6108 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6109 0 obj [6108 0 R 6110 0 R 6111 0 R 6112 0 R 6113 0 R 6114 0 R 6115 0 R 6119 0 R] endobj 6110 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 334.5769 202.4252 345.5769] /Subtype /Link /Type /Annot >> endobj 6111 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 318.3769 181.1127 329.3769] /Subtype /Link /Type /Annot >> endobj 6112 0 obj << /A << /D (unique_369) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20210608081201-08'00') /Rect [104.1732 302.1769 176.4487 313.1769] /Subtype /Link /Type /Annot >> endobj 6113 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 285.9769 162.5502 296.9769] /Subtype /Link /Type /Annot >> endobj 6114 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 269.7769 161.6427 280.7769] /Subtype /Link /Type /Annot >> endobj 6115 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20210608081201-08'00') /Rect [104.1732 253.5769 167.0492 264.5769] /Subtype /Link /Type /Annot >> endobj 6116 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6117 0 obj << /Length 19 >> stream q /Iabc31806 Do Q endstream endobj 6118 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31807 20690 0 R /Gabc31808 20697 0 R >> /Font << /Fabc31809 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.n8}{@ VBqǮ@{̴RS;߼q77 fڿc}Lh < ӄ9pZ [nAӡ~1OE*(6n;|/P9)(!J2Y@#f#1BS4]̘ҔJ)N̕'S Xk9dAc-'Cf'd~}QF䴫3^Jم1&Q@[P@A QB3Wuœ}"juZՇypf Rwm-־0ZOt⩔LHB_c.\[u8>϶f՟EŐ,쫨l)ld)̡dXx<30́s r8gXr^8`s2ҫE+r2$R9 )˲C (D> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6120 0 obj << /Filter /FlateDecode /Length 2822 >> stream xZIo+W<:@`IM` .SnK,0db-_UV-W|ӭtepꧯcO1^[Dӷ1Ggl#/ @+&7Ho{c36<]&Y.Ds{I ;:»Swi Kk{ zڹ &Rr`X8>A+Mp ?a B]+! x@`oJjڙ}VDKp~^¹^22I0 2XbTNMhl wVpNyPkJDzAPdкfJ, 8A9fT%cG DVk1]$ߪyr TQw:Oi/=&,a m6njG\e;gq 9|cw to7Dz#"s; 7cl T_M!w@-N1_CGMbYLLxɘ:d&7yw5t; Mcp9(Dv޻UЌdjc=2\L l,1OMl^'}cZu)ZClƌ R-Jt{w3K'N,hPanpvF͛ l=_*lۑc8_٦l)1]@? q Ղ7aBA& { qHER&p*򆅊~*6wߙ-m'P%%/foGAd@q*3H%q&xϩeki#{5%}Ɛ0`I n@ǯKr5u/$==]b O$ˏ4+>%w5n+)x<>4@jo)qIh}l H_ҿWJys$fGbe5\r!}[{BLBK,B%ݙD3"wX~(v1hx.2waK␲Q' @jfVɵ?|I 4oǟ)ƕ(p5PhsbnU/, y[ R]?Aւ"{;y#m(rd[LoN ,(G2"QyeBJ( Vk;ϑ;C[q{r9tBʌXj{n&9H/+I?ʔٴ7-Ӊ0< m!y s3b 9iPv4Hvͤ<Z`w-v,f8"0Rf87$-/dq6X!rWwqAW"6>Dm疐RVY1 Z˨+rD'= kO B=E왴 u6}j}ĸi] խ '3 Hz6R0\TTfKE#imɓ/h^V h(~ص>3$FHg75L̊͒G],o 홈i}f'=<;yUp4E\mN692*^ȶh)BV!?M@ |$7ִ /dQc Dm1qn 85RNޓ qnj6 f .ftsכl[dAU'5ٶeBo/8KCI NWR_V#`Y H_>1nbm@zWqX"\td g0LG\# u1 @.O.Fց2(d*y ~9I(u1! z2ƞ$]JzICN,@ V!qr|sz$,+܏p\YN6|/pEih_9o<5 ecsC/\D`'^7] B*긏{_CANX6/l7"[dAD}Pk"/ endstream endobj 6121 0 obj << /Annots 6123 0 R /BleedBox [0 0 612 792] /Contents [6129 0 R 6125 0 R 6126 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31825 6127 0 R >> >> /Type /Page >> endobj 6122 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6123 0 obj [6122 0 R 6124 0 R 6128 0 R] endobj 6124 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 372.525 147.728 383.525] /Subtype /Link /Type /Annot >> endobj 6125 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6126 0 obj << /Length 19 >> stream q /Iabc31825 Do Q endstream endobj 6127 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31826 20690 0 R /Gabc31827 20697 0 R >> /Font << /Fabc31828 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪH^|v,9)C ( 'C9PmтGŶ1N endstream endobj 6128 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=491) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6129 0 obj << /Filter /FlateDecode /Length 3679 >> stream xڭ[K7W@| 9k `O&}ٿ՚StJ,DNJٺ6DyT??|R_i8Ƀ_t4?Xmo?B/,i-,[5Y J#c絝qYװ&%X4룶ird`Gb 3tvQC]b""/A<314O CI`%%Ay]#4?-x/kR_']ƲMۿ?U,`jf % >9#OŘğXS\ߟHol%ahW佮+0@s5h^w~ Z!3B&x(KuAh:N' umIz=~8l,#|6 |ذ}v1%"hd,Q DMHxw8klx6eF9GIE ԇD3ihijgXV0A}a%Q߬Y\q̎To+[Cpv[wث}0%^PܫLei+C@*-(p般QB ߋ I$gDf n"e1>T {( 8斏e MlT42b).@pDF\s -D}PweL>Q3[-#!JVcY7YWŋT!AU0._ hZymԀk {4BI5QbUR.kU.lb_>7{,Pk wK z`g±cO`YaN bqeVbEMݮ2wW?;d5ΦQW+l*pt|a,C* dAq$g%F@ӕ  6- ($_hYh׆`{b8Q \%l K6ЯT Q<2 u54𢖔_iPȦƔsLg=KpQ͜*؟ ܸb귟[dn9dTl(&e{h-3 *Vwe4kiXHRTYX-%a%=JRyM7  /\r-J/$س9*!q8\ MH)NNU4TUnjn:yCLXח{qqպQiߨ{N.e:4xpJrU{\rq69VBv +:ZؾWuÀ@q'LsDuh9fp;jqk𲥼ú|7j>G@v+ͯsS\iI50[_KT^93wK9~uApeXָT+ uAjv..(to z ܪ>C Twm#M< 0BN 19`8^vbm(o&Oc=:&15D%烣Զ{xg;mxoGzkaCa?.n8=LGx9;/ SFvOe9y*ʺ`$];^32aԶ{I`ow/8ϥߍjBXΧdYvkոڠ] o$@LBtPG;.~I!ۀ U4QqU22$`bsI{iiK^nRL:lRf+A{kIHVhZߴVɶ >sW\]ZGAVfw=B Lwdg}'XnaG2]m="rE^0x)4mq*#Ufly={.QP8تn5­(շ|@Fr`^@I NIM fX Hxfө6Y[F3Ep$;ڬj;?/Ӱ^&LoFaC{}q8Ѯ*\ՠ9x  W'Sm=FOt~ᾅ]K-){Rd1R~Wm)Iۺjjr_ Clj//0.kQέk1tj[κZ]v/]VSaX&YSSg~8:+=1qJHJH !#ߍL4uYޘHܥxH.Ua"5e.3GZ/8N)D9YiXQoLl] 2V4CwKJ> Rvnex 렬_z޽`TJVlC9Dc^Tm^*`YteY\&#Eէ* ~SݰK1]/|ʽ5Gqhz)åʸ͏+h\!Yod v K?s'7xg}X5N&3_to{fTmrg~,Ciz3,me2.+8zZoW{nnxూQ!qOXs,ZMz endstream endobj 6130 0 obj << /Annots 6132 0 R /BleedBox [0 0 612 792] /Contents [6144 0 R 6140 0 R 6141 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31844 6142 0 R >> >> /Type /Page >> endobj 6131 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6132 0 obj [6131 0 R 6133 0 R 6134 0 R 6135 0 R 6136 0 R 6137 0 R 6138 0 R 6139 0 R 6143 0 R] endobj 6133 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 446.3461 202.4252 457.3461] /Subtype /Link /Type /Annot >> endobj 6134 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 430.1461 181.1127 441.1461] /Subtype /Link /Type /Annot >> endobj 6135 0 obj << /A << /D (unique_369) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20210608081201-08'00') /Rect [104.1732 413.946 176.4487 424.946] /Subtype /Link /Type /Annot >> endobj 6136 0 obj << /A << /D (unique_370) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 397.746 215.9882 408.746] /Subtype /Link /Type /Annot >> endobj 6137 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 381.546 162.5502 392.546] /Subtype /Link /Type /Annot >> endobj 6138 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 365.346 161.6427 376.346] /Subtype /Link /Type /Annot >> endobj 6139 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20210608081201-08'00') /Rect [104.1732 349.146 167.0492 360.146] /Subtype /Link /Type /Annot >> endobj 6140 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6141 0 obj << /Length 19 >> stream q /Iabc31844 Do Q endstream endobj 6142 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31845 20690 0 R /Gabc31846 20697 0 R >> /Font << /Fabc31847 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE]^|v.s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6144 0 obj << /Filter /FlateDecode /Length 2403 >> stream xj$_LǾ(u6O{)Z}-2"SUeInlTflo_ZV7+W_^u:],N:ʇ?_a(ʙ9V'c}5Ƹ~''*.jǝ.2o 9zϑ{axgIƦ)!. Zf[j $"x}^8kt{?@e3GJ ϵo"\#x_9'kLjk;g sNKe+)MvR/ ZEH+~a.9,^bˠT+qa/S5]S%N;- +P$;?Z< 5`7b7d\HsBQ" d ة9׭nu04sܢe"Qr7Yqdf4ӈkMg`жO$u@Ld}h6'؈;*7R+;`L(i@ᤴ&@36 )Jye"0bU0t"A(S$)s#:W_^b-k]}ӧ2ߔ-au//۝IVĊYՒ'6lΧftr:g?CR`FAj0|݇W1oI6ٕ~ 6P7ԡa LD{c{'Ҝ]F^ dRT7ˍ0F-Y Fm|k:X 4{@Its|V=HyLܭV:b6?*a:?`5DrF]'g>B)o߰3N)]P3u>ᾮW ]' 8߰[VH!ƌe~_zkJ]o|l.0VX ,5I*~Bə'Nbk2ײTՏXDD_,`~* 3`l'l3zHv ' qTra/|+At{t*s2i#_F"6Vrl8I-.0+̝"^\}Q d `LU H!qO(3!ޖ;2N{oɸA6D1%kٻ~]Qa_} |Cj7S z;qר?x(9j ELQE 2sڵ I39&+WSųddͫ8gΝ5AV&n|aXjf}yvkY&d&g vtHnm lKzO0#jK Rgj (}ܩQxf΍@KiSEW:c'^dfK7ּ#%N;Շ|Fðe86YW(w#p@w@2[%ZWAJK{IGcMS]PLgfBUG-NUn]̈.G##k; -KUkK?Rh[Zf Q hK}yY&3l/19'|+0tihe Vld,@| X&x}"#Է,w`™pjײڜh28rT^ȶ1*i6cJ$iBˊYB}`ۘ':?32/ ˹5,ÈY/ugLG,7d48] TNIPN8|^ ];:1 MëV>JEo.)n]vV?)- 㰖[O7Jo#|VU$~5+@Ĭ^2^Y? Ә]O?ek?9dx zWc<#x? z[ރ{Gu?` Qh|>{QH/RZJjr`>)E9b@o#> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31863 6151 0 R >> >> /Type /Page >> endobj 6146 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6147 0 obj [6146 0 R 6148 0 R 6152 0 R] endobj 6148 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 425.025 122.109 436.025] /Subtype /Link /Type /Annot >> endobj 6149 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6150 0 obj << /Length 19 >> stream q /Iabc31863 Do Q endstream endobj 6151 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31864 20690 0 R /Gabc31865 20697 0 R >> /Font << /Fabc31866 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=E;!%]vhw%Tsh8r-Zݛ>R endstream endobj 6152 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=493) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6153 0 obj << /Filter /FlateDecode /Length 3629 >> stream xڽn#ίyI˶l!i S/>!d/YjX/VY6o¯T+t2Y|Yqs Kۃ1!=|zOqiݣ'0۞_>Q1?[ަ0ۂ#r a2fCZ*,]U~VH&(:|6?WmdxefOlYge]ǹXeN&VA-R8cb`G,gǟaGj/j M"HqM ˀ䌃:HL< ȐNHhh,qyY3qg4TFӣoQ\BԿBsضOOUTbֲ \`us@"xz5:!O٘W ?'ǰ*%X29 ΎR\%ŗR DWs!շ83 ,k^FeO=Ab (?;|yQ%qs{ys7!.B/saFLZlǼahg02/6~ͬJ4ӑˬpq v zl>MG@axDnaCÆ-hW5:k`aiZ;ۀA8H\&D:d·Lv&(ĈaCE|vXՆ qKō.Q 2u1sv >] vʯI飫UU9 (Jd (ܖ>=>/7l@{T1ztc#{>%{C36;WUIN/\&0Bl0Pq*'<}.A:# HJ3UpL|')?ݪðaݦ껐6٫`p!0ɉWsNȩ[% /](FL))@b3nf8ƭ ޠk!w䀂'xvHhЂ4=.KSd|O$4yT }c]0&)% Xl8#.cYB2U14U;Fƀцd9tTlRj]e{ ݍbiAZf AFUYyXb vv,pKA2YR֢G W,z!+c) սݸ F=HPI[nJSoly=5L(4R33u!,NJa+ǽl/Mt O7Z^jӵ؆V:^kc%ͪ#2\u܇ڵtQU&CW[jc.0q'ssnX 7 l2n޶7b=J%?,jՑ!ju(Z}=riW2/50[ u*(߻9y?;pvL(\SۂuٍN Yx`BjvPiFk laPqTBJT0j`9v`8fd 'g{3^B'vKohg}c(*`A/ UIzE|VjSYJ|3R 輎\@\ֶEC26VvzK|qYaDG^p'`m՚h`EBz]=RLwskꨁ/&vbsәϞ$OR^J{ԧ i^;X!k|h40sOm (TQ,de!YnL9\f&(,%5AޙqL؈@ J)Σ@A\P=| vh=T98t.xWݰYJn l2U=3b쪓x P0Xʆ"L0[+ v:lݔb'2'97\)niDeT潛Get8\7ޑe}}-77GRxɺũ$;ŃnNN֎vMj.^vLA; vnttA'+3?g\~ǒz zʫ;8l}ϧ\:QC8N. SuuأLtx<$ tM]Y_a0+#Bԩ52B(GdΆyV,7f8i ʬT1\[b28ԲA^Y[_Ōr6l/JIfTcb^ [?t~B6I'[2> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31882 6163 0 R >> >> /Type /Page >> endobj 6155 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6156 0 obj [6155 0 R 6157 0 R 6158 0 R 6159 0 R 6160 0 R 6164 0 R] endobj 6157 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 372.3384 203.2722 383.3384] /Subtype /Link /Type /Annot >> endobj 6158 0 obj << /A << /D (unique_89) /S /GoTo >> /Border [0 0 0] /Contents (delete_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 356.1384 194.6592 367.1384] /Subtype /Link /Type /Annot >> endobj 6159 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20210608081201-08'00') /Rect [104.1732 339.9384 184.7097 350.9384] /Subtype /Link /Type /Annot >> endobj 6160 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (report_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 323.7384 195.1652 334.7384] /Subtype /Link /Type /Annot >> endobj 6161 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6162 0 obj << /Length 19 >> stream q /Iabc31882 Do Q endstream endobj 6163 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31883 20690 0 R /Gabc31884 20697 0 R >> /Font << /Fabc31885 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {v*%C;f٩c8I 6-$G7]|t'w J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6165 0 obj << /Filter /FlateDecode /Length 2564 >> stream xZnF)ګd 0Cg:A`о"䶝> 6Yۿeo#isΤh)^~?k<|]φo]<[e6OC/xnof 䝝{k 0N6n?jae0Bk& GLm,Gky` *Q`g*B3Q`eD8lNAv %Iz๳~o?:T~={.T\ :89\ޣJd,q#!! 8 h0Od2x($?>aG; $\qw'mDLYyv`+ZMSCQ,F|(<%)ՓD*6 ("~aԠH;U6\Әb35\;,u Pa@a) wbpV )}(-=^ɸᚣp`r19&'Vsm'Ζ:.-Ԗ+KgK-re+l0(@=Ls]$Iaͼ#ux#us"T;͕6sRuɱ5-I7AU~&Zhwuonj=.S/vyiqtIk kQߛאmFh^Gk8Z'Y#_9}cm}cs 5ႲQ }O0Q75_4:(NcR&u\zI8u:꜎UZ%ü7q*enP}.ar0'm'rB D6AL#rC #S %+eGi\oIUvP$IU*NrOzրt}y.U iN S̹MׯЉ2fL)EfP/&55Qm;CNH8T,g5ZϮ:O!g;~&VVq\%nB|P!19zXohXJv)C_':)\v~V4j@ϛxo}{75wm{--5?_ϥiʄF \dBv%JsJ]zykJKXO0H&XsW-\ \ba N5cHb\wȮ97mܥHֶe}7d "riadFĻ꿷kT8T=|L`F}niphCx2'CHZ8/lGe>&M}U *!tᵣ@wWnš(d< ,D$9ʻ65$ȢN/9Dj:͛+aȤ|y>~yrBHіJ&NhFlp<'S&_|E ce Ct2$`m஠J)^SnMy|CpIvoJ]&,Qc:̘&{ t8'}XdئqζNa*lxe n;;DOaQ *GYsk4`p@X}7,᯵|Ͱ!zn"UxMV<8 dv -aYHbtUVVg37R>\akGX[%o-38qM떩;Ʊ'>y9f3b19''_XT @C8XY~| P1ؾX;x6@v`ԥꕢ e9ѨdqL^ض)IGc7mb#K,+v\\,7P ? 3 *P: Dw˙i=cWzz'bG+eQ*,(bGl+1 G )eLva%- boʡ[K%ukyUR#Bv~Y䕒fxԐU5oF!]6r̀HY9G S?n?Ft󙤕B`݉kENtsN9]=GͪU> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31901 6172 0 R >> >> /Type /Page >> endobj 6167 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6168 0 obj [6167 0 R 6169 0 R 6173 0 R] endobj 6169 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 6170 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6171 0 obj << /Length 19 >> stream q /Iabc31901 Do Q endstream endobj 6172 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31902 20690 0 R /Gabc31903 20697 0 R >> /Font << /Fabc31904 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 6173 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=495) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6174 0 obj << /Filter /FlateDecode /Length 3795 >> stream xڭɎ#ί4hI# q 0smܪJK f*.v>>M_'3iwT vi/u<|5?WMߦ@EŠ\s@x 8n~?,JaܜSFU@bZ0^9!.ii= }2tT\rX̜pbc@ %8 D G"sr^k΅y7@h e5j6=)X%b=q1"]ˢ($o'-'\SSqˣ/0yփ@DIbD2kE5`K'12a"D3˼"~y쓌g) ;ݎ$5k>&(nhvizǠm_iݚDPڈRXe|0wBًHDx, "͆}cSk~+otb#f1-?fvMJVA;wϢK}b"/g5PpYEBad1CmZA C4OIaP˄20`B@ /F1`Ee@yu c#WѼ+,e课 X7Z)7|7IIeߩPj/S 䄤#4u3Oɥ+]I;"x !u* JuJa~i{7o1TG-ݡ oZ98$8005Kc`bp^ 2bVz(Xg}͈ pukݒFڂNn~wezfם TL=tEo ^h/}?E^/y-uEtbx -xOVzB6Nm@`/ [ֲ &ĶzvvmY\HFy\dnLXCG>˔: n c,#P(K;K⁄Jr2ȵuS5y8Zh/w)Dyƈqw"}VUo~'UT"ȮdwԿF ۫іt'2\X־ jr-gW뚇~љNVYhޖ6{xWe5e&tb ts0tzM-ljr+(\VP>mQ7[nfyyxnX`Q([#^Ad'zGu"o#gxдlpKŐs8î=5s rlC$+lt1nn9"&}v{%J5s2e.s2MCMb0QXit իrm41up(ekQTH* .z&~ތ7 ]KFd-{4YNl܉};. L=[Wla"ԗw*;.,ӠА3dZWJpzbnᥨ # V>naU|I!h9 }B.9Թ#=u "pY*D +03kH0FҲ 3` 9D RJMENVnSZ~(Y@\W7]G-^J[Lb$-{꽸ފ{LbrͬI4fd -PUda;.6 ]}n%Ӊ%]7i2AQc`7j:ϩX֞sZ{NxNۮSPt^o:u1Jļ(/>mݞ+enaW}޷sLU&~зh1蝗Ԧ:rfkʴ7l5c{O{v3=#T!<*9Z}ɳIC*=YJΆ}qeHn|>i5Ǔ!)nҩ@_e z[W.a>ـuxYNnNi 6Ӭekg D')@׳>dvi:y sby""6Qiz.;L$ 'gjLSmr?vj2rt#]%3Һ$ps|zZ#?}y;M3p (Cp=P.G RkFðP#Q8'N@u˰ tm+M;%d5נwAMwݜ-]8&oH̠ j# \ltP2CT;<j PʜH1\ x1$m8k, X ĕf}O86;ؕ:{[]7>^k[*6Z2Q5*9]{cH72׿áykP`Tjy\(#nt|V,=;\_ ^̳@ĵQ h0ra{JzoTQ3wێPIVTѡV"QS~KKZ. mKBto[ŨյfY!u+Z^[.qي-hfQSZ&#ն"hco]ioEC Xo|l{1o귱Tйi6A| 紸(5ĮU^`1t5zߟA`C:_/DǮCdLѮ(E_digBu خ(|E'(8CQw}F/'|"Cu>>Il,wSky |2OjE v@(_#_2*9xi?sw+~;>u+o v=r-2әc΢} endstream endobj 6175 0 obj << /Annots 6177 0 R /BleedBox [0 0 612 792] /Contents [6186 0 R 6182 0 R 6183 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31920 6184 0 R >> >> /Type /Page >> endobj 6176 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6177 0 obj [6176 0 R 6178 0 R 6179 0 R 6180 0 R 6181 0 R 6185 0 R] endobj 6178 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 524.1115 197.6017 535.1115] /Subtype /Link /Type /Annot >> endobj 6179 0 obj << /A << /D (unique_223) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 507.9115 193.9112 518.9115] /Subtype /Link /Type /Annot >> endobj 6180 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 491.7115 179.0062 502.7115] /Subtype /Link /Type /Annot >> endobj 6181 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 475.5115 192.8057 486.5115] /Subtype /Link /Type /Annot >> endobj 6182 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6183 0 obj << /Length 19 >> stream q /Iabc31920 Do Q endstream endobj 6184 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31921 20690 0 R /Gabc31922 20697 0 R >> /Font << /Fabc31923 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoZ endstream endobj 6185 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=496) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6186 0 obj << /Filter /FlateDecode /Length 2008 >> stream xڵYKo$Wl`0<4r@OJA X]Uڑ&ɪѭ4s:E]MY}].A;[C6b֚d~xֿYw._?ɓ Wپ;\n8T 6^\G\gar$ϗ,/4sĐ 9,NG#asٯIO⸋B9xN;zILj۫|x;1p nZvY4IM.&<]Kص4b?:կ-Zz?D%z9%p):be5M)CfPgǛ9s ͓Ԫ7 »UF6$v!GӀ_~z/l<8h"|o$ dFʙpy4Wr V$3l”ڌ6u#G%9χo+,nZ;#8s ,7YľE^a=0T9 Џðu?oښ[ejH;R_O L&LES@p{ y.Y{CsJVC{%=7'ɲ/RB2g\1=#mH&,z􄲝d-]mk  R _@NO~ ff=ڗdƕIn|p Qxٜ,e[ p*-n`**pnZ1gM*QNg`.8?gP@Az* t]d¸4\ 8~I 'RZWm]l`]'rL5A@-7kW`L-RA%WmZZQ$jzB~0NIP$O_nRFM2pPn!t2m%o"W#ok*;aWj]6.qoӂO i~&:3xk ii8e (LC⤜nappgM#^T/E z}V[er7%x̀LNUrj;obOQxl\"*7 x5Z\ fm;SߓZgV9RݒrS5ٍ"l43h7bJЗSo:6`aSXdxw|s!hiSZ_WQV` U#B`1 =?3~5\}ڄS^zxCcWA=jq55L:,OUMGy-=J*5pGmC_lA:M]DQ&669YR ri endstream endobj 6187 0 obj << /Annots 6189 0 R /BleedBox [0 0 612 792] /Contents [6195 0 R 6191 0 R 6192 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31939 6193 0 R >> >> /Type /Page >> endobj 6188 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6189 0 obj [6188 0 R 6190 0 R 6194 0 R] endobj 6190 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 349.825 121.8835 360.825] /Subtype /Link /Type /Annot >> endobj 6191 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6192 0 obj << /Length 19 >> stream q /Iabc31939 Do Q endstream endobj 6193 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31940 20690 0 R /Gabc31941 20697 0 R >> /Font << /Fabc31942 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 6194 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=497) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6195 0 obj << /Filter /FlateDecode /Length 4316 >> stream xڵ\Ɏ7+x6PidU|-4c e~bZR` *T_q5,uZz'*E!g'~_n.D+?<)<NY_tEBM?姟O+q0ʵ:;Vo?BR-aMH-j5hOeƯ#cѱa(D Dj}9 F,W,{zx~yo~,S{f.gI'"A4 362!COn A7Ja:>>Ofܗy_@F(loC تĴk\%mGx >#/I+ίJs;#VBw&w%%Ʀ>8:nbyK&Dإřu]e4D# &]+Н^@lO9otYG(Hd;EA3@GJv rт!;3A=PKBFֲP˽mGX\6.4,5) #֋J'Яݹl{ŇdK=|?Vv5phZemuYe]emQUjmM\0$I<$H&H!OW<[MxXJG/"wh y{ iQ3U? уcYZtV 9 |LrHray(ߥJs*uKܿfKvf2NԪMC̖#jU\Nhi~\o_jCj@+xu"mYi+EUEWWTwʱn"#$zU/?Iإ7h+ʏ@HpocI|;$_+`Uh-ⴱN*UbU3qQ6 ~oHE;u Ҿ^{tNT`dU{w*e /1Yx}8:,!(Q C_/- #?7Kq1>/EU5'?p)?)rf'4aMaDLIx5 9?2UBژ$r"ձm:1(+q_Uޏhqu$z̦=81'RN?TU$8ftMFWḌ"D,(4z< ,CA7ﯘUJYx鍸ɰ:c`X*e|5c/Z2W' :!S鐵5l`^|̸/Y[xS7q.N*JauE ?Һ~`FgFMCE Q33UMEdļ gOUUEvyřGqS[5ٗEj_Te!լuq~ݸ+ ]DaQO9jhN%Ē!ؘL1ا&2tapSe&/o2qEuttK"v`9NRb,et.K5dªX8m5Sԫb.%+D:TSm<)cNBx}28$ǔsj:ϫg2I&H~ o)`P;(y`{9ən6!,bm538⃄ShJP)o? -h@X ,lsO0A+8>fG2\\QT',R}AwM{|$N3`pㇵ{ޅ'cR zqٕ6Kok[FbOryeA'!EfvԹ0HXZ B9XTm}ŧhNL.'bUҶT,y-R U%Xabp&]N}#%afpt9֕..mbf1lZV%@*v^\UsWfffeVz伋Yk:'T^3< % 5|&?#~wH0-^%UOkT laѱ,ѳxq1˱=' /e5y0gce;)B/(O{Uf.^溭䳈x\:$}s T1FG`>xf??jpfUqb6uhC *jwMub@uЋm޻s}k?!!ڴDzn`[GKOCajv1p&%̆x⫴x̛DBE%̼:)YMs.xh۝Z/n9ig0ACLpӖvh, Nʠkإ*҂H%P^.9{ݜŖy湕xWQgn! H (֕v ԕ{f}M$fL!^69 W>yCģ׆T|Meۂf)Ϩ.:W)K38:舣?@:*@SU'0?qzQ˿KZ,įwɅC~Xf=C}uOCf#N5 vҗ\ *nrTLH'W GnLwcʽ9]ov%pCaq(u͈hpLi;wwbTiq(T f~W|Q,ϭE&op]r#a^A>/:c I-n endstream endobj 6196 0 obj << /Annots [6197 0 R 6201 0 R] /BleedBox [0 0 612 792] /Contents [6202 0 R 6198 0 R 6199 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31958 6200 0 R >> >> /Type /Page >> endobj 6197 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6198 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6199 0 obj << /Length 19 >> stream q /Iabc31958 Do Q endstream endobj 6200 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31959 20690 0 R /Gabc31960 20697 0 R >> /Font << /Fabc31961 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$^ endstream endobj 6201 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=498) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6202 0 obj << /Filter /FlateDecode /Length 3924 >> stream x[KWl@c9 #M ^S*EIEOEU=>Y~lo[tzᙞ~ڰ(egQ鐔\:^[YU* Nh?0CX|v }^2Jg~K͹zWi ."*+|sQ.^?*vq N˩Ŕt0K^L `u'hv Y2Q`*O+m$՞f{gԇڸlHŀ?MؗIAekOTܛN̘x%U:ESمH62;'b#`vl̋(v1A:mV@LScl -EFO&7BiBJϸ$4eh˳X!P --%RZE8]ruI:չ2n5 L#GQ<?4W[I { 8-ڪ|j>LL=,Bd4ʕc's\ā"VeWk`c]1zg`1tW|b˼GnL dgl"]ڜF/&dV+Sc~q +Q6ZxYhdD8ӂi4*@ &]Ij9='CBu++ڑB6Nxi>{ztjj5;WW[|RV4Wյ&~g[m P:n4M:}MrOճ/TU҅Sx#ti]i=  U\[RtEH UTv1 1I6>FѼi R/Y#K]ʊ1d% ]|5:+A ;.oЊQk7|RpuBJ ^! 4>0 Vh(kq(Jdf0Zk%i4Tw0&e+dm,%P=nCw,Bw*RUk hTuBIYR`7;6FF P\8Z ؚq6c>"U;Ҁʖ-NLRv$$:T[cx)]Y)+F^6jỊ{~XRGqE %YUk&$-O1lpT̈E%e>äk1l1`N:ږzj(.mb-v~Dm:-A`HwnnaI#ěܳ;c+hr=izf$$b5Hzɷ}rģ!}W!Z@4lx\׀tU4{mˆk@YOH W6b蘅0"")ܽCWVpl p(/($+kF7dcrY@+0Xrc?0J3WaI X%{v($IVQb,7niҭCF+ِ(!M>!,y@pɴ< 78h ʇ]FBRB `w Ӻ|Әz3:aĩU~ ۪'*v"pb\< g놽N^(gtd}<:(CؔZ\I8Xs܆yYAHqNLhOoǵz^/4,1shVb&ngEx\a͡DA)6nSX<HuKK" =IҶFOpfѫm~5YVorv?i35h kG{@&.m ZpnxYU"&=RU }{l]$iZSJ`< Yu$7/I+|ILO>輪$H(qK+¥6ä! SY Kgڽv_HZ7t<=]ūf7•uP: IhnQğr VZ-܁jhREū./l~`?Udq?B쭪Rs*IPQRs]Oh.LW8wC^lb-pc +׀z*HD"<,9tM0 +P$C>܀w|d @v ;Ԅ&%%K ȇ_Dє Ԓ hywpy_Hn{p_~꧛x)/ŗZiRӠ#Mo>`ej[CBNY!*{o̢Hw;~hϟV9uu۝5=·9Vcgh7d %:N9 6@ͣ|@ڋM]_FH 3}ָQĻD؎ .Oǡ4W-!\כrl'jPJ5(&q[n%^5pk"q1ǚx%7](,qb\,>]|n_\\„%8z ܄~mxo?f~wΉG0RԪgSWj6׽[,9]F!w&t?t={@%CW? s3fWؗ[x;e=;5vdB]QiEr3N={Og>=?vh?nD^ \bH(,2 $> 8d A"o?~ (g7af %aɑ^/߃R?8xC>XyC͎~;n?CnA 0Bޤkhx=hp>Y7y ^~Id*.";6*yz5js\L/#x|?RM݆~ :vm]B.W)S.ϟ z)AtDm^ձ@=T t~1FZބ!czJ7O_ܲmcƱM 'nkwj۽ 'ꔵf(9/[6&y) GO߈xor/TX\FBLv= S~O=`&$*Mۯa2)fehwE = rOiCPvr2j;ј4w>+q.6?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31977 6215 0 R >> >> /Type /Page >> endobj 6204 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6205 0 obj [6204 0 R 6206 0 R 6207 0 R 6208 0 R 6209 0 R 6210 0 R 6211 0 R 6212 0 R 6216 0 R] endobj 6206 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 217.0384 163.7437 228.0384] /Subtype /Link /Type /Annot >> endobj 6207 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20210608081201-08'00') /Rect [104.1732 200.8384 161.1202 211.8384] /Subtype /Link /Type /Annot >> endobj 6208 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 184.6384 155.1032 195.6384] /Subtype /Link /Type /Annot >> endobj 6209 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 168.4385 187.3167 179.4385] /Subtype /Link /Type /Annot >> endobj 6210 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 152.2385 152.6942 163.2385] /Subtype /Link /Type /Annot >> endobj 6211 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 136.0386 166.7302 147.0386] /Subtype /Link /Type /Annot >> endobj 6212 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 119.8386 154.8007 130.8386] /Subtype /Link /Type /Annot >> endobj 6213 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6214 0 obj << /Length 19 >> stream q /Iabc31977 Do Q endstream endobj 6215 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31978 20690 0 R /Gabc31979 20697 0 R >> /Font << /Fabc31980 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nbЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?T endstream endobj 6216 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=499) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6217 0 obj << /Filter /FlateDecode /Length 3594 >> stream x\Ko$Wli0ͱ؆1`⿟d=3ڧ6=f,ؒ陋]Hʧwӌ ^f?.'.*UA>, :EMD*w{ʻ<}keR}G^D}P6DuNZGyu;O3)"Y+s)B2S g,ѾJnW5A* bvfP N7qXnk4`[nnƙ'xzi;oٺlBiugPm".P sSB0l'(jz*1|r`0Uj馀q5Ϙ3&+xWTXMpL9;suVe2ȧ<И~n cۚQqn=`jmõK8AoG;h%?/'5 xLZnt3Ru#W2H[=1>P÷Yx;[i6`iNlp ƮKy o`ou \gVض#W 续KcViG}!]< jfWNVBe GFuōUyd^|20Kãx/*>jVl`/Jrg[k&!X@|ʻ|hdn_(4uqo]ひyDl )=I3{܁ ) >/< 26(۾ hf^Q3]5W}W+j濫f5 [0ݓf34H ᔦӵ s2؄zUg% ͋OW/Ġ-&2Aged^D M}h>/zʈ=\2zSZ.KuZXP-l2Cvؤ`?A&xI`qTl $AUrv>͒]ݎQadP֨DZd:_tʇHbB0t]LNi*Bjy?E>qo\/䣣Y.=Td*9ϛ@gs]f3 i,lYe =Iإ0 $VJkN< *U)j_aEŬ*;<I8*K J+ӶG5+Aj+-IZBt*Y'NiX Ai 2 $UQz&@! -!,Fn97(#[r 8m;kwvx>I}>z?M[άR{Y}8ÏH%{XEe!Q }ZR7WbAِk#D/O[+/tL Z@ M %Qz>sz}Fsk!Pw:-+S F.DT}G&^EhHf`ĕRX"c_m*X65:Ql#51-莿n0E_= cp/JHyPѝ:刷{ D,MsfsS;n|YnϗGRbHǝ܎z|zuє4ƵêfҫR9c1gb XX>wkHu^/m t!il>=p7gU]\7O q"PtXFnt!2ǵ {SOTDnݜAzr6E?Z",.y^pzi=օaZTbr>(C82-l.;8;PZoê!~39j,)a pMLhùFZLAYgb(̥SgPhSmc OH6$Z>ӤnAFD܎q\uDh!R3 1qEk jLĻ^C$m&~"&aiiohV/GL6kD"B!IGh(>܌s9PrE1'lqtBȐ⥐!;2ċ!cŮ_2oȸd<\2ސq 8\2oȸdN$ȘoxEdCyC5 ^22ސq ׇ k@F>d3dx3ǟD!s Z=|_6bl$D9Zes6qK6F\N?,q/ 겥\@0?況aĎ #^5~ e\n?*)񙪷Pf ݹ1D$b n 75gbh q"܈s7B@nGgBkCL ߮v 7xQr9N>ړ+uNWK| pE9^W mbB V.Ia}Ȯ] ޔԮ1a0qΫS* cajBeROnM6.A2Y fT癛ssgK>3/N_!|-'G^-<ˋp@ܥKx|f0흋jR;y&czMGW =bmH6?5Kh_d.](hfwQ#mt$%ap[횒ާ@9}a3b#] C!߼hbN^e*mmI3v뚥$upsFfp t5[v:ޜԭ@$l,۸ Zi;3-T HR5lD95wۭ?ͣ.;=uleJjỶ'\s`b|ҬOЇy-ׇKa햗 ;N)8p=֎ƘÜiLFNMٖφsʥMv#hMLbZ;l8~kԱCv0a%qacRpR"LǝKK_6%HG^kr7 #^~E)qĬSqj|iiRUް=ZwDk[}cE~n;ZP4[݅!'mnZ9.{a!Zi>]IH;Ԁ›?LX5'a쭦m;;`UyG_jO۔>0E~7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31996 6224 0 R >> >> /Type /Page >> endobj 6219 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6220 0 obj [6219 0 R 6221 0 R 6225 0 R] endobj 6221 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 391.625 137.278 402.625] /Subtype /Link /Type /Annot >> endobj 6222 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6223 0 obj << /Length 19 >> stream q /Iabc31996 Do Q endstream endobj 6224 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31997 20690 0 R /Gabc31998 20697 0 R >> /Font << /Fabc31999 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwO3Rwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLOۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}k endstream endobj 6225 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=500) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6226 0 obj << /Filter /FlateDecode /Length 4222 >> stream xڵ\Yo$~g}Hcok/ OA :X$ьzͣů*MfTu<3?eY}K?O;ɚϯq:Xo'y Vz~TͷRdlwlO;azS6P*y>2|Vߨ񃝾NAbSid29 EzθOkJ4ӯ?+;MO7%.ZP椃dhN~ ?9£ne|h/_wi*yb9sUx`C!j !,sցv"~G \|0>这ӄiIP4^˜RBAF3@HO/Zg`zg9icOC}-3?4+,4# YP.S-zg&]mRTtLrS{L2|Fٻiwm#H͋o8G n;$L jfYr?/uEmi.oˌ&ǀn[SVl2ua/D6V19l(e8'DrPu~w'~p;ǽ>!yb2)K ,qF ,3=E< у^6fNcBaՖE.ʏ>~5q4mxSBMy9|`>scdA| 7h/ӷ ̉@@X)88Wr-q O5<e>w7koQ~]F'&[=!^ ϖ)P>v>WR=ЯѦzbFf5u!W}]Xw#Vc]KJW+ 3Ax#7N .}#Ȓ^*j'Zp*窝>kC aR0yS`"0hp1 Q_Gu;bD.q0qL {7S^8"h7nEt:Jyk\9 \vtr !Ov~H3S4U඗*دhciԝo"W\:88b}-)  U }  naZ &$/Zsohj"O5(EZWhEJDV:u(t6;dbv`\~?S/RB6+Q_\tI{=LAլ,_$$|M $[EݚrlHl(*-sRZz`~%X9}MI hd6182VF klШ##*=zKc'"6ز26݄^k)NNV4dUjjnZs؂qErEfK*:jHF5EwшRVC /%ܩ\Ƥt/SRFZ2BFN $j_8 Qj%~ܝL5PǸUx]S^Aa݋g> B=} j#Vj@խZ}3vjuV YߊHDY 'Ɔ9::l~nJrGgE {Sok w 6(PqC4cx5Á[7;@]q` ӳ)"2qpve:saCy{'mqϮXWwl\,y@17aw-) o! h+=P6w1-ܹ}O9>EݥXOC1>^ެ}GݭR; ؤJ<lR81szx:BN;;GkfezZ3r!F.}lݩιVX{ CEEVu-!Gqr Ee[%RAM$+D6!}> 0MB)[`WXmܓW]ZTY~1 80ofWzLNኲ{tP")dL^8s@k`xA3'x>%fķIDL-i^+g~G(sQ8xf2j e!22bO&ju4P0kGKČE\^`e`燡:Ky FuzDb"Ri N¬ ǫ&0X%˙-rBqS*FE % ?ڟVh.ǕX'Dx[|>ٷu0Et8P#hhYJWξz>FNTol ""ҊϱD5wyupF#U(6z-1n 1q\?_ϸйnt^lL+ř9-OUަ jiv-dOgܲH BeϦz,[<5tnZtSQՅn3Yń=8삾_7+0 PHC7MuxJ ug4o@ k,blMZ$AVhe L0_\~-oA, y3= i f?~:z&.RX/#6@|зٸbhܦOq?@w@ֱ1s=\yrX*t6ͼ jI4hsNAvi8'YϣxXÏg>%I7\sDpkD^lKogp}wb.5\ZV$._M|6^3h_q/W6Y B41>#LL[ݧ\=[m!r֝R|]g,fkӢʫixt"8X\Gy}pSsuF]10=n}4CS&7TTk7|u#azЊ>dN5ZEX͜-Ϩ3! J2]:?2hftC:L$sCL[RC y{s|yf~z\K3e.i;=4}Ӏ7ԡ6X %N:R喎s( r`Irg?Ta2|l61_qG ȭ:/@fBDm=}AAӇY,De%*#=25H (Xg2@lLsvcޭG,yMo(WzE \&''SUv_M,/rm_mGMTik47D{DeT%[Sf\~>\I+V؄E4W_jHpAvd2n7,rPT10ė:6=<luʄ0!s* ǐ:[Āzm~Z{^:9/}N;3r[u>p~jp2:δp0D]ψԾC=f쓆O'dz~| A%1r,o P ?`NЮX?cW8ޔ?Oq~5=asWTp*sz+Mõ&CR!*QAx$#a% endstream endobj 6227 0 obj << /Annots 6229 0 R /BleedBox [0 0 612 792] /Contents [6242 0 R 6238 0 R 6239 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32015 6240 0 R >> >> /Type /Page >> endobj 6228 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6229 0 obj [6228 0 R 6230 0 R 6231 0 R 6232 0 R 6233 0 R 6234 0 R 6235 0 R 6236 0 R 6237 0 R 6241 0 R] endobj 6230 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 243.2615 174.7052 254.2615] /Subtype /Link /Type /Annot >> endobj 6231 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 227.0615 200.7917 238.0615] /Subtype /Link /Type /Annot >> endobj 6232 0 obj << /A << /D (unique_320) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 210.8615 198.5697 221.8615] /Subtype /Link /Type /Annot >> endobj 6233 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 194.6615 159.8332 205.6615] /Subtype /Link /Type /Annot >> endobj 6234 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [104.1732 178.4616 185.9197 189.4616] /Subtype /Link /Type /Annot >> endobj 6235 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 162.2616 187.0252 173.2616] /Subtype /Link /Type /Annot >> endobj 6236 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 146.0616 155.9997 157.0616] /Subtype /Link /Type /Annot >> endobj 6237 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 129.8617 190.6607 140.8617] /Subtype /Link /Type /Annot >> endobj 6238 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6239 0 obj << /Length 19 >> stream q /Iabc32015 Do Q endstream endobj 6240 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32016 20690 0 R /Gabc32017 20697 0 R >> /Font << /Fabc32018 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo3 endstream endobj 6241 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=501) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6242 0 obj << /Filter /FlateDecode /Length 3392 >> stream xڵɎί9 `(r: ӗde*]PKǷoH ;^uQi*|!zC83lHpă| 5֪RL{mb›/8mӺb `H)FD bX,*[ CMi bYAĽ.Uxpg퓇 +I`;<\Y[@?'$ ޘ@$%Ze +EFqYmA|gwu;Q KK [i# W8Lɠ,bq Q+:O% y8 `P@jĂYl`B?Ho\%+QeK*hbeR8zW{U,ު_"iA3:›d{d0>:NaM*e&ޟ@ Ը $Yw_:1٩}'fÄWt+n G&&4gS,(3jmJPCP56_h)h8h ^  ]#!FhD nK;މ>3Q!?dp eWL p#nh+5Dl)Tm08i-ʼnlSy@*3Az9h|uG րurQL M!BU1ݼ8 Zt^WTX80uyD:f HjR U4rizY+Vmt¬5Feu&I*?T]?0A&LN$?&&)264}rvF9r\>FѸ2SSe}bnq'*;aҳTЉ J#9(ӰRFwH#q |hg&1R#; 7ZZa$c%wbiəˬy"R\991:MNNzKgK&\ܔڜ*Kڔzt%4yU4CFϸ2پKN}VKYݼ'<43FӍcªb9@lywJȏqi˦&/7 ̬ϭ8<;H̞\v6^[waKǸz|qȦka;R+@$KHMJ._%/KENWa;@~_hmփ\LvHs& %E $x.Y6GvT$Mƿ|5˂.'n ng, ZD[ vTnζl:KZ,6[Ǿ]? 67#}#nisKVR~f5v5S& &^Ȅ 7*~.s>{A4{b}=ilvPH9TpĞo-1au%p<.\:HE>j>~6S[v]rv~[#?>x!#\eY\.g*FLبWfDdVxVOֶ-t{y7+ UHiMݜZŏ)mk̖}3kX\du\Fw)htUk;['_BŎ1\c)[NKk%M~{n#-GcquluV!z PvZiL_da|0\MWR(DOVi]qg*!& /Bx=հvsñӁNk.yKӠm@]=:6|`c5x)Ʊmfa^9n]u=ϹE7<蛳$<>&l.ҝ UrڸrMA)4?.JW Ի{6ʟ$ŏ Ti㋃ GQBQGEZX~5'G$Bfo1}8۳i9<ϡEΐvߣi@pX^K.dP'%/Hn)Sp9EM9&(Q *mk8 Y~X_8 aJ3[䠇Ȗ-s5J܂dvUܙ5HcpE>˝!*Oq)^k(K,# }F7>qcܘ™KO|ȧ}T#u؈Z:^VAkb7?>/wau -= ;@jRࠒ '_NT4k1 7V'~g>?sD; *7 Rl+.ꔑ8*w=<2)p]~|c2^t#f04 AEDFCaM5ag y ypwB>|Q|]p&@1I<\'+&:> ;cTh]Va V ÝV~2T qwo(hIQSp@w@Yk* ^szr@z*豌dD3ꯨ{b1OU^ݙjǗ6Ÿ3a5ڢLeaFAJJ,w4U,5U~YS״`pƖ5a HC emR /v7U N@7 u}" [ux\/~=G<\vMr]bG9<(ۊkqѮB~Gu5QTW7Otz=rA -ɥCpv KBTJ>c"a po})[lG~zQR2ϗkk#>L5KQ٫jEE􉪘cɢ!c endstream endobj 6243 0 obj << /Annots 6245 0 R /BleedBox [0 0 612 792] /Contents [6251 0 R 6247 0 R 6248 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32034 6249 0 R >> >> /Type /Page >> endobj 6244 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6245 0 obj [6244 0 R 6246 0 R 6250 0 R] endobj 6246 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 6247 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6248 0 obj << /Length 19 >> stream q /Iabc32034 Do Q endstream endobj 6249 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32035 20690 0 R /Gabc32036 20697 0 R >> /Font << /Fabc32037 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwo endstream endobj 6250 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=502) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6251 0 obj << /Filter /FlateDecode /Length 3987 >> stream xڵn#7ίsU/a%@AN$=@e~ƥIvw*Xod& xIN/oS3SS6إߗOL_u~N>&7}z~ڧ`5ޝ]εG%w=omi̳y\'֏ ?u #WWMat<9 q ֔h_Rv01tYӅXʜtDq2iZ? Z۳,3?*Z͏(3LO怤 ,_g!EGΥPjppS BMUg pmSԇ8P)!FrOS~{r@m4a?~0%dGv`1vPO@S<!p-'mQ$}{c}o?e.M򀂭(4@bh\j69u! 3j/؃\\m42dj?8lz przgvά{@_8t~%vܧ#v;74&U=E֨KF9Al<%4zzɬw&FqGSK;&m:R֭IK.K$(5P_/̝P"ҩh?KJwɱʩc57:B5f}\i78oFYQٔ!Q~Qn纒7PK@AnbGx:xM?MR C )<K Q - m' XȓmS,} WklbRJ,gx.fH.&9 wEKF(DS@z@9!zfoY]H@1]$D5HqƣeRz_Z[L-5PK([V":SAH1Wb 1+N#+[ lԾjfB0`m+!.Ee.ݘޤuA|*51SsE;6 -C^-(}o?E7(y-ue2*elVu*}˕QJ2C2%X~W nm6@Ÿ0̑ȁ~I\GܝN5@ۧq𶆼"u'^yiz؛8G,@o ll}A@k"OY־6aw9!fyOȎ ICNc-;o|r#GbM;WגCq8{g(1,$HV[l{9_6QqA>!4@!Ao|45)UIBT 0vC@Ҙ$#p4,}!c+x1iyv%>IEಜk80hЬ;ǒ]6ӣS^2+sDnVyT{&Yn$fS p_U#VeӱJ~oWY_kł7nftE-}Gp}ϛ9< 7·'6cxxhyH h\?}41[:femFsXbuܑ!$ zOn%`*m"늝;3SF;uk5g :@!`9:)P:]$[$Û5i J9Y ,ř>nu7Ph1q6)L'D6ϓQ4j7|ucY>A6o!IC0'a.`0]셉:e܇D yEj66 $yhu29:'*hEîMcO|@^yM3e.Wa{avnC q]c(qӑ(vnM]So[iMfJ!+=a8𮛳eؼ | i4[-D_JWf,|9'Q,@ ښgH|wI}wAOc0G) .WȚ=-~^y8 }=|\;§>*|}IY X&:ޏgăH72_PmPaTjy\(hvohfd:DgWZAeP!\S h0rak<*-^fCLTC{p^zI_i$䖶KX'M%SGwtXM^'꘵fJr7U?؊&hZ So8b\P}, ~6.ۍf|P400<~~h/&E< <L*qNŕHQ=1"<zmk8I"p[ƌ1A_rקo1.6ę!:Df`ECI+d>i kG\ 'Yi/`1mG-ڑ/@HP5sp])):Tnͅϗ*kK&4l?E c%2+a)eP endstream endobj 6252 0 obj << /Annots 6254 0 R /BleedBox [0 0 612 792] /Contents [6268 0 R 6264 0 R 6265 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32053 6266 0 R >> >> /Type /Page >> endobj 6253 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6254 0 obj [6253 0 R 6255 0 R 6256 0 R 6257 0 R 6258 0 R 6259 0 R 6260 0 R 6261 0 R 6262 0 R 6263 0 R 6267 0 R] endobj 6255 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 582.9 199.3617 593.9] /Subtype /Link /Type /Annot >> endobj 6256 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 566.6999 195.1267 577.6999] /Subtype /Link /Type /Annot >> endobj 6257 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 550.5 189.4397 561.5] /Subtype /Link /Type /Annot >> endobj 6258 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 534.2999 205.3787 545.2999] /Subtype /Link /Type /Annot >> endobj 6259 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 518.1 185.6062 529.1] /Subtype /Link /Type /Annot >> endobj 6260 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 501.8999 190.5452 512.8999] /Subtype /Link /Type /Annot >> endobj 6261 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 485.6999 190.4132 496.6999] /Subtype /Link /Type /Annot >> endobj 6262 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 469.4999 207.3807 480.4999] /Subtype /Link /Type /Annot >> endobj 6263 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 453.2999 194.3402 464.2999] /Subtype /Link /Type /Annot >> endobj 6264 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6265 0 obj << /Length 19 >> stream q /Iabc32053 Do Q endstream endobj 6266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32054 20690 0 R /Gabc32055 20697 0 R >> /Font << /Fabc32056 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ F endstream endobj 6267 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=503) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6268 0 obj << /Filter /FlateDecode /Length 1790 >> stream xڵXˊc7+\E n!U'܁~NUIq=s[SGMTӳuN*˿?\l YSs AY뫵iom(-a Pr6tC`zǝvdc}+j;~H>@D]>X[FKiI),Q78g4Np[jKr9HiN?!)>%οo Њ&7rWdRȅĸSvC$ * 9¶iT tPQ .(uo8.Eyg5PMHUdM4aDFh6gq@ v0nکM/jXAMdzi19*r,K'Ygg&e@^e8  `u&U84?ãt <"#vﭣIIt"?TַG$r,/yȦdlh.{ζm\yz9:X{qԖME:S>,^h%H Mt?yt|{D*28l9sh99a-di4~`gfr&8| 0>LDx4.%ܞm. 2{F>KkjrxFfl5ˬH>d:1ށfc68-tL-=-髜myiy\d@HpW>EBS_o=^5x%\-X!V0_b= KRU:!1hE $~6焝$[^ԇuQv]Ia-ݿjL)-ZaelXkH^OŚ] c1 |uAX2wͦiu[ٖJ\Ⳏ%j+b<"xM!-2n6t2L CzݸW/7#_߀WEˮ.hiBo; md_Ï&gjyjhIszA99g5h"vbwW/T/% ^_jQlfDt@\`6ydZ/|1RkXcBޛN-GM_֬zڶ -O},qt%݊Cf(ː4dzdq]s+S6STHV[FpD_(\4`UI'yF S5ltA,ހW 9W+q3ۋ㝍.Q"g%?U-a|_ : HJs=.]Vb7S'fJ_lE iraIU)BY1-+:uYqod|8㊘҂bʕߙ(ŴBو~4 ʼnabхt>1.e.w,]F.yZIsׄU7un9T[^:iMƖF1d)s9e\iHz--~9Iq99ާ$||hX 6;Pץ\|% |-/>m o,|e :tzW?o‚3H;Xpc#=Z_qA L` 9#ݟx@SC3<I'ډ-}?I]arQۜdx75no>t7[;l>hX@V=mN9:A^/=7ȭ9k4NсΒj>YH2 endstream endobj 6269 0 obj << /Annots 6271 0 R /BleedBox [0 0 612 792] /Contents [6277 0 R 6273 0 R 6274 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32072 6275 0 R >> >> /Type /Page >> endobj 6270 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6271 0 obj [6270 0 R 6272 0 R 6276 0 R] endobj 6272 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 377.425 118.9685 388.425] /Subtype /Link /Type /Annot >> endobj 6273 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6274 0 obj << /Length 19 >> stream q /Iabc32072 Do Q endstream endobj 6275 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32073 20690 0 R /Gabc32074 20697 0 R >> /Font << /Fabc32075 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6277 0 obj << /Filter /FlateDecode /Length 5793 >> stream x<ˎ丑w~H 2[{Sg @>JeeVw/=5)RT0/FZ-foşT>d]:}Yk/Gߒ-_ޖ?hZ W+{_WGU\K-_|jK~\WoˢX2қv%D.0_ϼ.y].nZRPC[>=.E|_'KtAQ*+\7c"˗F8(˿$e<'^'[Qr᱈Gx>gNaԄ@Ӑ)4iy!@D1ac {`Ѵ3ɬݬ^͢*~XN0cskksHJ8h:] bkpJ:w}zm.䬶l1ZQQ+Pa= pX KV|0}d\6[Zm?~ik@,y+2 g`H/ p=OYXJ| 62Vo_dͧv|2˙ѧghý~xaNW繲dAusZm\w. zQ890`wy`c}O nye::(i@G ?XAF&@QR @I@[B*"⭂ſm;(1mݧԱ^|}j} lYf߷uD&PO89 Jjʝi}a/CO>ɳEu?yɣnJ#]JݣhI#k݂x~H]@W=s:c .T wז0qqgC㖭 Cy%I3&s=4lw@.]=Qî.D/D8CB~[y#|?k_ǜiN n%\7X+-8|#?1\b1wj, "9ܠ>JFՌXXv_-hMg :yUA\"{~w3z!.߱J]]a2[t=& %)>){Rԝ&уˊeh"GJ_rxl2j_ l,jk5^Q@)*F J@;q𶇼# QԈn{R[V`H-f ^zIj1mϲ٨zԹ|ȯGBȵW{Z/r>(7[$IFdtfD$O5"R~Ј" \\FX {oP:-#GgF@L[ MЄAy| Z7*;ol1QA@v2 #*؈~0 CW[l/L74LW 5?RðwQˀe(s/3x~\Xo`N-?F {mxoZ.9tf-D9~gqWm!2tW/TJBl3cv9L@ԟ:Qs."=C-̦CIı1E.O`\I M!(渳@倞|> ˄Rza*z5¦LE /Cjs! mf}C)rN|ƸAB~:-\#q`Arߴeg"%WHcZ| kz]`.ѵfn=u:::Rk#xDyusc`= [I9FK;(J5"Gt1譸 F`Q;=H IKQ@*aQyQXPfP ZsSʽE\`)[!K 2K ^oaC ۱$9C 6WAgqGHNJ iu$5 CA{d"웎--zXжb5"VZu쿟ss GEB4] F@H{įՓzm\4K<gF9\5n.+7H4]LDUX~ iZAk\Gw.ŚUz߄5H?[-)[Ge2=T=yW'q}jթvVK!ȗo#p#ׄoK`U( %>^OJ;N㾘VCc`\k%k/Gl}Oa](No}zݨwg39lCJ--װ7]C,ǑYQshNt+KwbxFuu\Ma %9?̼;Ru+dsk/ %ȪU}y aq@=B !Ix<x貹Z*EQPVi i]^'k.>A c2?v 45eP0eUZ#e-W?MSOP %c0J0gMWhU۝@8Y;ʂ]^n'MQh>$cgH?0Ό:kr!JZi1j> с0/) rcliReQ)]xAGV&E>t{z0wld0xY$-;6w>qӟן"ھktrwѽzXY x60[#8Z1`:"zHٙz AR 暨^co 5! `)^).Po(W'~8G[&1AL9|ZT1pOˆLqAFi6iFiQrGN >x"8LF2fd#-1Q|V5 hS,n#`p:c.HHǸ1?{qrK{l}֠4usCRkAFX )cb D`w`I9#ՀW3,egXV amLu.7p <2H5N죈[BAk;J)-\nזSwyhWۇ8 \I6.m%oODY 1!R6jM|e(b=nkI#ւyQmϛQX{3ᒴ$?ُRY7 r#xʹa$W2 iu>Żw!-Yyv״V>gwծϒ =h[懕-Y'T]#0Q셵Eo:E~=8<_j? j6x_dE?3ĉ7{CUwKC k-#x%\{٠|~~725W_65< S-; y f|]%-Q3ٔ)x5>0:dn.7۱m;FJRh67I _K|ˬ 8ɸ&?IV_[֎"7,dRt-Zsl/үnJ&Adҕwsc2;| 0MF3/5-XT%ѐ17ۚ-ud7T<i-z3&1GGW@S \a L`l6q9# WZqZau&QJ*n% yG~ywؖ\e=6 aS5 (glšQ ?qa Tm(|i[7#d8Obt3g(R vLVekfn}.6̰ Z3]Ok'(eN?b~QeƛH*M*&ζ(.vi(s/gx `m!cG>̗&7B׊~Go.q-\ kg \.cV4|'&k3 xY,;F:35^R ]BH"ԖKܵm KDTۯ:chZ;&h zOekG=h>ٸ_bYZ?87vKedK'lwڛAwЎ|| hz]g(i: 88Jq)ė,ܟ ?>Rb.OQ/X 4>$|_g\ NÎBL6rOЗ`N/'kOE[?`ۧtL_žiNu$X)sg~g(ڗN|o|ߣ3/k!dM~E_Oլ\[~Iy'gQP endstream endobj 6278 0 obj << /Annots 6280 0 R /BleedBox [0 0 612 792] /Contents [6287 0 R 6283 0 R 6284 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32091 6285 0 R >> >> /Type /Page >> endobj 6279 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6280 0 obj [6279 0 R 6281 0 R 6282 0 R 6286 0 R] endobj 6281 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 237.35 166.7302 248.35] /Subtype /Link /Type /Annot >> endobj 6282 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 221.15 154.8007 232.15] /Subtype /Link /Type /Annot >> endobj 6283 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6284 0 obj << /Length 19 >> stream q /Iabc32091 Do Q endstream endobj 6285 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32092 20690 0 R /Gabc32093 20697 0 R >> /Font << /Fabc32094 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lMJ endstream endobj 6286 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=505) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6287 0 obj << /Filter /FlateDecode /Length 4576 >> stream x[Ko$WyRdiŞdڀ{72`OVud0mv3Gn{>Ű= uܜQ`-)fՅuε߶9YSl0ژAtșg]I]lLb)nwHQ ?j |! ~Z풢. :u-Wt>Gۤsf3b9,=[ BU+!POLN0jfXj1ݼ4  C O9TtlPrDd}-i, " @yÜ]/fשh &3])w,)!*~ۼ'R0+huD{0htLpE3[n0B!HN{:iy,18CEC B; ie4eUGl҂b+tz!Ku}#&zA[5AII:UpO;3}S`E${," ]E-?RuUt]c6I+2͑* bXDi]XPBt-,ENk JQR{p uUbtd-.,ġ%_10M +ԩ@ nmݓ4K2:Ih:tg˄H d{h,u־W@ξeѥF*"v 1/`Q_մdc$D b=SH-5u= 1VŸ$ioPE֐1کu! օ|!`+zȷ |ZAޡqÉX^,!,IJHiarJ+=-t{WL+0"ѻi1 ?پ:/_3 K뫡/ٵ&o_fo` 9א?MSڤ㽷.{wD(4*"иV7[1LMܖ@{2 j&];YyCAB|dlOfu_ ^ 8mƾpiA=iom0ƹN';9ڎM]hX ;ܑWyfC˃΍+K`Db,Ĝͽ#5vf~Rh֗ӗ|ObmgR)N2cӴuV_~Zv[b87xmGyZLPCĨX;ɔ "`'y!cɾ+B|x 0O3}xq諏#{9?QJYy8ѩ$ԙa/llɹ&&~iL;r4hgJ]7X5*w5k_nS2hijD!_UU`$*qIH!@,:NN dӰ1 [Qz)6$bǛO7vY묷V9tv,0w+FL*C ]VbIAPvqR& tgU qoMB5 $=j#QlVCgݔƶlmd>6ZZ"Y/( ؃}w)U?lW}nױirr&}*⤫p@Z^9@8P,Lkj"[D' &Jc^SR*EĄq\^|;G~9unӴ W oYw3RZsmH mGaPϋSvM<mZ[2DB3aanq39r7z˶ޢ[tv-uNRlMYs%;dH4tdc4 gT]]n^&JĹqg@ BQ!##}UkaM͈ж>3is77bcw,6BvͼTQKn ^.=gu;9cvtQgbLˆ8?1y˻x{zc,HzNY'+{W&m2!y3^ˌyN(rs_U2]KK,+WzOqv<q׶)6%ވـQf[j%{=~ Y~֊xiZ$3=Z{qY}7ѽ^юՔQHi4 ޫ,lsKQv{O/Zg'c?$Y-wJiޮDQ {fW|R|N['T'zEB+Wl uI絔&GV"ݍ*XflNyRwZy⛆L|74]s,zi jbCM;l}foZϳ`lx}4:9).Ue9 ~5]dKVw0=().0Q_:ƩWǝ&HQǍ谙V5k Wo:uA,ZK?^ę_28za &W(U%hH#iPxϩ,/P dD_ׄBrwf}A|2UvLfVpZh\?':ZBݬ,raaRrqi z~v ĽqG߮1nj ?>pws|P fB|J,kqG. wU~'IxJyDbHqI+sas:ۆwb`Ra^qF[{z06۟oqtU#1Ϸ3V\D =gu?/NY̱}sY>ZoG#_E!h|!B % *R-iӰ_E`UYqZon ֲ^b /e%ooT^!w!N?_s=k|<`[mD 7M"=1T 2 BO!B˾Е`xqR$#@QMKw3WꃃacN >5TcU&wl ȦU͞[;!U,F|R9iX{nIKGHZ%lsuXv5n*"_NLG @%M&"d2Wk Z~A`^Xi-g!xfCFI^0O"o7f_^[4Ko4ըV SjopϜpy?UbZ [/:瘹p=Ÿ#ĵ513pF-K זϻDF54IŌi`Kum7Z]d'@6+q/l l6`c{1]LH[VHn>"f3Y991Dx+e87b(Jb<Ɩ-wXZob-ΈCP^z[˴u,~AB>ߧ~b1<^A.>FҔH;K$(C7g<18|O>'kD)4”6[{WrOZ(##Q^ a|ύ`gY7>ʻ0֪-=P;G<D(C: Ғ>m,uRn(AҶ($zj$L-' gH 3k endstream endobj 6288 0 obj << /Annots 6290 0 R /BleedBox [0 0 612 792] /Contents [6296 0 R 6292 0 R 6293 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32110 6294 0 R >> >> /Type /Page >> endobj 6289 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6290 0 obj [6289 0 R 6291 0 R 6295 0 R] endobj 6291 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 453.425 145.297 464.425] /Subtype /Link /Type /Annot >> endobj 6292 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6293 0 obj << /Length 19 >> stream q /Iabc32110 Do Q endstream endobj 6294 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32111 20690 0 R /Gabc32112 20697 0 R >> /Font << /Fabc32113 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo? endstream endobj 6295 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=506) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6296 0 obj << /Filter /FlateDecode /Length 5026 >> stream x\I%WĹ־r5[ SmX^.LWB(n")Y4\葊]^ޖVglJ-x5˷_ίoo_ovX`ڛO ^7oZr/>=OO^cWKxZSYGeW /2C ]\6,I6M}KQ)V u *\m@B{h C}xo 3-5 (9 ö́Q]cתOަkGSQaU% ,*kA0Fcy14`},F5-T6q˯?5vQoU/ gL,#&qwNv{<8G Nޤt}nkZjPZJK&laXVjSE,afep{+uU3bab=}+W?[䱪82~wZ3zR!MUcOEOH6O/Yz^nF;CT V_IH=jj;JCqb,6@q`2d!\ 8;fA#\@WLy܋wJ)bMCB2Uӌy%/y 5L%fzЇGOKBؕ$s!hBsNp60]e2ˆޮ-,XsBA]Ϧ8dߓߡi!Vً}Kuai%J }Ul͑/!@ѧ_{9ǮO/@GOewBU%cO+W: qhy}[-1C4zǤ|gHYE{ze'4)3Abe`x6OM?RŸy l tHaݫaV {!")twmf8pyioo;?JU{2p\# kJ"ߛcUs#cv98>@-n\ _p,E:Pꐳ%E@5POA 4\0d\==^ư΁:$y-`9P[&̢!l}|j+[}Hm,&aBPŔ9mjZL7'!K1Qjq#O|P 4DPY$G:r!$?WaQt00d-4& gZ:xa3v)LUl5u82:R]sbI2; bAZV)Pa 'Q>sTrk: 8SRBq1Ne X,zJCЄ$c݈)fO-} jS^P 5$ Q lj`%/⫺yzPNJxTQ{mkb/," $~D* Hv)2kN"]YYA j(_Y{`TkTfEʒ1AKECgXHB Ti*H£+Α&Dj]gbOMÑđ7Ad\u1iT~ceN$ RD&-0kK\I~nu!eU&XQ @j+nP6EsO:LQ D dSxQDHjH ZqSyV4 RB0Z!\^T%9`chu[xM8wy'z 65߅VjGޯxX7XZ^AJ ec⥴>l{S;hۭRRM2Q=PuK<#׽lR'&~Ρ9^#(Dc4 ⡋ks6CYb eg)# s7e_BdKBۧ|gѷk3IH7CI٨.[+;x;9z} Iu|dJ, 5k)@0M{s:ntn9 dg>ː4qMJ9)fS-GZMfDS!RLnR@,:Mk 9w'&570w;(LJ=#at E8pPxpf`V*2l3崰my,b%Dl &ZA"0@>O7xg >Wbo@ܔFS)! %?s|Vo;Xo wfnd˥mniǫy͵Cz-P3,{pfs 057ФJRcTh(סrKrp6w>N K>ecIAmY\wMmu00=$.窲u ,?-kݏ$M/cbz @9SyKes~g궀.+ (( G+]A.6!:w_vJyO=3U-:aDJBbK/ckyZWOpk>%6;mv6cl7뜑0xyr| *!%[U9uU9IAo0ݪW( z|u|E)@{ˏٙ`&1`LhJNIEL[lu\}_hզ0g}Yuƶw}ȮnIAƛ&ꖹ-dVçiVan 꼠#JiI.=lw ' \3 ba͘QܤUpsu|43 jK+=ޥ`*,Q9LxkK5HPBʶo'):^+Cog8EC°kIW?5L}*n서m{̠n" \*LP2) @.vuq^Ϯ@)sQ,mG[{SEd !Qhwժ <5k!lKl~,w;Q? |§*|Ijjf*ato` /$.V3pE^.ԞtyեځXQn+lkb`ѫK )Kg3KHcM_KE#Il_h.r5^kI&iШTG Sj|ѡw>tȡ1,Zr2(Z~5(Z; Tb֊zEsɥQT}N64YZ Lq)v1SdW77k![> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32129 6305 0 R >> >> /Type /Page >> endobj 6298 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6299 0 obj [6298 0 R 6300 0 R 6301 0 R 6302 0 R 6306 0 R] endobj 6300 0 obj << /A << /D (unique_192) /S /GoTo >> /Border [0 0 0] /Contents (startgroup) /M (D:20210608081201-08'00') /Rect [104.1732 512.3076 154.3277 523.3076] /Subtype /Link /Type /Annot >> endobj 6301 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (redo) /M (D:20210608081201-08'00') /Rect [104.1732 496.1076 126.1567 507.1076] /Subtype /Link /Type /Annot >> endobj 6302 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (undo) /M (D:20210608081201-08'00') /Rect [104.1732 479.9076 128.8407 490.9076] /Subtype /Link /Type /Annot >> endobj 6303 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6304 0 obj << /Length 19 >> stream q /Iabc32129 Do Q endstream endobj 6305 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32130 20690 0 R /Gabc32131 20697 0 R >> /Font << /Fabc32132 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7|:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭ#x#0$IԢxy /sYsshf^2 GsB&s.S0Erձ*x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6307 0 obj << /Filter /FlateDecode /Length 1908 >> stream xYIk$7W(Eׁ&r99/6U2,=Ugvy)pOjwO JZ9gbM  Q7Mz|"!}Z_w`]Nǻ:@F"gZf(CIEkZow,n |& L|8&uFhrpoLxGNh $g`#x H0̋!Fqi{v@ɋuV/…F!0ffsv̶Wx+KnVԌ:A:6ux'L1DZ@o Vbl,Ch./1Uߡ^^$#"i?D‘o%Ѕb=BMY;E۔XI8@;@ q&}!hP A2#,frF=va,;3i,XyyNH"}a G Lg丙:]ZIk8ƶh(`zY^ԅ|H?./+) Б>0\YU^w9W [Dz pUB?$.!gjGIڽjRqGgJ9_~~X5^ׅCXId,:Zc"=[iZ_f64` ny8q1!kC;I M o(?T1L- /|: Z m熼7l|ӎaR⦣w+^ F9,bA*-ۆuc2Mt$B,}p{?֛ endstream endobj 6308 0 obj << /Annots 6310 0 R /BleedBox [0 0 612 792] /Contents [6316 0 R 6312 0 R 6313 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32148 6314 0 R >> >> /Type /Page >> endobj 6309 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6310 0 obj [6309 0 R 6311 0 R 6315 0 R] endobj 6311 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 362.525 147.728 373.525] /Subtype /Link /Type /Annot >> endobj 6312 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6313 0 obj << /Length 19 >> stream q /Iabc32148 Do Q endstream endobj 6314 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32149 20690 0 R /Gabc32150 20697 0 R >> /Font << /Fabc32151 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?C endstream endobj 6315 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=508) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6316 0 obj << /Filter /FlateDecode /Length 3772 >> stream xڵɎίـ hˀo 8vtjx.q{||;& f IO_ާR&gJҿoog;i5}jf>$Ӭ%Cykk|VXN~Q:շj7&n;5\*yJDžzzE_ eO"2~J^M, i&5Ӹ%+D)# 5%ZF IJwzƄ#1*DxؠqЩ;#΀O-Y$L%J hci^?7@p0S%g>,:?ކII O9SZˑ P):zP-~%JyR!/\6/܆9=g âLК+,6':g6OOE܎HE3T"-.DX1Վ!{wVfj+a<ITjp`:tjE~M*bl ^Zbo6et.˺+/ڏF/?H5صӀ~8)ۀ܆Wk(6 } Meʽ}aapRljMކ/9 ł7TL6$>Bq8 :ѻɝ=s} 0 UbU;^0iUcØDDsb%%z]U=hf>f*LYQHIDJ˝m!eq9] )zO)Kqu rN6-̿)bcw{' <*n}~'O9euV&oͅͻ_Jq<#5[X&e:SxNv|# '/8eO@M']H7lxTʋ%}g61J)Wx.FHO* Wʡ{D3jډ2IGhfXvƵ#w. ROn`YT̿cfSKtԒ yTYR gjR0xg*#eP,?.P15'a2֭\WK vtr[AlQ駋k~W\ֺSU!uUbܲ7l X18ɞ[4h/}?A^/ymĖ$qY <9ܠ_ba^\x܆ FZ@* d$7º]tth;:v0{v=;ڑv;O} yEFֽHä[RO###H-vRO_G/cV?u0PG ܮ+l[A`^8.ɭ,U ,{ծ4\![Q ;@4#X!]΅T̂Wc#:# -oWH. (l7:x܎E˹:z2,6ѡ+j彠 nBi }ki[` ^yO :>3cl397'ڈ$dp\5?x&wn3mxl ֥ƵDJɗt<42J%NH_"] .8.Ӕ JvnQdk2Pz#a߉.hxaڲK-hYlfL& 2h𑢅hGǚɓJhMY(\}7<*2<5XM+R`*(י,XJ|16 CS:}6̎Bh3(.Q e"K$R֜(b-1rqq+ee%U~DF VŘGr Ӫ$Ih Mn 6pU܃!qbyLJȹYSف4Wp~ T̔;\KKLu݆вɶF'-tr*pPuO5GL놦Wvk7Wuk;WB C7ãSz-^|njUof/T1ck$}0hiw;'6YEse&fu9CncKowI:%t^C?ioGA.Ϋi\.>MQ;}bn ĒtxsYex$)}:3R0Maс%I;Į~,v%.UX$ c.Owck@{wqmZEX Gt>L{|aH 'f(pѐ(ײGg0+lC2Z"&69wb&XDͰy6R5IZ  2}1|xpiuwCMֈc0GN ĕ;f}Mع$+ؕ:\7>%Gc0 +1Ha |AqQxM]_e.u*DlȅY.RI<"pOɅ97EYp.q3aؾZ8[Jo \t]R _RE:0f 'Q/O.ɝZ$O4E.5[#yt+"2UX'RìT:W䤽 (d=/E4A7ݼ[[^1ΉTLF{5lJ{>~K͖[ދ>OcyZuTHq ɤ.|)gΘx|Te]>>#Ã,՝G]euzYξK{KxwŚ>颔x>}} q!$^G&`m#!<(<򇳢<m` ]Xl?ryւOO)u2;p[?^P.PC?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32167 6321 0 R >> >> /Type /Page >> endobj 6318 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6319 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6320 0 obj << /Length 19 >> stream q /Iabc32167 Do Q endstream endobj 6321 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32168 20690 0 R /Gabc32169 20697 0 R >> /Font << /Fabc32170 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ Lӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6323 0 obj << /Filter /FlateDecode /Length 4857 >> stream xnί9@+hm9 rr2 .*W7kKbX;K/Z$;%$||[JZ].ISޗ?E6UJ蕫ny$}=. 㓔$e|>.ZC(i~|OTZcZ _zŚ m`ETVig30iEiS3g~`;s,Vչ9%|YMLYJ31LI0 kM.{sz{q,_D:dc߳M_zstlU.ޢȅ ʾ":+wym1M3Bq@;ok.[aB2SC kPbThqŴ!dPb7Z.hs@G^5l] utuU`b;W{k1.[xM im1@{0K;`Ñj+ux`)|&Ď< 7S s!6J. 0fˍ0@&Pnxm HE*lS!D ˏ]N6dYܬ8W$'˿¸Aǿ86s:@NY(xZ`~TYAޅ]Gdux0a#&|,I*@C\ṵPX",P#kTY#+P (aЁ܇BhFi1])"@[m<:= GY TY=HGǥ`qM|Ve@=l;DK$/Os#]cN(Eʂ|V QMJ'ޅ|+7E)`)AX\Q)`mj[߰1!E> !&zM0D<&kZ X7B?ٟ+lJDgeէp+ӷ|H]r8$P=@VqUģaCoAN^H_H+{bg099:nX^~R@xƝ OͿTjlk%6Z雵}꾿b}vLx㚐SK09f *=r1['jE ;>M֫h 90 :[(rԂ5Q]s;c^%k A6]p Xp y69)iv#Uɬْ#DmМM;mUB5Y!C:&K8_B8@& p B5NE|(cMXv 8xGUQI!?cQRУ_r*=ϑR(M o?T\lۀ{h9`xѹ= a|w;涏yԐppJQXc ]lj@Q&pt)q=?-ȵseG5?!| *#r2H\Cn8`֬+$ðgMMQ/VdW{mZ#P׀8\8+H9z %Ȓ/MqskTH&NqA"krŪX頌D^Q4ETPL )6 rpKb$%K/tF(TCx|ߪvFiR{-[Jn+S涫_P^nr\\}ɵAM)q2m`FaEٷ"ǸnDĎqj6"Ώۮ!:u5:d,tS{v0Jy>=\9"T-QX5;˻) OUžګ)+ I nh4ʞegwܹ 34oS\N=ԸUϲa#)r*.֥L Dm^^W+\$!ãd6#`>R5|SB:[(E=rIꎷ:X07}K>*PZ yiK(! Zr uZG|'sn=Z$)Y/B%WuM _QmK?w&)s|!hl$uڒ쌧@O$*8_ –G0 T-qRb_d UݗS)9`4 R_&:6"HLW\4^wGkp՛ު݂ZUxUlx$~@_ȱo٤թSҝfB^nmMY\Wf;ּzeLjrP2gN%YϘy)_(VYvAc%oP`u(|4Ӟ0:t[_s}-z$u!dL6X}O(nń;yC/p{~goǏi~)fܡ

j*'E;d a3M@̨|)5z.Wa:K-ݘŌ[]\z6",#>L0h' 'Tnr+׶_J,Gyl} aG 1{ĤkڞjeY &$"/F 3N<䀩a7|KZ=C[*S~,RMGu|ƞscK-?` }_`GuZUBr ,oGP| (WCS<b:3  \Ȅ̏DZ V{Ab}"WrYy8x(qihX4_ H'B5cl xfj|IUzR>o\p&7a<=6Fytkj&DjfJsJ3< )d9/E4AcʛύϺo88'R362ڭ6ޮ`|/E\7ʗ,}'Qoms)Ikp!Ky1$7tcr&ӑ˞¯MιB#]_VtZ&4|N6[:nwն/Ԯ,­i8ߣwKP,K<{UꙀP%}RHWEE^Jȟ0qz_>/U"\hay,>Aep@y EA pnWٺa\ՉU9=76^„RA"Z —k!8,H}ؾ(a endstream endobj 6324 0 obj << /Annots 6326 0 R /BleedBox [0 0 612 792] /Contents [6336 0 R 6332 0 R 6333 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32186 6334 0 R >> >> /Type /Page >> endobj 6325 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6326 0 obj [6325 0 R 6327 0 R 6328 0 R 6329 0 R 6330 0 R 6331 0 R 6335 0 R] endobj 6327 0 obj << /A << /D (unique_351) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20210608081201-08'00') /Rect [104.1732 572.9 192.9817 583.9] /Subtype /Link /Type /Annot >> endobj 6328 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 556.6999 199.7522 567.6999] /Subtype /Link /Type /Annot >> endobj 6329 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20210608081201-08'00') /Rect [104.1732 540.5 189.8302 551.5] /Subtype /Link /Type /Annot >> endobj 6330 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20210608081201-08'00') /Rect [104.1732 524.2999 200.8962 535.2999] /Subtype /Link /Type /Annot >> endobj 6331 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 508.1 204.4492 519.1] /Subtype /Link /Type /Annot >> endobj 6332 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6333 0 obj << /Length 19 >> stream q /Iabc32186 Do Q endstream endobj 6334 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32187 20690 0 R /Gabc32188 20697 0 R >> /Font << /Fabc32189 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1f$?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM7 endstream endobj 6335 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=510) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6336 0 obj << /Filter /FlateDecode /Length 1857 >> stream xڵYKo7W`Y d-&E!/fʲ'-9p̓֟eQ6SQ忧Oj:E휾 XWkQZ|C 81Ὡпظ3֣ 3tV7<  |jp5܅ݽcrTXbSgg&gN}=aMeƦU7_k; ,@;Aӽv i5=a/pSĤ4 P=oe[}/H^KxC6H4Us6\",ђmX ;"@`xf8er`.0y̌L1+Lvq̖=+o5k>t0vWn܂ǃD 0,d+]nK(<ͽQZL o &#2y1ϰR?3>q =G_Hpc]c9qe^ y#ۍ6\%mm\6khoۻl)K4RbA(E%o򊉬SGΐ՚~{7)JZ0 2d?MO8NOr> VG9 w%aO@}A 9\b6EvbMʮujK5B-X2fsٹRmdGb| μ ϺM,jq*f3J?B-N&]v^h?J/#WϑoF> ߀Ww.;`WZ]f~Q(^~ Hf>)0c2pL/t6('R@Z&k2ɚ8c c^d:AzFɲǖO@F\&eGM,)jY6^ky4jz#n";^>b 7[ZGK[byۄቷZ[V=RirUusE=)i[EF/"Uz[ 8y2J$U\}rÀY% 26'#6Uz3i@bmܪ*B{FCg%ԺoVSHs-}M cNv%±MPFMY;TR^z"=tN>3KV}XfiUP ~+De:c:q$O 1ԊR2J~ʷ~6obP6 Fq5Xb!' q^e-Թ*& ncl7 ETЗW'u)Ú >l8#`̶eՖ7/qVH;bۋ+]k]R#_ۭ7\m=@tSy|M+_km _ㄇ^xZGV15E|y3+m֗'i\ L`ꎫ EOP4(zy7%66OR72v؜ uw>ŀhM3@]>[`7/.k bԥی^shsr( p0" `uc֦讙m˚gi! m endstream endobj 6337 0 obj << /Annots 6339 0 R /BleedBox [0 0 612 792] /Contents [6345 0 R 6341 0 R 6342 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32205 6343 0 R >> >> /Type /Page >> endobj 6338 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6339 0 obj [6338 0 R 6340 0 R 6344 0 R] endobj 6340 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 6341 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6342 0 obj << /Length 19 >> stream q /Iabc32205 Do Q endstream endobj 6343 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32206 20690 0 R /Gabc32207 20697 0 R >> /Font << /Fabc32208 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd>3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 6344 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=511) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6345 0 obj << /Filter /FlateDecode /Length 5492 >> stream xڭ aH/̧'Wd2$sM/muf ~4zol~_u~O>&}{Nk﷋p]=JҡݣA _:w^o;q7FOA7ǒ޵3.՚n?_:n33u\K %nIJ"uX g"j7'u?{ V OXyh؝##N&"||77#xħ-!nxh!s2kD/)wǃ sIywУf=FAx#CafSiò۟Se38 >9~5 #>||&ZB]{Ε5avSwy!،$AUzBUȎ?>'|GKnGf:,̨DY 2- dlj=0W\5XhNV5 eֺY%P ?ש v;.PTUm|Ҩ,F=X|7' Rzsg{wˢ5V:h)X h9hx`+bajXyBՂ >ly쀈/_Ss"DS{OP\uJcd8 `i.̺'Yfs[yf4Zb5+=?&(m?Fv,|,MI"x(lDr,|vBgN-DYU Aݫ"^aI^81\2DaI!]ak*;;+:]Wг8pEr3=»dszNAB[ wF 9x`>C+k7\.f[<#P̩BWWk@XTnIv$Xb~;zRO%iM_;rBz jlf5V!kKaVKVy5UfdYq9\JhF, ֽFK U :yGT4&&8}'U PVP|*ޱ6a=}!=IDGu)QFo;u;*e,VU*}˕ɨJ2B2$X~W n-@G>@40̑Cˁ~&?#IӸ5x[!/d=JϦ7M=ez[Mc&[h?{٨3%͔ p!%,S[0jqA*m9 bG;]2>-=20Li%O!BZ@8[v`->asΑCk(\h"HKGrw>$0iaM1w|P!ܵ|FS0w@ jx@]̝d'w񴞃W Z*`Cs1AQ$&6-Yճ%xKNb%-jQioDϜ cޱ3Y0<=8d3LCLE?U48P#,AZ eLKVFڞF!b0P3S$xMW2c#tXYj$54f t'rR Ւ-1eɚd$ʤn5ov#`r=C;w&K>&;+p 2cw%W)D ڪ4I e'*AOOIaA+)~^RN~"N^QL ^hu+Ð2SFe&: UZt C(WiC l_h! $׈4C].J"ֵUg3&r_#Byk4{ehfB#V^E`y5KFЙ!$I' ^5^qjX L:&$XBېOln7ɎA=5Τ[42PV͹'vnUFpYlNgvK,#߱sjRBpfʪZVN_):܄Qtq |c246#zbXgEViv -!c{6刦Tp~0'sfH5Ufuy\{v^5 k~f]>:`Z\HQoɫ|OM\?pTxVPpto"e$*vN]lV?[RfQՑe.k˞Wۖ/# WxCOs@<*!t4:ȟVdi  mjdׄ_*.MW%v{$1q VB#T@MQ擈U!:.rXX661EXm_qN# Wv_M7"wa:L4MNfkrڃ_P"݉͝~[1SMX5q+~r1h0u6ȣ,t5kŦ r2KzS" X! ԡ0 A)N2?Jz3I64GuR\!'͍~gT{ܡwֶ9\'c7)E`P*shRjRr9(:ys"-y;o/ ץi&lv7u{zJ3qEX[eHv^],; 5vxS0UgqXvr[h?g-t.Y۠M 1R 4 Ua {3Y&0BQ>0m 8ak5B !XD&D@1AqW4! @ts&ؘpSFBK$-Y<װ[P , 3mvvWl0bPuaMj;ҫRxDyt_)҉t<*uB_0EU9{E!Vp/RLq>, .q([E׫44AIҞ?Rjz[X= R T HW}&xnv ȃNψ{ oL 52Xt]X ]", e=Ơl3fA*b!r}k{1lfX,hQ+VvMy} *{*CM+@ZI= Cq(J:et[.(޵ 䈯 ^G RX0)ZHJc 2.S"V7t: n`NoY?&,G!zOȋŠr թּv{X)h)A`(RAqoa94vЂ+qq$|໋fC*V= Ozй`2Mǖ6lln`JjtЁK6]Јf=0Møӈwi #YrO|\&70R،ȿwR L!69CXvׅgȑ$0S7r5ZsQ%q Z̓trKvr~D&2AFG nI2<^Ӵ)/`i^ӴwLԜ9}ќa! _$.c e1On97ȓ'IU¨\f)lVSat}8]ġؚч$jCoOecf4&AW6_pF +9"w L/]Q:aGjE~乕pWR*, t/s>Pp܁Y΀ե`)\#QnSB>vfX߶Ră'|AMw%f)ƎYcK-WbaҕEPt?f9e FXy-©ZyyBԨcF ĕfcM8#8:Wr8~@§>+|x#Ya҄3t}T)so.țx }RaTjyQg- {3t"퉆3q(p\ȣ<^*DkxX\ /d_p,|L^UTðcTBOth^4>_\rK(㤤jj۽hm*|PCc֊++rOcɥ^T\b+Y[1\xm|Ced[7wn7{僢!Ya6plN>-~>!x 8 5_?,mzYM.';~b!`ЊF%!<Gx6~~IV$ai_ R`W`NIi %CSKa:< Ҩzg &""TԶ?szE<|}#/XIi?YDt1 hOc΢? endstream endobj 6346 0 obj << /Annots 6348 0 R /BleedBox [0 0 612 792] /Contents [6361 0 R 6357 0 R 6358 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32224 6359 0 R >> >> /Type /Page >> endobj 6347 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6348 0 obj [6347 0 R 6349 0 R 6350 0 R 6351 0 R 6352 0 R 6353 0 R 6354 0 R 6355 0 R 6356 0 R 6360 0 R] endobj 6349 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 398.3115 190.1767 409.3115] /Subtype /Link /Type /Annot >> endobj 6350 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 382.1115 187.6852 393.1115] /Subtype /Link /Type /Annot >> endobj 6351 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 365.9115 192.6352 376.9115] /Subtype /Link /Type /Annot >> endobj 6352 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 349.7115 178.0272 360.7115] /Subtype /Link /Type /Annot >> endobj 6353 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 333.5115 177.2792 344.5115] /Subtype /Link /Type /Annot >> endobj 6354 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 317.3115 182.0037 328.3115] /Subtype /Link /Type /Annot >> endobj 6355 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 301.1114 205.2137 312.1114] /Subtype /Link /Type /Annot >> endobj 6356 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20210608081201-08'00') /Rect [104.1732 284.9114 168.2427 295.9114] /Subtype /Link /Type /Annot >> endobj 6357 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6358 0 obj << /Length 19 >> stream q /Iabc32224 Do Q endstream endobj 6359 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32225 20690 0 R /Gabc32226 20697 0 R >> /Font << /Fabc32227 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [nfVthǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZNu9_>Y#rU %uaT(P;b)G+QO TϬ>JGܱYIZ)6{ik_KiR'Sb:tD'W9FF-I U4sm.\gy4~m~oC25h}'2g("_@9 ?ɜ F0.\05cDA ^Xb^@wevbVC90ڢ_Ћm߽? H endstream endobj 6360 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=512) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6361 0 obj << /Filter /FlateDecode /Length 2892 >> stream xڭZKo$ W@W~w{60CIf0sٿ*$"?R$o:M>cb˥hk1'Y~yx0Gm#< <x'yG~+P1LE9 t^`_#-6F9`$ +D3.5ǥpʘZC#&3 HɘxD>*VN'YVƆ'r#U4K\vL˼Ik\3,+M^AY&OG2$i"*`*')ZFga_-O,l8q}/;2e>_5͎!G%X[P6 a<$,D}*:X/p=о$ƀT^@l IP] nl4ˮ 3҃y@۱lsYV'SN]@StMbvC]0q,eTõX5hR %Lt{;pwď<-PFf- n5\#N&EzXĐy-s9s?R;#i %]J>֪V:kw vVvFw$ud<#r.ƮK(vnͿSQ0՜|Sb٬ cS10;4k^{q8_)sr#sv6u7*^od1 i5w"G@Ǚʆ"e`6/xät-k/bc!RRRy=0wt[ov P4HWoh++ q9.!Y:iq9$Z+NY[7zD"i:+뷠lV?Z jhy4EEk.X י ΕNkguH8i83X|B hAr\nnca>lkԴ^PB~F 6Ss-8SWmu@Ubw3u´V{Ni\υmybyvwUtB緍fFD֜K-8K~ 87;N&h7W>Ǩ1];,@_>,-WbôhKL8:l7NAz.%gA*Nmu1M9Hzh݄ʵGeך4д4oקHmc\f=M+pyr̦t3;?i8”Y:NRS|0+ ¸(O?k02f|BNVC؋F@1v44 |nlOm,JA;k\*#Lf8:>g6nBU~!wJ˶d~R~鯿ָ߰$SҚB$8nؓ y:H3>pi4DpשDuX9Bs&k@^4;oo,J b?=Kx b Tn< B!)p QikIOEx%|\|'K^kAp2{d⾬Jwh\Yx M x4T;KKu@^&|aZ< VrC꼸)_WVv)CRt3rMI+" 1Hnm^ ɹ\ye^ye&]39ݤ8J`8ܧLPqW p ӽqkOmS)^ qx0`/\3mގg!r;oZ^/ " [Bf鹭b.VLURA8hS/O\"ꙴ u`Gr}j}ĸiLew+ FX]q=5R@[;,Ӻ!.a;ڒ%_E_^V 4L2MM#f$cyFeA? 홈i}f'=<yUp4E\mFt69ԲWqUZYZ)L/$)ߘNn5cDڴJьLIBpsnMBmzsXXf&oQGskpf0nZ{z!-WMצ" `bX,aĹ&%k Hn%vIMaƶPwi(1#HNHj>*r,n86Wé^raȌs+BHdu O^2r'dCY=}Z,$9<\JFֱrteٓ>_~y'hiTz\ۥ 4t[Fu00D;fܓ/'G ,w'̠`W49_t$xks]$;BWXO 9jT9PBA :O,! %,}Lb=ب&XhH'Z endstream endobj 6362 0 obj << /Annots 6364 0 R /BleedBox [0 0 612 792] /Contents [6370 0 R 6366 0 R 6367 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32243 6368 0 R >> >> /Type /Page >> endobj 6363 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6364 0 obj [6363 0 R 6365 0 R 6369 0 R] endobj 6365 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 368.225 147.728 379.225] /Subtype /Link /Type /Annot >> endobj 6366 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6367 0 obj << /Length 19 >> stream q /Iabc32243 Do Q endstream endobj 6368 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32244 20690 0 R /Gabc32245 20697 0 R >> /Font << /Fabc32246 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 6369 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=513) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6370 0 obj << /Filter /FlateDecode /Length 3072 >> stream xڵZKoW@dH޼ ''vHK~WOhx!,Uůdwm iu˫.4c"ߏo=~aNaI^?򽞜R<Ԓ%WK^I) hkk߲Ӻ#a**7ҎP9{w~zV 1jrEGZ3ߵ5C>uߔg--㼮QuN&#1YN;B"40À^cbz #6R6N(&fwyCu}BE9evQw*q`p6(h9ҬB eE(dc= t`u^=ka`Q89!,sneI1HIN>,;oFF. HTup&0v K.HGWb%;;bRFXDRSש[D!~ c4xpJvŤ{De.[ظ 6kk%9?-dAF˾lmWԱñWV7V`xr6>hBF, L0 0q; SChQE-10'J- |ԕbjGP]uliE`bTT {v.MΌg9P8^-_cm8o6wPYlA2㵇(/Kz[6܇v6ݻK{ZrJ[T{ԑ{$00)]]GٹeGa31bˤlp# D)e5TzL I+my 1{TT<9Q2y,h0nD&eoa^ 1pX7J|JK}s2"q^(gޥv98aW\koּg>((ϽL:k ;E^TnWw* pwp=SIG{hVac?ɧk}3kZi=gb<"Zp~?ή;nc<ϸqFIܞ;U GMn=Eu"ePkNv+0C-jH(YS:u$o3H[ߋj67RٝJ[FYNJCF? =eSgx=u;r2XguCZt 6t/+dr SYIqa" `⪑tƘ;Je}b*܋+4e'5SሲjkYDʑw%D"RaJPTBHb*x oCRpC<#sCCq72,ȺeKQ/miet""! ׉r.wSOCՕ)DMXbp%rMʯheCVb5zߖ :b׶4#%'/T0ЧA!-=nlmZ#9/PEc% ɲ]R.hX6N޸;}NEۂ$t|`=IN>FEitr o(WM p<ORx`=6ʵRoSPvM_-Ѩ}aW:"rGmI> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32262 6378 0 R >> >> /Type /Page >> endobj 6372 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6373 0 obj [6372 0 R 6374 0 R 6375 0 R 6379 0 R] endobj 6374 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [90 344.025 151.237 355.025] /Subtype /Link /Type /Annot >> endobj 6375 0 obj << /A << /D (unique_56_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20210608081201-08'00') /Rect [156.55 344.025 195.6385 355.025] /Subtype /Link /Type /Annot >> endobj 6376 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6377 0 obj << /Length 19 >> stream q /Iabc32262 Do Q endstream endobj 6378 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32263 20690 0 R /Gabc32264 20697 0 R >> /Font << /Fabc32265 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dPw /P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6380 0 obj << /Filter /FlateDecode /Length 4317 >> stream xˎί@|LwOmrr~GQ~ƺG"E&d& vxIMLO`Tg?Y3}C|Ln6}Oj vڝmwIT=m< ۝iwB?ϿN/WZ.hg)hoLzjBᑞ3.՚%_W`VONq2#\vK2' LZ[Xm0WX;oy{IѲ~>2Qt{4}DzJ<'jgP@̓8B>[syY:D 4p fϸT$[8"\\#Un5H|] "\ |]c-/3!m&>ͤ-hZ &w1R,y #iBZV)+ ā9u("4 4UʐH܂Ѧ.! #A7X{A0^, QO e22W%xf3y%źxl3O/$&$V} ȅ=Y؁MA;1ZX\D m'[v T=D۟`etoZ$J&)3b2 V ?lX >R'h?:/|[XDeimyc Ȧmا}SñOyn).#xނkgjgp*{G=,1ġנCagDg2o5i hv̠!y Y^CXƋՀsRԀ)y5}jcv \̰YA&ͦt7;U?eEn>yzz@.4a'T[},Vt~|Bծ0qC ?CXktzn-]d!Hر1T;@̺o *. 609\@L`l)1Ttx͓V?xZ֚h进4UZ>93qC@ r@zKwtPoO! r\6Cy]W![ s]A!j67T-9I79[q?" ~&YOj̉[lY9\RH6YI6 , )R-RO?jCjVJäVKV껤VK}OpibLc@q3:VހF0%s;'XC[W4Uc]G 1<}zwe^6rFE0т*hJ^1T!.kg a]iELU [*|.߫QIFMF/8Ix@na֞Ht֢s䨬sMuPX^!59J\նʪ| @Qs, gj]!HOfCʨ\c]> ~ 5EsV#KE.d0;cXS^сԓE'`kús`$޳9έO(<f=^A'p 5FZ5Ɋ1-.a>_[% Fk6ayJ4Ұ>3\h9Wv-vIڨ+HY=< yf%Ln YI(|cg5V TjjMÖr5#6#vYsmFDkęC%T|s% #dHDl+1yp.-鎋7eL,R4)*Zrz^*N+*ETM>- &ZEuvJ.V gE ӾsM,qw;F4/˞UNZVnvK̓3|Mc *m~@V٦EiMRp&OC|+J+<Ӳm8 Rz˸6sb_Ж>E\rFmzuRcx,}f0Je=ZA5n6ҕg6C)]MpF._˧rwzU9=F׍'eOݨU3ĥ}zKK9YX\ }oxƗڏd%n*%ph =֭ 8!٤E?"kursJKF y$Yg,Y%Phwъ>dLJalG,̉A`7☔ĖY(aGeScz`&:߀ [E V:̑ 7i֜W\]b>=> O@GiIu@;U a](|̙݂bKc(qӑ(v<.V<ַmib&{G^ 59[ͫpL߼ABP2cB>8 RJw),k,RAuy/$%8s,8w2@LtC[jk MQ }=R __RGtz0G?_P\L&^P>Sx* *\]^ 8y"N1IK7|W,'zv8<%7vQfI O]A#L \ k뵴xY,;J235+`} @ZB}'8|)- K Uii=ukG۽dYkl(E.[.je) [/Lqn6Ked['l7ڛAx_n\VLI}5΢<5i@sZ"Rg'>@ו-7L8 ۩\jЯcǜW'qy/%B&볟s0IRF~Jpfa@ endstream endobj 6381 0 obj << /Annots 6383 0 R /BleedBox [0 0 612 792] /Contents [6389 0 R 6385 0 R 6386 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32281 6387 0 R >> >> /Type /Page >> endobj 6382 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6383 0 obj [6382 0 R 6384 0 R 6388 0 R] endobj 6384 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 489.8384 144.0317 500.8384] /Subtype /Link /Type /Annot >> endobj 6385 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6386 0 obj << /Length 19 >> stream q /Iabc32281 Do Q endstream endobj 6387 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32282 20690 0 R /Gabc32283 20697 0 R >> /Font << /Fabc32284 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$!oU櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 6388 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=515) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6389 0 obj << /Filter /FlateDecode /Length 2073 >> stream xڭXKWammrrdvpWŢHy܃I!KE_E/jn:1biorxg֧Y`l*ƺ`sy}M4v/ɫ71.pkvgI4G,Q٘tuń0?_`7@bl\s gm`9U90 1ؔS[X0y )m\Hj8ˢ./G]Bfd.$2[B&$]E#Dg/Y"]/|a5:5bQ M[ b.Rl˳/8"x 0CV :p^LaɯۡӦtL½Z될QG</:ۼ852ʔ:!̞V죃]=yq r1JK :9һڙ5}}v\|ZĔcXK Kؖɱ5ٴPae[Bp $A 7bbbNfב@i,`sn->^=4th+TH \-Qs\lzSLn[{o4= w?ƤPR qZ3^}R}9Yo宐L>`|P˗Յ<MjVj{݉W[!M i0?~E}f!Z7tZFT_McC??Q;&^{Ԍ͏vKv#Nyh!ǟMuuOuVޜѿeϭլpx9냞 ^{N1], evXU >wo=)o6P2k*oW$B,$\[Px,!Л7,P-cE>%cmܧ'Q3t(@LFvllB5D.RpǶ_+nV{^*q!q H1itA_Ij)MȗiG}lMzӿF0Cp%Rg"EMϝNhk?FUX)P}3oʘ fu?nKMGw#M*U)azR^0O͹=iuk`,I8SC2CQt+'PNB)wFqH1j⍸m~uqu!;5~rSc[4M. 'u!̖^"+q?x\HzWLl5 #Sr'#F`v(wTYDeOcm_HDi9chMvC4 r(2涎<{~HoVڵ4Νhtua¦9 =9]ϸYӕ~•DI(Q0E+( ӗv;PP>Ƨ"Y vn|㩽 ([Qʟ9P{*i:=5۰n(8QY25ykD/")) endstream endobj 6390 0 obj << /Annots 6392 0 R /BleedBox [0 0 612 792] /Contents [6398 0 R 6394 0 R 6395 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32300 6396 0 R >> >> /Type /Page >> endobj 6391 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6392 0 obj [6391 0 R 6393 0 R 6397 0 R] endobj 6393 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [90 234.625 151.237 245.625] /Subtype /Link /Type /Annot >> endobj 6394 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6395 0 obj << /Length 19 >> stream q /Iabc32300 Do Q endstream endobj 6396 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32301 20690 0 R /Gabc32302 20697 0 R >> /Font << /Fabc32303 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻AXC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ  endstream endobj 6397 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=516) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6398 0 obj << /Filter /FlateDecode /Length 4181 >> stream x\K$W輐zK CCWUfw`Ƨw6\UZ3TW*R } ߤ '#B;48|?4Z~Ժ1&I)`\C+$U̴wqlwSB}izߴγg3|"`ʵ |+_@Y1L nQYm#|=-I̳U~fdsd'礟ƨ|W{!icP:ݳRiBR $[?mn(qrOI~g'tjNḘ&4@̍2 bk1SzyP= zJ{)c}Qe{xg e# 6GdB!7VH@((UuꌨNY K=Χ)iO/AF@[fr," 9?f iСbt3Fx.QAWSn6fk)=kb !1?.J+uXʹR֔ІW rv 4`eX^'9<`^Wp}CZTrXPFQq GmH #? M;(=-N:tp:BGuZ!ٕ6E kGC+%^Ԏ.(0FpA`#ω%0Ȃ@pp:]A6e*; Q}!Io\%eg쇜ÂW}} 8t{41|0e0ކ6HREnt䖲UIcb}@'@Dg:HOm ݘ7:ڃP+1kWp܃Yuhإѹ]ƢN1]fb,͆ZMh3׸U6p:ԇPϼKד]pI V0Ǡorͳ7`0yrӾ͑%"gch9qȳ-Xz',N;/u֔SnYZn0/|ͨB] <b8-υ%8 7 >Eq2LV#֊.KڰE 0OjG*'ni"N[L\tHM]ܤjcGo-VЅyآ6C76o5 zVnV|DrC#[VQxjjT?ц0Tj(*"5=DXW+3z'0ź̠Zmjˍ%pvݖy@Wxp]m@Q/F{* [j`EiL>昻+&ّ7/ ֪kp1F@y s4ONJr)#DTDƲ$l.#h${T+CR󚼃g:d^֯c'd5eagͶۙz<3>:єp +c#s˾f]=ݢGl2DG.cll} 24ʖ G i5\pQqo/xyaFudWzɤlݮl@_ ڷӶPxACFHe vHQz^=zQ:K<7գ[)"c`ߴyg)exxCƭ^1|uvml}XAilXL;sZf͙ΛzR#:D6Sl|@ۻ-"68^Dͽ#ƓΑLIƪBڊ+eTgTeXָ mq /; u;v";8~v݋WjkQ`嫄EHt @?L{$y= ́7Fo Җ/x:wD6[ %˭(nW2VVDޖF7idQ{rD5+̬C2sngfVʥrcVH "r };UGEʊRR)/SR1O'޺R0ܨN̈2jRV K ##Lf[J+Q%crM,֢ͥ~`|W\A:RjfChy7if Iݿ<'!zd}מX;kD5- n\I/}t(Ծc3vi'[d]J Blq/ccl>a=]FgQtܶ1ޖP7XA>݆}}` `F.mkyq vY_.% l1Y}mqlq ly/~ `-{k7:;NPDr|>>Xln}ؗGw=`3{Ʈ.e3q.c p kƳl g~ƐWQ  VOe}B1B?|q߈[KՅC"نQL~HNk׆_6l/;9>0U=l@/:vM"#45JـŎo༴v.ܳAr`n)+ؼ( - /LR6m?g1eVt*q@r蒽p)*tWaEnO,-]gT=OyoKr%~-Y8T PzYC9+^9urM2/K&(&|ئܙezwYGOJFfZ/'O j%<]A1Qjsګ߸DC]iUVc/bL(/lƧ6ʀ]L:'NDm/R2Д4MfxNdӅ3qT܅x[YdpF++p)詰E+tW<=;k]{5h0{dZ %=vjK.ro 9B</sד!ͶbYrAʳM0WZ7Ґ*ckF vw78pSX')FOȈw)30xc[r\,y|&X /!yĽ׺T|M e$.|n|W4ɤkZC$DGי2f{2|9⢘1zkARF-#Mraȷg8Vw,Iٿ`_x^s;xv2R_eԟSk8r w.3祸!MyRJj [ƈJMZML;o*?ޗllEQksWLF%(<^rHq^62ڥmWX  _lD[1gyf)*uK488٩0:tyT_S=\t0\X\?tsf&FYN*@>MܟZN(YH!3.~K gK#u8g]Ny])GG<`NEsݙz ~ۦzطf΁Suv૤|LTK@4yσ䍜Auɍl1D/6ًN>,Z}x endstream endobj 6399 0 obj << /Annots 6401 0 R /BleedBox [0 0 612 792] /Contents [6408 0 R 6404 0 R 6405 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32319 6406 0 R >> >> /Type /Page >> endobj 6400 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6401 0 obj [6400 0 R 6402 0 R 6403 0 R 6407 0 R] endobj 6402 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 139.2078 144.0317 150.2078] /Subtype /Link /Type /Annot >> endobj 6403 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 123.0078 138.1357 134.0078] /Subtype /Link /Type /Annot >> endobj 6404 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6405 0 obj << /Length 19 >> stream q /Iabc32319 Do Q endstream endobj 6406 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32320 20690 0 R /Gabc32321 20697 0 R >> /Font << /Fabc32322 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoE endstream endobj 6407 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=517) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6408 0 obj << /Filter /FlateDecode /Length 3835 >> stream x[Ko#W9 d/rrd6<v.SՒ[dWOR˯^˷Ż%iR_ugzy޵A*eW~ytHJR/J{(%(u4mOO<\?=s?J'z m(^ˆ_er?qq![eԖF-z\QH8r`cyK72xRęG444=O0t䷢R(ꃱ6Ŷ3@f10]kZm7d8KKwBM*_XSQ_֦˵ug#YkAdp&$Aό,0|^,&^xR^jTO@#Ma(ף]0M:$Np@܎n;]Jk{߰F/lŔffiOq# 78F" NA,>vc`ML2R] 5><>ܿr?mƑHCoA<#i SC5$taVsа&bI!rξO} >{v/GA.GVF\m(jU}l.&Hz Vz#e#w fY#WuaN;,d%lV^ih;avXEۖ3;JpV!UqjZ¯!{U'!hFc6 Ppe`j@f6:+h; h2J9 ljvyFLzQ&54PģxŦ-ɦq]gl+ۅIg-M3h-W{C(4Z Dm3]1JTxiLo4,(QEoY(sj~2=,3˸ZbXZR$@}ӇոIT *kwCuY\T|Pl>ly c>^_t#W6;2KdCp4>~TFbeK?YNlsxmZe_PeSaμgCG`WGspv)Nt.WPjul3F4e#ߐ=]s='l΄@rՎ`$3D'GOd'w>q6$eJ5Cڝ@ݓw% Kʓh*:\bxDP:5WԣX1q饐FbcgN)<3N(j;QVm!H[{9> Jƍ]{kڃk[`Κ1pj. A]h>Ku6-j}[5gdFH&LT{D`WoGWŦ>C U '-ӊTuŘn]ǜN073=MriyHͥSZjXoEO8K%TE!2OȆh m`,f;$.M`a̦ve'J+tkn wV[E˱w Ž|] @V-;6uGs zff˭ӏ):VAOqj{nM| exp[Uþo,-v oJ]1tx%œ :U &`Û06d&9ݓL]hmurYV((/y1ECaQ~sy}D9ݼlew˥V' TyN}2br ҩ]fٻAee] IZ1([+1^^#>Z*ԗۘcSM:AYM$ iƲU#iq ޥ )LƐD9U]AVRLG쯟]17Z?Iu~r")+,mhv6AZe\·9~+;9ޚdI+jT5MZ1_h/ ^"={ d1L;9TnF#7"*ZMo``*bOy ˘|^tBGJ͸q݌ xen#y_ůW5~_tz>-_et]WWtvrտ;DhXV%٩'wzBC-Uo#0D83P>A4;7D&ys!7<iۭ^Ǯ6C/%}A )x.+g%zsפ5ƱA႓ &s Eqp]|SC&xf`cZ5mm hH=C˿~alߡ?;>e4uoZ :ge7F $ao"AnɲQ|뢹M m=D3_6v/cFZ' =⭽De2po5ΰD:IP&so84My4f- *87[l#Hf׭6yX]{&&x$+AnT?#`a}MVͯ"W ^=E,~'  ۿTkϔpoJy/IZt_axv)t4L CXcva~)m|:y͗SK5k\[4s$ q8t,@b ]!o+?)gbGU+|Sɋ_ʂI]|G0Nރ1z+, ˉ`vBXc>skZu B>l_G^KKQ^"D}H endstream endobj 6409 0 obj << /Annots [6410 0 R 6414 0 R] /BleedBox [0 0 612 792] /Contents [6415 0 R 6411 0 R 6412 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32338 6413 0 R >> >> /Type /Page >> endobj 6410 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6411 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6412 0 obj << /Length 19 >> stream q /Iabc32338 Do Q endstream endobj 6413 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32339 20690 0 R /Gabc32340 20697 0 R >> /Font << /Fabc32341 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 6414 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=518) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6415 0 obj << /Filter /FlateDecode /Length 4398 >> stream x\K8W܀5|S V3aY,*x)SdzFuE F|1$;OsHyjpvfI?Z?jf9ۋvzZ•;+tr"^]{ΓPx|7$ճEz}A=|B_ _ r(2~g2 _;?;G)c.RڂKi WMi )kUY:X7l /(u_z7}l,(ʟHQb͹iD $6djw5/٨IAҖgBI32Y!I:3T'FZ0 %S 7&1m4im}Ln2MbogHK;JP+UY\g\$ًy-1f_kRS){T,.jךVBsET:̩QUеZ=L0i^ ߴi)t.@SKȊzE\}7N \#H$]"LNؽڹM;>, לbWWTe/]V{ϟNϽ+rD5Q5Gs|߻{"ΓlM;elK*#8?֑E K&s4Lֶ$窞RRF9*b~!y0A0&:%H"5β9~t/6fT@㹢Pˤ3,%nw9 V- )LѹR!0+#M*H47B^V^Kc{l4nM9dK4>LdW P@`wMژ`ZVXK6gUZi}VygםM D+K▙q g1Posە0狖W3u5P%]oM9bdzSl,Wz|R#sTc߅{"0ӭ/ m<4vjtp3+І߭nLj2vo֑[p׬)+]E},΍@ީ] #;ne͚-,}o84vfoҸo/x^Dg,vbޔ|$]4J[.赲wLheA3wn[e+1F;eO-vtif]XLϋު"@vD.jac1S9lL7s]oպ149>uCsqjmf~Q=;ٳef1s OSVz4o#x9WNW۷ժ#%͆_ʲ>~.ŮN[fVVI~Ɣ%ҦoU<6*39Kޯ#A/}ㅟ^9j0+|Rz߆/ Dp F=ڜsmJ ]an>RooA>|Ť(-}+ Ǘߐ.*f({_ROu@IIP:F>/_رjo ^ 6;r4X?\%'>DZi8-"g>wd.tyY=Ծato|csp6#: wl-e*̴_Lor9B~d7'9EY#⑭ǯi3.~-z ,/բT u򕂩:c%Kjt(͵0ϙ ܰ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32357 6422 0 R >> >> /Type /Page >> endobj 6417 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6418 0 obj [6417 0 R 6419 0 R 6423 0 R] endobj 6419 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [90 498.525 151.237 509.525] /Subtype /Link /Type /Annot >> endobj 6420 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6421 0 obj << /Length 19 >> stream q /Iabc32357 Do Q endstream endobj 6422 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32358 20690 0 R /Gabc32359 20697 0 R >> /Font << /Fabc32360 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n5thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XLf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w& endstream endobj 6423 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=519) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6424 0 obj << /Filter /FlateDecode /Length 5031 >> stream x<ˎ丑w~R["(] 6 `쩽60so#H%*SYNOV&)*w(NNf_KӷS3Sjt_V:9ic9ݨ}f^vGK@tg84nW Sga_}e W"tCn_} ?%:"?K&{?:w?%oMsxcfQ3jk4|OL_NIu͵;f.0WoԿ·¿-|̓sn8i`=niWxhj û֯w t{{}_h ypLtue-uvnu 21a}b' S|cj'UvX u-W Hd:htq~1pOX |}yR=}S3DC@ _ w}A羐Eb;kAH~ (2@B&=0ϑ>RX{Е>5b{ƑqIPFF;+x`=0<;j~G#XrS |s  3Xڅ,DZa'bbugl? 7[Jdlȶ®B(BfNQ2B0xSKkQ䃿O'LvU$Дo h >~|k GdX&ONNAE@eOjT%:-w*'Y֥~V?ՂHu Ԯ`V'Z3Ճ{Wէ{Hpi\}@($RKL#G@uW ED´gO~Z( pjabHIOLwagAS-,Ʌb+!iE%(a'@t46b&aP;`m ouS.Ѫ0/:4w&YWkDQE^g[X;w [⇷+Vo$[^&GQ%>e/4Y^Ca"9F,)}M0Hm;WUw]

ðc(IϔJHZq6 %)nI[;])PrjoKD3A YKdșcʥT^.CM,e9MG U$ &uao*EC CYO|G{1gy>|;:7%L*@g㜊+QI|}ub}~ HpaTOW0{b}mT=\&/ѕm7!}{)Ms-BL!6p}`F;A q.^Z% OjK?=P&D;_SC@aTT^eèj/{4\4V$>ۗ@|,YI{ endstream endobj 8900 0 obj << /Annots [8901 0 R 8902 0 R 8903 0 R 8907 0 R] /BleedBox [0 0 612 792] /Contents [8908 0 R 8904 0 R 8905 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36860 8906 0 R >> >> /Type /Page >> endobj 8901 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 428.227 381.3955 439.227] /Subtype /Link /Type /Annot >> endobj 8902 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 375.5578 396.03 385.5578] /Subtype /Link /Type /Annot >> endobj 8903 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8904 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8905 0 obj << /Length 19 >> stream q /Iabc36860 Do Q endstream endobj 8906 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36861 20690 0 R /Gabc36862 20697 0 R >> /Font << /Fabc36863 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x~?va,6}{`B V&́2hނ׮nwwd mw/g+RIE\1%9wr @L$V-T0EH(.$c"KS"ɕL jE3D,ƵaPdDξ@lIHBJ.\[-: ufg[XLۏ"ÐL$3j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.QTfpF[z7}Kl endstream endobj 8907 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=756) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8908 0 obj << /Filter /FlateDecode /Length 4650 >> stream xڵɎί9@h% çI hNRzfzR,gjٚ9H%?.,:TE++ʽwB8~0m)/VmCfpu-L-&upyIOq?7E`C.oȇEXl?hFH_0ͺo/Mc"Ά0qW8ݻlG|M`fQ6`$:\AgRD2i%q2=i6 Rn:igtCf-L)8paδY֤0KZȺC`Y)>!gQ x6){,Jruy;@%lNЖijsQclnHgɥN(6 ,_Ym cfb LEx M/ҡ8]^Ls7T!/:D!L]ό~dukyBBاSpcƻ2 Dע5;A`dޡNsLLxOZ$e\ Q' PY, 3n an*(̗,33WZٹ;zx_}Iʈ~"P _Iua[ 3(!39 IsJJg ,b@?_T 7ٖJ-A{a*S|d+;_``;4oa wxvA|on]9` J&.^a@' Q J8`?N3G>GGy}YS8 HT (a apI 5ɦ*1Ds9u#nTtĺ;& a,IA}bO[VqLj8љn;X81 kؾU*2'HgId՞)cffo44㍩7U<=*MTT;Ě5coaEe՞5jP4w2* [1I0ΤH"v,]KA"iFn:Hh@)ԄYEh2s2>,Q$L (:r,QNl #:h$xU:FWΠ/U6/)O‚HMّr׺$"30ji'uyUI`Wdqppi5ATD EŢ\p;_$GU{_5 "%E7?`&|j,AgxSОðӚ#[6:ϑ^K^H+#kdeMg|9,4g8YnL+#flw=zSr͵aQv%EXq1wgݲZHXLN-dYX*LD1ҋN$،8VcdbԦҰ( ':~ogBs 5.\M"Yjx +3R^묾16`cz )-(G<୵HE#ivUUM[zWyK\f 0ɶ5>yPuɹ4Hzo~)NKNhY4s8._e>8%&ݲIE.9أ)Y qhhU5L*^UD8n!ҀR<+;]ak3>ӽ,9#4l| g N͖o)I:pvA;ɥR! Ns2Iy` eX6ng4Jiə2%0e\Mmq)ۧZ[TqDevtߜu1FX]9Cq6+ff$rÖٴCZ 6xe5V!T*e% "LN>Y ѹnʦ),e:B*9ϧ1 pkTGmWbN"nH8%J ?;[=أʬw'M2:[T3Kl}fXqBmLW.-Y95 Z$c/+58RŤۡBL9)8!B,cWl+v 8aVĞdS6>zVFeX qb7bkqcjybâTwrr 2*,LNSy@|ݬѺy3?ͣ+P˵M~6qv(ԣ)㠍es(kuHgi6d" (4s GyLȢAP~Σ}=g=sv19{4UOһ-sY#I= e6_1\̥lpDE cD.,zSp4USc*0tKT p1d}"|,v}6 '<}|{n̟_G[9:=3!hH L=B~;skrC]ȧ5a; s/>=:C;^!ܞjQ4ieS?A><75ricҫ.V sFI,bҌKP<7B3:?FdZA ;b =L/LrR0;tOB!3F!I{vJԍwbFN+OnIw*ikM38cwzT'7|uKYKQI P"pYX/EDkT|O2Ui|y!+2!cGBm@.JW,&]O4Y(OĢq%R$7NQ`V8%08T~ 'gjF'jff,0U[}xBOd7쇺YZ'tR\r˝㳎u46q0vjZ,Kt|ƶ-ks*i+*)qn|T,['$Q/uC&Sy&Ku6v{͟~G\R((#K`R}*?jT\SK.ttn5񌞹H `+}Z% }Z"\]և\[q^G}EosG@5"b6$PBy? &~厡IJooHwx64ٿt"&`/=3`DrgZ*N×usMd$/1Gڮ f v\ F/71eQ E> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36879 8925 0 R >> >> /Type /Page >> endobj 8910 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8911 0 obj [8910 0 R 8912 0 R 8913 0 R 8914 0 R 8915 0 R 8916 0 R 8917 0 R 8918 0 R 8919 0 R 8920 0 R 8921 0 R 8922 0 R 8926 0 R] endobj 8912 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 240.2424 195.1267 251.2424] /Subtype /Link /Type /Annot >> endobj 8913 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 224.0424 180.2547 235.0424] /Subtype /Link /Type /Annot >> endobj 8914 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 207.8424 179.0062 218.8424] /Subtype /Link /Type /Annot >> endobj 8915 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [104.1732 191.6423 209.6797 202.6424] /Subtype /Link /Type /Annot >> endobj 8916 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 175.4424 190.3747 186.4424] /Subtype /Link /Type /Annot >> endobj 8917 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 159.2424 184.7812 170.2424] /Subtype /Link /Type /Annot >> endobj 8918 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [104.1732 143.0425 179.1767 154.0425] /Subtype /Link /Type /Annot >> endobj 8919 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [104.1732 126.8425 177.3012 137.8425] /Subtype /Link /Type /Annot >> endobj 8920 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 110.6426 177.2407 121.6426] /Subtype /Link /Type /Annot >> endobj 8921 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 94.4426 177.7632 105.4426] /Subtype /Link /Type /Annot >> endobj 8922 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 78.2427 180.9312 89.2427] /Subtype /Link /Type /Annot >> endobj 8923 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8924 0 obj << /Length 19 >> stream q /Iabc36879 Do Q endstream endobj 8925 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36880 20690 0 R /Gabc36881 20697 0 R >> /Font << /Fabc36882 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HV%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bV?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy46//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}Q endstream endobj 8926 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=757) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8927 0 obj << /Filter /FlateDecode /Length 3556 >> stream xڽ[IܸW<@)Ij 7 0Oؗy730%2Jÿ^b篪<3*x >oE1K5x8̚BC8*.ń秩6Kh眛7`6;g'0rsL ¶B5pkAԔCm~$@O T\7d$jNrIpeHʊ@B-SV)fSgxh; %Q_GӶ#*o8Hi"m.9UHYi45Mv%d`P&s6'{q6MCHw/Ģl},qL34 \Me4 `Mlv&-A[6 jgŴW& XIPhy̡e]:S w/Tf(%syuk={@Se9fM?(狧=gp-!,Gm|G#4/v6zkl/d;82QAs| AI|:3Ϟ縂16Bn2=FmqCpSXCЛe#a$'6Ch;$| pK3f4;},nW 6._FGptnsDeF3C#meg",iXɥb wbw7(>Da!vY=|UdBCtZa9{~ ɸj΄!0BɅ)Tj*ޯ$10uupk;t Jq(63Xb$Wͮ<3<DUh릦YY#p2 垈ei]bG܋;yp E-N69pTmc֫v]3 DJ235>T}+,Lizڕ2_\$kGZ' si^?^&ѭd8j֩'AYCrih26f&24a\ -|87^dz-&RX U5Sm[V(B ݻؔT줟Z܀TF|xX!+KD$k?W"fנk@30u]e27X)[Ϋ:XXGpj$R|22OdOROXkqOh>G}OsڊkhFҀ Ю7W؃OW%ZY$^&X|_@ Rxv0Ƽk ]-IvyÑZZ{XQ{'+_dbہeCqr}sβDg (zVmc͢#/ endstream endobj 8928 0 obj << /Annots 8930 0 R /BleedBox [0 0 612 792] /Contents [8937 0 R 8933 0 R 8934 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36898 8935 0 R >> >> /Type /Page >> endobj 8929 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8930 0 obj [8929 0 R 8931 0 R 8932 0 R 8936 0 R] endobj 8931 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 292.325 137.278 303.325] /Subtype /Link /Type /Annot >> endobj 8932 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 292.325 175.096 303.325] /Subtype /Link /Type /Annot >> endobj 8933 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8934 0 obj << /Length 19 >> stream q /Iabc36898 Do Q endstream endobj 8935 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36899 20690 0 R /Gabc36900 20697 0 R >> /Font << /Fabc36901 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8937 0 obj << /Filter /FlateDecode /Length 4461 >> stream xڵ>?X\\6kZl-VIlzqg G d/)$Nh i֡MXQ6xL~_QsO-'qd$瑲Ӻ#כ XGwkV]ÄFc_-1. "&l_Pl$#SijB1BCH-3R<0#)EGĪś}^Ffl b! RAN SV$K@)fx]2U*9]qБ1FG>uK/dZe0F` %EB!qtF$~ L/vW#ŕ}y4yيĸL̢RM_Xtjjk}P=ޭ7,x7ub̙NM9GIJf4k[i6]Azgs`7he^^u~$G@eFu҆=ȴئƍ5Z6ɞxkc!}i$>÷ϋ/aX nC  xxe:Ay} Jt"F;\":Ls!b(Co刁6b)Y$WIX<ٳW$-)z~&C9! g=LAp?ܑSׂV7uh 9xTlTjMZ K\{ՋjUiRBZ_M Ϋ2X&C̊ -Vj_5D3b!N6[ҕ^tJ@\2nLSRlS UaE;6&[Y({?FS$} CkNe24xNҽJosYxKd Hp9 /-9Mknur'p/W<ʽ(sA$2$BQ$a-/s ΌaH~[Y_`cK+20Ch[,*:1ȵphk肵换=+1ţ-Ēr}DfxRpJta.k{ӄ5 ~ʙ2]FySI|'3OdCrRMFўPH›}ϔ&C&Y4<*Qі{gޕU 8xR-ҭ:.CI B zgd=ʂ@dv>1&s܏v.RD5I ˼Žjg4E#⌊P4{hL-͆rGZn(QES(rho);üfV^r_qzAX`:o-֬![+B_uHjPmѹIIȗP`Xs7zH=Uቹb# ho KP՗- " GGG޺(Kk]2 v.|eJZJo7dǶ)bK:F]bP!1f^^FQ1p2jk8x%Q"_Ѷ@&'ab{N"o&K6)L+Yj@xsJYU(\BCRYFIudXO1m w> M<5A.%I#c);h`7PQ"(1!hoGaL6\vs͎ t?Mvi5 _ X]6qw@j%i]0!GO潘 ,{ J!||&E u_}FYDJ-Ԏ>wc\:?Y!R>Sвq6Wu\p^{\ksƦo,š1wz F̓Ea .Zp@Ѹ"{==>Q4`1.vzno*qH|˻$;}c_yޅ^b`mRxv֔JZM: Xq1Cx()xj;ٮ5iZ`͑&q?Vhq֮ ˏw8w܁4ƫ Z@v-n }5Ø's+=ޤ:c[rQ|>L0z֎@9+๑:5r+5YC}m_JO6<&C_f˰ycoHLz"\, P2GzD߉azK (eNb1xC-D|OIuw~nnk1`^Yc\R{JGmG~[z)UoEg-`Nf}9JH52׿ág g0tpt 8ULFt|F{V>(jRw~̹}U_P?y۟0 9Wcϣ}95WDPnH~{->/xJAkkvKݙzوu(J<"ot88o#` JPjI3Cl|Lw#|7o+<3 |'3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36917 8944 0 R >> >> /Type /Page >> endobj 8939 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 325.727 381.3955 336.727] /Subtype /Link /Type /Annot >> endobj 8940 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 273.0578 396.03 283.0578] /Subtype /Link /Type /Annot >> endobj 8941 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8942 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8943 0 obj << /Length 19 >> stream q /Iabc36917 Do Q endstream endobj 8944 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36918 20690 0 R /Gabc36919 20697 0 R >> /Font << /Fabc36920 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛM endstream endobj 8945 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=759) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8946 0 obj << /Filter /FlateDecode /Length 4291 >> stream xڵɎ+ίs1@`$x@AN/9½[3cCobM'پ{znKo)_ݴ޾ܧ Jzon;+^ST鬔q{U_F`*0JPT/Qg*7uS.t,An)̷od)d o=-F72[YSxbJc7Xa+N *~ 7Cfp :[_0yb*/^^Gؾ vTڣ͔BGVkG$zXW&,maE*N(gMh_{]}U*IIFaIUVUII,M͹o /H/]kU;`~$zd<]Sƈd&7kbD,$DGZ7sKHJ҉ΉԥARըqCdK^cCC+X%Z>|C(`龅7 }x'myRŃL^M9ОQ#;/:9Kn&<A z&Q%Fu)Xez㺨&|GA*٘8TBۛƭ&M'1$Gʇr[ .Qv 򾄊 ]@ ]zo0v$.̑@zk>r9Zc4t+?Kb%"n3~gtNh5B5HXf^j4~ԍM01$ii0~](y\LZ8U_ʻsq8+ OC\8hT:et'(E Z}u@@4O~qAG w6 C2i?c:Vs*C ADI'I+(O1LD.-`ipADN]z-ohraP]hܺ!2EH$5QbET+ Nk9^A9)7ObsW5DAV"kxoC\ ZK ڥR/Exd]/{i lel;+`KmGr*~WUQ*\2'~նZV[sFAFPey,)\7kZVA(b7 iOaq}c:;/f/脈[C]`ߝtt2nܲH ZZQ|P :mx4~Hk܇oM(ljq;&e+l/Ul]=;<: 2MCBjMEhВQa+jCtM(] KTHPM8A &0SQv,d &gC=r4CkZ ֵ a;KRrfK5SV]GVca oeAKtCējk&;^4f {zkt=lĚzI㒘6Rԥcv+tL| PקŎ\-"pcz2 k;o>Ҝe< AX;5hه'X#й+o8 j7K7rvfcuU%_{JzNKȒwkGs'9bLlgtx5]{ NV3k%Vc۳g>U9 P-BeHq:aSas0/TYg ىI{5LŒa[:2eo)w_8x=6k#g MYGSS߽/.-\+7JEoPc\LcCa JNKnQ~ҩ|v}JgN? afm6܇؄24f^pwPq< iH,'?Tyև{帛\"UNة.O$t(L5LY®־}k3 8;PO~:Hf d~~_GٛDoM ?>yKq>Y@w;b^F )-bAnb¤_us2{تǦ!cD,~~: R-h bC@ eJTu8=Ә'Ŋ-5.ޥ:c n!, tOcx ʌ@i&LƋS(| EZ62Mt*;٦ A6"NJuPa0lޅeri[6+3H/>d,\0pPg3++DEX:4.-w1X#)vK:+ONy$6;8:G[Gѣo@goxotbӄK|Gq6^eSLDбUe#\J<#E[גZKWK퉒W)mKT%T!ZST`SG?ʈ7*hm_TVwT|V8ba??edc!w3Re;} }bty1:fmؖ2xΏ#PSMa#ylE*lH&_ځMv=+ׂ^{͟;~G7\Z%mj$[H%~\Hï,D_.\sѰ-Yg56q9v]eDbQU㯢}Uށ]iև,­_\z=zZ21٦x!h4+=3($':4ɛܯ{#/wlVd{_ X[lLx.>ϗdz m?\[K!\%{5[Tb/|\e AKX%(uT1*pH+W$}`s5쿱b endstream endobj 8947 0 obj << /Annots 8949 0 R /BleedBox [0 0 612 792] /Contents [8957 0 R 8953 0 R 8954 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36936 8955 0 R >> >> /Type /Page >> endobj 8948 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8949 0 obj [8948 0 R 8950 0 R 8951 0 R 8952 0 R 8956 0 R] endobj 8950 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 120.1425 195.1267 131.1425] /Subtype /Link /Type /Annot >> endobj 8951 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 103.9425 180.2547 114.9425] /Subtype /Link /Type /Annot >> endobj 8952 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 87.7426 179.0062 98.7426] /Subtype /Link /Type /Annot >> endobj 8953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8954 0 obj << /Length 19 >> stream q /Iabc36936 Do Q endstream endobj 8955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36937 20690 0 R /Gabc36938 20697 0 R >> /Font << /Fabc36939 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H6QthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMeb endstream endobj 8956 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=760) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8957 0 obj << /Filter /FlateDecode /Length 4091 >> stream xɊ$_@/TfV6S=T/~\c)'M(!!i(}J8+.I~?]PJ|֦J ru҉/ߎRj"<$t/>jU.R|MF` <-:ꕗ|(5 <,w;Gx-b);I;{Yfi*6Bܐr$ `Jo¯L >Q 5$`102/3x3Nfn@qoVbT6D͒_N;{,za6MNQt_8 9BaqK-r ZQlSAdb5_wzb%%B<7s艕fiQ5*קcŪ~:FW'9񾕣(@Ƒ#Lsf,*5{6Ÿ*@o6ھR=ɳ0VZg@܅ro4 EvΛ}" CUE 3AdE-c6{[k[8)$:oC{#Ȧ-3O' WUIZ9BWT/߁D'X\/,Mo;zzJe#=\c9Ϳt/YElbwcѕdGV3, OJ. a?}*ۤ.&C֠,5ZE |$X Ҙ[ŸW@⧸F{p:ƏU@zdI XBz[dA%cV7V,lsz±kKA9ٍn[mBGVtqjyv דeB^ho*xVɱrҬnMT=Ҧ| O/MZY6TsaάYyV"TuԲ`* ל;$a4p "BM*pM8RתMEކ;513 gcuͩRjrcoasc(o9B+wuHl_ᤃ1]ےحue(D*6"m7i5UV {(d}/N%g(WĚ Z6[Q5V~:ۜ| ~ݹ7wee)%[d⹿4%4KN[nꮙo23z8>cp˔k$ozk.sQR-jkwڅHsK)>րE7p\9{;\8&:N 5_֬bp$xbGr|WrБbnuř>V|tSOuLTLKW9$< vΖ( [ZFsȵGpۀSg 1EΡn1.ROc߹ĭ`ͬPTHv6E{'ƛʽ{7@glj!R'8my[:jX9>toS՘h"^-gz}cq›' %y ?#/~Z׫xQaMF6P091e8ʵ;rGk^ѱ֯o8rۛݖpkBfD 1ƣ/Q`Y %&  0xyvU"@g@]CiQ& fx QA/AD]Z \$\m 7 tm5 H6g2[/aK W }5j1LweܑnwG{Aķeq3vl%,{Ye@5. H =-#qoi0&ֹ*,.m/ùPݭ4'1R2ʩ{$u%U:x#. K؎PIB_QT&1,_Pu R:)@lYq~Di址B~5x7SP ZkQCF#L[% 6=n֡kҢiKR^_R~|s.xsVJ!#q Pt8*:f*!~o~!?u$ 1& ?0Nd/]"Cј5 õt;leXM8;*PgyNMݲ#YKPXIn_6/ Gޮv1obI0c[:H endstream endobj 8958 0 obj << /Annots 8960 0 R /BleedBox [0 0 612 792] /Contents [8973 0 R 8969 0 R 8970 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36955 8971 0 R >> >> /Type /Page >> endobj 8959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8960 0 obj [8959 0 R 8961 0 R 8962 0 R 8963 0 R 8964 0 R 8965 0 R 8966 0 R 8967 0 R 8968 0 R 8972 0 R] endobj 8961 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 209.6797 709.9] /Subtype /Link /Type /Annot >> endobj 8962 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 177.4827 693.7] /Subtype /Link /Type /Annot >> endobj 8963 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 184.7812 677.5] /Subtype /Link /Type /Annot >> endobj 8964 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 179.1767 661.3] /Subtype /Link /Type /Annot >> endobj 8965 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 177.3012 645.1] /Subtype /Link /Type /Annot >> endobj 8966 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 617.9 177.2407 628.9] /Subtype /Link /Type /Annot >> endobj 8967 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 601.7 177.7632 612.7] /Subtype /Link /Type /Annot >> endobj 8968 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 585.5 180.9312 596.5] /Subtype /Link /Type /Annot >> endobj 8969 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8970 0 obj << /Length 19 >> stream q /Iabc36955 Do Q endstream endobj 8971 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36956 20690 0 R /Gabc36957 20697 0 R >> /Font << /Fabc36958 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@-#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8973 0 obj << /Filter /FlateDecode /Length 1277 >> stream xڽWj,7+- ̀gYdg"d9U*uMlmz*NJ/guN#jߎA;OOk0kO7>-0?teT@sqI.yvMn v>Gu?Wقkjc5tǶ }I q'(28QZ"&3%f\dz\BG}λٓnߎJ_'svX'2JX1ed^Ntldg/4ODEè:)(rޛ~SG`r%$lMl9ZDPv%LmT_#Zm.E2|(\5Y5Au6XԞul]Qb At bdfDcwmpɛf>oȫK䛕w{ y4^njx?%B=Sg?Kv171pN/lPNΙԛz{kdM̱^߰;R[ei@'əϠM2E >ʆ4kFmHo*{ -&Z7M?kY`uBn7[YՏ.k`\ue]F$.> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36974 8981 0 R >> >> /Type /Page >> endobj 8975 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8976 0 obj [8975 0 R 8977 0 R 8978 0 R 8982 0 R] endobj 8977 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 8978 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 8979 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8980 0 obj << /Length 19 >> stream q /Iabc36974 Do Q endstream endobj 8981 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36975 20690 0 R /Gabc36976 20697 0 R >> /Font << /Fabc36977 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nlӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8983 0 obj << /Filter /FlateDecode /Length 4261 >> stream x\Ko9W乁Tky{L`a-xU*ne-*d_<d|_̢_R Viwuk/:&[.z\NVUW!ճQݧ[VGwLrTS۸ aGWY(?/[>}Q doKо_?~Y5 q ),J3c3c5<&*۝k-c ϽpE\'@ֹ0zqg~n"4Y@EFPT# ,kց3Ju}З/%XOh6.k헊 m|A~3 ghP=[ml 0~)3/X$hM4`VV8ʔWVS {'剜>e̜dEtW9f5$!¶Ae FGAfH~#'gWJ9Vn ̂CT{kldlI#![l-zwjIm㪂1yrmc}*q}Pmi/_&e~AC5ئNά`!I؜~1( Ww5ɞ c<2Xy,/!ET>VuF , &Z5]k\z^lluJP8T ) %57̀>`\mJ#g ?CDo 45U8@iik0MpLLhNHg!,M_6BC<N @n>|^+=ԝ~;Έ.dڧ tƘ]?#+%Fe2Bar}l3ፄ y wDrID/r&Q=TU g *p=̢c+r3J91$O5NT0C ./Bm@,Ylq MւC'FS#2!ȭG K"rĮRׯW]y0'41.#nH>uå2N{ OJX,qEjϠ|gb|~|$w?&W؊e2cVbs,caa.7 NxmËwcwX?s3;L&lt[i>v);ݽ=CHX< 6]a09el믍mwSb4r>`B!w.YgmXc6T|퐨Nj}wSue11*HׅU&}r˷4S.b[xրDmi^ nB+4-ȯg $WGn2 n`w@ uۺ%$Ez7o}߯pLAdY*^AC&aVLr W$-)C}~9tƣhR|lCǎLn\ ^ Z_[-OQ岡Ԛv`MhUMqX7RS3u,1$q ^X-}n?E7ިy=S'KYM2%ܩ\bQץ\eڭU!=d4Oí}5o|4GT~{Pj[;GuBނZA=T"U#{n\CW m99X׌Q*[\TJ>qX/Zl 2}LXjE~w…AɂZm5Ԝ+!h6nIn8=J=$cҳ6[.w潉5s2F'Yv#805-'D!uEH$!rR;c4.xzrjj3o̩%CVƂ| d/x7:02_21I7ukT<"#QevfVĕC4^VY%TyQ($'J$z;WX^k=l d ` ^8 ,aԹ}46>"[#$aT3A$T& t/Rku[Z oJBUH=uJm/QrM`\5qF=d)eu#D+, n}Jl<\m>?W_y3~C{g PY<{+k"XNwl.Y{BS8ΗT$S??l+F\Q67%Ȇ:r=ل)p-7 ;ݝ-{w Lw: gCggnU> m:JsNd rnvzM2I1Dy;mFzrKyVVdjvM)|YbiJ`ygW;=յΉ8s,rf\;H[YVӈ@%lfC0hS4W`9`'QV^&=A$ Tá}RRwHC&Lz|YIFGj[oG|)çdШ,4΃G~Eo')]hM? G8]΁{* ~b G{h:mS핬x]7k(@l–bKF @lvAъɵWN{ dZPa N^00KC:-$3-dFXn7z&{]$b.kWL{:)iAGmޤ'xߔ#TGk8@&a^Y岎"\\;_Ăzusِ(y !m e8fF#N&79qĘ3eXu$(!n jj 6S0n3?^C4rVRohIASXX]-s |uʸ+ƙ.~-&|7`q ˷8[75=~%tX#gڵtIc՞nGj湕xW7QgnZ=`T9< a#Q tTxd4ކr+5aZmlOLRw#DQ)@zCs0Yf˴y,9z?}.*ݘSw9'Vz".*`90蟷2C\%auBQĠBb[+ƚqsI Gpu)zaU~[P>^C>`.Z23s:_~Eu1RM,|quFS,!4tt<@S(| "Y5=Zt=#]r$2l$x.sq69԰[Z&%(Zðc(ITJH;:G 'L]4= \lLKsG()m5ŷӽkgTJVl]YwZK.j2[4m·&7 .>TVN\Ft|톽Y5 ) qfUz}V痩~:p6Ω2<= T7'xc s6 ׳_z.G-r&'g( ]azz3XtcgBm ! 4!<ӛ0XM>b>Ab >A /0'JmϷ˰D ,nM騖{G{cNO*轱9ݯ kG#&p\_cS\]2d2"ɢ/~ endstream endobj 8984 0 obj << /Annots [8985 0 R 8986 0 R 8987 0 R 8991 0 R] /BleedBox [0 0 612 792] /Contents [8992 0 R 8988 0 R 8989 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36993 8990 0 R >> >> /Type /Page >> endobj 8985 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 587.1191 381.3955 598.1191] /Subtype /Link /Type /Annot >> endobj 8986 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 534.4499 396.03 544.4499] /Subtype /Link /Type /Annot >> endobj 8987 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8988 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8989 0 obj << /Length 19 >> stream q /Iabc36993 Do Q endstream endobj 8990 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36994 20690 0 R /Gabc36995 20697 0 R >> /Font << /Fabc36996 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ jӡ~1OE) eA6\H&7]N8jeAT  cʙh;QL䎧_E+%̕'I"fZĀ0dD/P|}{Ԭ9*{0J*q(~S1jqbG /_M٧j gVĉwlVRVazצb/mk: s[({L̇h*Ȩ%#5fe8>϶gU5ۏ"bH& d_ EC^B 0)xp´!ld̪'o.U1" ^_/|v,1@w. (s(?`|۾{wx endstream endobj 8991 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=763) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8992 0 obj << /Filter /FlateDecode /Length 4869 >> stream x<Ɋ#Iw ?7D@H:̭ aÜi*oݵD0 ff޾?H;WHzR%*$om[\\چWV|}ﳔ*-p?aɳ-W`o?KeR- O4oKowù<*jW&QO+* h878iQWNԠ:0wGYWfquμFXڗ4Nm{n"d As|vUCJk،-"6-`M>ob)TUPQESTZYj@Xd b|Phے?1SMy2J8lpR?<DvጘeŬ9@}0ߎTFjw,;َ* AVW53{#4M(dx>bW;_We 6L8́ď׽gM; JkCltl^LpK3-i*ʀ<Ke5uBD|GR,x3 ~/DĢ")G]CM32S+ ݤ[D`MT}U0=֠Lct'UٍBMXPʩ*uհz7QVkT<P|2;R*f:+0*ΗH+F럢"˥q1M4*3ڼ|W;KItNdNZJ8w;f2ÔqͼѶFH}WX< m2bSUFx2˖2v%5'f5) J ޕC&hŒ`yMu:!$c "3j!\M"Ej8 $"ZX0Va$$>WYCg6e,hU[, 4哾Z)ͤYd$d d/:Dqõ!b)$rT2RaV5,X~P?mĨKi<^;_%C|EzDXKwݑY]bcf}_: ڢYH \(*V" 9 j 1eoJ9[!ts)pVQDCgeJi So0$htyf劆K6=K8.Їi~).Xv P67JDwRRwno.ĔrjU~9Jf-wL6굪^1!C@-E^c,=_#pwC<]؍0Z^h*T12 ^u)ɸ5RQ]5J@Uڒn8™q#*Zp@'G+3PC1$sHAHؼ.[9G <ݳXxD{X J8 $bpo*9kFfZiroW2(5Ԃr +-G0FTqǏn]n{ͅY j&HW'W-+=jB}fH[܇W;.`iiC0%4' dyp.V Q [aY*#:h ʱG5i;hwXdkPLfu܋S2J 1Ehz)tE=m7RocQ}XjI}W4inlr0](dpI1os'sA?$iɤC dkeyeX:krdI.Tlqix*Z+PK3fDOL޿8Y7JU8X\MMU̙t̺6Ac(0S烚jU:E4n@DXZttr9;|;Ι+1<Ȉx uMpj GL`HzH]؆")Db I4^jN+DhؤIt8 3ٿF-ͩV'/iэLqck<;xzi4VɃ9LEmˈ\O@={PVƯu<\%T,ǥ9}<'P–:yD/FOEM-Vpj^ERQtÞgvEUHwba_ye0]cL{N>MM1|*Űlv6'PYlwT'M|]%QK[beխF$asr}ZSv o8;j]65ەzMƬSazorLiS#^3a֫ɅCT5NcUct7awӖL\w2g5TQiRL?Nuuic`.cڍߎҙEM.58h.}yңS"KyN)?NrN{;\]vng4aE˦הH yC{cPg5ܳV)`V BJhI`/e",ȭ b'(®L.n) fף ;Եdt)]Å3//LMMH|%"2lǷ;CۚƳ!?up51z]޵2V;*هةj%gGvQ@w{b8 s7ESR_XSxP yͫ`]>0&5b%uA?6X]?܀CUC_[ ^v:SI7 S*ʬ;6@SI':\Q? *.DT cAύOKXu@ cˀ\#dpԂvL1B./OCO>/f/Ovq -ùexSpNtu?)AF;qJmX(!V~ XMR`m<'&]) \m8I7zinޅatX$M7mD̋',MAY8$0 2? bTX4C[n vbiK1_` .IK<#m_i;7 vXG֣w^gWmxO4bQߑ]TLGT<뀻?9ʼ2 0f~$Cqj jb#W^ONVdʌVw8e08T~ '7*4v`)L' Stuha藣~1_̄|8䅨[JyG#yt;bt:ѝ5i]J (糮?3X q>Q7GsmYMH*Lv=L=Y6in'EOms)bsUE^1$83HZ H ;u]Ε}Ɂn?)qho2QXuip\pY`+pZa <ѳזhA2ijtC谋#aw/=_nW|V>|_SΛ0 f<0=Dw]KVkI]#צ! ^5g+ES4&ӏ2k\'yw K̑k]ciG1f]G:(aVt{ endstream endobj 8993 0 obj << /Annots 8995 0 R /BleedBox [0 0 612 792] /Contents [9013 0 R 9009 0 R 9010 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37012 9011 0 R >> >> /Type /Page >> endobj 8994 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8995 0 obj [8994 0 R 8996 0 R 8997 0 R 8998 0 R 8999 0 R 9000 0 R 9001 0 R 9002 0 R 9003 0 R 9004 0 R 9005 0 R 9006 0 R 9007 0 R 9008 0 R 9012 0 R] endobj 8996 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 422.9346 194.7032 433.9346] /Subtype /Link /Type /Annot >> endobj 8997 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 406.7346 222.7367 417.7346] /Subtype /Link /Type /Annot >> endobj 8998 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 390.5346 195.1267 401.5346] /Subtype /Link /Type /Annot >> endobj 8999 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 374.3346 180.2547 385.3346] /Subtype /Link /Type /Annot >> endobj 9000 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 358.1346 179.0062 369.1346] /Subtype /Link /Type /Annot >> endobj 9001 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 341.9345 190.3747 352.9345] /Subtype /Link /Type /Annot >> endobj 9002 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 325.7345 184.7812 336.7345] /Subtype /Link /Type /Annot >> endobj 9003 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [104.1732 309.5345 177.3012 320.5345] /Subtype /Link /Type /Annot >> endobj 9004 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 293.3345 177.2407 304.3345] /Subtype /Link /Type /Annot >> endobj 9005 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 277.1345 177.7632 288.1345] /Subtype /Link /Type /Annot >> endobj 9006 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 260.9345 200.7202 271.9345] /Subtype /Link /Type /Annot >> endobj 9007 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 244.7345 228.7537 255.7345] /Subtype /Link /Type /Annot >> endobj 9008 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 228.5344 180.9312 239.5344] /Subtype /Link /Type /Annot >> endobj 9009 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9010 0 obj << /Length 19 >> stream q /Iabc37012 Do Q endstream endobj 9011 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37013 20690 0 R /Gabc37014 20697 0 R >> /Font << /Fabc37015 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9013 0 obj << /Filter /FlateDecode /Length 2572 >> stream xڵZKo$ W@U h4ݶm9{d63Kr:0Uzߵ|ϺY. ]_F?~C}g1v;j#=|>_a*ʙ5n@cfqGOV"mp;Ge+t ll8ԝaBlL ڨoR"֏a+|'񢱦!-X6 f eLY DTk/.V_M9XMub8ʞD顖q,~<\hqlvt`pl-B٬ 񠐰&?s;RPѯ.{}& m.4ш-2Hmڎfm [\$u]щ5QuC6EP$„cѠď#,0GJ7Uhw2hτhn`PQ7"K_,glRovսO-@ 2ί&@FjjhtV# VV Ƶ(1hUѽ_Sݫ7"2y[} ;(uw:) HuKĶTZštDW ryJȎ,-4Z*Ԟsѭ4"7">b3u dMAc18byZ!b2HܰgJ#2;ưUJ Hۜ2Mqd 3[h#Bb!l2x <D,[04R!EstgAƃJ'`z6J-{uDxe JNE|A.iy,_hg Z,ۦ0O@Vsdʿ!+v"+Y@iM:?O5i-ۻD*ݔI ryn]nȤ߯%V̰tN(oO'zgi'QODQDNԧzX Yѧy.YOޢ}WsS!t!x?2nPCD;ZL^W,1/, q| Қ]Bn!ݢ+k* ĖYR6=٬1Y?(X Ͻ zU,n7i;]i:7n/=4/r~48f.zt+5.Ů6HyoE kHŬa2kqLYOjQ4D/`oj"p25dF+IhגFMBO6R}-d#XST/75U6WxzMGҵ\JwyQ@#HiȌ0\C?jD~nue I і< +RM0 :#ˤJHg7 ̒Ol^DH 3+<^^\X8\*EZ-" GZJ06=.=Iih- g*|%MbxmL(+!~S'xLw3ESd,?<8gxوǷmCX8\S{7_ \DaڦE*^ڜڽ/lkSPuC|A %+^L eёw endstream endobj 9014 0 obj << /Annots 9016 0 R /BleedBox [0 0 612 792] /Contents [9023 0 R 9019 0 R 9020 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37031 9021 0 R >> >> /Type /Page >> endobj 9015 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9016 0 obj [9015 0 R 9017 0 R 9018 0 R 9022 0 R] endobj 9017 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 292.325 137.278 303.325] /Subtype /Link /Type /Annot >> endobj 9018 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 292.325 175.096 303.325] /Subtype /Link /Type /Annot >> endobj 9019 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9020 0 obj << /Length 19 >> stream q /Iabc37031 Do Q endstream endobj 9021 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37032 20690 0 R /Gabc37033 20697 0 R >> /Font << /Fabc37034 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 9022 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=765) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9023 0 obj << /Filter /FlateDecode /Length 4070 >> stream xڵ\Ɏ$+,$+0hjJn# Ȓatqu&d0bap}2;|loS3wS2ߏ?W]]u'ӴRyQ֯'%_ϿPj}5#F9S(=N_>Uߩص^&]{1t?M_&=bOkr0ӯ?+;OhM_e2#bs9N>QV<Ӵ3 jB:<NO,| G4QwuLq~o?6pHԜYar^?9G? (lysv#؞?0m8aچǿ?~*U%@/ed {{ ~v>Ju ׋6>)`Y ͥ34ND)q2XBzF@ !cω%h8b'CItX.2cͬ䄨 Ptwˠ G訇Iz(F jn4  ?kZöh[QpKsauƺITT<85֪4zOb=5ǧ3ҝ48U;%i51&_r>ÜIN=ÎfdّAoc,ǀZY un+39s\YsOa+Cr/\aUP&OJC.E?6-Ѽͥe~/Gúay<ʲ:F; ҮC^f NR1/TߋK( ;anS> CtyW HB[8='!8)4կt(wNO7% z|B皉؜+?V"/.&)ȻEF>~,ͽ1&f~{,um}00}qk}`Ь+-6u@,&:q FGA=݌>x:SL!U>Lp?ߎfh~|iI.}uRyԼkZA[5~+7Džr|pCׯV:KgU;8HVgx=*a9 Kulakkez@%$oQaܯן'\ǧMW(o $WZ|2 <ɗfꈬ===mzno­>BG{t{svuޏou}vF}Qz\o͏y8&AC@1dZG ~1.o[Q抁DQtH"A#w ޣ 5nEfy{;>1#U<(@:vf&hb þf Lξ)֊Z_W 78|! 6fx) IcmWdge*G_ţ/)9wW<%fS95 fTZ4e ׂB4=rT`y= xp*1z'iCbx+@pSBY+m6FTcK rsq{ޏC07d\V=fz >-|XB'=k0;'4޳l,ӑ>FE3Fj-㙸 IsV7)BT#J; ZH ,w1bx= 4a  |6p i zKlWYH̊` [%ca"IQK3!B6-ĠutkcO3! w1Pj-#Ŷ g Ja@{t8M<'0@~^4Hd4Hk'k,g",z1"S˛-zF&tA#xSۼM3ŀF?7y0oAֆ ıQAb$O]*4Hv}s&mQFø;n0K7v.m?B}9^9+oOK;wfx, FӪLWع2 Ԋ&6* 6{0W\9H$Л|\]Rݨ4ZaAwakeUHōq|/CЈs)+ێLM,6ҝۂ3Wl[Ǧ6LfYFx'Cs7js:S;#5wLt;Q]w%_IQ?ŻLq5Щ!yq. 86j`NJrHG,#nڥar28^@^3z=8U89W'c6Q!џ,wy O!좞}0rM/8rJBCno&xp6;<^wL0y+NӚ ˄3faV66(Cp3\!1풺LY66n{j湔xWWQgn^z`wW8ܦ =Q>q}X26z?CC rM9Q 2E($q՘hӠy^Ϯ+fՅ12mpLTȭ:/DGs>"> f0b6x,5ER%mI93MK+ƱcJmu{uW zbUo|Qk]*>`&Z2<ݱq\?P]d(KJBHBWKBGG>ů3.RͬwER3H1V n;Xf=]mu_ wJERQtJ]/(I˔FH/*#N 'QI-Q#- 6SJ:5,[(yեg5Y!DZ%[|o#Ή*7 ΫUVF\F{]:1{39(*ナ7Ͷ}Q_ 7\ө;7 hpw5/ۦ;B=ovӢ] zo[eNq]kWxΖ;Mz9`6iCy}ǒE ?ZѰ endstream endobj 9024 0 obj << /Annots [9025 0 R 9026 0 R 9027 0 R 9031 0 R] /BleedBox [0 0 612 792] /Contents [9032 0 R 9028 0 R 9029 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37050 9030 0 R >> >> /Type /Page >> endobj 9025 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 510.4269 381.3955 521.4269] /Subtype /Link /Type /Annot >> endobj 9026 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 457.7577 396.03 467.7577] /Subtype /Link /Type /Annot >> endobj 9027 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9028 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9029 0 obj << /Length 19 >> stream q /Iabc37050 Do Q endstream endobj 9030 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37051 20690 0 R /Gabc37052 20697 0 R >> /Font << /Fabc37053 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}` endstream endobj 9031 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=766) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9032 0 obj << /Filter /FlateDecode /Length 4776 >> stream x<Ɋ,ɑw8 2IAeeA:L0tAT`"+377mX~["_!K9g𔤿o_ovQjsj*Y\,~]qR[){[;GoV o˷X%3\Ma_g< `܍+h opppH M|_̕Y;a.p Qm;}3A |[&|2O)= }6XY-ymebVt3K 7w#,&879\ 7&@ pׄ#| א}3.oBu9:*UT`nqzkqx`18WUpDormBɤrɂL%|!Ђḿ2rI8y4ĸﱈɶ{cusݹHޟOGs_=ǔZPdAQ=+eⱨe1FâPrx>/;ʢElCZTM2-d[4Nˆ誳>7Ud\SF !A2@[跲eG;}AϊW䗌xD@t48i0IP@uL N527!i LXfn(/"Vm wi^Wnh:cn[B TW T {`Y| -G!2I:3N褴ӯqiXx%[5H;.U2YFĪ=C$Y\u ::$,5:C;O YrW'@\tr {z5:gH?QauOfm,#g+vȎb˹BBY7- \жaMm@ù VJ\˞S[qvmTN:msciܓU``2%z#`EXޥl*>Fq.L{u 7zH;  B| AD=%\WVyc*'l7ys{b;^lD.;ugXvƤs\T^}x5.^.4lBL O AD,M6őƮ|=i͈a#w~Vuvj]{mNNѶ:l>{-6fy/Wx5ˆ5\U*6+DGa;g#FC&NV)>ǖp+bdcVRq(F}mdQ Dt- bER^F\Q,$A ݶv_}C`jU:5|I *ITO]Mm\PUC띥I6%7V%SANEY|ptE3Q㙺jk_ݾ&&'$<.ߊyٝIv|l9 SDb0C|!`p{*a7;F6RMs*Ck \&gF cvJcjDKa j^'qhz[!pH&^]4&2h&vafVn&n{+Yv5&WF>stEMFLN<]gYv޼JmĈrMҽ5:YgRUvi*ɚXlbUN1; a5.^Hr{>5>dt>1UFL Sm9i[jbEγy6 9lvBvP+=W2jyͼBC)23Ly'\^ʦl]]-h~I{|1ܫ!?[s2ё@J$ cEq}KlI8pF gzxzCFHKn[kUzsS"Um7hE͝tΉAf;jCLfpwhcv|rw`2"gCQj&F 6p CS9,twcu^8eyL>ɋV4V%.%9+U1w) u~݆a,H\-`cvRds`K1* ĎﲩA*0Gvy)̎C&]WaY4%mUԜN`K-wvx=7R]Z-qN뾱(Z0=P&E`;J #FxtꎅXX{(rcQf#=/atN۶ ݷ/YR9q]W?5 ICro*9fchVSzxd>87Uyqt;,$aB]{I;Q396#w\;o Pd:i%1g<*Kz=$SR өz\'W-91mGܖjl.y)+UһVP?{ݫ=>)/^J}nS 4r&z8(-_~$5?BLcw{`>67%Ʈ'dY2?+}!OG: 1GIC.lj9xؾED$wnǘf?PKҗ̇n;X6x? `mt~L 928#7"`v\r}- d<Ӝ?2ໜcNu]R ;Wڈ[IͽA7떯G69 i[_jlU8>hN𴮏RZjw5#RVrVV-mPɵBT[ng<;1Xsj ڦ^.w}l#rTW*Z^Ԟ=^zME'X䬔\LjrrjyK,q ,~)nީc Sey9_DpyDV*Y3Od)j%Ee0KUT|߼z_MrՖ(XBݥ}mJ]0xJKJMzMJAMcnd3drr.7{ew}9rgCf) 8Yоvþ S~oAh͌5 x᠃o>|vX`?ƞaOOP< boI"+]c-Y>O ;@¸܅a> = aɺJ#aNN >4$د}Rjuq_F|ŰiIԤk֨609, $"A)3vj{.8(e y im"Έc0G)WȚg΍Gbo ]GjGѳz]'^bWD`"?i5\?N#~h <i\+L8 NRdO"]e,N F0Ul_p-(hG> :ݲ+T3wT"]V8`tHIm X䉨YMLG#yt;De2:afN "LhN֮&hLq>QGs-E$~Mv=˿Y7j;܎O5R2Ҏްaa7!ĮՂ i`Jz˱С79-dF@D>Kh~i,i,:?\k`nO2|>-Yx!03֔0H+OBr|K |;|By*6E xo ]5?!HpWqsS@+Wt0bx}Tb+*Јd^5t,f`5u/ G^voŘIF>9oE endstream endobj 9033 0 obj << /Annots 9035 0 R /BleedBox [0 0 612 792] /Contents [9053 0 R 9049 0 R 9050 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37069 9051 0 R >> >> /Type /Page >> endobj 9034 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9035 0 obj [9034 0 R 9036 0 R 9037 0 R 9038 0 R 9039 0 R 9040 0 R 9041 0 R 9042 0 R 9043 0 R 9044 0 R 9045 0 R 9046 0 R 9047 0 R 9048 0 R 9052 0 R] endobj 9036 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 333.4423 194.7032 344.4423] /Subtype /Link /Type /Annot >> endobj 9037 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 317.2423 222.7367 328.2423] /Subtype /Link /Type /Annot >> endobj 9038 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 301.0423 195.1267 312.0423] /Subtype /Link /Type /Annot >> endobj 9039 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 284.8423 180.2547 295.8423] /Subtype /Link /Type /Annot >> endobj 9040 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 268.6423 179.0062 279.6423] /Subtype /Link /Type /Annot >> endobj 9041 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 252.4423 190.3747 263.4423] /Subtype /Link /Type /Annot >> endobj 9042 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20210608081201-08'00') /Rect [104.1732 236.2422 212.8147 247.2422] /Subtype /Link /Type /Annot >> endobj 9043 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [104.1732 220.0422 177.3012 231.0422] /Subtype /Link /Type /Annot >> endobj 9044 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 203.8422 177.2407 214.8422] /Subtype /Link /Type /Annot >> endobj 9045 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 187.6422 177.7632 198.6422] /Subtype /Link /Type /Annot >> endobj 9046 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 171.4423 200.7202 182.4423] /Subtype /Link /Type /Annot >> endobj 9047 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 155.2423 228.7537 166.2423] /Subtype /Link /Type /Annot >> endobj 9048 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 139.0424 180.9312 150.0424] /Subtype /Link /Type /Annot >> endobj 9049 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9050 0 obj << /Length 19 >> stream q /Iabc37069 Do Q endstream endobj 9051 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37070 20690 0 R /Gabc37071 20697 0 R >> /Font << /Fabc37072 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=ΡDHIO;p%4CNZu^lM endstream endobj 9052 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=767) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9053 0 obj << /Filter /FlateDecode /Length 3120 >> stream xn+uϯ:&|?%YKa"oM~E9lqϐCkV-W|mt8e<{m~ni1fMƘ ә9N08cyPPkjK?v?fc_',X8*;>ҸElfk-.A;#(=3 z%%Z㽝444v 8J[xx#`A)$H >Dyc;f#٭윉 - B\瀞}+5;J65WAz!*u;!kB ! =F<19 UOZxͥlۢL*g{s]bKAG.B5Ci6¹!B|O]b)n)slt9?7Ok8Iv*a{8+~\<)98r2uk r9(1fcۊD9V7ݓ7kUpb1'3Un9>r򕻾RM Wx/zO[ӶEMA1,<(%`pן4}S1"Gp~.3$z<ISdi{rFG!LsVII\bu-<3P032eSA<ѻPrȕ8y$;'cIݮ3` Wj^T.2?L@z/ uPZPLo0S4!n;Ve%(<z cvFl$eanek b9}w"k| ~.439@CK} ZXuW"B?~w@E}_r쨨BBUzZ 4Y}b߇짎RIQ5C{g06֛QAysE.BϿ ~$8atw+"fA2q\+j v](>B @B,$OkW,Ku5`^n `&SΆ wXh!yF|_(  l Be}F`h;hE#$Q7mt4\]bߍ|B^m@Ww*ۺԛ(wk^6r#/0 }^*6hpyI8-pYj6k)%Nm7}(D& wf ra_cLghU7I/_.!1sKȬ)jxnLH|1jBzW-~y ɈV@HS*߰@Fʺ y tGr}/jYq3F URV'5oҖYO8sMSլ34UE+I@dI_T/+Ӥ ?ڜebUApC#(cyDy52 홀}f'xv8hkN`S^ h!Fǣai#ڦKr2 tc:Ul=Z Aj/1{"vM8VK QN q< ]Vd) &J>r/]nB. ^ʮɭ.?)- N^qxV!5$x%kR<)ᳪ"pvKmzWuqnj_<7MSoxv oYRox 0AZVܾ|}0rŏ/5E(-.9/y'hQ1\Rm& 99L0ݖ0AXYȔ2@o#\Oጟ*p#\YNjcg' 'c+Ƃmug'0 ]`zAQst *O,BA7+"7"$R؂,;IXhHE endstream endobj 9054 0 obj << /Annots 9056 0 R /BleedBox [0 0 612 792] /Contents [9063 0 R 9059 0 R 9060 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37088 9061 0 R >> >> /Type /Page >> endobj 9055 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9056 0 obj [9055 0 R 9057 0 R 9058 0 R 9062 0 R] endobj 9057 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 292.325 137.278 303.325] /Subtype /Link /Type /Annot >> endobj 9058 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 292.325 175.096 303.325] /Subtype /Link /Type /Annot >> endobj 9059 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9060 0 obj << /Length 19 >> stream q /Iabc37088 Do Q endstream endobj 9061 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37089 20690 0 R /Gabc37090 20697 0 R >> /Font << /Fabc37091 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9063 0 obj << /Filter /FlateDecode /Length 4026 >> stream xڽ\KWt~;C;K~WOj˭iWj-hsTyuf ~4ukϿ:&[>?/|XV]w![Sfnlwx:,Z>~Vh\8].A~cïaT5 q ~),?_Yi|bbf\X P֤CK|X"7jB9:aX瞸"SxXh.i S 1pI|+%θG ͣ8?5F@ؿ!Y.wx:H>[_O?͚,۸*x@3z%?v# 8??s'Gp=EvDY.]^i(~^YrO)PǓPGE=f:[Mnʉ5.ㄨچ4ӓ0("^Eɦ"'Q3hHM|9߄|ɗ5yzy~ Xj^ʃG%fp&5ޚFج=1dـ5o24Pf"oŚuts7^XGv# :k[VO &ZEk\z^ma25;Z54E_m_V͠j9 #߫g8<\][5aj}Etg( PTUq* .30M Dz rY =y#x!6}na4 .9!D%zQr1zn83ba b:bO AɰqaLwRP?1->ѻyr"*yv:Ou)LjAP};hNgYmF > C_چwGf;2g>x7J_Z.2xN&c{ѝܲo3cRt.jk#³05DfnD$~WSr{a=Md(C?(qS84m]t/OB~_BףߕH@"!qP^;qsE ֹ Jw- zN"{^z"7!?!7"Q"o=6)>N+dzݵcp;S[8OJ" jΩZ88y#/Wa;}gMva3\4;]kpuXxFow.^`0>l ܍mF{ؚ]6fنY43cs/@uk+0/3`nλWMAhUqs (L.)ǭv^t M  6iog D@-C]Ŷ.xI ;b8^42JXϬ?Ld*AC&aZLr [W$-)KsLG=LApGkKa V~A:N!| c?MFˆRkjX^Z~ڋ-5䭊[KI& 4GWSefLQ•KlԾieB4pmd+W-ede.o݄uQ|*55S:CL|o&TtоV7"uo޸DwDw)+֡IƓt*VU*}]"ɩ2Bh#fWn-l;='|4GTHbwR 1n 7PwXlV][P5{yx r+F6N@dVߣ˞n]{ ]YiaD {|zzou;}t FQ_oy4&QC@1PO0"O\ s\+ (E FC9cpfU }6[׹9ylamE5pMw+YCE#R]ar ]6ٴQ`3&YԳm[ĽuAN~wROVl߽]0w`6cLIYjJJ;%EIJJۏFX6嚗/jNiVԀz4u b4<=O5q0p+=NN< k Ff烹~x.qj$M'}䢍ڑ200X5%J[|k +^Θje<>]$#GB >CG!RL-E¤[fjz7B?𰤞yW g=,LaLs n\(M4gIӬP6/}|Y-IXOe9%V$,W&T Xd: [E$>5Z4C=AK2]mpIۣO*(h$tLLт` &Ŀ#CTqN}ZzJ^Ҕ/Z@qǃQ-G5LVf7g>?<}q/^[) F=!NfWcZ8q ?mXP6Z3  ]@AEMbkd=iR#y02Ew9[6*Er~]ey R*0do4x|t~iWaBk`}85Wu1A ɣuq3{L5ĚiϹܜd[;wtf!0j\mNlW&3p@ufb _8OwiLT5<:^ x^1k9mk;PZM#EUw[uw* bֵrW@6̓f ,8e1AJs֪=c_eŋ]^fgX5oCvnbT)ng&[P%1:XMcg0و(EjQ")jkp\mC/N*iEhiu&p9W/|$>1/o`[w"mYUZGv}>֎D9=":4>r+5aYC}"nm]gdx׭2mc8.4 m@s1;t?[0ʜb۩R\0`5F > 6UL<7k:!%7~y8݆}T=RoP{x-hD`ꄣ\ſ&YSS9:#oz* *]-/<>r߮?JC7+":_i yYf#C} O k體x|aPQOthNk,iͧ ~M!2l6!s* gG9?ps~#-~ ;|)fhÔ)|ٲ-5]ɗ)|kMoy:31,SHaqx>Us 2'I6C+>$ [ 0'"BP(i(}玙raߔ_h G~ӛہ*Uܒo9=_K?23S"yEa%?t# endstream endobj 9064 0 obj << /Annots [9065 0 R 9066 0 R 9067 0 R 9071 0 R] /BleedBox [0 0 612 792] /Contents [9072 0 R 9068 0 R 9069 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37107 9070 0 R >> >> /Type /Page >> endobj 9065 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 510.4269 381.3955 521.4269] /Subtype /Link /Type /Annot >> endobj 9066 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 457.7577 396.03 467.7577] /Subtype /Link /Type /Annot >> endobj 9067 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9068 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9069 0 obj << /Length 19 >> stream q /Iabc37107 Do Q endstream endobj 9070 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37108 20690 0 R /Gabc37109 20697 0 R >> /Font << /Fabc37110 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ Jӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9072 0 obj << /Filter /FlateDecode /Length 4754 >> stream xp Vx:[zc/߄BԲseM' Mؚ|& [ `ƍ;lctxJ < op;/Wtqvu+_L{%",ew{["w_$t7s40Fާ %ٌgB9mǺ<7X5woQyia==e´˺*I8мWˆ"p踪,JHۓb Zf,NQqvL(RDHA]Aĵce?v{u@ᰞj,Ipa vIJ:8NJJYAo$4Y(P:LRLZDʪOI.5y9FK hҪmu+0+<(2){eߔxiAN+Bɻ֕~Gn5 PE񂛝籟-vlFT9ZuUf5âN ?&dBX/2N &Mjga0>I !y8Jei4rƮDr0e!mV hֽg3,QDRdzŶHDi (Q$^'β!C$:}Hjz$ZcY}ѻHDUd1 KJH 36@J BXq_;U0P`HJqk^]Ů9:6Y k0AbeS泩m[Eky;N|Z`&tΜlJg5P)!vi e28SF#{}|Lia[IޔěYg eTP~&u.RI]MmMKO "V7d Yda`Lu*_ہbk5)V & M7PZ2{Yƫzkt{bVu+6#WSy:y|~_"zNg5)3Oo9gwQYOvdF~{ 8ᰯ tCv==X9\> m?ClaC>*&pb(6P>'̃Aˇn@p^AC\Ǭ@}-bwR{ؕl1c>=Ƙz}uϯiO#}O<`$vre*ImvV L~97et1qC7\'#9DMgu6IYTB;}7,`_Qr}V+3 r#G{rLhOzۄW+o?PsBͩ+tk-᯽ɦ3ݠPӯ*mɦQW}JCvuGywm m{Yڲ&6BGk5^dHjmIKSc+pC؃v3 hu`765p :`Ƌ,EʺHQ)w#u5"8'guch14 +.Syޢ G_a#3{=v*m+ՂXEcNQ0\ ;JV fX[I*ѯN^qQ|7[=emoiSѯ&ܓߋn[cϾ p7Vɽ ^QxIM=V١!b _7:復1L͂}lv x_BWCٛ8Z.o62>I)mhlb\OF/xM]~"o$]@`@ק׬}|U>}\@c3&l/Ml`B[`uV\x߁s_:0le-w῰^^N*]N]C bS ΈCCT_Br:SI7qz9]Uyi]4܀OD}xx@XNժ!dோ]jxU|Xª?gő<: BN-hj΋[xrlG![ȗ!'3dxu6p.4@T ܟ4)?0D۰PSC\0R+2ZS`($Nr &XFs. *NtFx҄'&9fx\ 1a[|g( {RZD-Q Hu$sc1OJعLmwuwzC3gmxt(8Ţ,e5=TrMSe^ 3?joJcAzGW!Sf2#e(N  U_p/ɨG> :ݶ+X+wT#]Z8`#OHIx=O>3!;k=%y!j{RȶpNq]Ntg zZ!JLh.&HK|gɏJ9"m̲mBRtd+a|,A\w|ϲSp;>Oh{KHa7{*$*5! kp]-eحoea=z\>qo*`/3ػLcopw,w3~+>߳4V.xg-hA2ij YE谖/XنByWd_W};Z}_u-Lx,޿a70z` >צWݳ`Dȩ |E}GGh'q#x9vmk,|fDٕs&>)R endstream endobj 9073 0 obj << /Annots 9075 0 R /BleedBox [0 0 612 792] /Contents [9091 0 R 9087 0 R 9088 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37126 9089 0 R >> >> /Type /Page >> endobj 9074 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9075 0 obj [9074 0 R 9076 0 R 9077 0 R 9078 0 R 9079 0 R 9080 0 R 9081 0 R 9082 0 R 9083 0 R 9084 0 R 9085 0 R 9086 0 R 9090 0 R] endobj 9076 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 297.4423 195.1267 308.4423] /Subtype /Link /Type /Annot >> endobj 9077 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 281.2423 180.2547 292.2423] /Subtype /Link /Type /Annot >> endobj 9078 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 265.0423 179.0062 276.0423] /Subtype /Link /Type /Annot >> endobj 9079 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [104.1732 248.8423 209.6797 259.8423] /Subtype /Link /Type /Annot >> endobj 9080 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [104.1732 232.6423 177.4827 243.6423] /Subtype /Link /Type /Annot >> endobj 9081 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 216.4423 190.3747 227.4423] /Subtype /Link /Type /Annot >> endobj 9082 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 200.2423 184.7812 211.2422] /Subtype /Link /Type /Annot >> endobj 9083 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [104.1732 184.0422 177.3012 195.0422] /Subtype /Link /Type /Annot >> endobj 9084 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 167.8423 177.2407 178.8423] /Subtype /Link /Type /Annot >> endobj 9085 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 151.6423 177.7632 162.6423] /Subtype /Link /Type /Annot >> endobj 9086 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 135.4424 180.9312 146.4424] /Subtype /Link /Type /Annot >> endobj 9087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9088 0 obj << /Length 19 >> stream q /Iabc37126 Do Q endstream endobj 9089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37127 20690 0 R /Gabc37128 20697 0 R >> /Font << /Fabc37129 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ J\ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9091 0 obj << /Filter /FlateDecode /Length 3063 >> stream xZI$ǯy e $ T֦aNmSeۤPD.6DEH!=}z^]Yeo:]>bPb_OgU_>ichz}Wl1i ә9NІ8cM?Qkjڥ_٘ (sN`-5hL%M41Do4]+M$84ǝrF ǽ3~UGkg:]o ~R[nNĢv (eHH4 g!i^#m{>k:H =66;lZS ;料"4gFmgvDL<了WX_2hB_U.Xq'W_~RXQR+hQ8^AR1 5Ҙ3<C1{Û.f"08f €4=ϫ.zqIz"n <ɊwKxME]pwXh-}M~3Dc {֫%U嬨jmA?HlL(2kj:E;&Pр `TܦįfDV>,L3$Z1ϰ>xVsx#jIHm<*,ǥyO fCOholOٞ{af] vOmv̾#; g2j4 U)1KD\L*iv6 4"ٳ2ڄ8̓h+HKZ޲eo}]{4*IlGwKm E~\az ZcjWڰFنmX'tk e}fO#wev,Э25!QP2-x3`,gʚ:CvehPNgc!*0_d!7k2.9^?dё87t 8L&xi1(rs¾!nD] "n} %9g/= WZ q)nh(ԄFC Qk! G Y4NsmWO6^jscޒm[i^zT<9c|kѶQ9Yyv*!dpY&{Hb12f]8>D6ߓ`ugJ[)>O"ӜN]7\%m~K?ظ5N9AViX*n=A/xup=~YgӪeO@gFn;,Źy2Z8ƛ|5lq:sݼDum`~pR=:;E5YD b:wL˟zߐ0rgV|70Oyi>nk{Z!Du>T0*Fv`XhhqhӷϠ=c&A:TC}e΋+++4%4|ᜥԸ-iaB˜wUqB (#n` s*BA6m{)yxo*±KkL<>Ck1:E⊈?–AfHɐ8bJYMƜ33i7Yg_AH[zv{J\2<^T{ɐhg+8ǖ"mq3l(3U5]^ՄRs3)gy'wӐmT ,]uHF'{/ T[rX}r<$G,sc@501mr,Q'GZRwրXځXdk# 9ꐨ- '}tUJÈDWrMmxU1mxZf Z@g>Λ,Ic]ުoo>WQvn~I}izSNs*b،iKt7o0l~=p;ХGLICx;*%E-5HI뇊4}Gdbl%XQ63̓ɭ& Du=e#xw k#Ԇ1è?;w?_R ktF-M&@N!|/ ˥j & 2تӛrdj7YWƒߛ>]dYgDMIOD:h[6q!7OG < ا\gUכ#O4i/HFwo`tRa_HyWj476X!0e!fڼ|}S;]ݑz3ĪFHg,Wb@Vtx,p#z="-F^'fFom#tޤh$\8 B~`[S)C4#S+|yܜ[2[gzya=7x[:B[32E;('B[>/Mw3M`aᇅ[l[oMegVbFݖ .Bj x$9@^I>-F)O첊/|(*PĨ^r+RkWX_/Y  iR%L׾g;~.5#XBuRGg! h=GcWΥEjΤ!'f[30}<s}s8z!3~ުp?{ܝlO/ESd.??pl,x>~Q( ;BXQ[eN ˺4<ƃ4›m7K-PLl£4HǒEC7 endstream endobj 9092 0 obj << /Annots 9094 0 R /BleedBox [0 0 612 792] /Contents [9101 0 R 9097 0 R 9098 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37145 9099 0 R >> >> /Type /Page >> endobj 9093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9094 0 obj [9093 0 R 9095 0 R 9096 0 R 9100 0 R] endobj 9095 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 292.325 137.278 303.325] /Subtype /Link /Type /Annot >> endobj 9096 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 292.325 175.096 303.325] /Subtype /Link /Type /Annot >> endobj 9097 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9098 0 obj << /Length 19 >> stream q /Iabc37145 Do Q endstream endobj 9099 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37146 20690 0 R /Gabc37147 20697 0 R >> /Font << /Fabc37148 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9101 0 obj << /Filter /FlateDecode /Length 3856 >> stream xڽ[K6W ߤF{ I!Sgbz_ܶ;["E_=X$KӷL;=LLO`R/ӏ'?Y3}9eAkvV].w!iݱS3 н1}yE}0vv:ۍ0 q ,L_Yh:}ftdFt\/Oa"qpuk:B>yru:1+ .p`4\ S ?cWpo*=PWʗGp-sց\@ZRAiT<#߂`}jM.NF9MXq b#d -   `d` Bp'`V/e|zQН8g>ix(nQrO)Շ#=@~x}er$g7/ƚP6f@?^ /7Ie ($ )2p$T Gs`M%#7id́F|5-(*!ŋ}c6ַq AӈӠL[]s31dڀ^-65GLX;pt]`u:=N&<_}Ⱦy>aSf)-z`*X;1y^,ש֪Uֆa]۪qN蓠VuMgs^ۮ6jP~6o؍[._V N>[1؎" [w {(j*~>51cG32-!ѝ;C|ޝe!4nc0${ m sc1Wy`Bd!$0xQ1<?|Y[BD{OpB10VGddظ@='C'z=_>1*ꃈIaOazOtv%v ?+Lg[fUXS* w5J@%3-{Ih)myEq>ܶ}\Aё=3/rI"!QZ-N,,.$j Ȩ4fi⧊+$Vc>D@S@"݊yONwCL KtSwld!{ّOQ6w8(S?;lؤ9^bxLNvӘ[n˅5ه= YUOu*&J,tzBo+G! F۝}Gga,ZdziFS0 ;|]a /{8tgW I[wF'lzq\Xlq8}ݹSo%&uU)81VzT&2ii;7}@&fzwIa\+_~pN ^B:}@;x}bڣ .|&vDPy ^<XΩ~  kºD+XJߨersv$,Tvەz(I l R;@:RQw4mP}3;8vD`(pRR舕~P׎L5dOQᲢTX{:tǂUrf)u&PY-!aũpk+mbq!Nn:ZVlJHwBS/RƺQU)uUr7F{~$Hz7:Mʊuh *6E[J_ȸ{mpj$( dfאպ2Z%-sYp#c@qOfjײ3)}_,nU6Ƶ˚ m`׺ `W:2[`w=uEUЦT ꈬ==mzno­>BG{t{sM5uou;}|jF}Q_y4&QC@1dWO0"O\ c\*;(B s:̸&f{6k׸-cyamE M7+Y]E#R]`rMVڰQ`ӧSSuR! ] l\ r}rboѾ.s?8$ߝx$ r"frI5 j@=O{@1r2 $V] n+rpHX1*_0c =$#뒄C2{L2x)q%e'DbK2> 5; r%&lKpPH ~cl(A$ <UY%U+j' ޓhbԞu#)EUFdY>XO2Ė䣹 YN6ŦRħ)!,RL-*Y93VZ.X=Iře^bJݖ&\MH# wS4u(҇6ċH,:/ml~1?N^?!YvPQE[bg%~fV\gct8"QhOd΀t{A^A@Dz);d.H ExOS,-;I%Xyj3LY!"`Me{Ջj~^Լ_SbpqObF,WBpHUPcݸ(+5xP&7PSPK$<%X,F# a^qH,UmcX7EbGF/x`Hj>=5Ά O iEtie&͚9WO7sWk70ϭtǻzui;izXH۞(0ܿR;mEG\qN@.aUc"jm4+Škfҽl61_@eMVbҌ9DvvaeNfq1 S2C=Vd_HV5A͵*PW&ț5ƒh?`ST7(tEԽ׆T|Me<~<}vHH5ɚԟfWꌼ{ G+4t*t@S()#|; ̴sZWD,'zvR %v(zB2;a#N  |W)@yS4$NWpW+|J  <ɰ[pX7)'hp*fAE]s%=wڹ)c*sz^.{ 3~cˇ% g?MMqY?g}cɢ3 endstream endobj 9102 0 obj << /Annots [9103 0 R 9104 0 R 9105 0 R 9109 0 R] /BleedBox [0 0 612 792] /Contents [9110 0 R 9106 0 R 9107 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37164 9108 0 R >> >> /Type /Page >> endobj 9103 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 510.4269 381.3955 521.4269] /Subtype /Link /Type /Annot >> endobj 9104 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 457.7577 396.03 467.7577] /Subtype /Link /Type /Annot >> endobj 9105 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9106 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9107 0 obj << /Length 19 >> stream q /Iabc37164 Do Q endstream endobj 9108 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37165 20690 0 R /Gabc37166 20697 0 R >> /Font << /Fabc37167 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' JU}ɖDV*YZ ip3Z endstream endobj 9109 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=772) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9110 0 obj << /Filter /FlateDecode /Length 4754 >> stream xڵ<ɊHw} nmA@{an }h3UCPy|! m?VJw?!kVgרUۯmBJ*)Ƭ~_&R::8p'*_JfSkMaoRy ܍?`5<\0RE#e9;_\/ S2BkxWEWz'S$ P%LSJy@C_ Vk^[`fAcT !Cx oeT){lPpޗA 5r}QqwʺB@(1&Xc#) V1C aZjY R%|`MBl"(dw}_#s`\qZz:>Gcuvz~A4Nޛtp9GCķQ MUe&e+H)<-3 3:|Aނ@Y;bο?D9d*_=@b+lLMsG9H?QauOnm=뎾\)\++Ět6T)"MD!ѐOVH8{?"$t%]H5ĀI୴xig77Z1ݧkEs(G ^hh*Cݒ$/)Ey\HnAL4Orܳ/ Ś뤄VZ<ۢ}S!f;S!4PJRSJV3$j,v`eH0/A6}gw 5JAf0%p^3I2ăZ9?T^H$}{XB& F56o&ڔ0UZ]jZ)l2Dns5>ɢGL-=#ʧ仑C6g+QkI[5hw j$ӭo鯵 q>U'7j*룚Na2&V~E]}))kv cA C/&O% UKl;} Hﳆm -BVf3wnS:zD$ƩZ[{$*\:Us4bf6.V;j%C DBl3TUnh_%D6=hEi)StR'tWg੅F?y^W?Aԭ9yb*5s]5J;cMt1S ;O|f+1ZݼNԷӰ) ;Ǧ5s`Xo< }kMmsy>ڙԝ dl]]ڱyKX ާsezaeBzLiqEV:k1,{!ٱ V6eSm7Dƾ*9ʘt{C{nwcqs]em4TqKG6G$lv=io-CXA,5AudNU_7H/|8wnE͓ոih~v }G=^Ov-KPI-Rέ'9c1gl5{>t;r'T E't3q׳T9v38t{I'X4}VeǼ~a1Ӈ>j7`;}7=f[(7,@kҼ "|U/eyilO;QfO[l Xu:95򾓜sj?Q=y濷 f/#o6XI NySײ):^ԝ=q^{qMNY)w>wLjrΠm@pg%˲{hTWi\ܷ40vP.OD˶-W7?4(޷2ՃW.0'.FE`"Xz?RV \N&{m߉SuҾBJ[l_E֒";MMJrѥ0>7'}AlL3mRuW$_ KUߖ? I)mh b'W|@vXqeZA_⃐~]G|Fx6?}w@*!a}r3vuV3, /2_}$=Nr6ZJ|Aa@n;t&!qz=A,_:uֶ|ԇgbNZH:Y E rHd(R!VX(>YA耹}`7 ۀKATU v¸.gqDuy g!Sg=SsuwSF#L\ J2.>x n*;Qݑ+̯[3@ZO|s'ɝϵaIv-{R6qNx.Xtg zZJgxg&ӥN&h}ߙ|-/疶TLF;4lJ{z'߳ne]>ѕ^%X[Ӻcq4_~ƣ80$fz&e yv8] ?.$7,${_kXwpzq/B 5fGܔ3 ]{2^@9NtdQjDƅ63}7—#/F+b%bΌ? }>9Gw endstream endobj 9111 0 obj << /Annots 9113 0 R /BleedBox [0 0 612 792] /Contents [9129 0 R 9125 0 R 9126 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37183 9127 0 R >> >> /Type /Page >> endobj 9112 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9113 0 obj [9112 0 R 9114 0 R 9115 0 R 9116 0 R 9117 0 R 9118 0 R 9119 0 R 9120 0 R 9121 0 R 9122 0 R 9123 0 R 9124 0 R 9128 0 R] endobj 9114 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 333.4423 195.1267 344.4423] /Subtype /Link /Type /Annot >> endobj 9115 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 317.2423 180.2547 328.2423] /Subtype /Link /Type /Annot >> endobj 9116 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 301.0423 179.0062 312.0423] /Subtype /Link /Type /Annot >> endobj 9117 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [104.1732 284.8423 209.6797 295.8423] /Subtype /Link /Type /Annot >> endobj 9118 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [104.1732 268.6423 177.4827 279.6423] /Subtype /Link /Type /Annot >> endobj 9119 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 252.4423 190.3747 263.4423] /Subtype /Link /Type /Annot >> endobj 9120 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 236.2422 184.7812 247.2422] /Subtype /Link /Type /Annot >> endobj 9121 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [104.1732 220.0422 179.1767 231.0422] /Subtype /Link /Type /Annot >> endobj 9122 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 203.8422 177.2407 214.8422] /Subtype /Link /Type /Annot >> endobj 9123 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 187.6422 177.7632 198.6422] /Subtype /Link /Type /Annot >> endobj 9124 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 171.4423 180.9312 182.4423] /Subtype /Link /Type /Annot >> endobj 9125 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9126 0 obj << /Length 19 >> stream q /Iabc37183 Do Q endstream endobj 9127 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37184 20690 0 R /Gabc37185 20697 0 R >> /Font << /Fabc37186 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H!MЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD!ӺJ.\[-: ufg[XL5ۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9129 0 obj << /Filter /FlateDecode /Length 2986 >> stream xZK$y!zK EA=|òg6\;^zeUuW^dgJ)B_<Y2JߌZU>W`oMgSƨ/mЫz~Uq2.<9jmІK[mOQ?fO3P|wǀK@F`N'3,,/~Q&:J[^Y-, =30 I]`L;3R;]؀;'̎_D9=I|:B!,$VN˞K \LYbO +{,bK4q^EPe7qsamzҎHAQx9ƭUe-~*뗰N n>1zD 6."+,f) "G`bQ^&0`3y@,VO=0p_n[/ <5ȫ%n!jjaI[f;Qh, -$f%WͰ6R% (\U}Zb0a]g0$bDC+*YLTT]o{n07K$\CXOy)wfC\;PX=;5-Φ5|g7ŎwĶwS,Pq:![g \Rj1 %"_C 'E;Uss6mYm8ANv<׹L"{b׭(%$NPoG*VND.'w˺BՀ4~'Ҳ S4&l#C8_KhukgNV C{[S/lC]rKܕ6pԉOT<185}d•׾Z=-ٶAMɨGs7Zz͋kN^>t.?|dI,]&Zl*ڇkRꙒ2wʦ'!y2YWK 67Ga6sqO~''o-(g+4],7jMY>>l8px ;3r;*<(&̝r0l$LVBA.﵉}#nx'J "@x=xsٯΨܙ%+IJ9ow=ٍY[nO4pƎv\b6skjbJbu!Hm6z@Ní7}b}n7}NڧQ]M…+Wey%lԫ{pRq*88._%W+: ~jX.ny 6R1tk⮖YF~}H  2[eg& Z*3zKإltӤ͋ FRpI*RVcw Tj 0o?+=V flNKmgp0ywFQ߀_>=&UD̒0CgicuvDWCT2sNBF;+@q= ]+'M@%nOeqlNQtFw( venn<Ha񤂝*tkz-tϯ3͖#6GrbZ*p9Jd(O}tŷ -Na.KHy@NEӖ؝PN|n]]OGMǬX2Ll[ iba/WdG$ }">8+7#u}V?;l?@`3C]jNpBF>Cď>drTccaI9Y10zx:e6|U~)0xzQ.E%԰@sr"3hJz"a B7i0.5a{Ӗy(>)uv]ֻk؝ i-lOܦW/`0]R5b_@W,ԚB,W^|7쥛l6oDZ\_ԿqGA;R ^/ "Lj]|bLsYe`5.3 LrNGt$'$վNYГuOUXlL<{ZOG֭J3y~@JSFRG uꑺ[ GҺ&.a;ʐ%?#t h(3?̥Lo A2"0+%#0(8=_X%xT1˫lFp.q,l0sĩa{!l֋殙KM"%YIRѕVLi~VJ~f`jo.u#- a?o{6Gevk"uзgXȡf]{z>--mcf" `bXQaĹR&%Kl#Hn%TոNMǶPw)0#H?y#4=R‡U1vYYɰ-E9n_iURCu1r/?/3g*([pO;7>Re$Tu rcf{J*k| <&G8{HW) 6:Xv+L@y=hHr뉘?^ #\~+&E0Y \A=8'ɬV8;@kP/\'؉ G~¤LWE*߫szD C bWf;l}ڮ~YC.jS}Pk  endstream endobj 9130 0 obj << /Annots 9132 0 R /BleedBox [0 0 612 792] /Contents [9139 0 R 9135 0 R 9136 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37202 9137 0 R >> >> /Type /Page >> endobj 9131 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9132 0 obj [9131 0 R 9133 0 R 9134 0 R 9138 0 R] endobj 9133 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 9134 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 9135 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9136 0 obj << /Length 19 >> stream q /Iabc37202 Do Q endstream endobj 9137 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37203 20690 0 R /Gabc37204 20697 0 R >> /Font << /Fabc37205 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC OX0 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 9138 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=774) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9139 0 obj << /Filter /FlateDecode /Length 3967 >> stream xڽIWy'  tU & dڀtd ccbX[.fldsX]>6vA!~1i98oUNֵ㓒ژZSQَ֮|F3ĩu&+OCoi1: UFo OgK˟Yq|bQK^bѫmӒOz3a $`& x_B10ƹ=8P'E}i!Mk33oPohp8 zl ~ ;fs9aX`);DB@Q(EmWsRޅ#I7UN#P41YҸVZt hDc,D4ۃr,ACM_ ^|w 8斎;Za6Z9_.@䆄sM5: -:! ª% {n7o&-oO}w#"!;m3d69uX?  Fk `UhbVnya΁ڟ0ypVpkFV H-|K4tg&2gk[%yp %)8O6 R]dj+ ԼxJ5`c˗fIމA߁͜N>_;=Rv;i@3Gܣ!揳r7yOd?99=Ulmg{Pb0ŭRQ܎Co4?fEنPFWo=uk{ ^ gOkC$oͯx|M^R*|[Bq2$  H_:!:L!=( CYk"6u6f)ٰ}#+XH$l]=s9T TS?@tƳp:fxnpȁk!Ha@VAEݻ2 m@F4U*ZayyЋ^-5p $H+KYmn )&`f\- +-+[lԾieB8Pmd+l$(.I[}7W)6s5L(4֦fk(1N$d HEkQiߨ{㒻 KYM2$<`%6H\run(amY`Ǣpka[ h8-o2fŖ:SJw ʠ3zb|)(5ϧAix{'eQLذ!:q^M"W[ [vuLm*.Уr}!Z7"弊HW@jh'UnW NxH _`&C_c< ',3B n ހtXY \QI,?,+mB:6+ɗ5H (ب@]y$o6B:1%7<jNz!U[(z)UoE-Ps) ST+`55eCYg+Pq5ҁQUQ+MjںRv+B[ ,8wi ,bıZ d0qDesZ*%(_Sa)V.TB5&.!!ͧs)U-ef\HDIK_{޽`Q NY+>H^Tm^/SqL,ß~askLU*lGH.ALvU5uiEl_;DԧMmCf~mp5ke8U#1BX뇢G6}A7w=Ѷ%n9[s|v@ɛBg>F4|@"Ȇb +s)$FIF$*`ԡg6x>|_}t29GC,֟> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37221 9146 0 R >> >> /Type /Page >> endobj 9141 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 587.1191 381.3955 598.1191] /Subtype /Link /Type /Annot >> endobj 9142 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 534.4499 396.03 544.4499] /Subtype /Link /Type /Annot >> endobj 9143 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9144 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9145 0 obj << /Length 19 >> stream q /Iabc37221 Do Q endstream endobj 9146 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37222 20690 0 R /Gabc37223 20697 0 R >> /Font << /Fabc37224 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwob endstream endobj 9147 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=775) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9148 0 obj << /Filter /FlateDecode /Length 4856 >> stream x<Ɋ+Iw8(DBJ:̭A9ax5PВI C˟Z$+$|c)m-.J.~kgV|cϳ*-p?d(B%_gLR&*mnTҪ]裔VU*|߃E1\I_=ka zu!v[YcQ+Pu\=̪D}P0E3/),<ߍ zB@la2J8{'Z5F "A75y@!SF !큠`3r@T^Yj Xt`K]~Nl1 g

挗*%VW_ MOܩJwDK'Z2~6+VaC66`'';~>nV6& s&ܧ-~ߍdmwgk}+KdY΅#;[ج (rXp qjzm#9if 2֮m]{=|̮GnmKz_ŲNRp۔K ҋi,:qFb= xz a\Hd:~٩ݭ D Sd+%:eřx(Wxǁc>~!zwDy)Ww0j$_WYR\**$=AX_+=`5-C\75f;49D* >sq|nŰ92~!5w6Ê9ă׸Rf7'hqNᗷ};5_{W~ϴm/FH*ҟ\p7H*>vFtRg{cn ]Z>}dN50)ZԍWN 1wk5uB`ԠU;RpKDBcfF~ץBI{ d7wR?T^zu`oe]NkghӟO(84A;4e?0ӑA @ WUY oQomXN g+ј,vZp`ƃV0~t#y h6bCUA  qK]Fq;rbR<%NRdO"]e,N F0Ul_p-(hx#x[wJyf|'J+G O0@ZO d]+LcGX'"3&O!/ D7jaJD(Y׏LhCQ'kRtA4K{gߙ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37240 9168 0 R >> >> /Type /Page >> endobj 9150 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9151 0 obj [9150 0 R 9152 0 R 9153 0 R 9154 0 R 9155 0 R 9156 0 R 9157 0 R 9158 0 R 9159 0 R 9160 0 R 9161 0 R 9162 0 R 9163 0 R 9164 0 R 9165 0 R 9169 0 R] endobj 9152 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 451.5346 199.3617 462.5346] /Subtype /Link /Type /Annot >> endobj 9153 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 435.3346 208.8492 446.3346] /Subtype /Link /Type /Annot >> endobj 9154 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 419.1346 195.1267 430.1346] /Subtype /Link /Type /Annot >> endobj 9155 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 402.9345 198.9272 413.9345] /Subtype /Link /Type /Annot >> endobj 9156 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 386.7345 205.3787 397.7345] /Subtype /Link /Type /Annot >> endobj 9157 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 370.5345 214.8662 381.5345] /Subtype /Link /Type /Annot >> endobj 9158 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 354.3345 185.6062 365.3345] /Subtype /Link /Type /Annot >> endobj 9159 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 338.1345 195.0937 349.1345] /Subtype /Link /Type /Annot >> endobj 9160 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 321.9345 190.4132 332.9345] /Subtype /Link /Type /Annot >> endobj 9161 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 305.7345 199.9007 316.7345] /Subtype /Link /Type /Annot >> endobj 9162 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 289.5344 207.3807 300.5344] /Subtype /Link /Type /Annot >> endobj 9163 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 273.3344 216.8682 284.3344] /Subtype /Link /Type /Annot >> endobj 9164 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 257.1344 194.3402 268.1344] /Subtype /Link /Type /Annot >> endobj 9165 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 240.9344 203.8277 251.9344] /Subtype /Link /Type /Annot >> endobj 9166 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9167 0 obj << /Length 19 >> stream q /Iabc37240 Do Q endstream endobj 9168 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37241 20690 0 R /Gabc37242 20697 0 R >> /Font << /Fabc37243 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 9169 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=776) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9170 0 obj << /Filter /FlateDecode /Length 2471 >> stream xZIkd@s_(*I0Iv1uXr}Rdi^[DdKfIFjW*V>gd2qzyh},uz/֦ CG:w:_f*j y^W  3\hHkTF>d$ >i5p:I K#SKUDo*!lpt:<87axUI>yhwV¹019(}# yߑe[y/Z҂a gF( n y9U+ Q;;k&M}bƞD衵q-~>^.\m9k\,?C-Q86ȡ(ī>fm,08x =" g@ "8er ʨd}teQqKжl7L>a 芶Z0dWLn> AU@/xxk>6-XcXf8 .Z3!z4$b4TL(Df `Cl ;]9UJЦ5a b7j1hٸyV{ʤ2C!tV\9^ռm7M?ި}s_x߁Gscf7N0v8vJW)Nh v6;eT"ONtdD3H)n@]@s(m"gZ 7dMASr%ż ᮮN:_X!M*vBEު ;0\'q)`ޗxK\ݱkB {1Ce ɮWlzrqȼͲZiQHm5f@́lVW2 [:3if̵+ϒ-lg̅MMs}|>||>8h 0q*>o v)FX)~_%:FsɈƤcU!W03V)N nj6>i-Aݨ%NxG*Wi_Y1H3QS~E~#$4zB uCrj |4 zڿ**rܒi67@u~13‡H9P_1 ?t 5PI;!3\DSH!v$ V6X8{~)()¬hoiGS]m7cbgETɍd? 5Kӟ8M_Pv $8)R [h(lU(pWy~ZBĪm*U[Se'.ɗw$g59)7;O]H tFeq>f=J\tDuq赈u[BqZ=<3_܎j5t!+\gH}Qjݟ;Aԭ;|;`&AeU봿"S)`3Z/P U^CY}ȎVO^Jt-=A:e)N|^5LXe!eR6EIVP2hNZ"hnMR\\\,L|^lgIvYڢUZnw$LeL! v0+1Rݸ,BA6bz!W@K/.$ьWk>1땅,LVfel3@Y6K;W#gҒ~T"U~RŌZf085I ۑ< 2`@SOk}W6 `ue bt\?ADMrm]bG9<:mh5ȫ6'U1X8pT1q빶vS3-UK35HSN^%-M)T2\4/kWZэJk?m{Xz4xcF>̭!CqR|[.-Mۖ! U1Ƌs[.dA +Mf3+4GxR}ۺI<1䪘\<A.kUj;@k~G o1?Wo87|LjT9j?_}o:uC&٧Uu8.Ԇ71YǖEG/i| endstream endobj 9171 0 obj << /Annots 9173 0 R /BleedBox [0 0 612 792] /Contents [9180 0 R 9176 0 R 9177 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37259 9178 0 R >> >> /Type /Page >> endobj 9172 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9173 0 obj [9172 0 R 9174 0 R 9175 0 R 9179 0 R] endobj 9174 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 292.325 137.278 303.325] /Subtype /Link /Type /Annot >> endobj 9175 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 292.325 175.096 303.325] /Subtype /Link /Type /Annot >> endobj 9176 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9177 0 obj << /Length 19 >> stream q /Iabc37259 Do Q endstream endobj 9178 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37260 20690 0 R /Gabc37261 20697 0 R >> /Font << /Fabc37262 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nLЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2F5sH0ڢ_Ћm߽?$f endstream endobj 9179 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=777) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9180 0 obj << /Filter /FlateDecode /Length 4314 >> stream xڽˎ]ѻ$00rrrK~?$EITzg<(zE lOK3KK2X__Y":n>nnrR} ?]m珊[!~VGF UXeE}"OvoߚO/^cNФWۚ?+aV?/ bFڹ9.!%·;\<|oo^h[׏X*y Z۴N@ F ce}zv( e5' 5F@CL,A:,&}0ֿȲmmoZ~qHŴeǮ>@H@ =Gm* ]Pڷ2Q|>i-! S: 3 @kE.;$|)P=$BMveXģ5+/Ic->؏+egas| 1kjL1B)SH-#RtV`H=AAPQ/Z ۧջXW=RV8Rq~S۔4'ŐXk͢kpT#Ӯm1/,v &'|nX (>+krq> CPcRz^:z}iV5 1,Ye9@}1:O#uoޅPRB#)x7)Xe(Ii]A!0f5C?rΰ39!ГJd)3\vc;-8S#ιEu4\1@Ƈ%zQ21ī=7ЅJfs|+9cԫ/qX"G?r{dE{Әz}`q]+Q>7]HV^m/γ(8DD> Cq r;" }ШWy^3l܅a`PE\'\蹹9ց @: ETq5GC{nG?e(n=M~0Y"@BP;X7 ]_IK݃05̢"m-uCSgNj~/$wۍOmu" ` c.@WT;]>*Bj}4yJ_خHMA 2]Oy:^#wov)"oKʕRbۣ]wnLlIecM1snoPC0CۿPHveh?zf|k Ϟ40V"T竛^G'|[@!&j§Ma \(}_1?ALC~^>pQ&xcQ: ?Ny>24EZll%}G(4.~ oSK;U<%H¶-<@aCR%Msz䘤#js[ JvS"kG ҹ<ZK/ v{߿~T{zWR-R"RZZU $zW" nϭv{6zNkcb ՛9Zͱ >ѹ kὁ9DaN(0J;PV(\Ta5oQ@h3s02srت1xAT$=?d` qR1 DF i- !.X;(nvjsrSz@}9KrbqGNۯ L˘'WP.$Bs;/{Zj~ %AVCPԣ2<1 }T#lVi9|׽RšsFq sh48WN$P"I(9t@,ʧ%xEГPtpԧK%IyO`) {31n~5E۹+ʼgkc+@2ls\E%Lшș Vd*3܁8S^".gs]MhST،sj-3+C"v/( &LrDŽ9A;W9p6tT*:;OnvBZN⑬ 4o0A:q( գW %;(( IK6VmnAu9(bSl/f1ڲ.Fdr N1$v 8*juCxTo{ &FግĠ&NQocfQ(jC~(%UQCJ#5iMd ;@X$ƻ|SWԂ(ws ٭6'ҒW՛Z!4ݻ&3x55LB Coܳ9N|&kr4yoqfXtNW;fv8hdcA\}̠Yroʼnm`~e{TǧhY BUX@%-tg$7W[4l]jn{ɞ5,$ͅhj P(>(ʜ!!1b\6W,r /ld;86AMz#_qs9emm뻔x)w;xĉ]jR6 Ywoˡ{ɿ1k`'떃Mk(ȓQW0O5DW5<+\PW]7ff=&w;.!Bg|b ˷5K/t< !GV:" Z l./8昫#ݘKjK/-pWR`|Q|hI  mH[9t 6:Ku"d"tɤҫAMX&[`UBo˿BfMv 7bA@  W9oE8RQǒ 1"ί%k̑Sq-Y3Y1a,}%`*un>^{-/z%UoGg-`E>LsBybxM] ׿S3<_nS Wr!#cɒ-+gv䔚ϊ֢W6BNܔ $Ssւʼn(\Ky[K߸TZQ'*xf*|%J2@ZO.z%1lK(P\jjo}^Du2ARǬT+e^TmKM,]~9kx)|k|K-"Nwn7k僢a - _Y7;YLY}% ׀uafqڲC1AC``[@NAl'ZМ.EA{EKͥzϫ4Jg<zOU5Ѹ +q!$G v !A}'aYywEL/4ܣ;_]Gcbč l'py]>9~F(ԃbFnLK[%Bx4VS{{m[/;t듇]*/?wY԰G endstream endobj 9181 0 obj << /Annots [9182 0 R 9183 0 R 9184 0 R 9188 0 R] /BleedBox [0 0 612 792] /Contents [9189 0 R 9185 0 R 9186 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37278 9187 0 R >> >> /Type /Page >> endobj 9182 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 466.827 381.3955 477.827] /Subtype /Link /Type /Annot >> endobj 9183 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 414.1578 396.03 424.1578] /Subtype /Link /Type /Annot >> endobj 9184 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9185 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9186 0 obj << /Length 19 >> stream q /Iabc37278 Do Q endstream endobj 9187 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37279 20690 0 R /Gabc37280 20697 0 R >> /Font << /Fabc37281 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7Ha ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?* endstream endobj 9188 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=778) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9189 0 obj << /Filter /FlateDecode /Length 4281 >> stream xڵI#Wـ8@PR PO=FܙںLf0"G|krf / s)ۣq!VʜUNE'u//|Os=1%`>=L omsOKni(\zC<->?:Ʉ<# =蘿HtN+öpBeg@[OA zإO +aɛz;1z엫|ȝS>`l-|7o=1Hd4e~J(Ń`狐3ϰ7ɦ ½;Չ}RR0NQLgFY%i_w1~4j!uoea㹳*KD"6D<VL8UW{Rv\R)ye p=\NL-{'qO*cS1\ثwet̘BɃ+e(6: MALۧ1$mc LRPLκg6TDv8hs)K$3T!+yR^6H B&!;h]Oh|%5&(Kߥ(>jn{4[h0v &GaL Hsr-H++ؔ ,mHYgXޫZTB2r4} NK;Q:k+sn]mYz(pdd:{L;*NB'UPY*FTFM+(l\Zp> Mv**zmFZ҄w>IX'(U{ޑ@&p[3EK8ӸR=YևQ[b {ҩOr4ؿ.@u R&w䪢 B6? Pv=s[NHmsMB;a &3<VT-fVe>!WSE࠹MT- >{>R1h(014RXK"={_ 쁀S3{'ۈ8MlW BP mo~+m[ήt r :+2>JZ{J2[|Ы}C[ kSF?9, :l.ˢ15TC ަx.F{AkpKAtA1Be)oѷ93A4!g3ggqL\a6}o%g1#G6c՜IF4~%p@aA2! >$y_^$W׊˂Jl,D,"H}- G!Z*}GIRIj@ RsVɩT4 50[F_!6R.G6wǐ/!oIϑVc%pBʒU1 JhN~RG#+۹ê.&djvؤeIP؉Pf˹KMP}_X4kml:T ?VC<` M?H.Q}\UrY6R]*tQ `AS }&}VQ&[zK|RxmJMeΤ0 ;ѠlU!`Hn'bU($܆bȮ@%Uj!b*-vUi7*-9۩e0UvDK Ћ ߯qQviN0tk=JI ЄܦߊZH QrkGSV!@>pq%;Ho8T-s^h R=o)AL"SJX2f4Y0]:fJ׵p\h@|=vse&*}'r }\3vhhyE(_#Ӧ YHOXaq%~pAXԔ{T^X]j/RHgL*zʚfLI1YFL"'֚{goה;A̴pYk(.}fx*qZ551}#2(wc"ZU~Uy\j=!Es-%N&{U.*Uy*Y`R`8.lIUm ]:JִwǬ{ vpT4Z.d_{ xPi]ҮJi`z/4ĪI[5PkJY$6Jjv2:615M Xz#ZyF\71KGRL^Z0ND_ĮtќKkLDW(빌X\IΰŏNxuʀ1nv9aTچy&wcwZ[zV>X@6urgS"@:!SQ2:rG "$(!܈N QuLOFaVDpvb߳\݋mϵ[s㾼O#gR5EI];@m\%k7PxO)xiPRK::MGs&%rGgM*0R)z[^R=6boklQ#ݎ60t{:ዥ*m(oO} (AP5߈DVmFɥӽFx9PD?ct|1V-UBtZN]yʎ1t tV9^ꅓ¨E2B~J 1BbC=+!J{H#[U^{ e"cߛ*) 6orxJtteTndܨidž>nd"/}B([Zj7[V*-udT;㭚y0Jp}n̎6GZx?j\׭y{`?$*#es3{sS FYn-'y+=Л^'gGWNwAKz9GpN<iji#J:-L~~3k qe3[m[gjqdNx8r})+}qg~AjK=WLO!֏nՁpPUFP\1Yi: Q.;Mt/׃^fH>C<~}?(źU[٘q>>㔐,~܊>^պ~p7?WJk'"rv &3P9d̓^T #]Ko1.s_?ͽV5 :`HEV-X7HEcN=<`u0!mʣ.pIa*l 0}-Ra! F|-/nC(9: ∘PVЌ@jv)h8a.l0=y6#|%:M'l#26L|F@IxH+xiIq$嶶ީ+O<JɜB qM5<;B'r~-Ni6"v⠃Ҕ@a>g 8(e?"$`<3 7NF]kP,5_a` #@\pK֬0v lJAMgmx:X X"rEZ? Ek-}9k2? +?"?@.pH_[r35dx<Vw7aqh$S % W}Q(alR^߈R펰dHc4yK[Rf|gD[8?݆,FeݨaVu)/Tgl?Ҿ Y][QMI&|x|U,k[M"h_u&d&Zo{n{-mD~1c| q4{ 6w00S瞟jod0dj̬|Hb&}s 6~gV46s^Gs/9>BeRJ@5bK$0/q5Ⰶ! endstream endobj 9190 0 obj << /Annots 9192 0 R /BleedBox [0 0 612 792] /Contents [9209 0 R 9205 0 R 9206 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37297 9207 0 R >> >> /Type /Page >> endobj 9191 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9192 0 obj [9191 0 R 9193 0 R 9194 0 R 9195 0 R 9196 0 R 9197 0 R 9198 0 R 9199 0 R 9200 0 R 9201 0 R 9202 0 R 9203 0 R 9204 0 R 9208 0 R] endobj 9193 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 254.5424 199.3617 265.5424] /Subtype /Link /Type /Annot >> endobj 9194 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 238.3424 208.8492 249.3424] /Subtype /Link /Type /Annot >> endobj 9195 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 222.1424 195.1267 233.1424] /Subtype /Link /Type /Annot >> endobj 9196 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 205.9424 189.4397 216.9424] /Subtype /Link /Type /Annot >> endobj 9197 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 189.7423 205.3787 200.7423] /Subtype /Link /Type /Annot >> endobj 9198 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 173.5424 214.8662 184.5424] /Subtype /Link /Type /Annot >> endobj 9199 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 157.3424 185.6062 168.3424] /Subtype /Link /Type /Annot >> endobj 9200 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 141.1425 195.0937 152.1425] /Subtype /Link /Type /Annot >> endobj 9201 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 124.9425 190.4132 135.9425] /Subtype /Link /Type /Annot >> endobj 9202 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 108.7426 199.9007 119.7426] /Subtype /Link /Type /Annot >> endobj 9203 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 92.5426 207.3807 103.5426] /Subtype /Link /Type /Annot >> endobj 9204 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 76.3427 216.8682 87.3427] /Subtype /Link /Type /Annot >> endobj 9205 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9206 0 obj << /Length 19 >> stream q /Iabc37297 Do Q endstream endobj 9207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37298 20690 0 R /Gabc37299 20697 0 R >> /Font << /Fabc37300 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [ne3thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7JޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛIm endstream endobj 9208 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=779) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9209 0 obj << /Filter /FlateDecode /Length 3446 >> stream xڽɎy+I/rz/y7YvjAC-"߾I~WFi[ZM9WN/WQ_VgaVAMc#^N&,#\gGNjϜ9L쥭Ž좍Ks'?_X0yyzu/[^ CNV(LZncZ!S8Ly/V2A=zX}s佄XMk<@Oa%JOtP~#۬)0h*,$X^S6XCh眛76;g'd9%|tS?;D,:7!P8`Jq9#2v900 @Y胖ʪWeTyTٜsSqID$,m";!:Ld;5r/ QOgίLdW\:;gzřg4<=-N?aq|H,AQYN}TiLPpfxmO|ڙgmY-`),8!j:l%֢A6j bKtLE{#W&" lT5 37Sa+][D]BfaHLZ#!~L[DT/JϋoѧvDl!t|3قS1n,yzb;aN[_@d}!ݹw45Sm Jy|]*b+hU5pEh=؟ބ.sGmqK)^ l}2k3vQbϷ<ѥ#>օŏc+Fcܯ!wy4nqDeF3dG&ْɞ&EfYJђk3T,n8D. ؙf$MW-S֠ s?%7eNU s gRn2 5}55߯IcaG:`*t4,Ť&JjP3 ʺ^kMm8H5wϥ+L wS2%Φ%Q1ܻl*TR1@Ì{ Z BIa&{qҧo0igeJ(.#+HKj4֞ ihHu>Xַ:V{KB{'wTYce^ S$&hUFXJXuKgNN{驅UNzwPrF?0pi~J;fqVua0ֽ-d#sMC[Kua2zdW\4Ǝ$p½:cF\Za;K(g<:~۵zJ 2%`i0ؖ_QOIP6lS4!KlXqݬMIeeMh*kvKছ}/Kx}8N7CoJ-b59OK\muبxI5]q,][ws׳62.ԳB_QN_2{e:H6;HcmWv5&|[~9˜Y*KOV `fJe%:ә*ԜN=`dNOx" tF8)j:`|k Ա?i3ʬI^Evsy;6-e1܇eakR5;0%wq\׌aƲҌ=ҟ ] g|eKyvFz,ѥU< ߙuUu?oT7󝤶ϵKߞe7x($8 %; ~͗ 2GpH6sKc?p H6 Jp'؈K1jO&mtӝNzߴ* 4h-{2/اDk+rxI5G:c0&$ @1V884tˎ^{;XF &n01>xb~F?TgSz"] 6zvf]9Eƿp&Q^[`{ϛ4 fg+fj' Upzη5L;V3wӻUNkqmpUiڧIĴ A\:ߩ>eϲbCM+^~ y5RA4pǸB͠WMϫӏ}t&րsϫ2cKN>'χ|M ^{; <;O 5)[dIlUà vrNz}fDc` 0'p^1{1?pf Kb>bƼ> ?W@W!e^·@wW0܆_"h1F pǻO~ԸNL]p+*#\IVĊ! cW|ǕPdƼm ݫp-0?U`b@ŏgeNϝȏ>%]J|AZG ᛸM眅D\MzIǒECI,k endstream endobj 9210 0 obj << /Annots 9212 0 R /BleedBox [0 0 612 792] /Contents [9219 0 R 9215 0 R 9216 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37316 9217 0 R >> >> /Type /Page >> endobj 9211 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9212 0 obj [9211 0 R 9213 0 R 9214 0 R 9218 0 R] endobj 9213 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 194.3402 709.9] /Subtype /Link /Type /Annot >> endobj 9214 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 203.8277 693.7] /Subtype /Link /Type /Annot >> endobj 9215 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9216 0 obj << /Length 19 >> stream q /Iabc37316 Do Q endstream endobj 9217 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37317 20690 0 R /Gabc37318 20697 0 R >> /Font << /Fabc37319 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~z| fpYw.Z 5J8.y-xvw7H*ЖYzr" YHUC.$G7:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " gE;!%]vhw%Tsh8rj|=B/}7 endstream endobj 9218 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=780) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9219 0 obj << /Filter /FlateDecode /Length 1158 >> stream xڭWMk#9W<КWK`a˞Yg y*Վ3L:ғJ>]/jTT>=fu :ۺDMkki=gCu1%F}|!vм~6;g?\R$ƻrX{'Mq|XD~? a"&;7GԜ\v*3$'f=UhN-C|Hl9\ԯ?E{R. dS(NOLdC̾LZ7a$S\ X0JL)טVgPp$uۀ>d^RԠ^l0N֚ط=p#oZp[8 8p֛wsǷjQ$ꑮ N? \ LHR0Z6FA5jc J.!%&lʚZJoWBY.yWY+1^k\ue\FREGuƕkGR/}_[%eJ-ߤ_T28l;YġN!XYLa5>g,ۧYI50VvbJ8uƪkh3qlmo%µ]{ M1%Q N)Bǰ?;dEbP9ccmi\Y7:ghU,WXɻ2^qDeT?F s8"6n5Fqbq-5 {ɮZKj0ֶx(d{1ao^ԍh>+-F>rÇ`++pfЦᶩ~s*pMh@V'a1 g {_;f m 3\aLBsPz픥VȲݶذ\n,.VQH Jᦆ#}-" endstream endobj 9220 0 obj << /Annots 9222 0 R /BleedBox [0 0 612 792] /Contents [9229 0 R 9225 0 R 9226 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37335 9227 0 R >> >> /Type /Page >> endobj 9221 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9222 0 obj [9221 0 R 9223 0 R 9224 0 R 9228 0 R] endobj 9223 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 292.325 137.278 303.325] /Subtype /Link /Type /Annot >> endobj 9224 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 292.325 175.096 303.325] /Subtype /Link /Type /Annot >> endobj 9225 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9226 0 obj << /Length 19 >> stream q /Iabc37335 Do Q endstream endobj 9227 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37336 20690 0 R /Gabc37337 20697 0 R >> /Font << /Fabc37338 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y\ endstream endobj 9228 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=781) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9229 0 obj << /Filter /FlateDecode /Length 3907 >> stream xڽ[KoW@+|S M2@AN qK~EVov-bTi>¿^ꧯ/SsSҿ3/ӟqn~4Ĺ\eq:xwKKENη㣑֧֔[SV7+5y|4~6%kiTys^h .zf7g JgF'LnD'y]˺LiM"qp rk9ADkrnu6s+.p_D eecÑs~ GSh( 3@9g@ -`)K! Ȉ<19Xߦ'g\&,<ן*b#d \d9.  afG`lBpg`(e㟸4( e5 GDGe(̱Vk'O(JyZh6{gw 5^wCɼ" 4OHq??$Hq>>Iz  'x60+r6;ЂBbZJ;.s:gWʃ^Y2#>σ2h^2glۄ~m65 gNYKqrnTN&>S]`9Ӱ\zi8\Ըe^=יޫWi[wثy.蕠֨Zu^.k9`Lo4z ~Õ[ؚ5`kv>QDwaT@nP+f -}vC=ZQe8-CL~3:X9N 'GMcna xƌ)L˼2J^8/o^'ma+|`Aj{xF11Z'ddظH?gCzr_v듈INV]秮0k':C=G_H^ `%[g_"M WG(Dk(Qߤ8-oxI~by`/ jx+a3Ϟ`?˾[ 4(5 {LD{diDQɩ)"<ϢIIuJw_X s/O@~_@ף0" qKQ9qs\SaUnv% zGe#Oh⢜<?'ȣ28TDu\ʤI1B4tU q<]3p~@Ql8E`ɬ$I8VU4[}io4ݐ+sw $.UH5ä uivXp zc݋ (qmg7nLmܕ{Țy腮r[aW>{w3$mVmM#6zSWϊՀ{xӲ3{a6M^ V/?OxtN ^Ju āBtB7@`n|&ѹDq(C9k56lFS (IؤFm[~J B?Q?`N 2Gf \ Q XO ni2\6ZVrk/bB*䩊U5. s]N!ra,'-J5^f42J4pmd+gx*!qɊn ͼH)NNUb453oz '޹6pOvTTi_gH{% Kٰ 2$bסXEWiu)cS{d 3)/:ZضejⰞ0M̧EJܽJc:l)o >q vylU쁭F(^=[ E {S+("ooݞtnm>BG͏n %MxK{ xxK{ٷ7m2\n>F;~'rˆ`q@汌qy܋27 $/Ck4"+19g.\54he~[:ƽXǑ[`k+ 0%@VTE#N\arN]691t`S)mJf! ]!l\}H~7s2S+>sftTsOsO䞴3xNWRpAXI{ !E4؉}5tE L;RhhɍgJXI5sos1AƴaM+t@?>>pRBxIDGL2`1!82Xv$SϏS:uոZ.FyEQo2 z2U7Ţ5ߒP;q+`|0UiGUC dQ5CW{o:~+ (i7(\r>sM|`lr xESw";i iZXfRıT+sq69Աk-}O5*J22RŦxgBr_~EJR~ey zc3,O"QELF:g؆R!GVץPzѴzLW6̯85Hpv2mPb]nr01~f?݋>p>BJKOt]YK[8Yj8ZoE%&êpF'zcˢcpM6L:3#G$}#`өH޿p!$F-Syϯ`ԱC3_hwx'}ُgL)WNt竗I>KjS~_@56+n97EQ1UU-?ҮkwK[uT_}ǩBDӍKⶈE}d\ endstream endobj 9230 0 obj << /Annots [9231 0 R 9232 0 R 9233 0 R 9237 0 R] /BleedBox [0 0 612 792] /Contents [9238 0 R 9234 0 R 9235 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37354 9236 0 R >> >> /Type /Page >> endobj 9231 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 510.4269 381.3955 521.4269] /Subtype /Link /Type /Annot >> endobj 9232 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 457.7577 396.03 467.7577] /Subtype /Link /Type /Annot >> endobj 9233 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9234 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9235 0 obj << /Length 19 >> stream q /Iabc37354 Do Q endstream endobj 9236 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37355 20690 0 R /Gabc37356 20697 0 R >> /Font << /Fabc37357 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛD endstream endobj 9237 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=782) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9238 0 obj << /Filter /FlateDecode /Length 4797 >> stream xڵ<Ɋ+I8DBJ<̭A9ax5PВD)y,nU B?rLήQ!I\Rڭ )ͪ>~cϳJ}>~㷂O[kV `j- >u r}w.~O|z8`Ft1`ߖo S2BkWEV+U$۪ܠ.oK7LCJy@Cߤ .Vok^[&RÅT|"<7F3C.:/)5xCpoK<J(P=53ǤG4q}{Ѩ>;&g^/ŷUs[\&N&9MX 45=+o)B utcN,qVhLɶ{x=a<1/603F'xp[2F>uS}ABނ@YLr?.k9e\tݟj8%լ{&-E i+跲eK`f%R8Е?E@Gh?"jIP@.'<̛! IKYɢLWqn!|w*`UhD* 4MtR: D$˗ (mSB'%ľOf:[D=,Z6,pueR)OzID>;1 fwF2bG'm7'@|X ;lXӟӦ ken1{%;56ңrlY٦J˖Ə8H0dɮ~e{#HlU%> W6GާR[~B8]Zgl{KOf|垬٥z^h#9S8RXlܝH: T2NY`|b<;8'cEJ3Y-ur[Dm"M6ǤRN,ϓfݒa` U%RaR5h56!+tR`w}Hmj f9d#HDmuND"&gn ^7 5Fb15tvq2 -z,K;XfQ Oi&,;LQ~,cuVhn ٻ,-K$jyizI{ gߪHdٹ^EY|ql (H]zoE4ݭ o~_c^ v|Ya.݊Ivtl9nSA4Ea C>AZ "m*SW=FzCN1`,xZPg9˟d:ONJP$(=hѭ2u+r![2|)L4OAw/ E뤄d/Q֩lf;St bD&(ZTY/tnFijt> crg{И,esЄu,ߊ}V_,Lm X5βv ,N|k.XpE0+eg2EsFzɽSBät*1ت{ćF'TZT𦰪r?o}>iiHV>Z٪vyHNL:Ӵj@6]6դT6"}3EFz!z)ylq(s1̣4cxṶ9ee9+pptPaa>p N,T-W| 5UJ n `~%Mv ͗*XŦ`st_LZع鮈cM)9Y07e,/ vANlV鏆X,KMPPCF${5wL)azY6B[?E:(]oA}Ii[Mł'Ovd IESg!fz¼%_<X~/6ZvrkWr1>pgdG ~GuJeOV+-s3I-b.7*sb ,+,0^廙=x!+һ\=U/VOQ=L:~\)-il"n-a Iv~!F]b>",m2WlCmjvNnkvc vimtVw6{̊Q3$A Y忼ڳ7?r*d<ؾŘ8?=ЗE94wlXlzlV+Fn[6"-<*Wܧ5aօbA&g2[O:us)]1.{NCK2-ɰn*=C4A|B֚nGZA*mѨQyQLjR;UӺ>Dza ?Wt\͆5]=(YD}aC(NTrejmKKSc,䘝#=X Gtu0۩Gn_{NRpmQ]HzQzzZ7^:ƺeݹ˥ΛSyn} ]~ >ݹYk yKs/k"_ GV^KoUMNys%g3se)kK&ZE/Lrр^w|1T/Jik;܍Ur_$nhB)sw C7:?kDL]}"lvGIsmܟfohNXʤ NNᗷ};(OFx {W~QAkQo*ؙ 5<~y>ާW(%YE&\:ʭ}|ow`Z~_2t+I'fнA\-O>q8ve? >$t0耝l2j/up\,چ^L!NI&u@A%CD XH PB`?_!7 )3 z!et C̗-Ә U}m= aǺJwMFp"i(+ױWJr8z/w<bdE$!vvt5UBd:Iঅ84a '-l`v~BO`+:cI'9#Ҏ5Ak:Y:)j#!]#mi;7 vnBY&_g-2_[R h,9XŪ-O#|hM2տS9_\gM \o 8f~?KWR g[ꀧ)L@Ĺ) 9~/ta]٥<3 N3[@ ֓%m=t<2!owk=’<u-y)Wh6q xXtY֩t>@3< m]ɒQw?*pniKemIe#m] ],6 Wms)b;foXYe1$]alBZ|{aؕ[еUћ ` n0*Lc;7, 5~+pYE<8ѵQTl:ij Y@=oò>e#3]|^A_>1Ւu^-.M^< 70z`!2~pnh+:Fl%>r*P1Js:o2(4"^W}UX~K̑k43 >){5 endstream endobj 9239 0 obj << /Annots 9241 0 R /BleedBox [0 0 612 792] /Contents [9257 0 R 9253 0 R 9254 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37373 9255 0 R >> >> /Type /Page >> endobj 9240 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9241 0 obj [9240 0 R 9242 0 R 9243 0 R 9244 0 R 9245 0 R 9246 0 R 9247 0 R 9248 0 R 9249 0 R 9250 0 R 9251 0 R 9252 0 R 9256 0 R] endobj 9242 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 347.7423 195.1267 358.7423] /Subtype /Link /Type /Annot >> endobj 9243 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 331.5423 180.2547 342.5423] /Subtype /Link /Type /Annot >> endobj 9244 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 315.3423 179.0062 326.3423] /Subtype /Link /Type /Annot >> endobj 9245 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [104.1732 299.1423 209.6797 310.1423] /Subtype /Link /Type /Annot >> endobj 9246 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [104.1732 282.9423 177.4827 293.9423] /Subtype /Link /Type /Annot >> endobj 9247 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 266.7422 190.3747 277.7422] /Subtype /Link /Type /Annot >> endobj 9248 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 250.5422 184.7812 261.5422] /Subtype /Link /Type /Annot >> endobj 9249 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [104.1732 234.3422 179.1767 245.3422] /Subtype /Link /Type /Annot >> endobj 9250 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [104.1732 218.1422 177.3012 229.1422] /Subtype /Link /Type /Annot >> endobj 9251 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 201.9422 177.7632 212.9422] /Subtype /Link /Type /Annot >> endobj 9252 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 185.7422 180.9312 196.7422] /Subtype /Link /Type /Annot >> endobj 9253 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9254 0 obj << /Length 19 >> stream q /Iabc37373 Do Q endstream endobj 9255 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37374 20690 0 R /Gabc37375 20697 0 R >> /Font << /Fabc37376 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nMЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛK` endstream endobj 9256 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=783) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9257 0 obj << /Filter /FlateDecode /Length 2886 >> stream xZI$ǯy e $ t|k(aS{2&YUndG(Bzz(CYe/:EgVŠGrzRzez.IO&5]r9'c3ho@v)a1%90Nd-5lL-;,0Bo4]+-$nqj匰fEa/mڕn$W'_TT I|>F!*$vNg ?clLq5N6md<TB]wK''7WǸ h'/IDrJ7X_/Oet %^0\б$.]}E}eP#̌ . @1'`\0vuhfxoXE&cgAB=G14<*rcDæ6YyDM\MPBRo5E5W'U@fX[Nȅۮ!hssBe?8 `n9eg5l.\* ~tƃW%qx!,=—R!/C|hO!GQf] pݜ@m~̱#`S3 غ d:58fHi; d9UrgD(; -ӏ'!k3B_LtVb3z0_1puT2`sq`sllt13֏ԫc!5tUjqxCwr_]*QZ Bq\FsO!YzNMRܝZꔜY=2f(J S ֵil+g*slK晔)#y'8M$3j%ۮǑʏm܍Y*8t4j5ʛ/S͠Lvv=%3;d sܑB|g"pciR,}B<ӯRZ qV& ܕ, v m+>}(l;[F>{xg-oV=6n#,uio9TIO9lsiڕ-Oj͜rz\斟ǞzxiUhz#Ar*e={&XZ&C>r2Aɚ9MdYw@4!BR*7lBw>k5(/Z^]3">:?>0*Ge1/H^\+4k vBѩ]6:&+v)YO2XiXԙ>&j'\_TZDyyVivz2H!v :&6Ofm`]Ηל9O/['Yf}ySm.-vw4[6 =U|<18i,zTRe m\C /Zc,g>,D9DN}_&r !3R21 ZY&'rbs=d#<[V5T`UجΏLzn] RX4~0S- .^qxV#5&x&;5A(N3@F* !HŸf/n{,PE%W_ⰷwn {Hx?nxfkW?@YyH^ H7hdQ"W>C3d=(z[ICMXn]XUȒ3h7~_'~YNKY2h 8A6&'Ƃ/x9 ۳( `/ǢFڜ{}c hx* MihY7o2JХ8ۘb<-|lYt' endstream endobj 9258 0 obj << /Annots 9260 0 R /BleedBox [0 0 612 792] /Contents [9267 0 R 9263 0 R 9264 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37392 9265 0 R >> >> /Type /Page >> endobj 9259 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9260 0 obj [9259 0 R 9261 0 R 9262 0 R 9266 0 R] endobj 9261 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 9262 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 9263 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9264 0 obj << /Length 19 >> stream q /Iabc37392 Do Q endstream endobj 9265 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37393 20690 0 R /Gabc37394 20697 0 R >> /Font << /Fabc37395 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛQ endstream endobj 9266 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=784) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9267 0 obj << /Filter /FlateDecode /Length 4304 >> stream x\Ko6WEwt& `OMxe֋/In{LlU,V}U,REO_'3iw˒y*uf ~J4u4}5ӧW7}z~}?=N.itiU>8}hp;}U_ ?Nz9#=kgWkr4O?(;Gh?OY:n2t\9N!ϋEDꎏr:ⵎʱxyT\aAKUxaLAh_0쬓/TXߨCH7^1sn/ؽϜyZd`")  #}2{yŬֳ!/D_&ͼLXqP $}NAtt!]jc_DO&GBwVqN Dž7<?/ urn%uF&,3 &]cX3묵"l4}.:m/?eI}Q;'9HvWp"4༞)ٚF/V#V@(! U u188(ۭLyGyyřR %Q!#T^n-1*Fli5/j& ; ZE5iNCZl{ u]({>>dz>^PIG AApy@`g(3!/^{~aUAQ<;v_\I6hA-R5렇3 upAA{dxYd`Ǭsˡ}\,zcb/`vX!:'\DCT dACTLAx\xZ&P}?UG*ڤְ\[,-IKw,[EV\bH:gjL ŐkPJ훆XFD ׭\GK qɂMnĖwUzbNNUURa&޸6p^^:AY` ڡS{}VC'iY1[E{J_rq0P"2Й]u"-sYp#'Ǯ00^]|ZWXW:j2 הWnb[::V[Qu!:v^ua@vrz;"?_ju/{QGt7+QݨEɁ*nzW[7:Z7z_`}CM%у :8#a(us(ma ҇~aC3unC1㮺0wqqmsqyr;>%@@n;z?T`;JTеjjĐashZQ^Gq{31G%{ inr n(>n|-m7#v]EH52K=9]@>eN}J6;'kK}2 a ђ;Qv?q'Fο/I/*.#9dS31dyoJ?.7uݙ|,4'TJƉ$H K5J %wtYx+L`r :q v٤@ UCMʒӈBkg+E61& VήB"tGU4P8G giC`3`AZ(~l;rb\XVἒQΚ-' #[!%pʀc% |eE"SGDx_f/yS Y,ZPuX/r/ `3sB#,175VlhnGʞ %2RW-lsYmRprBv 2ֳ3~yB}$n1Oĵ=ȣ-PGBj]^W[/Ak,~0u3%9sc]ѬV6k q^xq]J⽬xN}uteEx X6NlgfUewʅY;+sv+ÕUa^plJX ՜ _k>i:oG}3q73L֩[#wɻ5]:]b]< <Upj DiqQ1N;:+q%"~ty&6`Y6ۧvThxF<$ڸ͢iYr (w24dCuż)1@3bMMu&j5Jg0GcX@ca!teFCvw(:MB!t#(0RN,0e뜫-ݜ՚ s+nJsJ p_pOӃ۞($!~@;Zʵ1=-PL߶R>D"qMz]s0]7'˴yeZ&Lz;}.:*͘#A~a8 qIL7q K?>EiuMwQƠZf}M8iÏ5V#\7>Z!oES-pЉ:́s߷gj55eC3&~3]< Z^)xrІ:ua- }NKv,|OnLTBxn0-=5Ѿ$|9gwv<2TX39E§@=Z@Noô"i0 +h{Gh/⤍>^Na8v\|_ ,;LwZ_'HyVdX$P?{ ݾ>*[‘*5 U@ުsz^&޶󘦞ebT穞۟Nb@}Yan endstream endobj 9268 0 obj << /Annots [9269 0 R 9270 0 R 9271 0 R 9275 0 R] /BleedBox [0 0 612 792] /Contents [9276 0 R 9272 0 R 9273 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37411 9274 0 R >> >> /Type /Page >> endobj 9269 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [205.8685 524.3769 368.4265 535.3769] /Subtype /Link /Type /Annot >> endobj 9270 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 475.4269 396.03 485.4269] /Subtype /Link /Type /Annot >> endobj 9271 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9272 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9273 0 obj << /Length 19 >> stream q /Iabc37411 Do Q endstream endobj 9274 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37412 20690 0 R /Gabc37413 20697 0 R >> /Font << /Fabc37414 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9276 0 obj << /Filter /FlateDecode /Length 5761 >> stream x<Ɏ;r<P PhܞGOyo0 X> YKK,TL& \kU' I_Z]Kc|YaW/GRUI}0f}J}>~㷂OYcVIZD|X,aU*o0EoTHІ{7h?a S WFh =a.` Ae{&^$˪<./KL]JyCI,tV/+LlN$Yjh 4  ͅ/kp|!A_K[M"IR^x>]6@$\HS8/<#4t.h`yaJEЅ8}߅ GN`~A@8A"zUAZDnK^vi"629nSmRv/f .}. ^3&c߷er7;In`[1=^i2_fFuI$3k7'޵P$Q J(o>d+lsޑ-qg+BFT0-ō!RE,@nhdrΒ3CV)"~Ӏ#| ; ?waLh e?@=,*u LdT\c,a߰N%tRU]0 ||(Zf͓d{#_vWmH]+X+)&*yѥ w0aK[ `2 jEԺQ#[\#9VLmu>z_#6\ Heϰ/{Yd Yd!Vy@Hp[l"{6RMW~Iu5+0ffȻl-O}V`Ly-\TXlLn[ow7]Af0騛@oYd^.Vk1&e˷'`4LS65tSeZE/df`hF^FV֎TLk8@V6vxٷ/}RȨۙu3ڎ׀pm#LFD y`DP&r^zg,b9kks[q+<<)-?CJ[$Yw IKy %deԤЁb\5BfHU(j-hZz|Ьl3G 7Jujc"|'v`6Woŷ>Q1~[Ylvk7cmBl|!=٥Fv x`I VZ6 g#Eu}QY/v}`bS1_I JV kJm ysxE:}0kbrƞy9ӯU(rh([2$}Vx,&Tz@Nݢd^CVW#(TYt7Њ!4:h]RtVJS VJ(L+0-"ـsG hN qOk#e xMBƬ[aEqoyZdk靯C-Ν {ڐBO zo8L)BDȻ2+KoY*`%?(31aL'J( Kw34t8a=lQ{WEeϟ,x69_m͏^7ERLӓ6{r6OM U{dl6m^E(@ܬVtڍB|9`k.;(9N@&==}yiYxa}GOz}A^!5 5P~T0[MU#'KVD=" Ѻ낐.:93~ fmn6 QlbYݨ!V(F-a!&{nzٮDǶ>aruĮ8f76 kD7Ym 1%,`8 Mb+*e+ hQ8Nx Ub 9 XcqMx,] =`;٦ GzwCn;M.KZQ c)CuѠNBk4JptFOLя=B s1i`֣BeS\Be)%@LZHltod 30tkϵH"05Jru}ӭF\^)EZE`)僰>DigDyPt[$6kO$ -[w)M&4 HZ><>XJ8$,k08 = b6/%@j=-rw NX bTHj}8a&sy"߰SS hl4P( yKLbK7J RRǕKU)>@@i,Ћ&["١T}7䩅 fxLsVisPE=Yg0CYvH$9ﻚ /8L:Ta[-%0KҠd&wo.(Jm*Zꋚi65t\-L:=9dt@v{o ׆,ewt[TUsNs?nGAU/"KB/G>vaKlgf!w*BYj2*}P+i1_I;ڑ:Yb?cudfF82͓ bNͱWX۰͎N@QBhlf]i"#.",sݬ Dkz=;i &9Cv.t;|Ҷ' n}QiAv֙JSN+gaYyƏ`^+QIDqnJnW5XMC݁5gJ5#7^3;GZknI0UR IO|ko͂_uuȨp-åny[򨷵>m-y[򐷵>m-Ox[򄷵>m-y[rROZ ͱ;7!}_`5ch(\E 6ע/A5jd&p\ uϒu ¨LyT:~<ž2־tk ЀqJad $)oUC_:&wv[4U؎ݎo<#M tfoVcʇwvtBz[V[},{D~Y>wP %5{o<ṧ[@Ͷ%,m Dc&ܣ]'P &iFc`*Yc~ RxMjp&7-<`hE^e=u}<-nE[Y!"r@:-APAvFs , *5o)3X.9}.'Ԃx;e2'%(ٞ-ʽw%[ 11CJ|&aH6q`)Ui&B}*U*>FrԶ8%"rcٸ:DJ:&ݥY ~~ʹc H\U;!pwrNa8FYiڡn]hQ{ᝫ)m\ Cx-o1z&)`ĘlްG${U8:]7FjpUJ8<[mQ`_JaN<8~Q[S ׼f=kK80c}P95 [<=kgߨ3Maxʀ;(W u%C#v ,5u5AmL9Tt)KQ %{us82|5La0-y?00j1+^ O+c quAȸ\mn쮲mPLmmV$[tgFmu`x?kIߝ=-~13HAQJNX1Դ%f^g2-;}o>*[ۻm6gu$ &ә>6' m##ƹ0X^ kؔOnГPגMѡ3{3ȭz| kx_1,E@3u%YJUwcy$ǻSl$pv=!W68ٌp1Q™@!$#`JƁ2yKG{tOn,зSI'y//aWj L@gLP-60 tpԺ'܁Q N,DǃwCl!_|~_?|%;ؗX&B LAs ,K"'ϱ2 _EM#rΏ^P6NN&I u65[J*LFSInZ<WSQ15,O r†La%F[iP HNkmйht;s$.I> YzAZУR7Gң#zg-xŅϼ%XE_/^S׼_SI_KC2HLZ)0MK b<7}ƹ-5lDFim+a0PG\w72o\ƞM5U7JFٌݩ.Gm\N 6=hlһٱBVun.rE1p1?d"˪u~4WJ[z43^]'E{Tn䬃I<[T;:(+z+嗏+| |_S_["ۊqxC z9aں7'cUt 8Qu~g? Aqoy8 y0~)9K˵ъXy¼٥}ؾa  endstream endobj 9277 0 obj << /Annots 9279 0 R /BleedBox [0 0 612 792] /Contents [9288 0 R 9284 0 R 9285 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37430 9286 0 R >> >> /Type /Page >> endobj 9278 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9279 0 obj [9278 0 R 9280 0 R 9281 0 R 9282 0 R 9283 0 R 9287 0 R] endobj 9280 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 397.7461 195.1267 408.7461] /Subtype /Link /Type /Annot >> endobj 9281 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 377.5461 192.6352 388.5461] /Subtype /Link /Type /Annot >> endobj 9282 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_softmc) /M (D:20210608081201-08'00') /Rect [104.1732 357.3461 195.6052 368.3461] /Subtype /Link /Type /Annot >> endobj 9283 0 obj << /A << /D (unique_297) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_softmc) /M (D:20210608081201-08'00') /Rect [104.1732 337.1461 191.6672 348.1461] /Subtype /Link /Type /Annot >> endobj 9284 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9285 0 obj << /Length 19 >> stream q /Iabc37430 Do Q endstream endobj 9286 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37431 20690 0 R /Gabc37432 20697 0 R >> /Font << /Fabc37433 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -C |뻒0Nˠaz ^ Q>6W^vqW$MZ\1h!9wr ģ$V-l!`aL4ECIDE+%̕')gƵa(RɐىP|}yԬ9*{RvaT(P;b)G?+QO T>JGܱYI ^v4Lm)1m:ɓ#-Idj)\Όl iVR`^d d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2_J94CZ_h|=B/}$h endstream endobj 9287 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=786) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9288 0 obj << /Filter /FlateDecode /Length 2650 >> stream xZKoW@w~!7rXI7?^l=-Yb=WV+W_6gu X2۟Yfϟ^Vcf&/'cS1VZ]1kM^sƆ3eYeuk\ǹAmk]]_WO[\ X (%.C´.H <TffMf! &ckYAE=eQ#h~M;_1 M-7CHnE9:5Z~$pH]׋\8EdpI%9t/WjN P┞Aplv"Ї|,6pж\, zH%xjD6%@ g+R/pJ1[[a'Y`)6~D?o>>Z$vnwւ62X-ؔx$/WVT9\_JCgaKk8qdP6#qi0}nn-s3-Q/~C$Q Xh;) Ǒе3фM1`f7 ^v+q3F &^qSO%էiH^X1dI- @z$s1joeaf.KmvYm:x}5Wh9j9/5^;k|(7>lk)kT!+݋Bmvv NݝY7/9JXKx&aزHVڀ endstream endobj 9289 0 obj << /Annots 9291 0 R /BleedBox [0 0 612 792] /Contents [9297 0 R 9293 0 R 9294 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37449 9295 0 R >> >> /Type /Page >> endobj 9290 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9291 0 obj [9290 0 R 9292 0 R 9296 0 R] endobj 9292 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.525 137.278 383.525] /Subtype /Link /Type /Annot >> endobj 9293 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9294 0 obj << /Length 19 >> stream q /Iabc37449 Do Q endstream endobj 9295 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37450 20690 0 R /Gabc37451 20697 0 R >> /Font << /Fabc37452 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9297 0 obj << /Filter /FlateDecode /Length 3788 >> stream x[Ko7W9 H 7g ؓ"/^|uH#iuU,V},Yb NJ._gl0KӿO.~f5err Iƶ҇;% O TBcAYK"jg\5%ן]+4+/Yvn1\XKI-I*@tDx;!;\0_N(P)bnuwvuKAhHb0ԊLPYɯ3`e}[+zdZ"j5hd->|E럋fM M\k*_r׳ 26Ʈ>À@ ry%zߗ֏0(.a{mD)2Jέ>R5(s Ewϫ^hsx 8(k}dwೝ {:OT`[+ &6" Y5! NPCwpD`:]K4; c䶩reX#/w 'ٽ6~-½ ϧW@5zl͇g sicZ,^g`6t r;Cfv2;a,e{   )RM2zVq%A]Ѳ h9!͓BW.`0beL7Dh;miEU>-7+,幮OR^-X>dy5loPo,;מf!ح\i!HNR>OE坨 +ި/Y (ñ,;ʬC+|vY?UBJAК[{*?6.lp@&2Xղl2P`./u/:9y+)Of h{by#āwBNK~W4&.g:`y^?{~1pU8 _KXBv,[݈4]Bc­j8(4 Pݴ 600*pXB2`Ո^&Ё1 Ɂ;2Cm$ @쟃yc]x̺c\YvON9Z[ sp(.р>LiwG'MOyHx4|M;%7_=^,Qc|qG}%>3r3(R!)2G1 F .qke rƴEƤ䴾v OW[Vz2<]zuA;7161+̝Y^# uy =0\ 6 -b7jH c~0€B Z Lwo l5@b K$Ƌ'lFDN!PҀvJ"}C V"^4&A'$潀U]1|cub6f@$VюƋ*֔nCCt=tQ%@L۰Ad*xf(Y9 Bϝ\בAWwvby !?nF҄X8&6S sR@p^Uz!wC/㱈TXJD:nk@3f+ /u[\U3-:٨xZ<űa¹/ ڊ*aYyE/.,7( 0bv-Ƿ o9ԬSE>X-SGԙihC8^02ZfTIp^&+@m> +0!d˄^kg/[ؓu&'Ih;D}j)2ȟ 2nƕ8PM˃0f隣뵵lYٺjbNjC1w 2/#&#.<Ѡ΄U1&.5?iD(SG2bYPz]vFgw axp"4Gņ [ l0/2xM`ѪO޹vZt^gי*>}>O@e&P YUx-|趛<\9_n|$>1/<U3e-Wi;=Uizp)H/] ZS_c1t8-ׄj t,kk+I emC#zCu&C[f˴yffDn3}.&*}2Gz]}b8Lq äY, PXu~}4}ԳL<5s:!)u4@?uŒ۰Ѓ, [z/7XLti/d8!b$tMUYT0`џ* *]- h |?W ͪoEr+%{|%'NRYg#S} I`SAƚz#yv UJ3%>z X!H)|%)Dܒv#$ϽKD3A YKdHXK1ROY/&,X(qN<7HqA2d;A2 jMfzPT10g=y}Tاn<\ _ p5Ω2;$z㈸^ɎĘr3]!oUL[/'!*25^^K§~Bz"uϲ*er0íԍơ LjE#ϴ=k.gx ix?S$y˝T /Yb Hdy8ɋ xSogYp~;Uߪs*?YJ.U:'li>5 V=_ X8Z endstream endobj 9298 0 obj << /Annots [9299 0 R 9303 0 R] /BleedBox [0 0 612 792] /Contents [9304 0 R 9300 0 R 9301 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37468 9302 0 R >> >> /Type /Page >> endobj 9299 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9300 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9301 0 obj << /Length 19 >> stream q /Iabc37468 Do Q endstream endobj 9302 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37469 20690 0 R /Gabc37470 20697 0 R >> /Font << /Fabc37471 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9304 0 obj << /Filter /FlateDecode /Length 4873 >> stream xˎ_~#id7 40fx/ԫ(Fk-MvuuL𒊝^LLO`_SOӏg73}܇8k z:L54O^t:Oֶ?sl?Opz s&/wqs>icV@W}/0I;[w8 qNaǞqri*{7ga?Z; LeŨs(JXc\M@ OaNK'rƟp /IWD83(\゜.h= n0WI#hց1{ҳs I^~|E| O7?н2Vj퉱jOaQ*Y[u 7I_Wl+>D%)M(ϑF ;ѮZ7'& SQ΅hZx>Ok弳a6ł-8E{!݀D%i{=;ZT/zDOnƻ5G]S_ZL,&hz|RNz.>Do=5(4a_=}aBH4 ]$t\Je*v)`ٗ4Wh=;KPcP̿7<R;v^Gug&ƵE~bhYw/ <(';GѭsO@=#m@N<ʎv蹍Nb]ʳ3pہ%aP}w[3M \b>n/cm % ^:A+k:M Nխm2Ȉ=IL_f3ضzT KO޼f@\y(fȸgvC{%,kO܄.!Kn vFlz&"̾(:k q^S+ 9% p P7YLA.ɟ GC"h! ;F'<rhyq fg-t.Y۠p$@3GExN,nD,,ZBqF 4.젰6y4 `,Ën!չh"&W4!j^ EP*9O^VI~@Ld}:56hB;9 oz+v) ];tw5va֙Ή$os@ xier@{0K;(JD"g RF=L<4Scύ p@8"]`TXi2#Mx?V =l` %. ŀM0+:>n,y^ SEG|/3X((_HbY +Jta-3tZ~TC#2s ufKA  o=`T}?e*KZ-8YGR@%AJvx MPx!2;bpJ } )x^3eFF.ס3pԝ)"ʃEziQ$q%2>aҡWpwH0 ,G!%T wDQEaEB96:՞7KKȐy CiBi(lǒƉZ>zÈ8#y{47 {gjÈD<7[Zp@G H#c(TF 쎷])y/cж "0Eq@r xc{ FAEɴ(m:m8{ }6tύr#YS&đ?}e`=1]/3ck0Ӱbi>\;8- ha2ѸYb$iAAf9Li~A'rBct--6!Up@r`Brha2L:5Hۈ.z qV>8;Yok y2y鹐䀒jAINޛ X]IEIsG1ΈZ3ʹUniax|tږHȄ9VT;rճ-*^t-Җ<=tgƙ=^ tC bLK1 :sOZ~|IiJt-)?o_:&h!cɣ*4&v:nLe uCYA^ng~Wm̐땒[=-2kwDnSlll 6v0PTmEY=gcTa m7q8QztK#hq8|Ftմ-[n8/['N;sZoC rdwzW>ZcPldLB>\pe 9~!4xUlc᭸dsv&mۍv<4%=)r5hQʆͮ66{6Gd64%T\dNJȧuC? ZyUmE֖@x3W_p05I9jLJ<ޱCk?`Y>9 sxI9Fğk;pA(H:FCv][yKJ}Pu].=e7[uĐXf?WR.(1F(k Ňx )1D/Xl!uށٝK/ x DG~#uaVZli~Z,LJŅ^T2|/bd$-jܫoI؛uUl~QϥM.[ t*m+1tOF Iwg d / \$P[reI@V!!.k1{B6_(u/5cGIU[L1¤s1YS+\c^HNWݜoUpo}PhΘr᤾|FfD5bT́(xxi;{ea㶷wQYɅZsq4=~9|? GBCM.ZJx7 IvښoۖZ%+T7#_8pOʌqB`I[QU',cuVǬ5TV:PV0\M&e) TŹ&#՗"n`h^ы!Yn~z]O߀52l˲T9Wnk5W,J|[(rPI1# JL<z*w07vv3Ϲ3ʡ >|{p+qKok oz* y,<BpdO~ $W+> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37487 9319 0 R >> >> /Type /Page >> endobj 9306 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9307 0 obj [9306 0 R 9308 0 R 9309 0 R 9310 0 R 9311 0 R 9312 0 R 9313 0 R 9314 0 R 9315 0 R 9316 0 R 9320 0 R] endobj 9308 0 obj << /A << /D (unique_206) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sysmon) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 201.5122 686.7] /Subtype /Link /Type /Annot >> endobj 9309 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 197.6017 670.5] /Subtype /Link /Type /Annot >> endobj 9310 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 195.1267 654.3] /Subtype /Link /Type /Annot >> endobj 9311 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 180.2547 638.1] /Subtype /Link /Type /Annot >> endobj 9312 0 obj << /A << /D (unique_261) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 185.5512 621.9] /Subtype /Link /Type /Annot >> endobj 9313 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 182.0037 605.7] /Subtype /Link /Type /Annot >> endobj 9314 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sysmon) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 199.3177 589.5] /Subtype /Link /Type /Annot >> endobj 9315 0 obj << /A << /D (unique_314) /S /GoTo >> /Border [0 0 0] /Contents (set_hw_sysmon_reg) /M (D:20210608081201-08'00') /Rect [104.1732 562.3 200.2747 573.3] /Subtype /Link /Type /Annot >> endobj 9316 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 546.1 165.4707 557.1] /Subtype /Link /Type /Annot >> endobj 9317 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9318 0 obj << /Length 19 >> stream q /Iabc37487 Do Q endstream endobj 9319 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37488 20690 0 R /Gabc37489 20697 0 R >> /Font << /Fabc37490 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9321 0 obj << /Filter /FlateDecode /Length 1375 >> stream xڭXKo+'+XW.o);HmnU9y18Mқ3y 2{[)?oǨӧuhڠ55lmT|g|6A{;}b(Zr֧7{`? NPg@)r0[::\2=%ӯ`Mg9Hp3x)! ň4&(є67-Um c6'jmYHBڲʦ)뒧4') 4-[L?#SU7C[b hG+ =>bz9i4 UNG~Z atI2@@Vr|,@X‹HPYk0IWh"εE4 &GLJ` 6{,E:6)L#yJ/=vjk23Ad0UB<#剜u^ub&ziefe|7~rz)Am_(J4 K z<=yK[sK&ta}nJF5y>/ȍ#à6ҁϩeUy7wXv2"k7w7w8FM>A3uwL׆oG/8ݳ1퟿( Xa8k㗄I8GN/ G˓4ɡ/xqu![dP=VB)R{1/USe,$lN7][4dk]Wl] m^嗀w Ɯ/-v-,6S-RrFS@!8X⤺C8h endstream endobj 9322 0 obj << /Annots 9324 0 R /BleedBox [0 0 612 792] /Contents [9331 0 R 9327 0 R 9328 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37506 9329 0 R >> >> /Type /Page >> endobj 9323 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9324 0 obj [9323 0 R 9325 0 R 9326 0 R 9330 0 R] endobj 9325 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 9326 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 9327 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9328 0 obj << /Length 19 >> stream q /Iabc37506 Do Q endstream endobj 9329 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37507 20690 0 R /Gabc37508 20697 0 R >> /Font << /Fabc37509 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HPӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf^ endstream endobj 9330 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=790) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9331 0 obj << /Filter /FlateDecode /Length 4418 >> stream xڽk|Xml+ Ş驟O@3&ZYVaPPLܣVj+;_?(")M Wv@2H[ZEf$t16\_BLfDd/nF%L#C yb-3i,9Хx ZU%7z?V7lDAʃ!2g]<5E\I5N?#ON⿡x79T(v <c,G32##9!ГF2\Vl߂ٷx Dn)JS:疎W AhG'RZJ,qk7$kwOչglC:‚1 aO+ !Ṿ~؟p"a7^DHv&=NoЂVG5&y!CvVʢw&AW #0uhb`0a8+q3H@,Y H)hEGm|x±xnG#p( ܊xX/s < ƪ sR5^ȶSW2|{qRv !–.AuDTDj9ߣ*XUn,>>LUVUludVWEx.͇Wd7msy6W`00F_Ļji1Jlt[i.:f:{{89R0ry3gEY?>rAm溫vĆX5qĦwhB|i('moNJy"l^xNvme« |#Yw\3Gew.>Z&/1S%5fq1/i;t-ɯg wi|0 p+@!y #|G$E3oo}Ywhc5;$l$x+T P'O8a G=LApؑS;ׂVnK2rdTl\j]Z Ks}R@|Uy%$4GWSefLQKlԱieB0Pmd+W-J@I|w)6c=j*ߨJM]Nb蝚I`&= FS} {NHtbdpX>_K!P̸n.C\P]\܁w(E@jx>`8JD0bĐa/ k芵⎶gN.bNCǛG [nq3X(KRMlߤ.9 CtyMfS(ɦkզ\JC) Xa A{b#ghqHF=bZBlENX8'ct 1-4$pېԦ6Imsj vB@5 7w.{.UJZJSjc)3ʑcrRju%pjG6S3e%\1MPݗSlÜ9r :@bYCb#XNقFVNVx &?)!# :zjDbK P@/`9WA[F 1PY1DM*Oɼ $kRO*VL~; j`t#Fv+vŠQg$ٜnx"d+ُKZsЌڃBkΒc τO6-mW(0sSQ 5[1E<{풒Pl>bPW c63)0H;LѦ[(~Ͻ!`^*$̤x[SUBvșjƂ<7Y;xEçY=j$WIa ˍCRE{ KP틥橦-H$8K!7ZU^yvwbc< bO0sM^b`' 7I\GsNЫH&A~xKwpbfxcp0Saǁ^Gmmll-rkSXM 8zBTեAc⣝O1=;R{]c Fd4ʠtujDioxhUf.+X$ƩѐtJKaWT,V E)⺁*zXg<^jhZnhUG̋J`iOX~`cs^7tl=aJ a;3nbIyCQlyJEziހIeæWf2ej~~4?b<,3"f6+Ȕ_cQPX0>%Yكm&)k!7sei0 `#i lF;!c">\Qٽ3ܮ0^*2]1U[j9&;Xr{>X JTu㸷3*`aX5leȷx# @~u@nkܛ +cj[xK G4w3 7OPc渙w95ـW$qkTXܚR 6S0nyꌙ^h݇xO ukkc:e5L ڑ>AgW[wϽ@zLmYMXG *0}r\SAx%.:RVk(m"l2z)RzCw0[f˰ym/HLu~ \, P1Gz]}bu'FOX -eK%- !ίx5j(ةW2pZmM5:D|&ېޡ|+ʧު|}HE T&tR+ }Eu1RM,ruFēׄ?U:UZ>)h}W~]nV<3|W, kdOCQr$Me6׭oRsC`WToѡ}^Sx 'J~H%aaiFDVS{|XE^Qj(uZR!Z>K.jz V24q.|krCeeW7wn7k!-m䣘d.> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37525 9338 0 R >> >> /Type /Page >> endobj 9333 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 277.2347 381.3955 288.2347] /Subtype /Link /Type /Annot >> endobj 9334 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 224.5655 396.03 234.5655] /Subtype /Link /Type /Annot >> endobj 9335 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9336 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9337 0 obj << /Length 19 >> stream q /Iabc37525 Do Q endstream endobj 9338 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37526 20690 0 R /Gabc37527 20697 0 R >> /Font << /Fabc37528 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nMЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9340 0 obj << /Filter /FlateDecode /Length 4648 >> stream x\Ɋ$WYP!H *k6@SK3Bt /}⋹GR5=u-zuիY|[9z&r~_fW6lJYyoNJj,Hq~سR V#{\ xR?܌7g|t.?xM> <>W[ el ӃSIބ؁0s_q t1+ۂ}H$f`2} _bxȿ1q@^i̴ΠǕ|Zo6AfBdax\{R"f ]EK֪ *'b Fi Ot]3)onXG3Hʰ,B'nOb11tqA\וXF&GR9P ǧBk꺍t/7OdӇH?= Y%`*U}4cdۅ=.I+3Go,H-R@Q";,Q3/:j<[%)/c+U,ő}4n q()A%?=*U1Xk2^j4Rl IDbiNaŪkvEbhB-$*jk3w-.ИeAGqN\R $s"]rYA83~@H-RB>|AX~_}- \gf gyne,TRJ·jky$_:z,cV&Ʀ-8Et[]qJF2Ųv'`ҭfA-3Ee ;xSeX oߍ lg"C]e6-CW@zLՎjtc$t" µ-@w*x|8A܄0 H(*6INzO-a?ܵ`^]*ftS  8= g4uʿ&ML(o7N'=kqS-r:pl9G/Һ?𤮥j̲x(0oY[h9X^|(Pe~7^sG` h*;+ؿZP`!kV<?a nCP?q^%%-ٿi5w86OFOBazͪdB#Lvby od9:T< 'Xm25#ׂBt\7+GsTBFb5Cqۣ s|-8>O&:dihf^$!ӾJud$ `K(#D%б&oN{zRp\/{ݣ$1&ZoQ%5z5TLLn0"SVaR ) ^h:.=IC5ێFr~.&a$ golHd Ėk 1]{bE;- V)kJ4;yU-QB{=7қo暞-GJ'%pl .Ig(bhUT~^䴹Y׾fcsMВ{jm\j&MVoFz ;qKen[,s @VsÖcoLțUW?OcItb#_`2g/;w c<1𱳒AZB`L罤o4*#fZvIiudL %*KԡexeJ[ٽ=1Y|rw%[$Cɸ0Z`-wgX{-1hcp[OW0>}"G"P?՝^v{P 1pw DKD$N=ؒ9«Lŀ]݂bܒw \d2",AM㱆^AŇkS$ hܞ)ːz<Ȇ0vW SDhq+*Bj2Ww dvq(ץ ѕIkWAqbS%4%qdC!Z#:7dT6Hdk}A.7`.`͟ RӰvtL\9H0{4/zR±/I^t\he=l:+Ie6%7o[q̙Mx1^]ϚMˊxn(FX[%%E&\* /q+ YfeytOEǹZ1zIWA!ezp s;oFZ$\t3\47,NTNNf>lNk`^t_{Dl.f6+Wve4=)gNR1ĥ~1/wԆ)dUb,9$jOXMl7cn{M !Q2uu]7__kQq)n Vg5`Zc[U[hcE,}(Gv㖃޼=5^zxt7"Ml]Sײ.Eyʌ* :6kx 3uOn|b7u.tO=TX!#Vݧ3b۷:Sq)5FqCJ9qB&ww.> |V5nr`ԝ c8j9eg^dF}xwXrCsdr)N]܂,cmP/-@xXyB_O#xl,b1ɠ|{l0q>Yάn-+q2&U:1az7~[ݖ=,uUC\Duyxd3li;X R ОMcף˃cnH|r9@2~xK ڗ\gj!f\ >T &#'!kq¯^"ZRvo>P6&/nf"[2<62;4􊆛.bnA"{|(S$f`.g*˥uٹ͸L7Y`Ld*SNr~^9QD>`>| [>|}IkD`&_ .It+K xty5WPǀcW(ENt)zΕ Yi<%n`4Me)$`z{8)nvt|XD[Bchr\2 +s@33qvDp W"O۔E4-oxdҋ k% V\W]sM`ŲPG]Yu[rյ?ԗhT+/qe.-՝gȐ=.cɢs endstream endobj 9341 0 obj << /Annots 9343 0 R /BleedBox [0 0 612 792] /Contents [9352 0 R 9348 0 R 9349 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37544 9350 0 R >> >> /Type /Page >> endobj 9342 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9343 0 obj [9342 0 R 9344 0 R 9345 0 R 9346 0 R 9347 0 R 9351 0 R] endobj 9344 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 134.4425 197.6017 145.4425] /Subtype /Link /Type /Annot >> endobj 9345 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 118.2426 195.1267 129.2426] /Subtype /Link /Type /Annot >> endobj 9346 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 102.0426 180.2547 113.0426] /Subtype /Link /Type /Annot >> endobj 9347 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmon_reg) /M (D:20210608081201-08'00') /Rect [104.1732 85.8427 201.1437 96.8427] /Subtype /Link /Type /Annot >> endobj 9348 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9349 0 obj << /Length 19 >> stream q /Iabc37544 Do Q endstream endobj 9350 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37545 20690 0 R /Gabc37546 20697 0 R >> /Font << /Fabc37547 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9352 0 obj << /Filter /FlateDecode /Length 4092 >> stream xɊ$!H *3+|c ӗ}E{D.Ui#T˯Z$;#$|>8D]E,E˷6UJ蕫n}QJm_Owo' /ߖ/>jU |;MF` EyZpuZYW+/qhC$,nّKdQ^L ;Excz.uYUɥWɞU{ A]oTmsNT/!ss0D)cH2[X+,HE=eޤr(]#F{hIJ $t"JxS{lP/(҅#6߅/r;n s9rjH,:. [SYw43ǎz y;"m ?f* bJ"!hJ 0fM5IR cV.0Sd%H1D hk) vhJ;ڍu*a855k۠"(^Y|B#ՆZ4bNt(Kx߸Ay#26uKnlXfc4f( VC{@իF4`1FĪti} |vbO>σ삔p)x1*]^+]|ynT!vj'Ls5>m"(8+. pSY'd 4E1إ[ym̦74e;nW R@)[ޓ^`st\},9Pc9 S>rW}LnBm7iCl|(1ilAOQv57^<52ĉuȀz0脞T8 ,-h0_(Xހk`vN=rK\oQZ*1˺HQJ}NΞ0/0&̢fuzm;W&N5@CPzR ¾VT)XmgkXI) 6zb媢-*XziXQì~ gNo&8qdoH8Sa/j vM c1.~5蛍&TO,?f;wa5cCtj&H%uЄyjY }k Cg"yu~ږ?ŭ5i-AYE dNО?~r|}L~cgE&^|`r4 ]I*}㧏0{su6Rg=~DWS[Ͱ<+~bZЦ'ݫljdV [ƎҳkZ&%`MY1[)j_q̛Oqnu.I?hɒ^ɂh3~"cV7V,lsz±kKA9ٍn[mBGVtqJ|μ;^RԗTĦYV-Rd\Jv*90gV{uuͩRjrcoasc(o9B+wuHl_ᤃ1]ےحu 1 bxJiP*ҢՂc+(J0f⢹٩ Xqa@QyK0c@*u2SOG{ӕү;W,/,јRE&K3nl=`[B#$vrwTw|8pL2YrļZ v oq%Df,OOmoOVЏO,Lg`1XRXYI㚔Swfݽ&[G^(cK9;OGui.\(IMx֪9}2!R)m xpGITء,n?ӽR݅Gs=BoEV_ι/_cC/-?]ZBL@ݬy-AG*,M(^oip$bj8nR8:FICI+K+ۣ ȇOyz1惩[U_w0xn]3囀6c Mrw˻Gi!I)MtIʦ5sqwNJ^##&$e+֋&ax2 p]:C@ L/4xQgRu*Ti0QɈN C|2zh0qm |}e9Í9nTJE8{Զhҽ/nR2(Lѝn? ћz(=_sYi\ X>t7otp*M4sRzN G;~`@`FO.?!u \Jώ_\Cs>-M  8Ɂ>*]s|_;e+ 3Toj<< eh_xE@Fly'`1&0<)Qx(q(!ds5ci4WWR )`"i?x`:aBG!xh=’ϥuml ٭ba]jau)tg]2ah2]7d ZFTnwK7+vq^D$*kL&vaVƤd%9ȝa>,gɊCQ ^=dҘku WՅ9gRQSE`Ps(ʝrmDuց(1X/9<+4<8Gq4HW* Icz&3tQ4wW <]{#OW%xW &1}01W`q%jR-Ƭiu]߽s&ؙu'f+jR7?sn2V_Jʴ!|I8vmk,EYEH"D}`s?a endstream endobj 9353 0 obj << /Annots 9355 0 R /BleedBox [0 0 612 792] /Contents [9363 0 R 9359 0 R 9360 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37563 9361 0 R >> >> /Type /Page >> endobj 9354 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9355 0 obj [9354 0 R 9356 0 R 9357 0 R 9358 0 R 9362 0 R] endobj 9356 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 182.0037 709.9] /Subtype /Link /Type /Annot >> endobj 9357 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 205.2137 693.7] /Subtype /Link /Type /Annot >> endobj 9358 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 165.4707 677.5] /Subtype /Link /Type /Annot >> endobj 9359 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9360 0 obj << /Length 19 >> stream q /Iabc37563 Do Q endstream endobj 9361 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37564 20690 0 R /Gabc37565 20697 0 R >> /Font << /Fabc37566 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H֤ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Q endstream endobj 9362 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=793) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9363 0 obj << /Filter /FlateDecode /Length 1217 >> stream xڭWMo6W\@H؉0CSlQ8 $oD9b7( r~V~81lyUkkygCu1%F}~ّ {}}6;gH;D6p{x+\DǸז^okcK_K38تLԏ0 HhU̲50z蓰Qd"doi97Vs Nmb\>4g9!Sb ҡkMv$?7IDEk# `S(NOLm+02n1&Ey&I1JT23́cPp$uA[}8xB{֏2cD}bf:]&CPz\4|C^]ן _h~ρPG/Н:ۘ!F~0<|#փTE/5ȳ8Ykb_+x*6lV0 {ɮbWw|EEio`Ag&gBneC5_467pQ %G1CfSk~q̐U+)v軨uf#+'8*7]YQ`He˶N8sRնRQjkƭ;I%O\^MKj-z39[wKEA@ jVbnAkHB$ηO5^U-eSGd[j?DSQ S[JEj{U4i%٦Ze$RzYCA`,6b:4Ds[,uYj^Ed"QZL.r /Qi#ݭdZ#We[sWH^+DW%JFO@w 8a adѧANp4\}Õ蚓[`r'\=Nx;^>X $+mS<[$l _A\}_(=s`^q_)n\dU(r:SCY>H endstream endobj 9364 0 obj << /Annots 9366 0 R /BleedBox [0 0 612 792] /Contents [9373 0 R 9369 0 R 9370 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37582 9371 0 R >> >> /Type /Page >> endobj 9365 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9366 0 obj [9365 0 R 9367 0 R 9368 0 R 9372 0 R] endobj 9367 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 310.725 137.278 321.725] /Subtype /Link /Type /Annot >> endobj 9368 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 310.725 175.096 321.725] /Subtype /Link /Type /Annot >> endobj 9369 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9370 0 obj << /Length 19 >> stream q /Iabc37582 Do Q endstream endobj 9371 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37583 20690 0 R /Gabc37584 20697 0 R >> /Font << /Fabc37585 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vM%C;f٩c8I ko ZHj;Jx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] Qoc&sbG _;>7!& gV#ج$VwRu&[)1:}Iw9F&[:YɭUrZ ip.3jUOFx|1$ɾ l_ EA 2T^2 'LsBV9gP|uɨ\T#ERԋ`nOLH9.ڝAp94BZ|;B}Xf endstream endobj 9372 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=794) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9373 0 obj << /Filter /FlateDecode /Length 4341 >> stream xˎί賁M6 ,c7rrc6t離X$=|nb$;}̤i緩֙))iOL~]Mަ??M-׷k롽ou]C1}ezlp;}S4 q ,LY uX&3RYk),sҡH>M4yx>y#SIJ#ΥC?$R zKzpzRyi /S:h@e:oP -r Ofϋѧf2i²ӷNT)iz$IlgO& p ar6H ȝNi,9ܐMs;}}_@ G y(7"ƚY/aBP}kЃ}O']2U~AI >PP'.՘b4y=wHSr69P=l#nUE> ℍ}(2H-Q䁉8Ax9/@"CfE h,|Vȓ -ڦէߊ:ҬKF_7veo\7[;qSk 5yZ? CaScRz^3^%ȃ@ajs:DIMKjBZ [kGV@3(D@_ha s4x9:Y)DTe.y&601n15:hxkSؔ{}`VC8EncixN=V;mE)7{9(B5PknK~ CcMzk@cFZ[/]яYqf 2{X<,s2oMI[.aA?X UhSWj&,uBo È(HH3/ݖٖuA,̄lwZT &,~c+-΃.Aa waK3U=9B;+yf^g~> [8Suicz-t}if{{(v)zcѷ^TJ"DK-TбAxӈqI v͢l22_jy%qxE&0K\x4,wcŴgnmӳV,n3iȤn]ZcjrВEE~gSOG]xf7<8/ :`3 l/ΘyHzu=Cveg 5vœcv(LSL X9:9@cb]hI+ tx3 Jё(r3:M"lbً&/<[`YB/ӿDfMVbA@a ;Taxs(eNߍb(YX%Fub8Qc0ƂzkȚɚqcIM`W̽{%JVlCYdeɥ^Tmb+Yuυ'G OlH&:&e̕ķ_̺s.b㘕: +awYLZz,#gb^ 4fE+ߏ{+vW5o|0^ &]ܹR3 !:e"`  }'ay#X~^ۣ~.-O˓<*#.3.02Ov.[w aǺxplX/MB k7rPqƾ.І6[Tts볟s=æ{ֱt˦DJE l/ endstream endobj 9374 0 obj << /Annots [9375 0 R 9376 0 R 9377 0 R 9381 0 R] /BleedBox [0 0 612 792] /Contents [9382 0 R 9378 0 R 9379 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37601 9380 0 R >> >> /Type /Page >> endobj 9375 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 466.827 381.3955 477.827] /Subtype /Link /Type /Annot >> endobj 9376 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 414.1578 396.03 424.1578] /Subtype /Link /Type /Annot >> endobj 9377 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9379 0 obj << /Length 19 >> stream q /Iabc37601 Do Q endstream endobj 9380 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37602 20690 0 R /Gabc37603 20697 0 R >> /Font << /Fabc37604 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9382 0 obj << /Filter /FlateDecode /Length 4274 >> stream xɎ9@I A0/¥HI=cIlD/TϿzV ߾垞[6pOm RpW-^'{WoJT n8޿\/|l1?OF {~0(| 0]tmE 68nҒy&~3F>2kqKCxx }Ӱ8~%+?#NW&TIWHvyBG0=<<+$Lo'V"{*7xQˢ+ |{!T ,!" ?i̶l%^~,'ޣOf]B0$z^{ uha>[5bTjt?,Oc'tŜ= zEӮ^ϙ fJi fWjui@[NߓKyn" -P֌(#!nVqL`6? VvL{ÌBhK m;w/ ӓ<VTMj+Z>R!pK /,{&7? R [S#( 6/(УbÿĨnsHd "+0"\xd!b`GSn" (iKs얳n..ˢEywbVa`vIQ:[{ qNz4.F=3:`SE w\͚Ǚ3o@S1Ch` ֘Egt[`&X ^WC IV"&EWg*W͗p]p};-ShE5_ 6L!5vVvYQ~d*l"vg.pJ_ftX ceH91c(~S*c5c2<{EwHeMZHZ0HlJl)*e[߂f/m(/jvNvs(ŽF){B&+Y'.R9.ЕSܦ?(렜SVlUL E7mݎNFea~0$qa0IPS屏F !FO [͏k4PP \΍Pv+IG&D5tdڔr㌉,qMCEM}k/YX?f>$6f}u]qfA1qx9`뤋o!T1olk WMxԩ]cv@EV#3VEY:kj%*wP2NjN'ittOݐ@kq>20HL6S9T\ Rm@c [X *bUۭ2 ie6RK kK 1Y J.JDa ͏B+K۴hD{-9F&b5'Sa<8`651{LvqVQ~$6<|,eu0/cՙd+ϨnIU`[AV`e)ލAidN7u#Ȩ1%){Pd7 :QyEm2)XU|;}Zc禱:XK*x\uEXPɞa$Y%{̽S'aڝ )ĵh$Ju.Q#:56IyҺ>TKfm,2FmMFqr+ytV.9?XKB[ktL鄍6wȒy,0"9aJ\DT=t 2qZV$#?g%"= mky7s)22fF `(>?wDw8Q 6MA 8cdX8\JҳaIv|f˖QRBP[>,sv-RkliZxw'33"a9/RNo#c/03 Zy?L^e?A9htivBe!R#Ml̍QdiZ7uM_¦Enjx,AP7XAy]HH`q%'gWioxWwK~WX[~Lm,s}=f,DbN 垇Wh߽ycok>(Ο罜VZyh^ $;jmU,mG߂(˓/l|B/._9!6(eC]PhvQ kg}e?r[q+Ffjf!Q/dj|pol>e卙A|3Xm[6&Mi<~ޙ\XZsɆLX9֟5,փmv:֝.SdRv_ߦCI7U_Sh?iL'I4Nѫd=hίb|iS܍mAC.Y] ;`LTLخŁg@{!}iy܇ Ƃ8"fp+@%% ru ;0i0x4"?yӇTgle=O{*ė]J3a5~:;ǰPKK\1$Ӌ/FCm%&s1j"%ͻL^a#.^(MaXš8(eEH{CC7 $MFkQn3 -Wɚ; cio ]sQ݀>u?/|>+|mK㛒%"5E?P\tMoT15y6s >>_w0O3=:nck_V W=saz TbFeszn3^5H 1w.AR%u-X@:>,jA endstream endobj 9383 0 obj << /Annots 9385 0 R /BleedBox [0 0 612 792] /Contents [9395 0 R 9391 0 R 9392 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37620 9393 0 R >> >> /Type /Page >> endobj 9384 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9385 0 obj [9384 0 R 9386 0 R 9387 0 R 9388 0 R 9389 0 R 9390 0 R 9394 0 R] endobj 9386 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 254.5424 197.6017 265.5424] /Subtype /Link /Type /Annot >> endobj 9387 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 238.3424 187.6852 249.3424] /Subtype /Link /Type /Annot >> endobj 9388 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 222.1424 192.6352 233.1424] /Subtype /Link /Type /Annot >> endobj 9389 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 205.9424 179.0062 216.9424] /Subtype /Link /Type /Annot >> endobj 9390 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 189.7423 182.0037 200.7423] /Subtype /Link /Type /Annot >> endobj 9391 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9392 0 obj << /Length 19 >> stream q /Iabc37620 Do Q endstream endobj 9393 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37621 20690 0 R /Gabc37622 20697 0 R >> /Font << /Fabc37623 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ* endstream endobj 9394 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=796) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9395 0 obj << /Filter /FlateDecode /Length 3376 >> stream xڭZIWـ@h%uA99336RkFCMY|-[HUTEkFK^2F}j WtPo_ԯGIy'Ucߙu=g"#΁ktKBN8~RRoc5hQV<; 6dRQ6|Oʶm>)' MǺm]:G~-V1<bɝS؎Os%V ZF^ ӓpy5pmSB%+ &cۊ /OK`&r7snހ_lÀ)/oxl+ To-"-o:w%P9h} @sjdnXd}uryELab3$ eE =SV)n`%w: ,Dix-"|Aָ9DBO ynx&pyMnDi-kx*d L 50=8 Rp8ÐX-1b`ڨ,ISXvM- z&Օ-L5Uֳb:l(V-:h.Q#\Q(%Й=NQJ>ax9ޅ;noEĊrw=L"({! ҿ&͑?㊨mZ|0x>!&Vpۿ/䵔Ji-Yi FG_3=HV-12E(( Gw5HtOO?N[C粜uQu^lX7l/d;&YGPČOa1(g`7i[LFlPc:h;M4GS 䵬a΢!SQ |}1Nj~"u'bDˏ/ :mΎf()G.ے˞g"aZkwU-'n8D>`!<|5䔅9*mP@vDdɸfΔC RM.TM-5Ik v65 a8 `']%5Ku(6폣3Z,b$nWR[qn Cs ;2VgSIp7AOf&;@@$(% d ܅ُ](sk7S~7Q_rTFJvh`%k6 ׬5\zfZFԅؑzTIK1%cy4Q6'k,Aai^eD›5o//g&,K/}hkJa4X6ՀQYž>Ru:QJIjv p?Kg(P'倵I7֗p/i7]yick~u"69 5\&H$(}({p~>}Ewl{_1|ݶ +HE{\\D8x`[(mКOpse[0)}zc6' ih;la 䆅,tߴ=9ㆴ<7a4} 2c7Aȗ\~Ș@d^9  ( OXbN4.r: aakw=[f; N>h5!AF4wc^h' ijʪopŪ?vg/ۃ0 N~FHh! ^V{xάev~g'nZh6X8/ o n{"Mes^BE\1c|mg<waL[YžK`p-{u6k,~V6n<$ɐ@nH8rbZ_`Wk׺>ŎCt{~/h|1z$7Ti4< v,`"JK<팅5ѧIk#JVH,SFA/ʯXp]&lҽ}")3.!g04BAUutIPۜ/ל9O/[0ϳrWmYMZ;=TiQ; >l㚰q iACҧ Ϻ5[q,weQDnwGDp@e"q3?BEWbKY4kN߹21=+ %-#qMtBW2h+%m&ޗ~#ho'*[.Ofhl~gl꯰ejd08cO뚸(C:e2Me'z&U웆Z̊͒tҌd#e]bG<p *E\ݭNt690*^ȶx.0uD-"%YHRэJ>i?ZK`LKB?t"]auΰ}Kö$(+|G.MC[ MÒ{ӛlFd;AT5ѶeBm/0܋]I NK;)/ #%| g/ö&(bTϩ{YjWX!7X;lmGWzjTqUG՜Qc@Y*?YU| <&~֓y4ܿkymҔZo3iH n]s`>k(C b ~IV6Bdy/x=Y+b(5 s:μn8= Y*U,y;}G&/}A,7q_sT숞Ea fёI{ endstream endobj 9396 0 obj << /Annots 9398 0 R /BleedBox [0 0 612 792] /Contents [9405 0 R 9401 0 R 9402 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37639 9403 0 R >> >> /Type /Page >> endobj 9397 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9398 0 obj [9397 0 R 9399 0 R 9400 0 R 9404 0 R] endobj 9399 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 310.725 137.278 321.725] /Subtype /Link /Type /Annot >> endobj 9400 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 310.725 175.096 321.725] /Subtype /Link /Type /Annot >> endobj 9401 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9402 0 obj << /Length 19 >> stream q /Iabc37639 Do Q endstream endobj 9403 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37640 20690 0 R /Gabc37641 20697 0 R >> /Font << /Fabc37642 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9405 0 obj << /Filter /FlateDecode /Length 3970 >> stream xˎίt~vf4|- '9v`/ԃϞv}Q7dX/EoRKvȯo´N& E]ȿ4Z~mj]V~yxRʽ<˝QꛏM?Rr^skwh^?嗟/ы|^SѿA?IJ-jitZ0gRaQJ,}^ ӳ g3HOR1pzZc'n;D,uƊTʌV/æ'B˳ ^L`,WDxkKv^#؟lZ%J, 4 T32rz 0=tTg0JO1 UV`C2DL RA)pu..ZD?@3F#/h%TΜ  p`/^@XwCM6/tmA?$SHq> ?0s 8d^a,!.:~HI` ւf#yUE.- 9FyT͜Y232њ:Mb+ǧ/FtRb͒l `ak:7Op-]Kq\+d"i{}I]foGV g Ӓ DoՁ!,Qz mA l #:tL+$17ejBJm !U+N?CqGpZ((*)Ci\2wFaZ]_e4;DSl\db$h=1F*p57 ncMf9xdDdH'ѐ^/=^azS#;\oG?ĪRscabC/1:{}.5E0 & ,S1.l?>\>ssG&|ŵjr`XJ5ѰaΆ3Wm0s ضQ+EW] 6 vĪa[%6~Q\ Fdݢ'>X'XbŦn^Եo5r>=w0 U!W ZU^B*|!dy`l f Ft΋/F`=}KI6|K$4q_a(MHD}#KH$왲.xⶁj*,z~Mz;r`*pZp0 nt #q*KITyг^-1pK $^W@ԡpy։ X-!D@a9Jb&JlF -Tr-J/E%6y*(IKk}7RljkTŦfO9;5&ǃ'{~1UبoԽQzsN.e:4xpJrU[@.KʸwڵCQY6XYFLº^ |t>q\Zu3ss7bmy֭ ?ŭY`X-Y=p@a 9MVC<+WQVH~7?^굸_z-w]lANć9ChQt"X;{}}g/rkm밄k!aX`(t<8@QD0bĠ\+"kyǝ,k/V620/$J1p>.Ț..P6F};Z$6{-&g{j@#zg~Vpy,>r֫0YZ[hKYl2@;YyǏceFiX5wSpv}!6_{,w$!aSL4@u➾Y#F Ep>Ml7˺䬄ZkuS9,QϜeNА-w6*{,"I^C@b,2ʘx06%N/LE*QDڔ2Hyqc.,9͊\ZohaqȒǵpfWFʚty`2ҮDƋSI +7!UGL>M\WMeTPs^l s}QT{fRP-L/\ׅgz;eV.̂3hF JQ9-MV2L` XIMDS15iWڳRU=j"3#n]<\ס-*R4 =:bݼN'Ps$8?W$.mcIp@̓j02 DlZjmv+noוh^ҊeW5+JS3{UZdo w\;{;jcT8MqҶO%kx_r߇e ZcӍP!>8\vA?jy`aJ%3<" VۓÃE5N/a+Mx"IĘIj*''./*a.N.rN-&8,h0D@v'nYTLx txk*؃"~é ξVԂP%S]K(>Pڦ\S.|D>/ [vqLm^E\xrwm/ƌH9]]dVa5 hI[9,|zz:k )E<&GgZ LvIq,,U',+B n)x,.:LFI J⿣[^P$Ua]vH:Ab0FF=y)~. 6wޭGգq7O<|}JklE TFc 92 dMMY_eWa7g8uxU@KXusx^蘆RW]jb#`V!+Ue6"U8PT LQٿ\P-O`aW.8ko] GB\|2bnkQ(% K٘(AjGK^Du08/RPʧ>U%{Qz}de)9΅&29}!j1ۍzrG?ԅbVLY|Y醞JC_:}0 8lj_S9g#bX^. v @q>+Bru5zÃ-to$뷩1̑SHaS0>avD纞>L]Ŋe2z}k0.1z.t]r}Mqx > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37658 9412 0 R >> >> /Type /Page >> endobj 9407 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 413.6308 381.3955 424.6308] /Subtype /Link /Type /Annot >> endobj 9408 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 360.9616 396.03 370.9616] /Subtype /Link /Type /Annot >> endobj 9409 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9410 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9411 0 obj << /Length 19 >> stream q /Iabc37658 Do Q endstream endobj 9412 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37659 20690 0 R /Gabc37660 20697 0 R >> /Font << /Fabc37661 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9414 0 obj << /Filter /FlateDecode /Length 4682 >> stream x<Ɏrw~} tuw|0Ocg#K.IjUWq#U ]+f~mݚ4R/o/7j~[jæUW~/Jf7vUpOJi rl#_pQjK>ʠ+ՖAD1뿭y*>oŜoNwǯk|Q91`^̯ BqulN$~B3"&d^W߀hZ-]_)YhCuMp>03..La33\l#"V_W\Gف%A;KMBAWD<ʄ..i`?:WzFP۷{]$)$%%*Ea_ĜFH \NgrbKk;yX+tz3gO2IӉwN$NboY,pz[9~:[7Qm - |ڤ$eI I0 @Yje:' H$%X 5sAbٌJ]˻/fՈEpTll 3^"%.(X 1cC,OoB'8Q2vO|2ߊT6mfh# !?^+ ֳgZr_9v5Ϥ$w3yC^o!d9VAH@H߁otMܿ{K7u%ς o9i^Gl.6B -<=|>>AtB3WJGgp lɺ/nzz_n@@pm:˖&̞]xΛqv7 YMwʔn0"м\G)U&Jr,Cm0j24{qZ@ #cZE1>smhnIsc93vK~mmu QUH#`Ud7Alڽ+aGd7:\ߘ p|7zmqldr @ՠ Yz:-xƝxl|v:ypi)i̧ m^cLzSx0H<1Ol%."x遍$yNnO۝ waYsHQgKיn6NT-D@TCN/)VhS^TvVl!tBM^uѭ6m%Ƿfm |= P+|_DPllH+222PiTٕÙ>*Ps a#.lf[dMJ݂H$_pVrye#Glk>z^ tu[O{TӝbU#Rm |_DXtM˘: Ɣ,u,)ܚ))0$ ӾhMz!2{5ʜAZN&p]gk,Q Ǯ_g)M}+Aq-)5?5qth3iT]>G2Ud*KS8C ^P5E?."ɊX'9q#i0X_x pRJ/u:W%|DAEC+V%nKR'1g˟=s4_[I4 [tmB`oN% v0R.$2%~L]Z>)ξ,?ɴqcHs͖rL[جW(|AՉ!X!h\IY}4i飧UR`*gǻXܔm -o(csN)3$BNZ)yї4xǸ/Z0YN)TfwI]HW\H \՜Kj HGZV*B'˾r"fG%3gUؔ QSKF*զ@Y}(`Ґaliд̅6l$;򭌻 e7[_R5 V͚}j7闞=H Rb/Fsh75m[!_}Z Fy)cm3&nL- ̦cQS-BDHD3=aUxvMXH}-2 EL=3/:{ ly>7c3"xG5I]LޭC,zur*k6_SqW/XLygCxte]Xlve@hTmے}i8ΞY2lW -20DxY0]¾(ۧdN[ly0L AZc*S%Y{. QRw(FJ=U'S -M7oZ>b<)ϵE>/Q67T$0" ]-gݦ%y}5K91Gҝc/O;<5-$)P槺&zcedF&Nad`9#G(-wg1@f llcjރ(rعc G3,SL6R[& 7P'ͦ}^39[5o`O%TRMٿa(7ٻ=Vy} 73x/#ޓ?MoÖlk1{8@Jf* Z{wn-94$il5R򙎺M1yHW~O#=;ㇵic#Ggk񶥻 sOZ33~sSST?-@XyBv;ac<__in1fo5`UެX7)3'˕r{;b[lX**&,⍿n7/?Vm5c1ds@z!"kV8VS̗=ØKˌ]Jܥ:c| O{ħ_J#a5~d0QCK܎]ӛgNCm!0&uڜD[2 Wa?4M Q'p@@` @.fsa=_8eECf34+;Uѫ31##G+䙎r~^!9(nBYϡ7<_0,%UOMH}kKDVjBҟ.[y׿K=Hk$Vc2!cG"m O\(\ԮL<|p)xq.q49T~ 'aRL߉Rmhር7 'ӓR}=trdc!w96fx".{;SǨ>qxN8Y;lSre <呍pie) ;S~}+K_*lH*b\F{V~/t^\ ߋl-܁O"5:sibK|Kt)5N1ۼ~ K+No] ^_LIiK}%AaFn*Sn@|pG|(o#X[cq4_o_p%:ij @# 1EA]'!<3">qI+||b6ec >0^M,qlX};Uk:G\00yPE g۲P/>_BRV^BsT{V˼C8@݇E kM endstream endobj 9415 0 obj << /Annots 9417 0 R /BleedBox [0 0 612 792] /Contents [9431 0 R 9427 0 R 9428 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37677 9429 0 R >> >> /Type /Page >> endobj 9416 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9417 0 obj [9416 0 R 9418 0 R 9419 0 R 9420 0 R 9421 0 R 9422 0 R 9423 0 R 9424 0 R 9425 0 R 9426 0 R 9430 0 R] endobj 9418 0 obj << /A << /D (unique_207) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20210608081201-08'00') /Rect [104.1732 268.8424 179.6442 279.8424] /Subtype /Link /Type /Annot >> endobj 9419 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 252.6424 197.6017 263.6424] /Subtype /Link /Type /Annot >> endobj 9420 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 236.4424 195.1267 247.4424] /Subtype /Link /Type /Annot >> endobj 9421 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 220.2423 177.2792 231.2423] /Subtype /Link /Type /Annot >> endobj 9422 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 204.0423 205.2137 215.0423] /Subtype /Link /Type /Annot >> endobj 9423 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20210608081201-08'00') /Rect [104.1732 187.8424 177.4497 198.8424] /Subtype /Link /Type /Annot >> endobj 9424 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20210608081201-08'00') /Rect [104.1732 171.6424 208.1122 182.6424] /Subtype /Link /Type /Annot >> endobj 9425 0 obj << /A << /D (unique_303) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20210608081201-08'00') /Rect [104.1732 155.4424 210.0042 166.4424] /Subtype /Link /Type /Annot >> endobj 9426 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 139.2425 165.4707 150.2425] /Subtype /Link /Type /Annot >> endobj 9427 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9428 0 obj << /Length 19 >> stream q /Iabc37677 Do Q endstream endobj 9429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37678 20690 0 R /Gabc37679 20697 0 R >> /Font << /Fabc37680 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%NS~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9431 0 obj << /Filter /FlateDecode /Length 3508 >> stream xɎ@)Ij2r0|g=<~MRU׌}%weŪO_TyfT*|ߦ)cYkx砃z~>j/{oZ,#\Gǫyq<6һ6. <;EƂsˤۯGlgwzOGdҢpb Y˔EJrQ+d8uA_y-!($6yӚ<x)oX 1@khSG; eYUJLJ /S[6K76g'd9'򆅼uS?D,4!P8`}Jq9#m2ٶlXMNYRX,􍖳ʪWeTyTٜOssqID$[s7[Ld;5r/+QO{ίLdW\:a;gzřg4=-N?aq|H,AQY&J}UiLPpfxO|:gmY-`),8!j:l%֢Ae5•]1%u=SNMK 6a jxޕڛ^)`ϫkn-L"ȮG!0'͑ ?v&-"׷wS bi :K}AlA)A%RSsπz [$}41܋Zy^+BF1ptǹYEw|tszeX>Xq+{>ш?*fpYdDҝ[qG]Ɂȏ ?Ơ?W5!YU=@/F|&uØE=\dnk7 _bOZ`A_ӏ;$xHmDfhv>.,~ا]y$4;~ ˃֠q'ۘ4%/3ژ$;82̖L. x6)2Vܚ)vf9p6w!sI-4%mj0Mh`w.(ﴓ,,s23H8Cr U髩~MMU CG>\ VĠMX>#(yB[(&L(*zk 5 e>׮0)!O= P4;D J pf |S]lJI8_93Bj1\k%S %9UkC{=+#4xU pFqYAZR3e`MCSGA`NjZԭ".3:0e8-?_[K@SZWO+x` (%ߓG9=ݬX8u4 I9 !J;a$fgQ9%?~x(,,˞d䝩`k ".T a \c ."fmB1Ih&sC4Hu5jKmU!{u&85{14.E 'S2V; W*<:5)AI΃CGY@[rwjiX VR}Qit`*4]v|79REw Q{gƚ*ݦPo# .6{VøwWGW5B}Sf]@+_`-ـ?BHuPM.f5 :`1+A|0FSCx߅ 1k]s9`CSAO:@T]F4krizF^}L<|=bipj fqxH8 df IJNm(X5aʼn旅tkݜ-fr]{) RHN}ph(k. HL1dD3^)Ϧ&_(IV'Tae|=itQKJ3yR#{%%ɞNBS^S״`pƞ5a (COǤ48gW6 njY@%O@~"(!x&`YF(oQA^e+5o F05앀m{~2^5\Ru& 99<`5a  r@ƞ4$|Jȟo^^~Op%[!k,ɲ 2`N xZP6hkZMT/ܛ;a؅ g~j͕P 2NGߗw v %r}s.8[mlcɢ!; endstream endobj 9432 0 obj << /Annots 9434 0 R /BleedBox [0 0 612 792] /Contents [9440 0 R 9436 0 R 9437 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc37696 9438 0 R >> >> /Type /Page >> endobj 9433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9434 0 obj [9433 0 R 9435 0 R 9439 0 R] endobj 9435 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 9436 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9437 0 obj << /Length 19 >> stream q /Iabc37696 Do Q endstream endobj 9438 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc37697 20690 0 R /Gabc37698 20697 0 R >> /Font << /Fabc37699 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HɦЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9440 0 obj << /Filter /FlateDecode /Length 3870 >> stream xn$ίp_AZm|rQ%qn4=nUq{|;W,]>,,/`Ο=ŚPcr˧wZd5=Z]qlhux|iI}%j],Ab?,ƒIiMfGe #s-f k)%5PY?/|8xG=}ZG(2HNmz؜.QGxa D}ӽ2N â8/`7*/Ώ".U><^p!Nkuf7eՁHLjpA;1*X:怱sG.ԃ616F7Sk,|1(Tx v.E0'pǗiH~\ 'Ɍ{ع"6EDFuc^=҈gXTq՘uꕭ#,f[w: i ;Ce[ڰt\\!HDkڿ8$' j0X4F5a5UJF˷>2=Y`tL]i3MP#"a0-Ejιu4\19bK^2,gHDqזzr;F-¼}`k霈# K& | h\* wL?v":S8$t"i5QcY>[˺Ѭ)8z.K;5~|D&r+MYiY+Lڗ.J2Ew0@ldBO2^s݃F-:۪[Vqi0 1zfBlXͩ߮&XI.$7*۪\xLCDEKh*QQvS[rU,ܾ1ԯ7hh.srܦK?@``n2np"a2oǛZ{ܘʛKRz;57o[#Qe7ߑs .6HwaYonXsXcQMpVB70eƝ72͎Wy$xlw8MԚ9i g 5@G&g7ke eu ߒ`x ̛\ЗN_k!,8 ^谑Ζ(lý:1>`"/M0@9͢y/0"` _XKhcWx%=O1<_Ԭ+T P}P?]tT0a?;v##w/XQ?n2rɨbٸԺ\R􀂌rk)Df- WSefQ5^42b!NF-J/Ug 6{TV>`MhEMq:U PVH c3 lz<|AVK*:j_O7j^4RVCg w$XEzTe)WaSv&e֭~˲V7mv¶]'z0G={0c2R Ўy:l!oXz&yjgAe{V/ztQVV@~Q߲~խz}3!oc]VW=k=}=*{5:;4{ff#6鿼w"xrsX|3dNQ'nk}C$|nx Mn1.9:l+s;5P=DlaPaT*ЫZ l7H+6Ĝk;z=MtlpPNR䝔T% RsX"iN feͮhPtR4Kkq{*e]xN,8e~ wxAT;--. 3ō04/{#tƎSE?ڙcC+],rd6MKj΢wؤW&T>.Ԍ;@jReiyX F*eI==,|ye]4A 9&cX(31%ߕgs_RϪ -ŧIWg"J3o&*uVl߀úk#uipnu@֡!ωPο- f6yn|.'XQM0 ˭k@}r$A Y.7֋і6H?2@ҝYj.QCM͍|UWF;5VABƒ>t73v`|W2kW˓nei].K6QJ;%`LD{1D]컀D+VG#vYEZLz<lJV:Cv8s~[ u"&HW8{ƕ&Aq#\T'am v7 F<//:ibFBjyj8vV& XjT`K:pN \KSβlz:@@3<O(p/.nMTwiO |^t!;IAS<)Zlxo4.:eܢ%mO{jJؘ&6gqw_SA+H3 .7iռy=\1_n|>!/@{wumYEXG *0=/e> N@aEGqMxmP]=VxEiVW~f2uk p/˿fA !\, P1GAɮ>:LpQ,?)e%- "vm$s";(B2 <7k:!%uDh?Spu!zAU;oyE[T}]e|w z3j5ueS32R0tp %p}~]̏H7q^˭Eg!Sr$Me6/RbB<dP$3S3qІNx%qV?\vK t))n5Ǘ>Fet/":URǬTV*ZAR/6YoRlE,KvV\&đꤲv2n7ޛAQǐ 6QLQ}=Cz`~jR/a5Ω2L͹y 1%bƯ#; CΞ7~n/(Pdžtf/kwIL!6_a`F; LgL0}>q~>ï>)ɗܲy,?/Hy_Úqg(;P0|ù)ݿ~;В5Sp%~&P{̩~,Kյ8dAzB_>5g!2EeY^d~ Q endstream endobj 9441 0 obj << /Annots [9442 0 R 9443 0 R 9444 0 R 9448 0 R] /BleedBox [0 0 612 792] /Contents [9449 0 R 9445 0 R 9446 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21502 9447 0 R >> >> /Type /Page >> endobj 9442 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9443 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9444 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9445 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9446 0 obj << /Length 19 >> stream q /Iabc21502 Do Q endstream endobj 9447 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21503 20690 0 R /Gabc21504 20697 0 R >> /Font << /Fabc21505 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9449 0 obj << /Filter /FlateDecode /Length 4686 >> stream x<Ɋ,9w ?7̌C aW U3I23"jhM&ԆM)j]דR)ܽyC9j8"yV `%C\InF+o |= C-ta :-Jk_[4nŷOj4 gXDTJ0yS.:x[7۴q ^ӄy!i3p=;[cb6 ,PW Уsyu(^ Ό3K,TэqK>j}! @2p2pbU"dY4]udH2$[PxPaU`8XH&FVF>#͓ \X3%uF=U2a9ߗ4Չl,frw3|B|%SKŁǁ@C:vݕh;X-̀tLܬgWCnYʡ.:Ȝѩ/JUrqlI;Jxz 4Ny)Bțf7\p"鵔4rJLLV ȲV`!1* }MD<ԇB(I@}=i{ zzK>"0In04 #a"'EgmCLho% a{x ,>7s'"-oׄԙ\P-1Lx* B ص~St t;*I+TEa`o>FdgL\n?f7O- CF`P^ZmJD#UԲ3U'_]Z-"5 4"=70_[Q1-x"ȊM@ϫ+UCbOKJg\Z\[=k͏g=4d[362Ts-\ȜMzEj CNK5gL?o< L\$ ZzD̸Ž~ß߅qE0tz1p3ƾ=f.̔Ф,"cC0u{u~>:| T ugy?8"_Bp4Qcb-m Жp޷%:%6d up'> HZ$AB Y/wl$l;$c+ ꣹)-]"l$XN}?9&P~ Pf9إU ~4T-ՆdJz#,X4K^7=%9,N`d4UIV4)=+6I5v I9U fM*_ qmӞL8O!r&L!J! Ne\*\Ra6c=YzNs@Hau>4f4K)5-䭋(\w:6=w\yHߛouF{V [,ZUz^wv쒈TW ß2*~-Y"C Y]xlNz bV[~-;'B܂MVxOYSojd$zx=m(ote)Tj^~b `y".o&Eѕ}KfW=c ,|!/_ nu._󠧪1qKqO"BjWkO8u/I45&ljg.=YR v6Y6x/RrbFr ZCVM SOq_2fJsB'YCGcP)t4]{HYk>NVREP)þ]Kqل Rlg)&t}e䊒: ~#[&h7;]N)KbD*ՠha'd53?:K'jz|ݫ\2=ԳtϾ7VUѿ 1QYnO05YO6_,m jrR,*X)mݎq_>MxJ>dӵX2sVy/EOڡl%i҇36@1JrBlIC/{-cJn]ÖSPw|]Cug{Ty>J4bFd9{Ci'85,4SvުoA##e_P]^v݉._knoeݧɍ Z[mEJ;*V?3sߖWB~*nju GdA ٛDo l4;bJh-Ol狥<h߲ɸc~Ӵ{ *&_WeKBHbFP~??C6 -(b^ ^S̗=ØKˌ[J|Hm),I>bx @i$ />b$:l{zBm&ڜxD[2vY-oYHLܴu/ְ|l.qKJF1)K+pEF뼃Ep R3.`㝎rCsQ݄>}y[YK67r bՄO}Gq|xM/'zOHDG;BGG"-"pK؆qEp2])Ņ3 R!\ihS] % WWe ]&+xfNOoC Gj2@ZO^凯ldr1#,U&.rݩocTGK^'/c.To s^^/6YK1MM)Go8b_Rvd2lr]/^< Y7 &ioJKy13S6ҷPAeKًf 0°rHmzZRcb?u7)Ѧa%Jq%G\߁׽ug`nOX|;-Yx!n43=nˠԮ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21521 9457 0 R >> >> /Type /Page >> endobj 9451 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9452 0 obj [9451 0 R 9453 0 R 9454 0 R 9458 0 R] endobj 9453 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [104.1732 412.6385 181.9322 423.6385] /Subtype /Link /Type /Annot >> endobj 9454 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (delete_interface) /M (D:20210608081201-08'00') /Rect [104.1732 396.4384 181.9597 407.4384] /Subtype /Link /Type /Annot >> endobj 9455 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9456 0 obj << /Length 19 >> stream q /Iabc21521 Do Q endstream endobj 9457 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21522 20690 0 R /Gabc21523 20697 0 R >> /Font << /Fabc21524 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo; endstream endobj 9458 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=802) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9459 0 obj << /Filter /FlateDecode /Length 2416 >> stream xڽYIo%Wl;A[Fr@@OJA *~zHc6zMkjWm ruY9cҔNo߬~25k4Q?<M1'N?|#ZWF?upw[ڲf5ҿt4wYl;]ؐOƤ{<и;~tjk֍" )GPx^1ha>O>˃ә ۞ tc3;J/+(T E6}}r;UcA+7ijԼzņ5dSׯ BtyM3"3dN;t PO3p! %(.e&Y; lL\LYKwv*&l4*%{mM?D߁b}!_&ԓ6g_"_+pr"__kPE<4E&kl!:!_-1:oSVY~ PXc0u#a -|go!Leںv&{{ܩ[֔x ltMBlØNum!9j UY344\kRš  Ӻ%#x})qDߑ:]x%us"T;>sR16"Z/-+NޓR[LtKS^0=&z52w{EAB2jb{نk[:e P ̚B]IOeF"e5wzRRݛoyB)GF_kOs\zIu96>q :[ xYšazOjqůpruJEQ͔J[UݚT3O-4g񠞸Fq;-%BH n|IdJ4)lQKz؂D|+i:E;nf"s08WYTcEs:IH7RmF>5DKxMf6Msh[I X3|ub):SstC0(LCeaY Z]L8\xApӒb$S` C& ]ɩJ 8NҶ[2Q'A3&"Ŧ;{̜0sV|[Eߦ8a0:ȍW$6G ug uIh("jtWa:/v͵pRðZdr!gVԢ '~'s2j(Rzv#tDuGu`|ssng;5Uf]uᶮUZQK6WfRϴR'xu813qZFG4vj maфi9eℶEO?j/Q4LDЀ/jG.0?B Q:dA~SvL_K1j\Umd͚mN L/g&ⰺx̐#ƫ-I*7E.0 Dz)o43HRՌkzf s qeO|$x60G9+;_YU!Jm ffY'O*o&Vڈ(<1U2XڧG)YDKcCcND%ئ'6Za#ަմsPڇ̍v> ? es MKF QV`KS6 C"9qGМx>1{xOnoׄ4ŔmqGy9ҝ}L= WcqvsI`5R *7ڱvڼu(a~MPZJYEԼ0X endstream endobj 9460 0 obj << /Annots 9462 0 R /BleedBox [0 0 612 792] /Contents [9468 0 R 9464 0 R 9465 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21540 9466 0 R >> >> /Type /Page >> endobj 9461 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9462 0 obj [9461 0 R 9463 0 R 9467 0 R] endobj 9463 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 9464 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9465 0 obj << /Length 19 >> stream q /Iabc21540 Do Q endstream endobj 9466 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21541 20690 0 R /Gabc21542 20697 0 R >> /Font << /Fabc21543 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 9467 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=803) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9468 0 obj << /Filter /FlateDecode /Length 3791 >> stream x[K6W@ZI=91bOM e֋/I==vnq[CWu2/iT Viu<'kϿ:$>L|f.llm=?(iwjk;ujֱ5 cJ3ĩv2ۍaT4%q ֬L?_Yh2}bdF\X5q t(S;=L u='ur-^y:߉KG$ߧ0LX{hP(`Y}X8#( K]crq2,i²ӟ~c4=. @ 8?.OڄyD+0Ǐ||iaX[yY+ ND)p™[|*Hf}.@] %F ,GU~ը?'L;W]Qnch~=8>y) 0;kpٔCv]vxUM>SQxϋWWA07b/`Ax s^_"C d^poRoK?BlifmTq'^B^"+۰V/e,jw5rjZekG!-aG:] xֵsk%;5 %Qs^z}aa=\(G]j"neB2 )R=b}ߢJ)-H\#fALQS5K5f{bk7}c^bѿ2*>-欴n_jHs765ϋPofIL` T7mɔ>ѭ>޼<&)JeFcJy%iK7Y!Z[n;9i *ت_gm]Tl㍖yO~XP~K@y\6z8ZifC5v*yԪ#mz-8oAhzf幏Rʷ9*p?KՃ݅ sq)^^_ n/x;MԄwIa n'h"OF`9y/0Ua`,%T:2 $ H6J=B6T{0'xʹxT ψ, ܹ:b[ݖʨpYQ]j Ku=h-ݱ O]0Q,N`>Bj̬KPyM:6  '\r-J+Eg 6{VV>`UhEUq\*WRU3uK#1 Q ^X,h}?E^y= IY 2%ܨ\bQץ\dkJ %o!udtm+UudpF( Qzn(Z3bRuԎ1^7P7XH;vvBP*_WYߩlGq&P{@e*{>ݳCխz=ݪvnku^ Y(ޣۯ­ޣo­ޫӫޡ#ܛ:Aߗ|G_rV7!R7q%70JQP~ cvI0H#rfaށZ9]H<$5U# @3J†{fX\IZ$ъtcBfd2MEx-SOI!-> 5#@=XHu-/dL *ic[R Ia*5Cf#{RH,a$ :>tOVRf98v*DӨԩ.Ep HUUbү`y44pJnd%+@:q=KSpmsDkms}v7Fu ,1uV疡ܯdNZKLOʈ>FWۍtY2"s9 ]IV nЅ#˯91ԀM[:[9~c 7)? LǸ\}ܒhc |8'aG`>dTyO|`^m<Uԙ[ا^w;izأڞ( xRf(qё*r\4K(Ͱ&?mGDj=Nf=l61_DeM6WbҌ9Df0eNjurIc%a5BMרNb0ƊZ+qcIMhZbwQJC7Oݫ|mJD \&JW bdM]Y|3:#O_υNh E9o<s^˵E/GGj,Me Eke.fa#N  *)D3wӎ$#Sᙐj-:G '<4:狔\lHK@kM=t+"2URPV*X}ɥVTu.SM,Y=3\R&#զ*ho]7K!-6IQLI}:9]W~9i p5iukwyM7f]ʺ0}@qHbm2\YmNa§>Z%S"AWgBm .In`s7y晒aO3> ~ʙ|:Cɕ,_j"~ kr݉1EQށUL<M%q᝸RlTM~cw̩|tעk݇ Ա˳{f~Y]~MǗ$Yu| endstream endobj 9469 0 obj << /Annots [9470 0 R 9471 0 R 9472 0 R 9476 0 R] /BleedBox [0 0 612 792] /Contents [9477 0 R 9473 0 R 9474 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21559 9475 0 R >> >> /Type /Page >> endobj 9470 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9471 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9472 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9473 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9474 0 obj << /Length 19 >> stream q /Iabc21559 Do Q endstream endobj 9475 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21560 20690 0 R /Gabc21561 20697 0 R >> /Font << /Fabc21562 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9477 0 obj << /Filter /FlateDecode /Length 4543 >> stream xɊِH **54`|j{ƘjX2k`ӨS[x%Tﳞ;Of}.ݜ4RoZ_mCmXVK gSù{spD8V`$CtWW]VF&Eq^e %7[i6,N"gR Lj^:m\:0!F{yΤ1u&Gsg:,fI+ݠU|"^ =:! |N8#L䓬F_M(Y_.Oӊ 숨L>݉ZBV)TM^[Dv ~ZK_srWH\}5I'D脧9qӜύ=;?bMPJ:~b&<Hs27:4.^`/0+ e}E6Q4ַiL 1)M)r4 T pN)_\5I,H[$"YD@) ߽`A )͹H+P㹑XK(h gzIX{E:\ae Jћ/|;ad(eET2 eA >MV092Rl&^Ev$AE}`E_B %bqm>AԕϷV^š^W %z Qi*50C4Z|Ȃ6(iD"Ȅ2:z`ŐPޔC$LsL*iH% 0@lR7kusW4DA"k6-IVO:@4ckZy-]KԬyŽIֽXq5xQ%VIJ`6-嚀C NsQ`ikK勜 F *ёq:B+81`1+>ݲ]أ Z%k%Q0X/HGVһ<2K]Pv4 'v-> V>)l>/K#Vuϸj+HsVЀk\hw-d(Te\lN0>aҋvOG-->T[poȸ\>(ld6!#pby=$5t2::!5Ullxn /gY[)TKkE(&W 5?Yٽ dq Š3gP2ا5/~j^N뜆| 56&tT>d&if$>Xٹ3sOX$Uj4X%!Yg٤G).1fK1; RY{Vba W8[Q⒓%b_r̢C|dO:);2%zF̈́ǿ'*w8fs Uk(M}=CD]8} /ȂdFH6}:/SbCqP- pXj7ʬ>ҜEmvĠHFt}oK΂D,fpf-pL|%SKɁǁ@CanJg`{R@{z5 -QUDbۛlr( ƾNdB)/bߒw@h9*AgMktu<9%㙄j_!1FfVS|P6¦`C|0:-;04*EDG݄ Vo]iFuayU{y[[i>ݼ Ngaˢp'ؖ9w@y/D85fnV7imm:hқjKߨA:/G?f7jmvr;}' ַѓ6E/՛>!zp#m+~j-KBZ}+1.bQ0iwZ=g]),6=YdRs(ۑsW6}^ѲǤ˦,u`&H%}0Φ]1Jcc{c~]KXhNz V}~&;'~qvI/&gNm b)NY.7*Uߤ}Tl({n<Lj>}'q',OTen. s^}g2 {mKƭ/ }z:YdysacjvY|Cc.ѸD,Pſi7]b$zku;Uz3pA' <.g˫wbyMƍ‹ hULh<[Bq8縘}u"bC\ z"W?8چW1wc_!?ϟ@RM3yɇI=`C0?2N"| E$:,{zM{(\@1lJ#!vR^5%ͫL^a!.^tP2 ':_8(e HF,?]Hxٛxg8sd|R Oio ]s}=%M%"5EP\&^ӫo|r*M՟  \%ȅ"DZ9D& 㜜O,'j8<%_QbO"=e,N#Gj/d4\|.WЉ.S dfFjOC G,q @Z@[Glr˵1kX'c㈯;6FetDe2]5e "xW6˩N֞RLA4 C%f>R/+dq8?6d2کlW09E},_|*tɏْS6s-@ |tY΃xѳXϬs8s2eSߓ)o829E<#=zj,j( endstream endobj 9478 0 obj << /Annots 9480 0 R /BleedBox [0 0 612 792] /Contents [9487 0 R 9483 0 R 9484 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21578 9485 0 R >> >> /Type /Page >> endobj 9479 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9480 0 obj [9479 0 R 9481 0 R 9482 0 R 9486 0 R] endobj 9481 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 282 164.9372 293] /Subtype /Link /Type /Annot >> endobj 9482 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 265.8 180.9312 276.8] /Subtype /Link /Type /Annot >> endobj 9483 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9484 0 obj << /Length 19 >> stream q /Iabc21578 Do Q endstream endobj 9485 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21579 20690 0 R /Gabc21580 20697 0 R >> /Font << /Fabc21581 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70i ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9487 0 obj << /Filter /FlateDecode /Length 3286 >> stream xZIo$Wl+@h@ݭ69>3M?o%5$3hUz||ʒK-?%f#?}OKdإY嚤Vsٌ|"~PJnnsE>("|:Ͽ>(mR`-xzJ;WEZ<ӕΛ`HJ=9Ng%J]ݓ{x~5,kHs @;r~v4*Uj^TЌ(~%E0ԡ>PAHmo5 ?a [X6]dUא@HHD"Ζv\3fFbL ,bVnB?7re<(J}9RK= *N]8 Xb)pk[B60-FŎy`x(3g ~D.5wIv-@{gP(ƠZ;B4o[evT`ёt+N Uk4$Q}\ m5وf[-N VU` D]D\Z{ELbfBV]$os 7V 4/eԩ}5)-iTEhF-L#Uݚ@<)kN95ZGc.V=@YWVTR*I_qLQzOpdKr3ܴƖU[]G3pżp?j\9[lqoj]\nW'\8-EL~XbÒxsV ]o.7.b=#S{v`^Q8WQt rhw!Z_Lz .փh0j5L!YMaxw -+Qj])uUZv}Vo[x|8 q0c%LvzT`jLFT6h"jspЗ]%ôy9")yEvW$3v;6,&r-FF,Ӡ)~p11=sFFf}!sMϰ0YLܓ{Pݥt'a?S7!UHRňum3h늸HM~BxM0 O|s}Ŀ&* * ny ``d\nEM=WX*-oYAơ<dß>k *~W^=l!q=@c9/x/Dra'!;.PX!>< ,KPs`;#Gq4\b1nRB5:Xfr+P.B^90ct=?]1p=^ՔEb2`Ry_K{qO)-5<$pnȝ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21597 9495 0 R >> >> /Type /Page >> endobj 9489 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9490 0 obj [9489 0 R 9491 0 R 9492 0 R 9496 0 R] endobj 9491 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 301.525 112.8525 312.525] /Subtype /Link /Type /Annot >> endobj 9492 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 301.525 150.6705 312.525] /Subtype /Link /Type /Annot >> endobj 9493 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9494 0 obj << /Length 19 >> stream q /Iabc21597 Do Q endstream endobj 9495 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21598 20690 0 R /Gabc21599 20697 0 R >> /Font << /Fabc21600 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9497 0 obj << /Filter /FlateDecode /Length 3909 >> stream x[KܸW輀1 7g nxؗԋ/zgvHbTiEÿ/R Vi7uk/]ߒ-_~|XV.׻ll{z~Pׅv[_>mEFW|[U߿ - q ֔h?QByw⦵-y eK:T4Nk:C:B9,^1Q*ʚ;FedGAe`nfK m\~} EJ 1v4}\ 0sxL#\M aeR CSBtEem>էɸ~n~ F^̼#8Ƴ}әyfvv2&g-zqqN06ɧǹ"/U>;>^x!@ :~22j5@ĠUUxϛwauc}1@,eN11FE_Lt!tlAL6t5]`_1"Mp[\{# F #ynu=_`ۨ@U5)P<'x hsFZalL+]<~,&h=ɂQ8枏 x4^U @l=DU(9NHhJ]e0q1sp9GH& Jz U* {cApr9~ s"N"2I$3|LB vs( }oȄ "-pDe}TLAK%;u %QH?/b6> CLu4NⲨްJnWaR AL ftF_f܈TMG^GlE20ȉL\.YY6[ߺBw>?MMZA134Oݽҳrշ/׋&4nKH3un;1mc=Y* >N~( t>owP,$cӝ(MwmwZkbͷ} z팲aV<A֍jlV)kx2go o+7*y)wy&T?F[}Ƶ q`rbHWh`vu˷tDnbGx֘ōǴk<'hZg $x':_2 fa@ ۆ!EF<7_}O,w&RNO۲C&aVLr8 W$-)z~M9tƳh۠fxdqTƵ0UItO@ q* ֤հ\ګ^ ҫbf- bWSefeQ•slԱieB4pmd+7-ufe.݄Q|*55SwCLO+o͒WFS}{\; $Y*e,VU*}[UƽԞ;P,~Y fWn-쟫^59qy8'LsDuh+hܣJ5P;Ƹ5xSAa=vyzTYAeP//B= ETry-_^^ku^/wz^[_ ޢ­ޢ/­ު˳ޠ3ܻ=:#ߗ/g7}%c(u( yXg0,3=]V5m(s;UP=XlaPaT*Ъ[ kBW}Dwt̲:㌉Q[\@PiG}fq[щ1bBGԺ/Af\[UOp $faM$pFYyLtӹmjy-VQ+ȕ2 #l2ˌG>L5'a2̈́=ŷ'$<>|c5ʙtF> 9o7FBdVadk$'fLx@չ6KEL.CbZnh8卵$؃|s.a *O8}o($V\j(0881U33a= q_H'I횠Ere;V724ƆVt,ig+_lޗԼORV`>5B5 o(ͻHĜ²`E@Wv#L,7Fbvg&O]vӠ~3Ӥ#ZHm=4`֠s񟺲4TV]!SD#I{g"*2wWب l֢8ӂѡn6*\!Fw j ty+d3LX;~4SKÉHP@M0 KƨRZ$ݱ.hKE9+GH3w. hYʘuUNz^A>YA'K3]Q']: n}w,ABL^eԕB| nߐvLx&٫ISd|֒R\wr7sjX1<9iK Pˇ J1(NEwsLo V|xֱwc,ufb5ri<%>&9~DQr/s#UYBa,aIƗ/kvxP=Qx>76&d%;3m)`3 M5A|t!;gIASVl`4.+)f_~xe7¦9k_i~@9Ap*UҊHZ+$]Ki>U>\]sH|b^_<Yԙ۲@+]+4}جrB7O4>r+-x:{"wc=Ln˖i,mOYfDn7}.*ݘ#Aj7X%wX ~SʞKs^e`OF 3uiUL<7k:!%u4<ץjGGՃ*[^P>ZS>`.Z2ч (gWT#$kjR7k3~4ttt%x}"n`+" a'P!ʣ2 "5W|H%[δ4_GIk->,{5:g؆R!Wp)$G:w|R}glrߧ鋓z/Rje> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21616 9504 0 R >> >> /Type /Page >> endobj 9499 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9500 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9501 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9502 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9503 0 obj << /Length 19 >> stream q /Iabc21616 Do Q endstream endobj 9504 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21617 20690 0 R /Gabc21618 20697 0 R >> /Font << /Fabc21619 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw70%*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ endstream endobj 9505 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=807) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9506 0 obj << /Filter /FlateDecode /Length 4580 >> stream xɊ%_gѾ@QPOmmcӚcLrBP!۪WNY~_;z&rtq_ZW6ՖBv}R)޽}K=j"\yV+ 0ْ!_t7_/VzEq\eYfyƭ ^=FC}~IMIT7境ֺb\[pzOlLSGʝzwc؏b6 LPW У?/G"+#tcܒO2}~a4wtgd}>?/*x`gDe?l0BLY2m6-u[p 8@k)8q# ӈ8Lw脐BD CdLB18ittB'< ;>-Ҭ&M%⬻4W3vլhfs,܀x oPRhr5Xߦ1u hF$2QI+9\xqFrItH>#}nd[@nH>E|^)06OE"_ "|v4M, $P%Z3D]^y}Hd"a@hE)Xʍ4a&h]ma;[8I;Fa)*TKPML& hMzkE, >mM(ѳHJPJ5Cy64s%h5IT)PgGjx2Ĕ5eǯ:4 ) $ HImA88x9'8`!&GzȒ ))E|~(U:<%kɃq[k?H-n+D7N أ2}J?]c r , zM{3ع|DZ(V׿~+-:Ң6NOT\J,X E/x肮fZt5 #&Rݰ16#ljMK&iviĪsXurn psmN:- >9!ʺ;Lg]ɣ@ǧdJW|ȸjo-j_LVs2^rwZF{zIk8dIu<,뢋E'}TQ+/cǣ%?ˤ^dRIP5e%㦀\U/WdD6qhDk$3~/paK@i<b׸|Cr2S\1`=" :03%P=av{GVѪYa/R&fz= RbMst7TŲz~ i]X@Xͼ7Ŀ-+;g6"Ļ%zըHI?)QcV5*<2<[{· d^4o#Ɍlt_ƧDŎ✡5ī!;31l7ʌAizEm0;S`LguދS8rK8> :Q]љWb3y >SGIsr`qPv̮Mfw3=)F V]{^@Z&nkWaECa^0X)^ [!5 {j h\㊐lѨY4Sb7 ,LIg YL ͮ$ٚ0*j%~Q& 8:Xњ=LC^2iϝr';Insq(OS UXCЛ7 ";*'7;ݺ56}TViӱe1{0]:w7s!-焴 @]2sH(I$R$ӕ|Ni9 <&*ZN#@e?'k~i&.|{_v;:\]4ưWs |7.o3FL)ER뎩(1ڜq jx ӒLy-{j IJ< lϾP{pmlCsyRٰ߮%?,O6)[3'rE 0{%R2}ܔqtѦ X g*@bD<:=e(T~G7$} g:xNEMJ>QruTuJ\m.ζC9?l-C)V0W@keG-ӹwQ@|}v.Fc)9YC [|yrFm&F1AKպ1xPW{z5ƒ5sJ}Ҟ<.7?TG+(ބZm<|X灺|Caz桚\ ^+\C]z_Zֱܵ~A/ H7tNdZzۇCֻ]M&e)qq%m9rJ@|3&ӾZ[b~/xaVڐ zYtaLF_Og_> btHKа3[z7۹I]8vym3o3n "gWg rmN)kAF׌רKsOWOru@Uk;%ny_A4ͣ++V3lJrO+T6VV}HTK J }8v{zhjYTٻ5c7}$}uW`dL<03KrRZǞ:HQYuՒ"skЦ114+SШdxu/#3q(D45xi1>eRRE zA(Ԧ[>p{^>6 %ZrRDeRPk7\ںspI6T$,m6(קּcܻ-: 2SvlH)3ȅ#2JO.D5K3O\DUT_ jk .u\][˟֟|QWe<`(A%]XJ[w^o槓IYDD,M.N俵XΎ;[e~`Ηό[˟7hzO߃L'K'NV)GL*$B(W-9PuXbCMN [pʆY@KOԂl p)G]"& P е,cmܴ }h!SCLZ wza>(-ֹc @x ;(bZ \ vB9,":J;Qίd M^:eM^:Ihm7cP!͔!C̉2dr7 V偭ֳV2W_ƏnVcۃΈ^ >nAJuwI= n$.M\ޝV۝^ l%t%i]8wi[h'=QݦUӕpʙ䓇HO%wǔ_AxܣrX@OA-MVCvG%ĵ ZI+'a 1tz&MӖ JLqhre0=eF~Ju/7-_F 03=P )0KaȏX> ~OZo|Nч#0&\Ĥkd629TMQWC s9,r2|a )n3OXH{Wxon`+%e`t4 !Ğ&hǙo|GMx--`,VMhWd-i)W9wZz&OdBGG""pSט~NYN)O"}e,N#Gj/8dT\Mx =n*(վ(_4n><(gcFXcٌ7ŷGw{De0z=5c "xO6ǥ־TLAS}_𭮛L/m̲ vker+/G} _E6n'y[]sibshQnj%U)f9;8C@a /I,ca%2֥ `C蹋fAbHp+=;sp+x:/8FGI;jRj-Yx/43= Ԯg>@ΗW  ~pM3=۠_cSҌW}suhSd5V֏rk[?qD?Dst]r[*)?ï ~VW%?`I endstream endobj 9507 0 obj << /Annots 9509 0 R /BleedBox [0 0 612 792] /Contents [9522 0 R 9518 0 R 9519 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21635 9520 0 R >> >> /Type /Page >> endobj 9508 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9509 0 obj [9508 0 R 9510 0 R 9511 0 R 9512 0 R 9513 0 R 9514 0 R 9515 0 R 9516 0 R 9517 0 R 9521 0 R] endobj 9510 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20210608081201-08'00') /Rect [104.1732 308.9 188.7027 319.9] /Subtype /Link /Type /Annot >> endobj 9511 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20210608081201-08'00') /Rect [104.1732 292.7 216.2412 303.7] /Subtype /Link /Type /Annot >> endobj 9512 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20210608081201-08'00') /Rect [104.1732 276.5 196.2982 287.5] /Subtype /Link /Type /Annot >> endobj 9513 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 260.3 149.6802 271.3] /Subtype /Link /Type /Annot >> endobj 9514 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 244.1 146.4572 255.1] /Subtype /Link /Type /Annot >> endobj 9515 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 227.8999 164.9372 238.8999] /Subtype /Link /Type /Annot >> endobj 9516 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20210608081201-08'00') /Rect [104.1732 211.6999 159.5252 222.6999] /Subtype /Link /Type /Annot >> endobj 9517 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 195.4999 180.9312 206.4999] /Subtype /Link /Type /Annot >> endobj 9518 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9519 0 obj << /Length 19 >> stream q /Iabc21635 Do Q endstream endobj 9520 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21636 20690 0 R /Gabc21637 20697 0 R >> /Font << /Fabc21638 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ʢЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9522 0 obj << /Filter /FlateDecode /Length 3082 >> stream xZKoy1~Km9,4lf.S~Qmy lY]]Փ\/fC*vkf ~/i:I<{p08͢1\E`;ch't)O<\jaUڃ)kxK 8̰t7ty6vp#_wps7{(e /"vȃw2N{5IU*r#4*7Dӕ5JT2i8&@gK-#q3x$"3 ""^m\kbUF G8>E1bBx2#Є+rY`1nE?V|'G_|{tz 3䁓,v{0hM ( $7EmU;ԅ-HlwfТvwބU't#X55J1"8*^ipvkJ*#϶{ QH4.P+3 +.EgibYA5u.154k FEf#֤i:#IQ:l 8I@m ԃtdNi&u*7%P0KZI@K4q.$ 6ʦuJ-@?!bX\Cn8 VC=}`m㿱ë=M PKKU,NC'H4Wr9k:ụ`͘=+LU%ځݻBI7Ia y%n5{S]='|5SUE#- 1Tj[ d_]έy,wf `(׆" ;(:8G>mpU^@DZ%݄JaF UGrvnx94q+iPÞ#e j*8ƒt*h\VmYޮ9V'}׿U!B WO^"+ƝXp&~֑"lUXA"vʚİ mGː=6՚М hkMݽ12ת)P -@V^M`Rw2pE|shN[ @{ MKx>4Jɍhx R̷C`RԔc9r7˾>*ڻe"C^.t|5ʊC/> yq,ppipܐ^4zuHFʋyd>!G/6QshGoxe؈2Y!3X]BypmQfHe97=Dܤ yzݽۜ vw΀#_cH2鞃|tvh4N`q'Snm*;L)÷RL1N>4u ױ۷]Tɢ6}n¶ǹ39,C"5 ]э%izur:{?_I3 z!w|p +L@l!.@$Eٱding }P[<C ~;e"N|] Y&`yYj^oĮRjstrCH+" *HҮMZ!"\]r|ļ2|y՛RgnZn;UO H+>/`0qP׉o@6֧C C(0ex֭2mގc,R&rWwJxMM"n3vv4kNw.&'r`[IG4cM~=T\\ `r}/_a30[&O0Hz)=2ԏW!UH] ۽`p5k$+eMe@|]fIo MC-Pf$c9Fe7e]bǥxvr+[UV[h'l0sĩa{!lыv0=DJ2 vG7p(e i?ZK`LKB+?t"]au RVa4 m}vfM.+K/Mo]R\TvVBSGlX^Ha*ܟjAxL'hQ 1nRRm& 99\`ڭ6wq>{"g8WKrI&˳x p|yԾmw0|gnQvuÁ0¨RW:N_2^*8UY6/C_sT#5XhH>m" endstream endobj 9523 0 obj << /Annots 9525 0 R /BleedBox [0 0 612 792] /Contents [9533 0 R 9529 0 R 9530 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21654 9531 0 R >> >> /Type /Page >> endobj 9524 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9525 0 obj [9524 0 R 9526 0 R 9527 0 R 9528 0 R 9532 0 R] endobj 9526 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 335.725 122.505 346.725] /Subtype /Link /Type /Annot >> endobj 9527 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 335.725 161.9785 346.725] /Subtype /Link /Type /Annot >> endobj 9528 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [167.2915 335.725 200.4895 346.725] /Subtype /Link /Type /Annot >> endobj 9529 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9530 0 obj << /Length 19 >> stream q /Iabc21654 Do Q endstream endobj 9531 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21655 20690 0 R /Gabc21656 20697 0 R >> /Font << /Fabc21657 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70%MЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 9532 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=809) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9533 0 obj << /Filter /FlateDecode /Length 3893 >> stream xڭn$ί@@|=ANA URk<{=|,ET*[lJ׿5ӟPU19UNkp/OV[no!շGc{㽨nXGt!:4 XlÙS|Y>_;Ȗ/2h?^L}zxjgU,θOkJ4ן0/m'm E%t{Okh{a N:\w9HeIw8Gp1{S3<D `j'C}f26i752L0F#HQQ RTցaT@ jO/4ڨ$l/MWqfUJ*U>s@qZ.O # ީ@S_>EeLh؆!ND<7t"!{Œ gy6k*8aj3a D@Ͼ6MaAzύ Z"6"t t,)v[@ĪŊxs'WjUv)ACLoq=-J6[C"ې`vVkH.8~*] \'FQ;¶tTJ6*b:UOt:dō[I"o$EG MԄfkQ4{A 2C#9!Г^jdk0:MQU#۠%%^ ιFBqߔ[QzQE1_uwQb(eӘ$.dAKu_WrZAى}}Of3#8gr0 BB!Y::Obmu9χ-\p(*sa /isu6ws';͜c\i}(Yu+fΝDj;{1s \1ЫKyVVHHgL^\訮sMP^䈥ck;S~޺f*YNJWۗȣR݀4Bx_dMT!a"r o:QKF$ԵÇɹW\m亂tIK$MvgA[_rNf}Mӈ=wI`ZdHx]bQץ\e< [[[ QY2[etfkb戏 e=a3WHbcQwxBްzxo0[~ś̖l-3Mluym.(Mǭ:pRGꙸ( *A -PtaV^9P|.*WAl\f&in]&lvg޽U=A6:گ> 6_~>o;~5nݲ`&v_s%EH^^mqECa ,+ qۚ-wkvil٧lU-{,:Gg"x) P#0/IQm1k]w|khcH;xa&'B]3-o.1%[Ą!Pɇ1ҭcS+?q,Ӝib`mDS֭`z]Emn瘿[fX?y@uh;{V˝ugakú! ,YfWNF V(-,E= ͢`mQlb6n=q|Y3>Ҥ $//ҩJz-PCT17~JZhe| DUr˘g Dpxlm|v'NUIN6h.F-tՙZp_Y1b"/y'ś\glA=@0<郲vʩ TpB~UxKiޢKtڌCz©6e \S+cqh0rapڧ^j OȎqLĩѢC^8a$z o3:=|{Rew-[<ϽxcE& Tb֊u\riElWRlC,K8\xrđ2ƫnw cHajDž6G(&Ef!ӝŰ4ˤp5 )t)(7P#m:Ю=M$.tIq|K]/WL@ۮ) nˏn駯(E%IxpG1G.!6=tsF r@>hzwgR}֛ 5"J5wxDbE4x:F›spnշkgnw{Αlo9l ʦ$of\ʹȷ@ 葷O# >,Zw endstream endobj 9534 0 obj << /Annots 9536 0 R /BleedBox [0 0 612 792] /Contents [9546 0 R 9542 0 R 9543 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21673 9544 0 R >> >> /Type /Page >> endobj 9535 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9536 0 obj [9535 0 R 9537 0 R 9538 0 R 9539 0 R 9540 0 R 9541 0 R 9545 0 R] endobj 9537 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 161.7462 173.3797 172.7462] /Subtype /Link /Type /Annot >> endobj 9538 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 145.5463 138.1357 156.5463] /Subtype /Link /Type /Annot >> endobj 9539 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 129.3463 150.0652 140.3463] /Subtype /Link /Type /Annot >> endobj 9540 0 obj << /A << /D (unique_342) /S /GoTo >> /Border [0 0 0] /Contents (report_ip_status) /M (D:20210608081201-08'00') /Rect [104.1732 113.1464 182.0257 124.1464] /Subtype /Link /Type /Annot >> endobj 9541 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20210608081201-08'00') /Rect [104.1732 96.9464 157.3967 107.9464] /Subtype /Link /Type /Annot >> endobj 9542 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9543 0 obj << /Length 19 >> stream q /Iabc21673 Do Q endstream endobj 9544 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21674 20690 0 R /Gabc21675 20697 0 R >> /Font << /Fabc21676 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ʚӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9546 0 obj << /Filter /FlateDecode /Length 3570 >> stream x[I9Wܐڥ2an=agP/mZ"2r&)=-_g?}ѵtXeU|ϽO1^[3E뗽-?hA_ 4'~9c3YfJHG󤝅gxgf_IgxN@dB>߆QCR(1({P{I@R јxe# 4Y=pD'P=}yIIdH,YN8jʾ'YY2=}cz?ws ם SMU.0wХ6DbNc 9.SgzTj`46d J,Kwg{& vg 0.TX -DI7 +jyFLS6Q4>K"Qim/opr$cz~4ϱR3"EPFaƄ-Ș_`<(lC]FTifpF~# f5#^^qeL{<-Gypcs:udchp<(SsIzúq{9WwEtd~\ <?]2[q. 0%ĖӺ guCD~r~ tp%tsT܈z#vUA9f&vKU&qAZ+NғMUmi$:D{wK&1 j%R U eaQCm ZK6TOfjM:} GeyAkkAHUo4s !2s+Ns8.fIt:)?vpu8J 潐g SKw{@zċ+~`O`vg.nP1r\#U!gޤ=ukC]+qF~/Ct)7(_d=30ǝ#pPM=呡罣`֓ 99 *@n<`CEc*o~MKD<+ Ax91v`HSrPK!%2+ T\R @7O"ع si`?vVqkŏ '`]wỿ;[l1IF:9:ӦvHrqڐwLX,?WBM&e}җ:UP'8ʼnIڠ@~p&Vq%|] x7/ғ-7TYUf Ş󟠪A AL .%ZDfrBH9Dڒ!@nqzdm1mN*ѬcKwYYпs1d8#wu[uEm y*<չ+ww`W5eJ7cooVN̓oB‡`Rҭ 5qH$9$ aΕg\ž4L _+dyl!)"奘rc-6m@+ y $St.Gt}71u31t$) ҢSQT#0`1F)IYz-hx|Zbiߵ,o[~b.bٲwMȁ/݄GᚲnʮVvudk>~[G{mݶ;? Vf Χ]SRJ Wp}VV &3J[d=O`:]U0GF#K1 QQ..%0f}9bEߒr2ݓ֭$h&"`;L`K(-l!_Y+"ܖo*Zf9ljŨ^JSyT1,k樌G?k%.FZkxm5[(>)[_|ɔ'<g#d<*s%':kJKp lcuӯ toxpӛw/|ƣt&4Jzo27b"գTHm 2#VGPaBn|GWljo!1= ^;ys|=ww!^3;?VW@r`Ef 1lӔ񞇝b#!sE iCah2SqLYo?j9h`jA.d>Bm禐R𻎲`wL/ %JtD-v`A)uR@5VayY#Aߛ5}1J[3WٜJwy2#0R겴0lYZZFK]ϭF-nKIмTPS6OcW,]&QU@:ühE `Vld,?2T)}f' ܊ ;Tp *E}N692*\ȶ&P,DݔHIF'n_L#9j)|f|fdj_>sKZn(Zרw/":U8:gQtPNLϋ]gF bOR>Ĺ&%ŵ[5N`%6AMamkӋ9RWRe[FJ̑>:$*-@R 1,:ۨ6 endstream endobj 9547 0 obj << /Annots 9549 0 R /BleedBox [0 0 612 792] /Contents [9556 0 R 9552 0 R 9553 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21692 9554 0 R >> >> /Type /Page >> endobj 9548 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9549 0 obj [9548 0 R 9550 0 R 9551 0 R 9555 0 R] endobj 9550 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 273.125 122.505 284.125] /Subtype /Link /Type /Annot >> endobj 9551 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [127.818 273.125 161.016 284.125] /Subtype /Link /Type /Annot >> endobj 9552 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9553 0 obj << /Length 19 >> stream q /Iabc21692 Do Q endstream endobj 9554 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21693 20690 0 R /Gabc21694 20697 0 R >> /Font << /Fabc21695 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 9555 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=811) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9556 0 obj << /Filter /FlateDecode /Length 4044 >> stream x\K6W@ZI391bOc,_oUqanKbI,Z*3%.F~~NKdX?Iхh7rOr2 R^O_?.}w il?OOٛ"rFUѿ|' ?J5%#5+mKacze~eÓ p'i_W P= 'qv67RZCǺ$M?ǽ?H:%ꩃ ax}cbf 5wάWeOv9cA00G~4 d< _,yq^%خ_6H%M_W@ 5z]x`s8|ίJ#(/>'` L*t0OG3\Pq.0^_Qgf 3'3M }0@*)`\F_ ! dy~:]wQs29$thZsE^W|`=BXZo2%:55t{>p57K& $ X#%!Rj hv!(BZ+OZ.0sġ[;Sg-=7Pg--{f/<֠gIXL+2Ǖ9g˾@Z *-}^^ĢdZjw,2~6%/(H,g6Y:;k"7',\{Boju/>@<O 7,X Kt P_!Zljlvkە{޵57~ao3 dP{;Ȩ;Tó$_mi$M"XiCiW]$߷lhKǟT&,29y80InR %n!M&:WcHGjy8;E  q)8.tJ/߉[I 5d?NQᲢTԚ,/ױv+%:T*XYpQF:Cej5 +^…5Vb42B4,sud+f 䶊IlYKk}W7.Visݩ*WUġwl荛A`K'{~6^i_{[tAT:4xpJrE{B]rq68kG@v s[(Vd-s:;C<4{Tn큻S):jok+{y B- j|boZ|<~wVZP;&V:r!J<̅`ޞх/'@'r//@/r//@/>1~S zyZ?+ anTP_Kx/-c~g H/ 3ٲA'Kq,fjj{I`ذ ^Ŏy6x#nMYA^m_Eqfa]4*֊ N}>\IYdhJ͜c97؄<7@;[ Z}j,m*`=CCfX/ZDT:a'L 2mJG5#1paIS mN5ӐOL :>=$]\̪'N ,%x<^ˆsfj݀&LN?2&*L&-)6e5GleOfHB &6BT%bf@b`1[2 H.c[wdJ 4ہ P(X wb_s/ @ʚd²@j5Guei4}KŎw&)6~S_F.6&bsLo:dV:p2ֱJ!7f%\މ:;躈/93[%ӡ(WIaA,y4.o~ uɏ|~~@bq;ɢ+?K) XtN"c (-ˇ"mгKxh!2,@/{zOD#l}f#mlb$pq ɒ+ɚjKAXҾ1JNq aU12uŶ{5]rDAlqE9\WazȋЋ 汘]A)*C?C`a_Flvm}d,Yǂ![\%kQe4 d6&6U.L?)""f Cʖʖ|g8]_{'4Aﷵ^QF.~vqs7Z ~w 'L``l Dm0/w0[wq- }O{ݩpO٘hNZx%E`$TʵzBm9Dʙ jv]s0ɴ,lgHLj"],tT1Î͋;Lfv1p?w`)~3 @`pVdII XQu=yqX{3:<n>~7Oܫ|mJklD \F Z,e8Yo?h&YS,f*u{!qOBGǜog<룩YQ?DG]j! ?JYf=Pı:K>./\TLݴ/(TLH'.~LgOp0_d#ecFZ*N.5ŗGw+"2U8/RP+}Vub `Y8rsKOEjVF\F\튽5w~3f;[}8n ܯ  8E\s1[\2|A,@]dkƯJk~VrmuYY}h9#%z .3{Ƿ k'Eiu:gj0t>\9C_aEdܵCvYñ)Ǹ8S~ֲz07y]wZ.X.|tYI<]iy4L>ü ,Z endstream endobj 9557 0 obj << /Annots [9558 0 R 9559 0 R 9560 0 R 9564 0 R] /BleedBox [0 0 612 792] /Contents [9565 0 R 9561 0 R 9562 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21711 9563 0 R >> >> /Type /Page >> endobj 9558 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 596.9576 381.3955 607.9576] /Subtype /Link /Type /Annot >> endobj 9559 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 544.2884 396.03 554.2884] /Subtype /Link /Type /Annot >> endobj 9560 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9561 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9562 0 obj << /Length 19 >> stream q /Iabc21711 Do Q endstream endobj 9563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21712 20690 0 R /Gabc21713 20697 0 R >> /Font << /Fabc21714 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9565 0 obj << /Filter /FlateDecode /Length 5826 >> stream x<Ɏ8rw}$PYUi`n~  W)6˒H`0vR\U^b6ۯkӫwkXU*l EWi0ƵʕUŘsZZ~ނ[uܴ:PREjY6#R[FEmIOɬ*YctI.9#  m՛ Tzsxy[규.m JHhR[t]&Eeݜ/5>@9&cJCyyC͋B&@3hhܜߌ./;Y5!m[ @:M#f PiժzJw)/8:Ej-^3[FZ=NP* V#+ 6T,©0{)ŷGVfZ^NcQQ[ r*4A[)7`B rs.]X 5RH7 /O?"o0 g't(Xo 27= Lq,s:~C,e|.!8 Udwa %0 BFO,\~'APޏi3a$A®)MdR@%ArV8h EkI@e*SyDLhv/:v qJ7{4h V0As5"ʁA:q!u'T X!Vu n HwlIHЉOK!D(sY!؉Syǻ a7ʬE%Mvk+ߖWx4#j0_8+e0UYA?YZU_^mRpy >\FYjmn~| *EYi2_/#(/ e08Y,HEhd!@ T{2v{6*~ fS'&Z7G$_`ب*"5si+4JeNʼsP2  Th( d{>Ä }20g5wf?ZxL;5v^-E{أ2|J& 0c\m4h5`Ynl Ua⮖  HoF v;GJsdĆ%v`^4ps&a ʹI&.I;XCTe `҅O}07rMķbLUUj)>TzkQzDa%' 饎f@{$5t0:uŞCj(㙧i_dPO2(֣KzPqSL*' rH߸ !5x'54kTQyIzQbjׄ*]>,x+Cz:%I4`C7V %l̾;zfŐ.=oss+-Fϯ0ͰKwZ\χ `3/%'o ; יBĥ q~ Nd)%*2f<[{Ά\4o#Ɍlr_4Ŏ✟5Ŝ{B~>o#9Hu+w>pͽ˟4fK T&4-+ML.wKheNNM%+.tY3q>@!zRR ɹ&c~e!yhVt{.+GG Zu R(㌼UY h甛5.(i{9![i{YQUIT%auItGTuqstyNULuK6߉ujΑOz#uϼ JU;\SߦU-!s ۵c<2=~n~ƭazp 0%`D|~ _di_}׽O{ \a L]n mv)Ӳ\Tt}LVg?@؜lLAnp7`llu⊤p3=WU%qgh]DfVfAv}}αbmn~*z~ φviв1Vv* m}>sou]uB ò! ~U)gMLffZ b_ VHj=6=Aiǽ8D>#e.wPӽRS\lfi vn:ۛ3=o-ÒAi`|{н$w ~Na)نY^n4%{= j3cǯ9!+[Kט~W^ԅf J`ֻ Nf򕕿 xjoM'(D5-L,rnaJ S0~ zAD it ߭.wG1͔-ożgnaףI'^I[caWnN~ m>O ]z<0ݏz@UoMƴ _M|yBŁ[z7۹I=<6I9[ڇ :GDdnnxS:G/UКֺ=c[мy*R݇ss9'S^yЃZ,֗y@4ͣ }e?7"]̀_!)7-2Nы/Gag-گ98[}}zgw[xrZ e׶?Yn .^).٤!<;Ie X*06 %N=~lUT OJC=CKи\W)wtOLFxޓ [_='-wD4)k2>esMQ,\VnBa62kJ_[ZMBh֥$]nu>4F4q)5~n]sp+6{YsF~F͡l{_X)wE=ϻ}'"ȧ=W~>E6@Uz,<_]fV?LAT%S}2~ baYܔ3X}=~ ~}[|O@Aŏ{%jy6k~a4.dK+"2[{|7-xz܁S2 {po0KƭeBXOσ-Tm)qU OA*$B(W]Is~3랢9$ԘEGZ^zҤz.͠-j %0 +CrZ];V;MЇƟRG}yHI+]/̝?t|}Ts 14~^XP}В^X^bZ \ vB9,R`v;w)4^QʐopJ Lw6;ve ژk/e@),ʜl9GU#H !ֲ8~ū-v 4>)Ⱦiho= {ۚ֐+X$a+vcw*sYt\-B?6Ag?,~|E{{>ٞ#l{*cۖ[۴v[8/;5)ϝ M6&EglA?UogHKy|@~_<:ܗTgl[oBO 0O6=Pj ,w>,\ʵ6:Ko]n0Y?%Er0 V;r!׽1aѩEv[1)"h'xԔ.. ɣL!+d.~|wuTs~Vt^p܎lg q%=`B*L@^KlH#OYiu>\qI(r}_Ab# aWy)0 2pp?MM"\!:zфׯIO*W眞[?JɱZ T:u K!uA (CW8D: gfg%z H endstream endobj 9566 0 obj << /Annots [9567 0 R 9571 0 R] /BleedBox [0 0 612 792] /Contents [9572 0 R 9568 0 R 9569 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21730 9570 0 R >> >> /Type /Page >> endobj 9567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9568 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9569 0 obj << /Length 19 >> stream q /Iabc21730 Do Q endstream endobj 9570 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21731 20690 0 R /Gabc21732 20697 0 R >> /Font << /Fabc21733 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`RathǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM P endstream endobj 9571 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=813) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9572 0 obj << /Filter /FlateDecode /Length 4904 >> stream x\Kk$Iǯ@@RJ}݂= sn2/33#SffXH77{huֳ'l淯sӳwsԥ6=}U㙞ڰ(egY鐔J]]c-MX3J){įZ^a6ÜqagR0.EOS%xsH3+@PG@5xzxm̂Yc`Ht dYche_Σ etZD5 u-Z&Ԛ:0H3L {,L ai),3G#KSaeK±0iRa͸,{4k 0m' 堃E%V}'Lza30}Eu+BfI\ {zd8='ܱ\# ^O<\+B71FBqv.cmt9%i3*! |\q% `|DJպ*j/P,W!'@u>w0I(Rd+&=T6+Z|C)"t[V:*e:&m!kj)}`QE=90vԮD-cu+}r5f)=f+ÿ3f䧌dLkDt׻+L=2y+'cT5V`VPkl^wmφIfDTAXmW V2xcbʔxO&ߡ2j}+J da%0QԔJ\9Wx*za=;GqkqԿ|8L!MC=4mmm;Td,vcG1lv40&8LGYRI+AjÆd;_^ w`ӓ t|~ykAŲ1ԧϿiv7X@͆}C`!{77Vij37P&fp)A5S ^5#yxdQ)!| _Oc)g&\\+0B÷d~rB-U9+a.\@ɋAшHWكr+Qcb[\<  X`}a>%Cĥ!0b!-)'I00Ћ{5BK‹90x LYVA\'؊XAjd~n=!Llc<#x:@@0!hWfef}_r}As(snoF!э]M wyF4zf1 wfNiфYzt[jH"K fDDE0Jׄ!OTk Qj;wQa8 Cf)M`j ѹ?f<:N[V,z FIR҄ 3D)MI0522ˆ(6UlsُT q]Q7s %u@,#^)b," $:j*$:!@r$]6IA fz'QZiL8 ,R-J8r D0*FCѴ4IRd:.8;oP4k&wHpy w]aoCgpҝ9,Z`{HRڭ=K\n|D#!7L:ܠl# y)?oX=2P 62EY#{4թӯH a5"S O2׵q!wQGQ;8Ndɂw no8EXwM_ِS1ZzLzp&tp--H4"FSq宧˞Y%yJbN+'my*. @H9ƼDg:La(@L ](F.U-fBb<MǡTFN&G-ij.}G1{CG{ ftjrWj@ɷ!</ѬԧfEx7DB!m{So9S.X11Ԣms#hC/Zk( `143&ip&QiEOQdHF9(_&mkn8߽wU)U5bl[JV9[yC|1r buDz)[$R2I@e *0'?\ȟxd?U2Sj3Ovg~@k}CӕCwrW?~;"EL?BܕZMzD4`4g',5~fi8p)'u޸eH/ﭐN^!i´xQm$-[w7[4>8JRv*j.aXy|*UÝY"^X՞ ֌{ |BnU͸թ;NOGO*=F(F~OGx!&"E&}>vƲhAp"3rVQ9TO,*0 euVjW]򵓝W'I<&O&CIrLt-eT}roG:C4D63?K&=Kd4\~d>[߰j3cV~Y&:.hÒ:H94qևIᡛ%xDՐlkT])ھԫ*ks\`Vmw@+{jS<'$1vm'=T"C6@Tw~)Tϴ ؓ qBG )"~L%2ғ-qTi˻?|m%;2>iC'S> ?yyK."%N[X tOsZ򞃚GbeLKUeQnmH:z>h34 l$[wP1OjKe+|$^1B J5R#PLǟEopMwT`8F *=[tߴ?\Ēy(wNRQC7 7_pIEX92XE?o$.pM~j.#4X2%EY8O r2L|~wq7K R(tpۓSyLxv噓5(x 8UCmܢl *uJg\/+m^dܟp#X,rTXz˗ l/|2}RQ50|Es0υ`2g1<ͦSjV)'ym!gUF%m_\ܒ'b.?_ endstream endobj 9573 0 obj << /Annots 9575 0 R /BleedBox [0 0 612 792] /Contents [9586 0 R 9582 0 R 9583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21749 9584 0 R >> >> /Type /Page >> endobj 9574 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9575 0 obj [9574 0 R 9576 0 R 9577 0 R 9578 0 R 9579 0 R 9580 0 R 9581 0 R 9585 0 R] endobj 9576 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 552.8 148.0577 563.8] /Subtype /Link /Type /Annot >> endobj 9577 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 536.6 180.3482 547.6] /Subtype /Link /Type /Annot >> endobj 9578 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 520.4 138.1357 531.4] /Subtype /Link /Type /Annot >> endobj 9579 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 504.2 150.0652 515.1999] /Subtype /Link /Type /Annot >> endobj 9580 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 487.9999 180.9312 498.9999] /Subtype /Link /Type /Annot >> endobj 9581 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (update_ip_catalog) /M (D:20210608081201-08'00') /Rect [104.1732 471.7999 191.3812 482.7999] /Subtype /Link /Type /Annot >> endobj 9582 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9583 0 obj << /Length 19 >> stream q /Iabc21749 Do Q endstream endobj 9584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21750 20690 0 R /Gabc21751 20697 0 R >> /Font << /Fabc21752 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 9585 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=814) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9586 0 obj << /Filter /FlateDecode /Length 2965 >> stream xڭZI\7W hesK|$rubY|wJş y}uuӯ 9a- X 5&QZuŘڒsς Ó|#F|^y{7!IhZB_I6h[{X_:( 8_xK͜vnᮋ ]d0&;$}g=2QkbYDp|*4YV9wHfKvSWΛ6UzL}&bC$J3Xx 0x<nEo%!Bw;#wI"sPm.Ln0 ޷ WlX9ZavK% g-i$rE&ngӝ|( }Gqj2wS&͐uϯmMѐcY_i@h3msqk:+A۾?h_? ϐ%</^!H9Xf aSpq?09x9 GAcȠ(0'Q>M1w$%&:`CE{Gxq gf?2<a*0S,@ T<N;3 > lBVdJiD}NwRq䈼0[1gʪT&«lKѲT'iI?aaO$CZZ#rƅuc4&:ihrɴ \58+&Tv4י7a_L#BN ^DHBmq`) ! l #JƓ"΍I2{M wd+qGyOo O _=Hg8m>UD7a&Y:J-NJtL#%vMS`)!lO(lVS(uD͹(5F`H2 6xAu ;Hdb*g * |a @3td1RzXh L78!<#F-)I)Јj d4OGD:P52!õHV15P랍QB,H#@l47UIZ8{1;BBAOE$k06SBBE!" w8V/iz^A^/ ҳ HJ- _ !]e rG$"(=aFqĵY'rSB+0q eC f)W&CL9=诂j[|$(Γq\40oV*Ž'pvJT7q1V[kq7gDK|ǻ=deg{y{[Qu{+{pEG%9s3P Gx*O(S){ߟ2 t8=7R=~V6WZjJtm~vm`msr‘* E]WW/4c&B8RE55hީ^\S).ZB :؍քC$cs ~qU5*S/%-{V8K.!p`ѱєM+:(w}znR <Y\WMvB!w6zĴ G6cKG\Ftv)b{(k%=ʮd%*_+#6| n1߈Ezvr71KusH72%ᬬ#l32ʆz`W9⺷M[KhűF9-GVC/Psa־қe"Zn'鸵^2H(fPqvl WjaPH2.iŤɾWenAGq{%_,N ^2nH]Zt➆i5":H6ԈTiW&.)yj6ײO6 |*ObWQLFCf@@/Ձ\&e*؛o|+٨rmTQd:t&N-]R`l^nBl [ߛZGJW-M*7UY)aQ=N㎹jkuRB!$%^(\GsT JK?h4_h> lYq x28ޙX;1x YDiP$^HS#3Jtu贁u@Lu+u \Rz~ uf}&KZ!B?Ҳ \cZcz/fid7VgCN/ /aZdqަߺ ]y[I5asSck؉MRI۷4HURt1UnĕtB.5"ҏNA~T,%I߿N6~'ւ b>Nz:XyXϓ9c:|?aS:ҡQ._0C|9L(C`y#gESx3<+In<؜p;1\@8#,CWB60caW}ڠU7?ђc^9A.6,/GW7hh;iT|MmއijH) endstream endobj 9587 0 obj << /Annots 9589 0 R /BleedBox [0 0 612 792] /Contents [9597 0 R 9593 0 R 9594 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21768 9595 0 R >> >> /Type /Page >> endobj 9588 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9589 0 obj [9588 0 R 9590 0 R 9591 0 R 9592 0 R 9596 0 R] endobj 9590 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 273.125 122.505 284.125] /Subtype /Link /Type /Annot >> endobj 9591 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 273.125 161.9785 284.125] /Subtype /Link /Type /Annot >> endobj 9592 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [167.2915 273.125 200.4895 284.125] /Subtype /Link /Type /Annot >> endobj 9593 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9594 0 obj << /Length 19 >> stream q /Iabc21768 Do Q endstream endobj 9595 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21769 20690 0 R /Gabc21770 20697 0 R >> /Font << /Fabc21771 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`R ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9597 0 obj << /Filter /FlateDecode /Length 4101 >> stream x\KWleI{ 99cNK~ŗZݣmD**VL_&3iwKZu*uf ~4:~~<ɚ䦏Oj.$;[q?)øN1vrxG=G sqvUhO.=-N`|sY8'O/\JT^K~NyIC77?S?m3V̡꜄ \Dpa $b6PƚytA|N۽07iqDiϣ)EBAKuwU'zV*>1ncKz$? у2{wٗMbѰD/'cfJV>|aXJ41Wy`Bxl=(yoP\e0~1v89GHGyؚRWgQ4nCF>'ȜL"iUɌkQ>f-}悢o tS@B!"nE:ZIe}<LkN&HDhskbqMnz.2jK:1'vc^  ֗i^nW{ Nϵd ݔ^0Fv3>Y1|XZۓj.N߈-qdڌ`|mP^,zM$~ *!y YE{}b%YM%awpzOJ.YE($E\ V8ɳv}LjIeÏ܈%u}r(YLcU&MƂLN;{R {s/& ZSEʽRjmHhĪ;blWt{uw!݇y^a8.NH~ }k̰cS։d|[@fwryBcͶlnckg0C,E!uK 15>qϡ 7LֱNB~G{Wv` 8+λ)K0P G{0m}]7}L.)1~/?M>ϽBӅ^uāwJlp+ɗn}(h"Ov2#sEs+CW k+M&ZR*^'7gL̼@xƨoW$-)K"ґfoű#CBGTNnSeT(&:^h-ݱ o~^5Q,N`>=PY-!aũprKڞ23B4pu4WZ!:[UB⒕*4*Ū8mURW+W\&zfu $^-h}?E^yKtNtbd=Vw@jn~zV ڭo `;VfBz]MH?ӄz /#rG#_VV}zM]J7ӅYPs3:ტpm "+̮rk42h /dR.^rnL>ggwM۸ _-q-B@>='9;/(o@9Eٰ|gMtw}%E CZ7+kZN'{SfBM8RyZ65w4 b&Y֗l\p4dW`dU5AV2jҊg- ",l X0J}wiKM[pOʧ Pg8G0B|<>3z/ QEi7&CclHjY %YmxNy:aJ y9vln64cTcBrM(ҝQhG5 ՓE}7pZA.CXk;(#MK9 ,rg:x kB}Uot9ņܒTB'.nksd&ھ򮧆QCa7ZG#we䣊KG)! Y6z568)AaVTPJ.)[D'(N3D4Ia{8xǼP#&`0z2O-jSu帊VmLcх6l .9 ]IV ^?Xd@~:!j`N_Dx?8ggD9w&:,!nCi| %.:RZs$3 cyJSE6-k4'Lwat9[ͣp _<:3&r+tQi9;p?O0)snβi$a5jߺFu3*PW&Ț5ƒh?`SpgлzxozC{ x-hDg0Q | H5ɚ?͡y1PBHBW BGGN7h<7^\%}WjAeYOP(b_S l0sĩacR,%bCAIz'B=ѡV8G!HYh+EJ. Rv#CGI֔;u,o"/Q+5jveBkhy/Ԋv֞Plaf>1\\&#Ն*ho]7s!Y.|ٶ߷b9'gup!9-n㏲%]kh9<`t9-y<^5ձ%5>}Ap8SxBK_c7y䙎:H'Hޱ~$x2g75'kɰ_z_% ݟ3Pþ)[<#?k 7p(Tm{9#=ty}z,gSIR\Vpؔ!bIg[骯 endstream endobj 9598 0 obj << /Annots [9599 0 R 9600 0 R 9601 0 R 9605 0 R] /BleedBox [0 0 612 792] /Contents [9606 0 R 9602 0 R 9603 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21787 9604 0 R >> >> /Type /Page >> endobj 9599 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9600 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9601 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9602 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9603 0 obj << /Length 19 >> stream q /Iabc21787 Do Q endstream endobj 9604 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21788 20690 0 R /Gabc21789 20697 0 R >> /Font << /Fabc21790 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`*jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?G endstream endobj 9605 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=816) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9606 0 obj << /Filter /FlateDecode /Length 4664 >> stream x<Ɋv{}R/"#$U .xav1t)FI0JMqSrcֳ ~dKm}~_/JVKa]oqUX{W8Qp>>eۿX.4fka_W= nyome0t/^I0@@iWyci ⩝zDARPweuLoL@Vbۊf{EtApPVoDDQ*s-Jl&iG8yR(Q!;܄4 *z'!7veݷʋ"V],ʈdUF!IG:!VĐRєS?kݡN LҐI܋GMr8ވ3t}-DAk튆f[6<Ԑ$Փ \Ѫ%IM/./ً!*US<((o,rmtK_N N.0J@Tq9aE kkoZIđPUXȋ7ijmdyz' (G: iC&){bPl {h&K]L[9viĪLpX|Ͳv po [m.:%9%>,Q֋ &MՖ3^z6EѐQpHõf9`n [3ݜ&k$]N"KTӁX'#:; >X&D QI'_<e'â@lq*WO.!.;P^8dwָW <.uD4C]!1ѧ`$:0-3s%Arΐ:a#q{SVaUY$1 M_=~fSŰ// -0s-rdmZ*mZZ F]rVZ7|.˭5\=rOTJ0R &+n xلb5'`S[x1?Vwҋj:`dM4r, ZS%64W#ъ I/ۜ;D9k)K4f$WuwI;xvƾcwۙ7]&!AVP)gLj6u٭{f&|iTKoLLnQk HfRԁ{iQ8m~ 51Ҩxr>t-[ޠXїڽPs,$E\1;j4BUuD <S} +ocږIڽaH x`PW/u }?Y|rKMHxM׷IkPXXk[WYz,F_7=sBnfL cj(-s~ߢ Cp֢n7Ҧ9i:cah뮐i׭]R5EN C}Km*,Aꥊ(N `gm cTZǩ7u;T}UKǫgu!nCFvM ZZSn9Zާ>XjdĽ_ۉ϶YO,[u OdP(3lNgyf+j 6ji<:,@sqAܛڸ7ƹ8΁%knfgdm}#>?w96u^LmFnԺM)~we2jn n%W^ϼnGaSգ4n{kE}txJhM%LϼM萶4kn֍gʰ6 j xZז'msf79jM]zV>k!+-mdf&<;X.7_{40Eu)ge$$`lֳsЦ*(4.!n+387^lѷp՞7]c3. { YZmTJj]ijN_Y{=~ky_z#76,YI:Iy'ӹ,Z nM a . _d"nwԴr~\4oL=vڡj"rI.Y|mYN*ҡ7&7kE;MVӴTU"oP%4~yAtM] 3^~_6¯=ׄ7C؝uY ]8tkǫrm~<18QV[h ^d:]ía} |Ƹ܍a>N.+|17[@y(|i"|56K5}zڗ< ~X?.͢ݱ"&ݞ.ܑCInjEj5aѫoKO9sN9w3x%4ܱkɇƠ' F, 8 ;Z;C~h`oe"̡%Ƚbch]s"!r &0"qfm/uCEu !9v{PqN]pۄ uqd*wV,$ѿhډ!Pg$BM2$k:a1ȏ}ѓn .'psώ!4-iD~jprMK:^2014(Aq%?z۸̧+r9 |_^\: G9bؔ)B<fҌ]h6S2;Ät x@쁆@.fա;O 2F1+Kŵ RUAWH HP53sٴGh?Su!z7G/|^'7}V*Ik#Z*fMhEe5=R|MHoxp[U2B(HC@tBgx"`Qˊ3rSEnEY !\]"h0rf&rƄNt\;qQ /(fuC=K sczX'a;Ͽ+uzdt92 (#kPO2Y/.3GGsS%U$QFLv=t5w_jɉ~'F\ZE؜ƺ1!RDҚF5Zȸ-+MN&u*u6.C{؛W[]%*q~O~I4־y=Kucq4> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21806 9617 0 R >> >> /Type /Page >> endobj 9608 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9609 0 obj [9608 0 R 9610 0 R 9611 0 R 9612 0 R 9613 0 R 9614 0 R 9618 0 R] endobj 9610 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 242.8077 148.0577 253.8077] /Subtype /Link /Type /Annot >> endobj 9611 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 226.6077 180.3482 237.6077] /Subtype /Link /Type /Annot >> endobj 9612 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (get_ipdefs) /M (D:20210608081201-08'00') /Rect [104.1732 210.4077 153.9042 221.4077] /Subtype /Link /Type /Annot >> endobj 9613 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 194.2078 150.0652 205.2077] /Subtype /Link /Type /Annot >> endobj 9614 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (update_ip_catalog) /M (D:20210608081201-08'00') /Rect [104.1732 178.0077 191.3812 189.0077] /Subtype /Link /Type /Annot >> endobj 9615 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9616 0 obj << /Length 19 >> stream q /Iabc21806 Do Q endstream endobj 9617 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21807 20690 0 R /Gabc21808 20697 0 R >> /Font << /Fabc21809 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70iPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM$F endstream endobj 9618 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=817) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9619 0 obj << /Filter /FlateDecode /Length 3460 >> stream x[KW@4Z 7ioؗ*# Yb7Y,V}6_!ik;ˣKmO/4\IQMK|g/?O@c}rR>`S6II$R`}#NA 8yZY L 8?du? EYt!1\+׍"2z G5[@ȕE>_4 8`YPC(h3MάU6ꖸΙ>%'|\ "rAh.y峖X##bO\)X рVڊ[m$B4BJag c N)#6'{"" #vuZm} eV٤٤ Iv A !|.?XE$N0O S}(2* JLIT& 3e/'F8h{䨩٫JS%m~X^Ggօ1Gˢ!T}N>Ko񦪚2*Nm,%`ހ)$d&?Ҽ` -3"JP]>-j1\m]4[EwK(!_‘ʌUO 6(UE )}d1J81xd<VشZ\Y(hܐ%(s@+mvw܎ 0=U}PZo!#H2f'ZzZw᪒*]0v|5&flk-l5 |^@'1bU#X /_q\s$JKr3p}4(mKKH"z *NB/b7yI&aH}:8nƱptC3"~9u^=7Dk:/ MΒall5;15%$xS4OZڭLzYBCp zJnwA4Be|8I7582+:#`c\;jՅf|]IH{*}Z/uQJZAӑ7e U>ݎ>AZ.ig ~cD%|FT]rI {R}aQ Otj`k[7wtHZ Zo܇iIBq, x1%R|`6BqPwވ:?:\SqJWA1UD:[Rx,c)!;"k ycD*XwߏChjiq74ZIITm^v<6{G?RRW^ît{U4Ku6 Z1]n R7FHw'Ͼ[r3CqrS6ԐhXASRaD+BP;vА+T<1RK^k8&/z~cպjRc}?}'isO#(v3 MAw_}cKi.R0g^D3a ֥sV$ƅ-#X̕9ʱ.K-}rY_X6~kOyOC.ThP顅и=}9ބ{-3;n!S8Z? !bmS4GWpJnmUjލ2P'~3ZOpSFrvԶRWkՀ}jB5bJ ,swuOHW A JsP&qʡWOzfE^_zmoVWcy [S\ȿ"q&W<0?Wr(TkYہO]Iof~. +K^)Dx;ezUhr5ZMü*7.]{=e9cۮ4ZJOA7W/.wU`X4 /%LNz-C1uڤƆm0!yuZ,h჏(-k#<bcXB)oTuݴru{Hdr+Y}<'i -E &==d/oC;$"& U^S /ߞQ6ԡ?VW;OŖWg$G{s& Mqxhka0$(p1?0>c>tf3!ǓN\sRw8UI2J%Ŀr\]j;!bAڻK<˘1R gNżn bm7 nl')\NI[qqB"j_[c.Hnn>8p ڡoz#BHNG5 b D4NCٍW-:|Xo]4YZeing azN@Uh ( //CDYo &W@Py_9$v)C2A9jvƒ$*:M\!aM5ݜ'<yxgwCp&&G}n (q >((mHbx^Y`8lfKc[+36{ ǐ| 5A؇BʅRRX})_Pė; \TQ1U }z*l.JUsQf:C^Gy4ԋݖ6)MIC*@B, la>9y('b~`,Pu9$V&xW#> w[b ulswTv-߳MaKqT*?somvdU~l_G9VZ,~T7vfѐV endstream endobj 9620 0 obj << /Annots 9622 0 R /BleedBox [0 0 612 792] /Contents [9628 0 R 9624 0 R 9625 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21825 9626 0 R >> >> /Type /Page >> endobj 9621 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9622 0 obj [9621 0 R 9623 0 R 9627 0 R] endobj 9623 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 278.125 122.505 289.125] /Subtype /Link /Type /Annot >> endobj 9624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9625 0 obj << /Length 19 >> stream q /Iabc21825 Do Q endstream endobj 9626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21826 20690 0 R /Gabc21827 20697 0 R >> /Font << /Fabc21828 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70)thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ* endstream endobj 9627 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=818) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9628 0 obj << /Filter /FlateDecode /Length 4247 >> stream xڵ\KoWt&0hF]99yrzُh i4M6YX,$I-+F~*Kɤ1K?I?MхhrOd>c~hS^D~F|a9"a ژ/ؾ.ʥm}tXr`EL/Ldq,oO*7y0€>ȲYM6b/ ["\ȱc)6 p[7 |r*Gi6'ZY ¸yL eւKO#Kb,ʙ yAv;_hk6.<1>1>! #˻7sKwdt H**q >DLBf,: P)D:%jkIa׾X'jvi##|6K|`K>Z$ѕWpi yO8MQ.n1X0NZoJPkmVG̐  }=s&dȒA~ w-sMP0qΠP+JI_CnmA~{ Q+}>̀ >k+;Vsò:(܄\=B߁~#^?<΄'XHKTAN׼|u;7sϕ^wnrs9cZ8d34ODkdY|w0/a0I;nhzr6um ˴ SӆxgREjyZa?` R֐QdhjZjFT9_.Wkp<e+u<שfye[wGKs}S]qJcmf.L"Iѿ]~6#[סsu%M?;_Kݦڳgӕ7 /Ch+H#˦dنts`##~̧3wt핝͹_[i[V^.WÄNMq.ZOcWC\7ZDݪExտtkeˈjϤ <77-c臇:V1~gj[A+ns|`%!$zOQ``ozͯ?KPt*O@Hp_i{v|H4il$Mm}K,Ԋv_)M.a 0nq()N"'=:Zl1mg!Kd3j^"sґKь3^p٠%r#VI-@ v Z氾TsW-ѡ:r9,Na.Io2%D { *XK{F\tJKI%}rIYKK@J_sNu#R\*V36sGo @FdD{+y zk5e64xpJzE[BkUڭKQ s5d!g-$Ep( QJv\Fmc\ |]R^@`ZDwjC#u@q u }) uG7-KfokqԮQZkF 8llb=DZlYv}&&]SXqH\73uȲ;" +bn-a.[ϴolir٣9$qp.\<4F\<:F.>F^;daRr0P \ = -i̭P~)Obl_31Θ #`moŞGlA|۬y\t|#XBn;zVQ^t LVAԈ3  ' kAyi%-`2ٟ*E{2eSqJ R9`/^*1HBp+zre/R2q>f0NCzb{ռ98Dž!Sb @+ Di .BKDzi-F\QG+F דu|/$$ Fޱre&Fp= gӡ|\>Ҭc9{hYI~~6z2dCJQV2,sENBӑOE?4:]Y ) tP憝 &BJqҠFPT@yX +#7<#] -S?d(Ђ!,ɸ|bmbwU"xUbvA%7W-eU 6Ol\i >Gqa[H 2E R|fyR$0[k,l"PZd9p0#`8u0qMfl8"'-rM)ⲁ|ym=69DOuštu f),*͂Ԟ[vb:s"<SngԥǑF̌;t{j+ƱcJl lZC-GӃ,;'j|M5h.#]=DN5s6隊ֿé\wA0BHBW 4 ?NM뉖 > "5Yl7ɉS~Xg=SmuoSf#N5XJ5XP|Kr˔BH7*#nHe$LM-_9ec;i)nH}ٜRsJVGsD1p^tYM֦L;f*WS6YS؄gV_qNVeHq^4QdArvjrͶ+z*zTG߽ ۉ/o4nJYb?%:@Gg;w[=^ZwV`_8Z#b6)c3^.ʘ|Ng>]h;*tcƩBe 0@p3tj d]Cy:_Nv!}O~=orOדZ/,h1&3NEQ΂k}>`W[u];_?&eؽU|\ɜELܦ[vY\ܔ 2X<DEK endstream endobj 9629 0 obj << /Annots [9630 0 R 9631 0 R 9632 0 R 9636 0 R] /BleedBox [0 0 612 792] /Contents [9637 0 R 9633 0 R 9634 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21844 9635 0 R >> >> /Type /Page >> endobj 9630 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9631 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9632 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9633 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9634 0 obj << /Length 19 >> stream q /Iabc21844 Do Q endstream endobj 9635 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21845 20690 0 R /Gabc21846 20697 0 R >> /Font << /Fabc21847 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEu櫶wwݝC.;p94C-z^lM1J endstream endobj 9636 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=819) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9637 0 obj << /Filter /FlateDecode /Length 4675 >> stream x kzk~j왰fǚ6tY4MVy0dnVu4v9i@]^a7@9EQ4ӘWb#RRhR.2 $j /H_[$"Y[D@) ߽`A )SEWƧF~`!/Y-_=Z&aKS, +$P5|ށK%$@)3Hea@h/Ryəɍ`4a&-F@~ R(l- ǵGG8SW~(M(ыHoJP<ƔgohqmRQC= "E equL=VË!)n֛C 2A+!̋gI]p8ވ3 Ax ^na; -XElx[%^th^Jt /yR'YbMEX&)OToȶ$bmrK]^ ._0JW%`E7o华h^+N,#C1xaGV {tAWke${:Kґ.R}`o]KO G҈U+ ܠ4'>$]tt[2b" >B6Ut,LOgX]!đ@ǧdF7|Hd]f& 9MH/q* =hs]2ߥv.VYeժM\*KUC:!:C}BY®^ޫ$Oh qP9 [v^bʍ޼NM(M|ں:Йh>jVio7:1{x?7c!-X5!m6xP=)r _DB`0 )XM)[Tқ6?!θ|:RcZ]gm#4վ*%K[}}ҼTh:@Ԑ ULG IVes+J~oQkGT=.C'2֮8yul:k#tok]lbV N)?H%J>D&$Ћ3j31*$CK &#k'&cޥ|eTc Az-;,0CZb]K ߾·v{ww [6_y`zhYavanpv>vúo7l d}qA#=LU3!nt^LvZ#L2Vr=sq;m9rEOu`d٧}7wBH6 t2dhm$A2싁'j 53qǸUa:wv|n=wp B'^Y[٘|NVthzٺ*iZY x̀O9ǜvt:%1^uwhGNjF·ZOۇ1 qgnM|7nЪO3«aM w4_BIo22Eji}TR?6c>5%dg>X֝e@_wFw#f|eGW.:>;3q^{q6MNS)wv/LjYӑL8Oj++PijbTX'^ԉ( .IԦ[ޕ}?~ñMYZN_Hu`sdLVY`-{t ~2gzΡlG xFK_,MJ Za#Cv؉ w&+ȯkKIim *ao3.-dtX׿mU#'_O ^̩uuXv{qݧoC t削̞M+=q̎=b>`ί[ <xܬb\5uZF% S5 7j%J!Ƅ-@V;jDZݎsEJ7CIMd#B"N4yPumܴ })3C V wLzeTA:m:~c (&z?WVXH%c)rkjة)}eUB LBԲkmmԦ(Zr+f3VN6f v3Zlyx;١ݨY8Qc miRC ;%7g'i]-p-8 fql8ؐWp߁HX|\ǾՖzHLzh0$ Tn[H "6 \r <MK'n#~ܸ#Jcx۞[ǚclKn>}o=~&mL`!s;h!Tn! n WiJQp?u%|W3Ic1ξ`UxTXz#H*y=v =-֚C[.!0+__wﯖ@ySs}=V·זTlzd,nt;-cٸ]_sSsW=sa@ůWyNϭz($kR,%&%TR"|ɏl rc΢@ endstream endobj 9638 0 obj << /Annots 9640 0 R /BleedBox [0 0 612 792] /Contents [9650 0 R 9646 0 R 9647 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21863 9648 0 R >> >> /Type /Page >> endobj 9639 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9640 0 obj [9639 0 R 9641 0 R 9642 0 R 9643 0 R 9644 0 R 9645 0 R 9649 0 R] endobj 9641 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 318.0385 145.4837 329.0385] /Subtype /Link /Type /Annot >> endobj 9642 0 obj << /A << /D (unique_450) /S /GoTo >> /Border [0 0 0] /Contents (get_libs) /M (D:20210608081201-08'00') /Rect [104.1732 301.8385 140.7262 312.8385] /Subtype /Link /Type /Annot >> endobj 9643 0 obj << /A << /D (unique_449) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_pins) /M (D:20210608081201-08'00') /Rect [104.1732 285.6385 160.7187 296.6385] /Subtype /Link /Type /Annot >> endobj 9644 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 269.4384 164.9372 280.4384] /Subtype /Link /Type /Annot >> endobj 9645 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 253.2384 180.9312 264.2384] /Subtype /Link /Type /Annot >> endobj 9646 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9647 0 obj << /Length 19 >> stream q /Iabc21863 Do Q endstream endobj 9648 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21864 20690 0 R /Gabc21865 20697 0 R >> /Font << /Fabc21866 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}7 endstream endobj 9649 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=820) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9650 0 obj << /Filter /FlateDecode /Length 2804 >> stream xZIo% W<@)om9 'ݗ!)$ի{KlHGJeUw[*V>gd2wq~y4ȧ/}Jk'VAz&fas Z-Go+D'|Ym <,򰢒qG_tGi L0,pO0!t)ZG@}0oWT "r 'AÁ9 trLco;RK;IV ?Ov ֵGAÑJ=\{K,zYwI+1lY|cyƝXKIz]WD~4>AưI@Ҏ>LzVLN5p2pdJU:OLRP5B_D^\Tɞ /j0{J\uSNbIQc,oer$Tz~NUS@Ҽ ,bht,@Fye!R埪,߁kLH0o \*-x:gQqSV/$^4W8(Q' #[̞1ůA2 VH{>۩Am1~WE*/+Wk\4P2(ūC4s2<@1bߐ81lS6CvHI58*ȝr'wz3gNZc[퀷&toK3?t bN0GR䱱-DL^ In%bUyȨyfٚj=7hmnHB뷡Z; 5~BmisI@E= Fލ55tNa-Z诖^aHoTp4pb )T Wޓ>W)9f %[FF+%!2ySeQ&|c;[(i082 Z$t7~0-G{lA 1Gݚv aTfZk{4sMVA; MX9жS"0;vMP緹voEdP>a_M$Aa8.oaq5&,|?>M") F7ڡ5F3;P+*B% R dcܨ¥8v5p(ʉ} 8zwHs9rO}-z2tDNaMb"SjF80hfh+@솥=,&+Bcm3jv_e"iUl6,MaSλ]a0N Ub^ e k:Wioѧ~tQZ&8}>(Tr)$gYz>*`[!)Mt^)e_)KU"L<4oXǡjXS1f!+lh3Xd*ګto2D ?31X FԶ )^oT]>lW~S,N?CYa;@/> @Qtk+,-LVp%nK/o#>2FpYcLlqwƹ_a`= G>o!U!bHR9A¸mrbb `~"$ĨpV鄀猂rUbP1k?lgjgsA2 4|K/?zRbW,Ln1Q!֚O39iĖ؛85$?֕ oVFx|0?雥OtFZ/e}n ^. κCK_?} IAw&S)`)V@lWHqI 0h>dW v EUl3E["p+H)q@ ŋ4Vڐ/2)K@DUOs2 'وI\-y#H6 gkr}g*0+sv%^Da[ Rzȓy$=:)Mԏ={*fOSkk=k# Ee܀VxK3u&Th릦 @XY1? :BL2.UGuTm,*[\E䵾mAU8TTo# [ s3iJoJn%Dnz U%}=D3Tj\47kgZ22vh?o{K;cݛH1VLc2 v`e=)2=ƖKSӶ #XL1]j6^Vrpk륹l'HVӸL~m^![Rn&DY wJ_F*@ Y?\ar(bVϩRϼ,y5!u@xo p۾e[I3~;JUo{(;f>Uu _xs]NXT?Gc/;VPCGU*08:_ t*+aICϏH#~*p?'-/w2+&\|WDR-1[2 yOVإ ί-z5xeޱ|iva7a~!y9x>{'5VM Ub 3a endstream endobj 9651 0 obj << /Annots 9653 0 R /BleedBox [0 0 612 792] /Contents [9659 0 R 9655 0 R 9656 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21882 9657 0 R >> >> /Type /Page >> endobj 9652 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9653 0 obj [9652 0 R 9654 0 R 9658 0 R] endobj 9654 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 283.125 122.505 294.125] /Subtype /Link /Type /Annot >> endobj 9655 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9656 0 obj << /Length 19 >> stream q /Iabc21882 Do Q endstream endobj 9657 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21883 20690 0 R /Gabc21884 20697 0 R >> /Font << /Fabc21885 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {v`*%C;f٩c8I 6-$G7]|t'w JN endstream endobj 9658 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=821) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9659 0 obj << /Filter /FlateDecode /Length 4126 >> stream xڭ\Io%WpmA<=@ANr _S "ߢM7dd& ?|N/S3SS2?!:?GD7}{zV[*o!淓QS rկ\0my9}eM Α僝OAbSi/d2yY|ҳvExZ.fgeeoWFMG…y]Q8-t3 ¿gz^ mhJ\H$#`@t206Z"D*ᖑGE8:/u ߑpN4 Zz?yc=sr pa8a._fLݣcg98G` ?k O_Μ~rgИ>*` >$s;~=[n07l5bwx?2 u;gv6S:vW='TERnc]z7} }cxFag!J<F44_dwu`˾ԎA9 r~ .`o2E k?*.as#RlҼ@gftl!뒻Q\?k;yP3IS]5̣ZwNh㞲s:>w;O4~(v8eTb J>Ρ8lr yj hf;ez EY Fp6<HA5tš a^>piB-\U pUڋMH˫;$5e8lHs@L5dAAA^o, ڲ-GB#^#y"\"R6aSyǜc_)!CFo6#Pjaj vӄ eq I9LȘJyGt\J `AP*$*+T {Y4;q!B$ QXGYؕ@,sjo,eqӝJ~h h_ ?Y1$4%1VsAԼ~d&mcdx˶厕GNiKٖ(ğ;3(EhV.Ã#ُיY+r[FСtsNBDeZ`>Ӽzu=ߡa_YG5?lSw%P%$>IGg~ۊf}n*I 4EN^5<Q_zVɗ[GÅugSMx.uNz# N}ʃo5Ʀ2lC#~x!o|Ow){iS԰E{X%Xƛ":H.wz7Me~po;!kCwuw͓ƈ26[ :]Qtwdn>%'| s@s /1 EW nvh_oK3r ZHM EZwh"a a0 ʫ?(&9d`D F6Lݥr lfRR`.CS^ q6(Y b-\$|Nb%?[{p)۩2*\Vjnj1ZJ V͒,)!0~ Ϋ.3ZBDZ&)Bk)o2huKޒ2d&YĖ\]^s*NNV$|*V5SwfCot븖["IlIE<OԼVcn׈nRVC{ 7$,"T[Ω][1T2BFcM8ܒ+8 x4%=pw2q-:Rn-"~݂Zm 2{P;[Phxɂ^V׷,X!,#5k%쀭D76؅bE [`lAd; QGZ4?"VGQ}ЏjgÎ7y&w{{&2 v s*Vď%%AOZGXC?Rmлaf=l - B_l} Uqof$<ƒ0oMI;V#X{uSVJp"c(6neE(䃞UޅgH{E pHNk{]7(R ^[oB͈B>6zl4ڗw)A;)ޥ{{<\u ?ieq #763|#3#Hs} 1a))𧥍MEMzkhqi 4H]BN/J2Qbf<_cޣ|uR1>X@Lq eWP.^yl MB1;kqI})m7z`LD+E=q sT녩w3:R+=UFE)!+yj2*V +/ {0$̳] v F5$3X@u(X>vjyiE1tBZ>t'. i9Au)u؀Ù[^Yc`0W90lٴ195D%u A 7.4'T-ܕm9yC 0֛YtL8Ed?:G\t G x;Zء5&w iEAiie&ΚW9W[Χ9;湔3^zCp(+fP#Uex̡|v&Kms6zV(f"9Yͽp ܑjA#]L*͘z}du;y72a(3s3#-ϖ1G 2,PW&țɜq}Ju{u)JGՃ,n(z.oD-ppt6~}Au19dMEY/r(y&z 9ԡұչ !cG>?ů3R XwERg-q&9qȟL<ؖ\2qjؿ`_x+w+ JeJR x+љ geƏr1Ý47d_NԜR{[ùvK"eDqV)3ƎeŖT=w6*9\P ꄘ|튽 1o~%f؋Y$Χ!S\*#R8Ie`0򊓸,G΍@@:ƙolj)S>nb|Njf> N{&AWrvNp.$#`wV0j ' }<}/AXy|#wldZ~W.D`LCQwAmT֣GM((jʜ/͔df+ ^ȢTfpXgxK}x$r endstream endobj 9660 0 obj << /Annots [9661 0 R 9662 0 R 9663 0 R 9667 0 R] /BleedBox [0 0 612 792] /Contents [9668 0 R 9664 0 R 9665 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21901 9666 0 R >> >> /Type /Page >> endobj 9661 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9662 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9663 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9664 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9665 0 obj << /Length 19 >> stream q /Iabc21901 Do Q endstream endobj 9666 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21902 20690 0 R /Gabc21903 20697 0 R >> /Font << /Fabc21904 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo R endstream endobj 9667 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=822) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9668 0 obj << /Filter /FlateDecode /Length 4683 >> stream x<ˊ,r\_z?iZx7p ձg9c#B!)̬>w4Օ/B~(kcի ~l￯^[Km~[~s_P6ՖBvo/Jc > [''.~lot7? ZtZ y?h܊O!ګh80"? 7Ci0n-8xzmOj4r~P`*okC9gbpfBdyn[WoM Q^_ (Oɯ2L!SL:|LLn6NxrZKƩ kzk~9`5Am*霗癳i8FpͱJ|򷢏HÖ1Hc}403,)UJZ)* K˩ ǂsD$r (E[ y`:*Hڼ4|j|$+yEmF2 돲;ŢY.e J 'G D/ Hea@hRuəɍ`4a͒G x#? Vj)TJօx}#^i+_ﭼ%C'| 1%HDTZJ')5yкY'e%f?z}*^Fb^IKYjME[.)؟.#㋿cr 2, vM{3Jp4Q +;~OҢ5N/VXh=,b*lŗ{V\=ٲV彜 1td,A1NŵD!}, >)>NK#VmqV(,&7\eId2D|lةc"(қvY&ESDQG_zd[}iai !qZ^1 SRƤk2XiO}M#]I@S]غ!5Ud^n17^TKhЊ#@\3obd6qXD[&+opa[@m<L[^y9s)/ؘ ]y- dYc(;Wcz `w ~k V"9hinAڙ]zC8#=Uڵu&dOJ łͲ7=;g6"5{ͩLI?)lLzgb]D+1XOhZDl\au)'/Cx^gA$3LS:?*v/"L  )hUQfH"VLAw-xQO&-tq}% gAu"[3s Kg\̼'P&GIsqq Pvή]gw3=)N Vt }z3 -7YEۻlr( >!22`tj+{a@C[0N^#7+oޘKЧnK P$"FVZa*6a4ƀVUvKטŧWed}T#i ~ Uݓ8A.@׹Y͏݂{#YdxE;d,ϙD>kƘQN5, oBu<.67sk!X5 =ǮڣZw[/(+Gko[Ȉ2>޷F ] "kkZX[Eŝ<:pQ5[1VYnfE!"|0in-05㢠i˭6ClcmD2=*>5  Ds7TgisLGF=B0ns{s1ǝZl;c=' zNhdj.rZ nZrZX&#lR)9Hfo0imvykzbdӢar{Ӗ.Zd/ e@2g_YvZ~SKi!>0NRl a7u)]y[ Eϭ~4QH`sGI̷7Yiyx)ڦ3H+K7;;e-XޜӨggGb.(Z:9wLB;u﹧M*Z2.R#<3l:}sV2i|&7< zuP2SLV wN2xh *{l*8 c &2?b+ EJY]K[WD ^]7E6BdPL'm?j +Zr=j7a=keCNf2Z;tBƵݫ^'ꐝ&{0$}BI/sTv4^LGԳk>^-þyoxV'~/)4u\m{X+%ۑ˼iCOI3}-?+g}9oz'e|ڴ.7ʶj8BR)xg.*NvWe8[և-:+{P9%Eȁ<Rg=)=$P_m1I ; rj'p, ;H`#~tR6w/@7‹Wlrh;ң7: L)y쨤w^kՄ~[zR)"BŻR^+/Օ(4H=Fm)!J֛Z8lyOlG 55-7M7bh}u[-.?Vm 7Q~C+:RqofT7 +Za׳sYнT a2(Aqض0Q,D /Zo޶~B"цOA lr;͉AMX%S`UB4M Q'pD@` \bxࠔ)(f 5cIwMȈkwL7]Y`K_8^{?-G /+8:M'_-_jq`-`*VMhEe5=Z\5#ΈKcxHˇ56JaㅀvB i'err[LT8[09TkAIFU~YYBI,;J%N ֓)|3|nK񸏡oW?'8똵6 D(g6ӥMRLE4 |x9Ƌ#/lH&Z&eBŽp|ub_ɘKD\1c, 8l8o u>:RY.=PZMOkBBjX5 2u۔opr'?Q{6?/"*39z8Z C W7D6+M +c >'cq#|̿ '^$}pnb*5]{1T3?soԬ!Ybg}/KumT2觋}`_,jz endstream endobj 9669 0 obj << /Annots 9671 0 R /BleedBox [0 0 612 792] /Contents [9680 0 R 9676 0 R 9677 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21920 9678 0 R >> >> /Type /Page >> endobj 9670 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9671 0 obj [9670 0 R 9672 0 R 9673 0 R 9674 0 R 9675 0 R 9679 0 R] endobj 9672 0 obj << /A << /D (unique_450) /S /GoTo >> /Border [0 0 0] /Contents (get_libs) /M (D:20210608081201-08'00') /Rect [104.1732 362.3385 140.7262 373.3385] /Subtype /Link /Type /Annot >> endobj 9673 0 obj << /A << /D (unique_448) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20210608081201-08'00') /Rect [104.1732 346.1385 161.9287 357.1385] /Subtype /Link /Type /Annot >> endobj 9674 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 329.9384 164.9372 340.9384] /Subtype /Link /Type /Annot >> endobj 9675 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 313.7384 180.9312 324.7384] /Subtype /Link /Type /Annot >> endobj 9676 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9677 0 obj << /Length 19 >> stream q /Iabc21920 Do Q endstream endobj 9678 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21921 20690 0 R /Gabc21922 20697 0 R >> /Font << /Fabc21923 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 9679 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=823) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9680 0 obj << /Filter /FlateDecode /Length 2602 >> stream xZKW@w~f@naIA0WEIٱHl-zWERB|ymNKd4YN} RVjzA鐔J:AV69X?XgvGze.kV* H{ }zψ r~R*\yGb ~s(gHT:ńB@:G Y)c $s>6= Rq5qE&a1Tsޓ=[csIv'è3uNǒ\hRZQTNݛ5)F*lk'wHRkHe`8&17 c;jwOx"wf7]@ m/ezWËRx<ɓj<;' ]3 <@ :FwPm g:h^Q|*ijCAt|2WPcޛ)M!f |$+DQǡR=NVs*fYtXS33qߘ 툲71Gg?f'0^1F.zJr%xG-ڽb*;L(e2uD2@jOi&i0[1Y>tG-v-vP,&YTLdEZ&݊`xe _e\M|$7)#ہh,$PoFv'#pZraGb/*5.޵z6.-aq&mίƌD[!h%Wbl^$<8x.zvч62Whݰ2k2vQY#M[mЯЀb"HpK{2 |MوI\d7 1jf*y ]{WgF4AT<#c9N8s+RGD#u[ Vb)ԜI/^Ns/tIȦj4@:Bo+16_! ;1=׾3TGo샲 **Q'4k{ےhbXR]P plk-0oJ3^Rr{6xHudJ>Lj?"t>WsJSkѓc u!9*4t9Ÿ.t<ӞG|b}$݅jT >'t=F:o'ƜE>Ļ.΅?wnsX=2cQ@T~sڃ\Abƙ}ųHˤu endstream endobj 9681 0 obj << /Annots 9684 0 R /BleedBox [0 0 612 792] /Contents [9690 0 R 9686 0 R 9687 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21939 9688 0 R >> >> /Type /Page >> endobj 9682 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 89.2941 381.3955 100.2941] /Subtype /Link /Type /Annot >> endobj 9683 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9684 0 obj [9682 0 R 9683 0 R 9685 0 R 9689 0 R] endobj 9685 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 324.925 122.505 335.925] /Subtype /Link /Type /Annot >> endobj 9686 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9687 0 obj << /Length 19 >> stream q /Iabc21939 Do Q endstream endobj 9688 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21940 20690 0 R /Gabc21941 20697 0 R >> /Font << /Fabc21942 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoV endstream endobj 9689 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=824) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9690 0 obj << /Filter /FlateDecode /Length 4453 >> stream xڭ\KܸW@+|ý޼k`ANNv{OR{ԶaHbdq4;%-v6:3?eU:}v5ӧ?OMަh폯j.$;[^_ܝk]_ӧ_WY9o7F~_es\2<ҳv%ZD3f{&3Yk,SXC:EPg>y#Sl"t_&K _@*'$ H e:>B[ !8}8/>D?fNmOP3s\_KO,rw>jtsFkm}Qs;HE}ܧAafo8}p6#S`A eBdvamcpf9M !5aDOtt+v.fD]reSo6VzalȮ8dPk 5R?s+75rjo"8s;lU]e}1Waa:dIrCZo0Y `LQv3G @ISU (cv>zQ =F468#R=Q2hm[6IE}-r%=暏:+x<0f;23 d^̏5Je0v1zq9GHxb*RA ,/b!T1[V%a1Aq'' } .  P R*[|=sϠѾcvQ|Qv(0ZP3ݟ;t6qS&dx*\XiO _:q_tT˥'/ّF{I[FСv/p9WlG'!Iׯ+ͅW;}we; S9LQi!e"ZWwb;vgC]kjqׯnNZ7aY;EV~.ܦohO~[_= 1zԎK KڷX 9k'ׂ݉.e%5]ӆ_pՅ>mā7F JZ7@F3|i&vDPy XΩ~ w kƪD+TJT2 $,vJB6TW?`N q;i0_}G\ ^ ROSnٷSeT(Wj KuRZcA*XyYf)uilbp^ F/Vz *XRۦ!V%[i8l&o-+}sy-*4&Ū8URW+Wڵ /޸6p/`ݟْWzS}׾EwhD7)+֡AƣUK_,"ݪTgS{4qV26 lvpqa0ȼik܊U4vFvYA^]tY"hh'F,0˖UVWNxcA"n-˲_bfXd'v`ۢOe-\V@MY~={R, !sEtni->$.G[$ጛx $[]w=hAz +?j!lq;r]~ #Ǵ4r |d0B% 5(69,đJpQ > XY(YW/Y! 8i?k򡛖;Zeu^ /¤l*yD MҒ)xJ%0O{ &%XO#VJٺejP]hK.M ,L0T I)eI9pŸ;x߿HҘ~aG (J C1nai,N%<Vtv\@,r^<(7IX6HJ2L `[E!FLxp-'-.Y\cVH8}򨩥aRԹfe"ssfEՌD;&]5V<̾B8EZLAL z;:o#uj|;NVL}]$u$_ UdR_,uF5|]]*`_ 9ȵIbnseh7x%VNj>SpN$%ǵy4!.Y׮˃ntUkOգݗtŷm1 (n} xuj1rWz>HUUbє`,X\ nmcRiNn]КeQg:5ZxxxG<vX]?I̠Aj_,/[c$(!(d}FQ6ҕTa%&Y^fҚ[Nq7-AՐSv]O~֘g{E6%]d}ř}g| BcKhǘ >.z ƀV4jmm;Y09ddΖ+y&OcTcNGf^e=Vr֬`Xh?|@si(qya"LX_:|ޮ{bmam؉2@#‰0;\/h6LI/h݌Iv㪡U "N1 l#\'%:4Brnnx,jy_d1[` {`9,tOE$c^*mua PL $)pMCm{s0gClw5>r-9cU"Xm2G͉z`&ݷnΖis/e7wZfDn}.:*͘#A=A`gXāQ,C~Zf?>$&;?[OK&I̔ZyqXR[ljGޭG8^wO=|K|LtlQ%(>bdM?͡SEPhTjQvƝ,꺙i˓27^\aKI-p\8ȣ2  El{\ f85_/RJ_UT]c((ITLH':O 'Lԟ2 Z+_-ekGZ2tVXʟkMyKFVD1AuY-֦THZKjct6M[q.|rΑj]eme[׉7ݮ؛Aы!Y.|l/[1GqLákc*a5LZ9-naN,`'#tyL:9܇.W9hr`Q=bǓ ?r05>}(gyW 8#`лs>+*-`ԾA=ϴrxtų㛧 ~Hp*'m9hI.~~ q9^S9@IC6H`t NIP4:XyV|4t&؝ִ$gRw}s.Kw*Ïݸb)I- endstream endobj 9691 0 obj << /Annots [9692 0 R 9693 0 R 9697 0 R] /BleedBox [0 0 612 792] /Contents [9698 0 R 9694 0 R 9695 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21958 9696 0 R >> >> /Type /Page >> endobj 9692 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 9693 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9694 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9695 0 obj << /Length 19 >> stream q /Iabc21958 Do Q endstream endobj 9696 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21959 20690 0 R /Gabc21960 20697 0 R >> /Font << /Fabc21961 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 9697 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=825) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9698 0 obj << /Filter /FlateDecode /Length 4485 >> stream x\I$rǯ @QPYymASK3BfAbTdgfnng{תWNYsznMO)/j~?jߔ}~P#^{~_c@E1Ũg?ߔY)eow2Dڸ5!-icV.:>k+xQ}б r~_2 ̕Ȝnf&YXV7 tϕA>o06k4ppd$$2ʈ"ѿxf.ga栂V{oU6`|(lͼ| h19=I(;:5m>d0YlugNr,]ߗBtu/+hu2`*L=?6nIHŐk`L*~X$BP#.{:P<@Ύ.gLw:U,B>0*sGMt ŨåЁqS`LGtSFd6qW|71nbvBwtOHE~MjLNyd:mG#Bћ^&*QZ$EB;>@iXJO^1C*An&J7awx-Bsr=:=KR-2(6'a hP0W)Oj{Jidp^?S.8%0νZoQPW`GW3gخ:Q >xtM`s6}DY}ʆeN6څC?LI :(GC )|E:D\F)" є[(FRN75On9 nYA L?DRgH?^FF!\e͐,]&Sp#N%<7Ӗ8p0%:.~oe NȐ"^7WTwՆb^? \BJҚCɽܣedFY[dЛz?ȁ'RdGrNړή$J%xw0n0_I$GEw|<xR Ŭ'pPgODgva^b ݴ4(8͋꽔bB&m!. y쩕,_nĪWW=\ӇD so2VˑbgYO VsKjǖ|Me}T\TZݡ% {;#@ P%[%sR:sڳ R731٠^=GJs EѸ$w>,Bj^L9;D')R dԩ o"l j?[[c3qjgS/#$ w=1jFwH2lIͺY'f/=h-2K)c2 SDyˮ%cC>Wc+֍u ,V)߮Vus6qoLՠ*fv^Wp .mV<QZ%f2LsJiK&$~`崜w:[OWc͸3ou,8o~ 2%1NoѸI|k!)@e\s~3pō iJiL8WǡQScԭf-˸?vW!νRud㻙'ֽ"$<gOn$5jƃ=|®v]C\=vyi9ֵD%1{ym5q}y5qG}Q<:_FOwzK[7"m.^W/ec|Qk饩M꿀r2nlLd=?սSb9;$GsI*YǾ=5߿A`=xOnSwM}Mu=^Te}ፍ;{/ֲ V?hoiQju)N΄-9W:Ѷ/?VP,lXQ/d:~!'ˬ'*o5VP7`V&GK*l'HZ&m ⛿w;`N\Z%ؼ'3΄hb $GIהԧVD[p.fԧ[l*jLriq { u:nuVt9G^*uH &@3 cd.B90c>|瓘?߰}OO,ߦLTʳx_XGet (5ECM+$*xMk #?WqT3?snhZي BʕdCqp.S[Y8OzVhǚE? endstream endobj 9699 0 obj << /Annots 9701 0 R /BleedBox [0 0 612 792] /Contents [9710 0 R 9706 0 R 9707 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21977 9708 0 R >> >> /Type /Page >> endobj 9700 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9701 0 obj [9700 0 R 9702 0 R 9703 0 R 9704 0 R 9705 0 R 9709 0 R] endobj 9702 0 obj << /A << /D (unique_448) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 161.9287 608.2] /Subtype /Link /Type /Annot >> endobj 9703 0 obj << /A << /D (unique_449) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_pins) /M (D:20210608081201-08'00') /Rect [104.1732 581 160.7187 592] /Subtype /Link /Type /Annot >> endobj 9704 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 164.9372 575.8] /Subtype /Link /Type /Annot >> endobj 9705 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 180.9312 559.6] /Subtype /Link /Type /Annot >> endobj 9706 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9707 0 obj << /Length 19 >> stream q /Iabc21977 Do Q endstream endobj 9708 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21978 20690 0 R /Gabc21979 20697 0 R >> /Font << /Fabc21980 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`bЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?M endstream endobj 9709 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=826) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9710 0 obj << /Filter /FlateDecode /Length 1463 >> stream xڭXn7+l ` Yrs0@ANJ .*(Hh˫}!K 7:z|g)*|V3Z:?mK}xF{c\1& Ӂʫt9e:Fp6bqhd:Ӄ 9ȃwLJXt;K'ckho1sRyo:놏&ǃb<֗ya Rr[:u2mg}=Яt>2hI!ogB֑X^~ws~g }+R, -#9:WX{GXpo,y`]F_:(G7hBLYx͏[`teB3IeɞaTg*LU3S}_3ne݈p$e{@6B'ڄ6 K*[.uc-݌fXj۩_|5ҥeːdQExH:bV4;dʭdG0cC+yX)n{e[c6}&2P&!fQt*%P8K>D7%Dfbqђoe[wWJA POi 3lu/8-IÈ6mOƕn| ُ?7^A%W_{%(xq=Dcr]{y:l(:| Ϸi%66]Eis>2D!vs['<{w"FiwIN@w5NyycܝENx>G&VG<Ó{뺓\>(18Or lAjug[q;5š^莲hbX9qAYˆAjW %R> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21996 9718 0 R >> >> /Type /Page >> endobj 9712 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9713 0 obj [9712 0 R 9714 0 R 9715 0 R 9719 0 R] endobj 9714 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 310.725 112.8525 321.725] /Subtype /Link /Type /Annot >> endobj 9715 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 310.725 150.6705 321.725] /Subtype /Link /Type /Annot >> endobj 9716 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9717 0 obj << /Length 19 >> stream q /Iabc21996 Do Q endstream endobj 9718 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21997 20690 0 R /Gabc21998 20697 0 R >> /Font << /Fabc21999 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwO3Rwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLOۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}eH endstream endobj 9719 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=827) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9720 0 obj << /Filter /FlateDecode /Length 3817 >> stream x[Ko7W9@slAF͉{,e֋ьl{4C6Y,~`Lv2oƯu*uva :|q ӧ?>O?  WLѺZg R>2}즗)~Xm?M_&5#>b_Vn,4~>dYEc`)u,H 'nCy流yg#jQ(;>ܫO5D_KH_di²[LT!^&`鐁Q@8`d, Y?CGD8b ;!^" @sAq2!H {G A:OO)r#8EW2~z Pb^|q{ݸ8Bs@5I:OM2>^x!faT ;z}NU;m6UQ,uauB1(9ʗ1bFY=bҌrx]iV,aFbL82V|i7qkͲkFD{uֵ9^إ^>حE \sFsCkSP1جWuj!,hv[7UƤ Ů6L\X=f"iв9zK!X Aߙx9ݮ|-ZLPݴ$l$Ihá&I]-W|M5 ל`u͡oP^:CY:++ KB|;te51=RTo:Wf{KZYh]Tkð#>-ѹqYl\i ݶn\!0.7П犔"~6cGbc,Q1c %,J KPg?L5c%5 Tyy+4]oG $xW:SZd>ɗa"@, 'WEF1< e9KW&ZR?B#oYm8 a %iM!:sj #5uG3{Ҿ8;"0q))tJ? -d?NQᲢT:Lǂ*Xy0dA:XDA B2.T. R!D ׭\gK 6فMV SVV>`UhUUq\w**TRU3uEzfutt/jG*k_Ok^q0iRVCG 7$XDWy)nSW:l!ud!jU=z4{T֌XݫT}k- ֽ1igpPS{ U6t*QttɵC'>ՠ#_}bT $%q DG%lV-eF I R+BNV.kI|py.o"rJy_s+Է}M7}Dc)Grj" %lkM5qK9IjK8+fT #uv- 4;-%a6 LRFp$ S.84O/Zg1\FqA QP.EJUS 16ƻi=ר>'Kz^"`j_1L!މM;Ə~അ; V:HSAxl]$Mn03h֛(ædG AXzV(ZA"yhlk:mWUr,H>l0\ĐR".ut_:vXnQjHd!bq| 뺨Y=m40}S$@,з[5QH= W2ϱmA(6Ƅ^rFQnXCUX ;C=~d};(ij_F&~› a uaqA3޴tI`85^B@*7wC.IQI/E98R~Fg}~MF0 kVG#we棊(C55%&Jo\Hnd'R^@:k5GԙNܻ] v7F5 %^[@M,Xލ+O>SNbʈ>fqc1l N,zHWRu (sQɝh Ӝ+w|gagSĝK ?h릾L^FP7ea *. 6L=o^jmw| [A|7Gn xKŤ;  tiD>4}럴ݡ ,[Ng iEA.iy6i{})՜Ֆs+.ܮz=Kѫ(W;iĻ(GJVW} 8Iky /屏/"nm5:tL^gǴy| LĜJ3n 2;{ .׍`9}3+@κcLHk$/I̖V2Hެi8@yZbwQJC7Oݪ|mJ[lD \&Jǻ~OxQ]T)K̥JO<ƐCͅN?5i-/oEr}bǑK-\QfB2 ΅ϝKEJݴXPLH'&.^> Au>I'[΍ dbKI֔/iKVDe0QuY-֡T-}ɧVTu\ `YzfĹR&#Eզ*h?}'tbou1ٲ_xl{1Gq<+8&wYqM+8կA>b0Z^^\ˇ=IiD1$3yYнGGKR@XxFKjb By>7|||K%F$fϏRh&/w(*xPX8W_>86\yg-^O\~cwyym3EwlM\gb% ~[t.;8llߑ{,Z*C endstream endobj 9721 0 obj << /Annots [9722 0 R 9723 0 R 9724 0 R 9728 0 R] /BleedBox [0 0 612 792] /Contents [9729 0 R 9725 0 R 9726 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22015 9727 0 R >> >> /Type /Page >> endobj 9722 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9723 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9724 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9725 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9726 0 obj << /Length 19 >> stream q /Iabc22015 Do Q endstream endobj 9727 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22016 20690 0 R /Gabc22017 20697 0 R >> /Font << /Fabc22018 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo endstream endobj 9728 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=828) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9729 0 obj << /Filter /FlateDecode /Length 4801 >> stream x<ɊHw} }' >ioV+ 0ْ!tF~^6i0HӢh 4Ϋ䵼EV| ^=F}{EEr~S*&5Eoq6nkxV|Ϥ1u& >@} YVAD|'>53"+3tcܒO}{f4qttgd}=-.Q|o2L!SL:NLn6Ndda~"v,kX7P .m> Ok5_{X~Ě6tYw4MVy0dnVu4v9i@]^`W@PiR4FiӘb#RRhR.2 $j XHD- `R$A{"9/LRIkEWk#a?QD-~fu@ f 7_ D]?27Q0ih N4*|Z`rfrK#D8MXn+-"IңAE}`E_B %bqm>AԕV^š^܄zSj ߡu->dATPr$QA22L:SbH({oʡ$LsJ*iH% 0@jR7kuh E70= -XElx[%^thGZu.%OjFu/Vd ^To$Gel/C _.sQ`ikK\ F *ёqZqbx_Y.]͖(zKґABzGf x&QĮ%v҇^4bU';Bd97i x[m.:- 1!*Q &]է3,^z.IQpHEj` [c& 9MH/ψ:yny- 4P v )lw ~k V"%in졷3(-lq9gG~[JU\Emp;Sb\g KS$JK_8>:QÇ3s Kg\LJyK>S*GIsqq PvήMgw3=)F Vt =s*"q]6K9Cc_'2}N!TJUJqlI;UKxz 4Y, :0uCN9\Ut.Kt,rNRF#;@/dHiAEe 0I`nd'R ӕ~jޓD봅}k *̞ f0Pc?g"Fx]BE:gEoB[Fo1 3 ǠA+D ؉4d598+8M\8<Dk)EZc 1fXXB / sx0YuUze؄@Cm<4{]X+x9'Q^/pL&V@5?ekKgsL :Mvo}MO)4]A zz + ͕͟T6B+)J5/I>WFFe)vt\7jԘB⫋V vkgxDY_LY]kE"Y wjQDƾЕ!9zZu0ByOLKRJuvTC p0~n c7gMӼew"PakhVwȠ>ttrdŸ[9LfBsp},s fcJesL|}N}\)nΰ`A8v|y1|Ɯڜ'2(D3VutֱqAA H7 O sN_P[kl>IN΄ImnY%,-] ak]}~mᡄn2& i:@`!h]>ՆdȪ cD-W >_f86{Kf?9D}"у]ݬ-lLZd͸h4σ ЉG]b˞A۾zSւ9 ~fy<. n TAOPNM{iMB_pxs`Ͱ[y#ig -:`iՇ>c݋ɾL3El q"jgr3 ֭=owo姃PuDE\fo& ];y9=í\aq+s9}X:u1wfW@=RB T jÖ́Z{osH)1a 5P;xhuɒZ^wӮwnHfc(i!(h= m~XF7mCʸ!n&;'t2wTA^\zT1Njo<)CJK {c+)eq-EFޛ"vJ9/Qbvf M1P A;<:cl=e:hm7coὛiG‰:d5שޕ"C>yí@=Wxm92k$o,$ Zl8$:[;po?ov^q KTu#Fӆ;vأ@\Sܢ-O* c-8TnZuu)xa>g6&NaKq<JÖAS=(=r2$RK'n,GNj& L1RIzb^ه}C{rKvv;$F2]ӟ%,MVE'mWw؎vsG'XOFr7^Q\zp| 9gZ9L'.ޭW>4=X B0$fOƛf9bIoJ'Pf/6 ߟزxctaa[\~@O75n&tX^:   z!_ rw+/{ׇ1/3Trm),L2>`x }Hd_.'U~&|kI}"֛/_[O?:h# P ".js&X%ðyc^HLܴuo:(Ma\dq LxoƒlaDڳ;i]&,`L1A}x!OIn9ZH?PBnBE,}^o%;:bՄO}GqxM2׿ť<27W)0t,p 8<iK\ƾ"srT g!䛋|g=Ksu2A#L5\ J2.!wЅnS dfNj(_ئLL[({cFX'c۟'mjvdk:D(#llK}/K1M,řw8|Җ"hck]iz}wOD?-F\Z%\sKnjQ4x\G%,e,a./21`ڴl,Wiv*S" r_=Vt^p<ݗh!:s Qu% q/XvD獐c< >3|5WU4M ;|0OƲFxAn}O+sazZRb'|QodMjX`q/ ,%L >9o:/4 endstream endobj 9730 0 obj << /Annots 9732 0 R /BleedBox [0 0 612 792] /Contents [9742 0 R 9738 0 R 9739 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22034 9740 0 R >> >> /Type /Page >> endobj 9731 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9732 0 obj [9731 0 R 9733 0 R 9734 0 R 9735 0 R 9736 0 R 9737 0 R 9741 0 R] endobj 9733 0 obj << /A << /D (unique_744) /S /GoTo >> /Border [0 0 0] /Contents (create_macro) /M (D:20210608081201-08'00') /Rect [104.1732 321.1385 169.0347 332.1385] /Subtype /Link /Type /Annot >> endobj 9734 0 obj << /A << /D (unique_745) /S /GoTo >> /Border [0 0 0] /Contents (delete_macros) /M (D:20210608081201-08'00') /Rect [104.1732 304.9385 173.8252 315.9385] /Subtype /Link /Type /Annot >> endobj 9735 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 288.7385 164.9372 299.7385] /Subtype /Link /Type /Annot >> endobj 9736 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 272.5385 180.9312 283.5385] /Subtype /Link /Type /Annot >> endobj 9737 0 obj << /A << /D (unique_750) /S /GoTo >> /Border [0 0 0] /Contents (update_macro) /M (D:20210608081201-08'00') /Rect [104.1732 256.3385 172.6537 267.3385] /Subtype /Link /Type /Annot >> endobj 9738 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9739 0 obj << /Length 19 >> stream q /Iabc22034 Do Q endstream endobj 9740 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22035 20690 0 R /Gabc22036 20697 0 R >> /Font << /Fabc22037 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwo/ endstream endobj 9741 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=829) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9742 0 obj << /Filter /FlateDecode /Length 3009 >> stream xɎίـ Ph@n4$ 0s-\U>xjM%K-___e;4N)7q|hx׆U)+ZtHJJ+u40>?[ؼ`::{{YʲFm%hѰAd{ r~R*\:rb ~Nuq ^ ɀR [0{ eƓRN@,_p:aacV;G0j p啌ghROY |Z ҡg:YQACK5ht5a|.)B$+u8qRZh4ڝQer ,<|Lb,H¹0gJݑXI/"rVx5",{#}RՆl 5k=20~%UFcל}3 T!CW(p Jm9j3[̖*g@FT̰]S8U#ɒ)&(.L:ux{Vù{6v Ɠ n$72k.|jsos%aiv؂ V)n k>Lm\ p=O0'I;Bq7B'';SNȉVj;hk]ūᒋ@JdHHZ\IMtܱ5",L1:6s-]b~貭YF_䕾 MQU釕ۄEbZPu H?4Riq>gλz(SL);rNx,PR-3\:+v2WHW11 >Qkzyd~;lVc7*jf VK\~'MoT0BYV4*V`w|ZmY*3*#{Vׂ[۔p`4Z3:z aecb]UbSJ?jf[iVTQ`jL&}L&¿`3x1MǾB+'LkU ƘIj H T% |4hOYS-vMƾ G[P1!qt+? ,/Xju3//D]\{1nkNTut8f&snGbJ \gl3%A@]*0_VKx^/O~SN*@"a{{R\َ輲֞JE1&ҍKSjͤ!i f2c;)ḍ2C/' #\W,wS6Ƥ_e> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22053 9750 0 R >> >> /Type /Page >> endobj 9744 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9745 0 obj [9744 0 R 9746 0 R 9747 0 R 9751 0 R] endobj 9746 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 363.325 122.505 374.325] /Subtype /Link /Type /Annot >> endobj 9747 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [127.818 363.325 183.115 374.325] /Subtype /Link /Type /Annot >> endobj 9748 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9749 0 obj << /Length 19 >> stream q /Iabc22053 Do Q endstream endobj 9750 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22054 20690 0 R /Gabc22055 20697 0 R >> /Font << /Fabc22056 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 9751 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=830) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9752 0 obj << /Filter /FlateDecode /Length 3851 >> stream xˎί@3|$0`zzۀok/C:vؽS/$LV"EUM{ oRÒ-v:Zr ˧O})/^=3SLl]3Jƅ۷Ph1|iI}YtOnD{oi1z-^m Ζ.?Ya|d.\6>\bJLXϏK{z>g wwv\Ap%pgx\Wq3FI2 ' 0ݢy>I2Ϗӿ]h~,Xlu-s/@@(H!0} <\c#w= g\a:"лYm P@EFJ!շA -Nə-ek)wCqF83%# 9v߆XcҜVE$טE#3b{\u5*`O>JvdN`CG_jyQձafXA&įlP}U`@,~t;Nuqܧ߶۾QgL: m`wqK鸛 WwNew*ީ,NE1'U ^bjq:\P %Ƒ6GjsB'3#C{Y.: (f\.loしxmƄFq|am'N[.@EB;'/^9v˚GWC2!V ZP֋0K Ge)8˫ X9IA>Xhb=fAJS78iw,9vbE{EU(zE&8Ag(ftSε iІg!Z^I XiVX P1|{e#p"8M-U&kr *"V9e'#Ü*N*x39l{LrHʚ{W^;>.Zj%v4 pʽ\ϝ<,<^SR׸ hC }9  vhɈF;yD8INc č*kFm@7j mP.6;4AUM:C Twn#Mv$Z+`$el,2 .L~b5$ezq>t3}ō!)KkOꫛF[kף(KtJUj˼вwNgw Ҋq^E;պȝ1(8 TjRL=Vfb\}Eg1K]!Ŀ=|\8mڦ>)αcw&uGby%ѫM=唧}=q~ޖeekWmS\`\.S.Ө1Ms j堺n 1{0jCiB':Ԯ+5hH}R]7Ӟ ciˍ˒K\vf ':Ƚ-UЊ@GrKk6p oS/wS>W[ Gv*יZ7a;=U aҹ(Ӣ:De Q'UnVku=ok'Ml`&÷^gǰye'OYf4n3s.6(ݘ]D 'Cbu'RXLRIcBz_]zG .PWɛ=:h?PBnC>^\ʷ|קT}]@eCx"3?Q]ti(K3?j/vp @ BFG>ŏkP4u }Y'yYf#SLI`R•"-(o05V. fj|&N7&O ' @O_9rI'aaFdꧤ_7#{WdZltHc˧T Y/SqL,?Ɨ&7 .>UV\FB{ )g^=ʉ>s6L?i0y8^ز 9_Yjii w1G?~KKa|xf1Qwi;sapWONg~SD5u8c`W,SJ̀nx^Cg!<ӯ a|>?_-?_p\IN&y|+\Q $d\D򣕠) 4OBfܵg~<յO*9 %ng~~IC~f@?t{8P1u>m ɢ'b endstream endobj 9753 0 obj << /Annots 9755 0 R /BleedBox [0 0 612 792] /Contents [9764 0 R 9760 0 R 9761 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22072 9762 0 R >> >> /Type /Page >> endobj 9754 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9755 0 obj [9754 0 R 9756 0 R 9757 0 R 9758 0 R 9759 0 R 9763 0 R] endobj 9756 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20210608081201-08'00') /Rect [104.1732 425.5346 217.9572 436.5346] /Subtype /Link /Type /Annot >> endobj 9757 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20210608081201-08'00') /Rect [104.1732 409.3346 185.6227 420.3346] /Subtype /Link /Type /Annot >> endobj 9758 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20210608081201-08'00') /Rect [104.1732 393.1346 168.1327 404.1346] /Subtype /Link /Type /Annot >> endobj 9759 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20210608081201-08'00') /Rect [104.1732 376.9346 172.1972 387.9346] /Subtype /Link /Type /Annot >> endobj 9760 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9761 0 obj << /Length 19 >> stream q /Iabc22072 Do Q endstream endobj 9762 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22073 20690 0 R /Gabc22074 20697 0 R >> /Font << /Fabc22075 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9764 0 obj << /Filter /FlateDecode /Length 2197 >> stream xYn$ Wỷ} " 0Iva .KRw#XH`Doj|nmVǠ&C_W'c觯cOв:3ֽ>#|'ǽ~梜Yc>O1ӿ`9z 刃)H3$Qtv)eQ3a' 'c#sWIN'~Y$fcvv RaJȃD!}e;rE&ɢf!+Xv ;ʠeQ ı4o/.V\9yM񚞽mc&TCq.~:Znب޷sb}87;~YJ8lVx0HX Tu 9@?&lT^@lI"م76eQigj}v7m\` NFn8&pԠ,`6xx>vUأ8qCIƦ43 »L{Js4$R 4\#L&fJ`7SlK .Zk45;aD?WcUEϦM: nIײ\g2T~5Nj6mOkM/Q0|6 Zo6&-ayt@W rzS3+@ <`macIn->JLMŀͬhD5 ]E}YySbkЛؼNPNWoln4o([ZF!x"JFQCJ!TlE 3lICIlM/OZ ddMZpbUcMǎěsѸ<@>!_ͯ ^VsCB[Bs '  RwgeÔ0}Z\=$56a"i2G^l[f176ďg ܣEdds$a T]=ܑcYeW RM-ca>qRIx?ZTa5K+9U #jpK{hpP^0Wni-v\XL,6h+XT~_SGR:wׁ}iff0`vVMt}j0:7n-m D !&fKjdb6X<[Eo`#K0X x% b*eB_tˋ &gsMx:p ?7M Ba-Em #-c1^QVrs)# FY )[RT5#zmmg8 i і" *|FxYi&P3,L2M Y|"6RM̊PoIG1HWq`4( ZD V4`-m{L]Z&4)H&,{ Uo4MB_̭Brn˰ o|ݢ.ce yF ! ︈좜 q|ސMwCE@5!G3q~:ui )?)ؖ ԗ< oT_6#`T!"淦x}F8^rpx<[,Ic?A`,\++|g&!ɇOAt2#r NF<oNrRп=Egcy$OؓB[iSZ_Wp66`K  y堌;)|ߝ*,o'QD7mH_,7"Kġ<>,0) ; endstream endobj 9765 0 obj << /Annots 9767 0 R /BleedBox [0 0 612 792] /Contents [9774 0 R 9770 0 R 9771 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22091 9772 0 R >> >> /Type /Page >> endobj 9766 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9767 0 obj [9766 0 R 9768 0 R 9769 0 R 9773 0 R] endobj 9768 0 obj << /A << /D (unique_56_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20210608081201-08'00') /Rect [90 310.725 154.724 321.725] /Subtype /Link /Type /Annot >> endobj 9769 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [160.037 310.725 192.542 321.725] /Subtype /Link /Type /Annot >> endobj 9770 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9771 0 obj << /Length 19 >> stream q /Iabc22091 Do Q endstream endobj 9772 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22092 20690 0 R /Gabc22093 20697 0 R >> /Font << /Fabc22094 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw70th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM endstream endobj 9773 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=832) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9774 0 obj << /Filter /FlateDecode /Length 3891 >> stream x[K7W9:| Qܜ"&gWwK;tU,~U,d& H_gl0KӿNj>7u~N>&7}zAk0Ʒ\B5O??ּ§NcN~}0O4@o'AXv<ۋa/d<9.q ,L?Yh:}ddF]%Lap Fx:g:Agz䵎N˃286Ǖ̚J`MKdJ.0q I3sc+þR|PM2*ha#@xctwd1d]k S{~srq2i´MP6 =$>90pa,68 O?ϑ#\@=D` -0;®}** .@]}>Ȁc7iEC4O-N䱙|Eu~cg/wpa%)]LM#S|Ze)$)*?RT-^HX폖FVD䑃ϣDPMF7ksh*,6 dGL1vhY]Q}, lZ ߶`޶`Ɵcq[1/ 5-XYZYgTEZK>`Me9OZ-V۬{!aCˬXX<s@X3Wz\c6D%o$ $_<H+erTmg`1GV_oIs@= '2M_6‰jy+N\|h |`k>^QULLx'aʰyp!Oh&lp2kZv[t=]tN%<ξd. (*MZ(HC9z(b(HVU.O쾏Yϫ;'59LujWeսz}7jVׯ{{4&=*꽚}l^mjsV}[Nno> =vN0<-sn87zKXy< uf1㮚9p:n[F?j3XC/T"V#:[ٮCS=9Aï2&‘0v$ʶ3*>3럻(oR-^|pdKvG*Wa#o9F7HTC݅7$ܐ W.o8GV;0An>F-GHa?,)qODD`%Űi0"0DO:wHI`L"6R&J[2PdtW˴`ًV?(:4gq#Ïe` gE{:C<"]A85P zi*!s'N a?ݍP.pJIP;̐,SvYxE%|uf N% HHK,??D _XX 9 Կ*ͩ¡Y^xdbf`=Dj÷ZUZGݢ=s͢Ji  %12E#:1+^LP<6m$,mb"g q)KL z;kCWX\[݈r4 _I=ݮ."ȔDyZ`zU)ڬ&lIcTeLg/i1,،CkAՊ5ty Z]]7VuO;7D-Wk-w̎K4o{%_Q Rw`T{fCi0'eWP.,Ģ_i;]Fl-dkL4NtM}'H_XSu>Y|4Б]"TUESBy\5EJÑť94+e@:qKm:)Ъl0"=Ԉ~s/鼶J%}XҞmsy:r[hcmfR_tG l^wr!{ ?/&~: r`NGФ O /SZTkH{7>/q'p!;޳HBCvӼ=,xdN7>|ITC ;N^enYҊHfӕ .M5oi9W[Χ9̫5;Z]D]*-Уv}?=Q}XC<X %N:R嚎so3R뱴C0Mwz]u&][7g˴y<RgfDn5}.&:*m2Gz}bur+ 2ofq1 k!X{&a5>u$fS@]x k4CSjo<]H׻zޡ|+ʧު|mHD \& ǐG1"x؇Q]d*K_%HK<@FC ]- h E9_g< XwEr-ѳÞIMp8HQf"Օ2a#N  OgI@ =wÎ$=S♐j%:&`Q ABm<?$-ikGZ;2x$\sJϭnKD3AuYM֮de}ʥTV.CMYzf9MG U$^]'&tbo"EC ]YOGq<5>:wa٬I 8-)QN>ڠ3Z'mp Q툽Y ^bL>Kc5ņ%\m4k6]0 7o3>H/'= V/G'{O+.aG.kj7vo9oNRtT1 ;{#."i>9CY?K~,ΰ1! endstream endobj 9775 0 obj << /Annots [9776 0 R 9777 0 R 9778 0 R 9782 0 R] /BleedBox [0 0 612 792] /Contents [9783 0 R 9779 0 R 9780 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22110 9781 0 R >> >> /Type /Page >> endobj 9776 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9777 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9779 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9780 0 obj << /Length 19 >> stream q /Iabc22110 Do Q endstream endobj 9781 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22111 20690 0 R /Gabc22112 20697 0 R >> /Font << /Fabc22113 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛܹ endstream endobj 9782 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=833) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9783 0 obj << /Filter /FlateDecode /Length 4647 >> stream x<ˊ$9w ?H2"3{k(0^?$Q=4KdԆM)j]E)up<5sV+ 0ْ!_tww~_/C-ta :-Jk_[4nŷOj4 WXD^TJ;0yW.:x[7۴q ^ӄy!i3p=;[cb6 ,PNѹ:szǿ.g%DgƸ%x5Vщэ u pΈkz8Q[* 2etht/@dKqN^0!၈T7^Zjpn9,Nx] |]KgkG jSI<ϜuPLe 6ҜMlUPLߋ>~" [*SƨW#4FL+ﰔT9Z&*i8 ?/H.. ҏ-j -,Xgo)X$U@ iP$UP%kQG$}E:\)@ .Do!w"7 Pʅ?2WV0ik N4[T)X['D8MXn+⫭"v$т*ވ>ȯUZ }u6^蠀GwV^šܘRD"*-Lj/t1/ˤf{&k𢊭xPObTo HJ1@~9g=% "`kh˨'Za0[3+.]lY+^Nq @:2HtydDPA1NŵD!}, >)>NK#VmqV(,&w\eId2D|lةc"(қvY&ESDQG_zd[}iai !qZ^1 (D)fgc=nN,ﴧ侦 Ll]td*6v{<[L+/U,%=)& -Xٽ dq Ê?gP2(㖗/j^N\| 56&yj&eWf^ f՘}:%]ZEfUH*a1{vfŐ-=N o0sOgv~.A.8H`,%oO*ΙMn͞|sj5SOdJr1-XfWJ2Ҽmp;Sb]g kS%I,]F}IYP1Ym&03ɇ+?QR0k\x4][g׮؞mqp"q]6[Lp-*@EiמɈi(MPKf\ 1nkH~kf8(]1\ z`a*CyH|U/ 9ZvB ⅓#U XZ(&~] 3Z}ZW J~*_}$~t ޑ:zf( D82ܦU=Qq"x$z> 7Ƴ(`$^$.$Yrآ--ckGKSEu@w?8yյHǵPў+BWΓAa<ige)A~H芴.UwwVQLvZ#L2DvlӖӮȐIN}څ9VR涥e^gCdqZmH7/cN9o;ԏr9x!즴.ӳS1o8}Eϭ~4QH`{GI̷yiyx)3L+ A;;e-XޜӨU## ;Tk%5(ԣ=]hzDW9ǖaNsqD\Y=a1흛=y3iؼMQ`2L*'I+vY(5r֊}>^7F?%9,N`dc2$rDNge̛JDmjN9U ƖO* qRsn&ӝkE SiKjbHƦSY%(ݿTةMg<qtOϬIpu.XD@Hau>4f4K)5-䭋(\K6f$o9y={12nhW*S%?ؕn]_D6@"y ?ٜX^Ye Ɵb qh=koOkū}Nsic׃Ω^n>yc],?}pW,Uݤ 3};iL=7Xk }zTXb  SY6e4߲*w!J` W;'4_Xv鼢ZeYzhhaOM@dQ9wW\%h@ _@d+!vQvK.. iN⅀ҕ_l.qKJF1)K+_EFs;ig92o)اxcx-PBr7G.|o^K67 bՄO}Eq|xM-'zOHDGBGG"-"pKqq2])Ņ3 R!\ihS] % WWe ]&+xfJOoC G1@Z`X_kcFXLt71Ọ% q1km*7P9/lK?祘&hFVHrGK_jLF?Mv=^ыg+OD?- X1V>,{}[;pn?*5ݣg1޾Tؔ1?sznTf'YUP,10Q/ /%Z~W;o5>p5S@Y endstream endobj 9784 0 obj << /Annots 9786 0 R /BleedBox [0 0 612 792] /Contents [9795 0 R 9791 0 R 9792 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22129 9793 0 R >> >> /Type /Page >> endobj 9785 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9786 0 obj [9785 0 R 9787 0 R 9788 0 R 9789 0 R 9790 0 R 9794 0 R] endobj 9787 0 obj << /A << /D (unique_405) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20210608081201-08'00') /Rect [104.1732 451.5346 239.8747 462.5346] /Subtype /Link /Type /Annot >> endobj 9788 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 435.3346 164.9372 446.3346] /Subtype /Link /Type /Annot >> endobj 9789 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 419.1346 202.8872 430.1346] /Subtype /Link /Type /Annot >> endobj 9790 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 402.9345 180.9312 413.9345] /Subtype /Link /Type /Annot >> endobj 9791 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9792 0 obj << /Length 19 >> stream q /Iabc22129 Do Q endstream endobj 9793 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22130 20690 0 R /Gabc22131 20697 0 R >> /Font << /Fabc22132 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw70|:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW<"R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD3Guœ}"juZG)zr8knT-=%MW4yrCodE#2yk))sm.\gy4~7/? D?l˜!E_@9?ɜ F0p|sLѨ\u ^o/jj; Jt١PϡT[z7}; endstream endobj 9794 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=834) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9795 0 obj << /Filter /FlateDecode /Length 2291 >> stream xڭj,_.@Ћzg>9~cLtwlT'(UE.G6WN6fu X2:=\F?[}W1a#ß7Wbrf3܀;W'lPƆ 9dgĥ225sD:'̔Ox ME{CR4LAY!7ؓh& ci޹JٰIkȈ5k7F ƽg#ϙEYb9(uckє| K2>c8+oshkZZo٦)u3eVq̷}1{[haJ9I >793]$p$pGvf`G!`QӦ݁R]UczgZ˰GJfYT5Pz cR#~Նd]? ?ʱW==P-R;uLBrjIKEö RZdFU C,{~{McgǞλ|$9;E'Iߘi+\{1Q2W o@,j I֮?{6STe#'d'1qy@m'kx*lhȐøŕ*g?Hc OA:`11c6\ǝ"s*!wixv6|fsCm>O-\1j+wL5ԧe[HHdt<`t <|5hv8Z&dP?|OP'+9)YNxv0L?Ku5`T|% J` 2o:C67B]Pք>#gڎZf w>Fn3c{ÜW̫- *3>:s[!.q87#mn/=L*R\3liXBԦ}n(s qV+vPg5}7*+_KI2j ])lZ?BH:; ڔ15 = ~d*!mF)q; ݣ '3 z6Rڲ0ܳTTT5[l-3X\qO놸hK}yY&3l/kcwIT pnl ̊͒OTm/oBVB}OzL' iD;a#N-G%8 6=n&4)P&$U3޵ L\ |$/ָ n_#6AXv7b4 ΰ&r2O*v7Qdh&vW|879.)Cf!U'5ٶ儂/8ͥd'6䝒j >U$~_^UYwyfC4& \|=eY]=)ՄDM7THE:ЩLM˅4]B |(,:< endstream endobj 9796 0 obj << /Annots 9798 0 R /BleedBox [0 0 612 792] /Contents [9805 0 R 9801 0 R 9802 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22148 9803 0 R >> >> /Type /Page >> endobj 9797 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9798 0 obj [9797 0 R 9799 0 R 9800 0 R 9804 0 R] endobj 9799 0 obj << /A << /D (unique_56_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20210608081201-08'00') /Rect [90 292.325 154.724 303.325] /Subtype /Link /Type /Annot >> endobj 9800 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [160.037 292.325 192.542 303.325] /Subtype /Link /Type /Annot >> endobj 9801 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9802 0 obj << /Length 19 >> stream q /Iabc22148 Do Q endstream endobj 9803 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22149 20690 0 R /Gabc22150 20697 0 R >> /Font << /Fabc22151 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%īJErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E3Wuœ}"juZG)ȃ;6+r8knT-=%CO4yrCodԑH5J\[-48יq|mau3jm#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo endstream endobj 9804 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=835) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9805 0 obj << /Filter /FlateDecode /Length 4518 >> stream x\͏#믨[U@{ I!iv0{ɿR$%\v?SǖJ)RQ=deIܔ8s8KL{6>=No"Ro'[}4WxluG,;u睺'N;㮭G0ɵoqOӗogE;ǔl_F߿2?Tis^xdg\(ݚ??i L_I*arTB-秴&8e nrFs%Z\rϏ5҆J:cK+(a;3<8g 4/٭ vY)cA4phȳ:k9g24j%(|+$sÏK7ЈY7 >O/?ʎ !~ @#p~~g|je{/| }?C}`]Jya."kez.̇9yp#zj0J6ZY`zxo9η8]'B Q;nΫw#2;@ցPe0"kZS%kf*AJN]77pEKsͻN8.s 3sUǧBdY6mj*m%A̰8ף53ޚ7 K(?wiHOFZL}mLbūX;3ȿQLNnҴl=ܜɢGt\x+ݼ(RD2YD1YA`yogxnk #;pQyTgz^BuJpAQU^vxT}2( E覭(&2g)PUjG6_?A}Vס6jRdv6jWu!נ6V>Zm>ɀ*iDCm>ՀA90cZO H7!ͶjREEC)@F߅!WAud%؆bHZS~6rᚑag8 FK\50/B6kx?`a^aV]z`8]v䜺bm(ocsC=Nf{i >$~ђNr72I2Pޗ\")P:ά(8RFO"Ͱ h\9F[܌I/&{i=ʋě`nKsw3ΛdJΞ5q8LPvY{-H1֣w n:/Q :%q2:D;yI\q[~HyL&ֈH( &ȁsJtHY 1O99gh&]L[ﺆe: 8IާIctqԲz-B38v^meI/O='aݙ[ƭ/ vSXkz N&<ŽM76<$6O:^\$F0ۮer.`1㲾gNj9bzz:TV)ZwNYil?]kˢ2DPvR?4'jϊZC%M{-xu%Ηbn\qdTk0q^x _m6|~& /;Ļʄ;TF.:̈́&zߜ|ݾW&Y62M/\!g$&eԸ8RCu*2,oyi6cN-s(W i XoRPóy-j\4P5q-| @_D+m3G]/7~U hީɫ^o O[Lcb*DDVns[}"Y=6+#>t݇v.Vy6VJoFF Pe Ūv{^ͳ|[ ~'֊_]}׶B[-UGۮɵ/@[Ux:fk&$eI x BE2Dg%-e5=_w58'ЌRj(#vN< N?@7( 5v\JWFkY9.۲y4eyM0չ9?;*L?2Brַ;!^Eb6tƒIhK:qWfKo97Ows̛-;VVnnNB Q~pfL(eb&|c+,> CUVsDqHCޞnҮKwv9,7̋'4@p&Vff" ],(*}1gyc!u9Q R> P0u+3_e`Fz]]=mQs{Z+O՚qJcMfuun>xM(y)wآ,*fi}⸺ʺ6%/sz4t;T<>Rj5}mύ"7Ҟ9HԥhH*B2BROAUN e Hhڰi$(ȵ"՟Ժ`J'm>tǘ> eGZrʆ[>0E$.Պ*uZ 幂s@,wץPzѴs6ae;!NMn<*dTIe;j2נn7,r0a*I=6{^L|p.MWV x)xؓƛ 郯QoQn^rT73BkLĄgTݣ&Xduѱ^jܹ!LCHa#*mLX¢BR>'2<+O|?=O/vņ 3YԺVKrFb%xqzW]ߑNGzoq^o*sʔեe{}11jI> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22167 9812 0 R >> >> /Type /Page >> endobj 9807 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 563.8654 381.3955 574.8654] /Subtype /Link /Type /Annot >> endobj 9808 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 511.1961 396.03 521.1962] /Subtype /Link /Type /Annot >> endobj 9809 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9810 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9811 0 obj << /Length 19 >> stream q /Iabc22167 Do Q endstream endobj 9812 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22168 20690 0 R /Gabc22169 20697 0 R >> /Font << /Fabc22170 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫Lӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW<")gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)^G+QO TϬ>Jج$Vazצb/mk: s[({L̇h*dKRG"+yk|\[-<8יq|mau3ͪo#x#?d_cxy /K"rԠKh*2 'LKBV%|)Q8F$!ī륨Wm';2!xڡ1 g^B9_'Ŷ endstream endobj 9813 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=836) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9814 0 obj << /Filter /FlateDecode /Length 4711 >> stream x\K#ׯ~@ВZ|0>k̬a|TV]c̠+f=+w¯׹ӳwsxJѿLnnzs{ԆE);k:E)u۽[''Nj%t1K1_T7|Xs78>_ؼ_Ĕ]LP EBȉ*72/Q>]{: ;)ߕ 7?\tp~eVo*=YH( $y75UzanY!PEBevT6EWֈ4>Ļh(R( b;_6j5*ŴV*Y?i/UW jV s>KUNp*~:UޱPOPF+Ӗvq4LL^t#@B*-8=(ڼBFtzX;>+N50 }܍#";[Lk;Y|҂Uj2H@1ǿNlD|>1.\MX;2*7ب%daQ5P ZDv ;Eh*J}2'F1e#4=f_LB[L ;nzc\/hiHҢJ-μYLg$4j6<ͱ3LoWNupgv+2>˂;Y+9\iErCEҏsD&s(E{6dQ$m^*#9E%j 7#(ӰhTS0l D:abkʼ>d2% %-1r J0@WWmEj=n$F|7*RKC4U-i tx|7bp]&Ud{O4v׬G46<\6)!*6b*uƅ(3kꉥ5<V_zH4 .g2KCf-@ fx %Ayu:W"dQm-\En) ^O:@DcC Zd=yPs'8QB&kJ|-q(_*"0 }@&+c4xA%t^ 1/"J._|䭺+wtELN/k8i%~"Ăi (N &]QV@OٰX֑8u?T+Z(+n}ZpcN %rEN4FjU]^HgC 10@'.?NKdO'ɉ O:NR: P*26D^]"LM&XY`.2(P&WM"㖙[e ssĜ*$)Hb$|pv>?Χ!;C >UuϿx G0O6 JP~y:%=wo|ZEF u@B>Y=lr4ȓ#05Kw(NxPau/.R';gbWK|nfبP}n+bXUX޺XsR~\Xq@sbcG{0 KK+73unKU[5wL' :<4qE .h6xñ!]5@촩{<ٹ즀ؓbxaՕ!U)šXi)`hשLU;&aP/Q$NB^AOt@~D\r;w@`D @WQ *@u@'㢭V͆f$Iց#3z &~7x1:z \Ww5[5)') p?NK0U2=Ӥ(Zȼ/Gcאudunbo9/D%21܋vr ݻZ-;ϏmҸřaLlf7:r*o݀q5ٌ6`>t?N%;Dcl :wgbbTB}f}]xi[TzZrVЧ̏i6f:.HBn) eM`ްφnC1md{!úy`YNDܔ\O=z~ogcb!ܘڠpM|KFmg[ ?; Gද*mZscE6lBnRAd^uejAsdP61 y[o">{|&w< ZSY>A*ʛ l-Ԛ.zVm6;?^5'Ԑsֳ?<; ̸eMgn끥~lβפ+@B={6MNT%w>wX8XńOƶ͖;f"Rb:#։("ʇKSp'vjM$>ЊW:|(LcVb3w[ʺ߲JeiQ{? $o}2$!xGKkeSٱ!JIK8F^o`}l gx|?ݍјtu 2+Yod5~_77[tc v~2zA=nEusj2^w>nBu}8[`zb".}HtMc3;>OpaZxCpu_}fXpL0AN~*Է Z;B T jgńZ{ҁҘKmzQզ^VTZIzlz^WBvêMho>#pw3RyOOzcgg <³ytf,FkђrZyEFY\Kё[3P(W4셍]".aB ,/YhB՘.dW>mCқ4"Ͱk]DޮfV[ޤԧ܏b"+^BK\}|]8 =r[B1߽s?RyѽÁ"`P6pAvo8?)ܭєv*0⯳VD &~שb>zeK[Z}ub,<$r~>D( 5;~7><i7`]b$ku;#Tz3"VdyLս1+K67.6,r1!㍿n;$F`>D ?_)m%/ '"(1qܽ r>m9'b~?<ߥ:ާRgnC¨ 41&4=QzO~Ǣ^"ZRzMrzc%+QiA:412N)yOƱio<]sH׻}T=q(QkS*-.9Ŭ-45ʫ9-O&:ڇ#4t,t<@.x}$O`~BzE-GG'xzB2'a#N5 5OtM;"%#BQmFx m<[=SޞQm`y"2p~ge =#Tke* ECmn29Z8?65ݮb{}ݵMlKp;1їKDW?:f7N1){GI9弔r)J.iK fFZ&@昻L`499cNq5G§ch<_GpUH.y'7l~d>ç|'ʷI^]i?or N|2:Z,(x$"xM蚫 F ^_;u+GJ5nBտEĒFrt]rK*C&~zhVW-?ɻ endstream endobj 9815 0 obj << /Annots 9817 0 R /BleedBox [0 0 612 792] /Contents [9826 0 R 9822 0 R 9823 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22186 9824 0 R >> >> /Type /Page >> endobj 9816 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9817 0 obj [9816 0 R 9818 0 R 9819 0 R 9820 0 R 9821 0 R 9825 0 R] endobj 9818 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20210608081201-08'00') /Rect [104.1732 299.7346 226.1467 310.7346] /Subtype /Link /Type /Annot >> endobj 9819 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 283.5346 164.9372 294.5346] /Subtype /Link /Type /Annot >> endobj 9820 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 267.3346 202.8872 278.3346] /Subtype /Link /Type /Annot >> endobj 9821 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 251.1346 180.9312 262.1346] /Subtype /Link /Type /Annot >> endobj 9822 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9823 0 obj << /Length 19 >> stream q /Iabc22186 Do Q endstream endobj 9824 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22187 20690 0 R /Gabc22188 20697 0 R >> /Font << /Fabc22189 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70*|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%īJE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }G;I)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG":dJ.\[-: ufg[XLOۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9826 0 obj << /Filter /FlateDecode /Length 3016 >> stream xZI$ ǯJǾ@QPK4`|j{1=-+z6CMfdFH -Ȗߥ /d}w2i|ߏY隤Vk_jBE tWf9 |<% 30H'DAE|i ,}RUd9)jEJ B g' tg.1*EcNW&r!h{QD&maYF̓xZt1pA]& cYOlexISx4NVSVĆ&Gj1eO"PǸqm/:u>k\Mu:j9%(_>$ 08+ `@ Dro (/Ѹ7+eQar~mݴMrf+]\C(a(&*1nȦߝ,@T) 7íiVahQ(?CP|sCYv{^phrhsT= 5^4i1{`}l[zn쒳Waj @(-hloGHuws6 =E(›U?N/Vp@D˙J_@;{|wJ[bh v&ESBt,1Kfȥ tGz@ m Py 1_qp]M6)B<06J]$F}C ϛ>#&8r%H k х :nuYrXY34w>o=nJCK-Ң1ZI[ҭlZi4@εI; l!uRJy:mpIC:.!ś7?bvhS =FVю2Wb#dxQ<Ok#:c°Fᗘ:c-eUp䆏#/[85cq9eօ럀'Yx5lOIiZ{$3!!*+1@U8 6c[39?W[B SAo@v]lT31/*ρ2PlY+!~Gڨ/ 7)cc:#[E^,|T vwM}H hqf]["^AVFZMp[]Ny ӀdX"1,Qs vhm19=fp`55IғKKp`gة9GO5`bQם|Mo(C_M0: BaiC ŝʰWꨥ86 k'Gh;_|ɬw¸.)t,lW;]ho2$Z򇊣Lfyz!/~XB S~E~SMLCÉg'킦q_~ăd+ZCnٔ'nCbhJ]䯨w}COS؟k}aaKrp<:me<RQ'ڀ&!4M|4 hj;Xqpb|\2}7¥oz*s{r$8#rØssjxhsMu.N?К6u5l0zPh݆R/@RBY5)҂kD3Q܎S澗^k CRZW;v̑ `%1Kih0|%:h_Ə;^3*X+a>js CZRuN'᛺%]<u0H_ %MY` sze)4'[&Os` Mv2 }RB-o.QB\tW'xU~dI]عZ'P2FZiqe]G(rWrq+|#Ix^~B2K Z/BQ*6M(ְCd-eX|$1ֹzPWчL"W;a:˰.e;UK;mH~|pDN~ Y\,"e59hSjA؁;&?ݾP;7O_vFINJKf?u-O?S̠qƖI ۑ" t1M-Rnv.+IUo*^@e%-(ȈD,mcQx}Pf_%uJy5v,F$`/ۈ{eʣ0LuDk94%%GcOhB-bLKF רΘW!KhX~O2Lqd44ur4B?2ko Fe;ALc3ѷyLmG? HU2闞 7J/ #|@V?_wP)f-:VaoSq}ifPBW96U҂n`\J#w|+q2%b~ly1uďs?humTZ+iAQY+gA0 AsTP 'tV,z=/&1bWyO49㟿S֚8 ?$CcΙv.|s`ܒ׶Rٜ[~%,lnH^rޮKnI`VD*>XW,_ !, endstream endobj 9827 0 obj << /Annots 9829 0 R /BleedBox [0 0 612 792] /Contents [9835 0 R 9831 0 R 9832 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22205 9833 0 R >> >> /Type /Page >> endobj 9828 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9829 0 obj [9828 0 R 9830 0 R 9834 0 R] endobj 9830 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 312.125 122.692 323.125] /Subtype /Link /Type /Annot >> endobj 9831 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9832 0 obj << /Length 19 >> stream q /Iabc22205 Do Q endstream endobj 9833 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22206 20690 0 R /Gabc22207 20697 0 R >> /Font << /Fabc22208 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd>3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}1 endstream endobj 9834 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=838) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9835 0 obj << /Filter /FlateDecode /Length 5021 >> stream x<َ丑 =2(PG݀}jZg/u0fS` Z~.f Rץ%%←o^bQcr˷owZd5^z\=؞x8П5n+WO_˷?-_D qˏ%h/z_Y5 q ~),?f+s-f k)%5PYd}g=/~ӓ9o:>/\kqۙ>.{E|$# 4|7_ J`4'/=!z0/$?DaMa ŕwb({*c^ʗ>N WqDס }OX#1xpA8ɼOI6.VDTP8KgȞk6?``4 [wD[ΥtDN$ˉ3*Bgoԍ(rcΟ!\;=DwzhJ gYcߑf8 `|@y?ѧoC6[6./P -bc cG~T^"ST Sg@V&DMt%9Q_v=|a@8_pbgP&q57C`B9D @Oz:e\V粸Vc8`| Na 8+<4\CyyC(˃Vclكy0bw2~$ bX̠}dO26s^ ㋌sfla0]!u:HVKDxL.{EPy>bI7їU}E\vfUӾn9)w*ו[gkۆhk= ("C)f8TS+~[9Z7ﺿOĦ)AkcTGXtNp_nt"pBU}; 20~LRVVk(.綢Q٘~W+&" sSU q-Jh9JnVsG},3in5j6OIX2(16*M≣mhmGGޓ ucK_O}LN>{|delVv0\1|]'xy4&QCcȡOaD2vM@G޹en8ItDD)J4"rn083a{6+7`+2+s;ulamE5pMw;YCG#S X[}ݤbt^[w Lnq v:eq6fAٞ~m Z {$K.Xt$4=՗(dpO؀܀Q+r|_eye^YŬ3jS};̓pW6x\2Z M|)`?9 ewe.VvrT<큽9[ SN0*:2J5:9] baQK H#_X. p_X|\Yl.Dz冲c6j ZL֕3?Π:Ļk 3oIJ#-v|JJpG~X%$K.;ⷊ5Ԥ7q] %l*X,5@qTŴ-6%p9'P",շfO*f~L$F?,T3;xBXYI$ڮW(ޫp+iPSsmltT|{nORu|zUVuP 4"j'DnxUC%nx"=biyN vsP`E6VNj\,ַN"B3Zur0gYInrnue4x:t[\Aw܌ [LttK{ hs e$r X`7\;j!7 صm[:ӁXvFɰtUUNϢx4Z0ƹYg`5e|RJO(=oNdݦȌ%mvZn֔JFՆs!3%+2Vp F4>VSSkIcX/iNg)лWC+iզ@5ysff ߩٔ<ΠyM-%=&Qms̯:B|qW[ e-@%t3}[;YCP'Jv}Ւt+gWOG]БۘLMmqW? 32hpl  !.6vDa.t2X ~_ G̐t"e>ҿmOZ؅B2 <n=6-!@MjˆQH0q}]eo#` G7 XW}g!SiǗ'"u }|o:Ɍ,g'MXaVڞy@ Oέp~2xlUP<*Pql+sIґ3~lH=icOr~g,$~M }K-- endstream endobj 9836 0 obj << /Annots [9837 0 R 9841 0 R] /BleedBox [0 0 612 792] /Contents [9842 0 R 9838 0 R 9839 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22224 9840 0 R >> >> /Type /Page >> endobj 9837 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9838 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9839 0 obj << /Length 19 >> stream q /Iabc22224 Do Q endstream endobj 9840 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22225 20690 0 R /Gabc22226 20697 0 R >> /Font << /Fabc22227 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RvyxV??߰jk= }0P$i4SokWжYzr" UC.$G7\]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@%tw)!i\vhw)Fa94C-z^lM endstream endobj 9841 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=839) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9842 0 obj << /Filter /FlateDecode /Length 4083 >> stream x\IP(:6c^f.zlLS]%)Ū[~["B˷K9g*N_fQjKUJ8+W'ף:- '^ \<^7a2%lQ2ߏR(|zy[[IkF*Id7 MQoKϟ/i6X} |q.s*d8 ;oK8 2B´]`sxSf'@!gw7>ս-e祆vu+#y0GJaI.h) 1:UXlG`Ǔ#jDgh}ʃAxBk ᛗ ό!n?lak0̕yϐ=`(A}}j [e8WH:}䄿4 Ba f+֠L 85wve@=/m\\BT3B/{ M7pML9֘R[C"rU@!WV $#"G@֙)wD?]\'e`F-D;Zt_Fft&(Nٵ{xkoDN*g؋cP^om1XKًTiWO NfȈjkMѐ=m#J[y0@L)'m'* vG#&Z klZ.SI``rq[畼"Av΃b=㳾ctVd+b\q*?@eK"soG+I&\ kQD"?ɋꢞD㉲](,MPNf0s~uʩ*hmTD c;χޮ1%q\ s{hBvʂZ{lʒ#VoQ} 1g%:7 [CT@DEf8([q@~&z8P9 +Lz}A) E)T 2 pTOQ |0 z2֦EA}E`>ЄeGTԅ8B\ţFF1F㬖MC#MA0 nPx#nؽ3czLLHkx33aʹ1b_2fjPS 1;|,91gLɧ+T>f }X:F5!E V$=ǸjI/k^.*ol68})o K0YmАX@^(A[2hZњ{5vH󯹖2JXx:Eqy {UKw?i4 U䬩Nw 8,#jK;?D}^!QeP2gֹIi o^m ok0}V cWQ8H`|,O[~yBy VTZ t\$zTptQ'dY-RoRgJH~VT`cu4bGڡf]8QA(c7 [ }5*v)6*0M0fq9Im/ib][ԙW2!XLk$@thJpfwn.ikвÙۖ/,!OtUF{`MŲ6˳ef}vTV"؝2ژs,/]>uگFs{<}ֿ붜0vN@-n }c6ߴsٯ{3X)O)#=BRjYpɮܘjuIVS\ $OCHF A6irb5'j.Wf\e37s?峮K{Q/GF{n'.x3YXظ*Uv%c,y7V6)TÐtcyĞ婖bj8sՏjZ@>ĉ <| ~Ev/RѤSE|liKͥ27Z׾Gx#ϗT˺9+1@_E" v6Qw ơ|pGZm?d7\TC#Uw1@n*?}p3: *倨7ǥU4vCb,-cǐ4ג~z߫*;q/b.ŌGJ. +X5?U }Z-[UCIz3uQggzkGm+)S&@¶]n'Gp0WeoNV~϶}ή؎NM]va,@Ƽ uRɅW:5ijS}mY[~ɘb#SH!yfƯFM #!fAٵ bz?V㶋jrq Wc`ϑvmWR PtW:bDХ>`~뗯\vpv9ef'b uvXŬ!$#:blyv|[ D "5(-CYf=CsuWy ^T} pG> :ݶ+\37T"]p*3$~ը9G&dvcGZ'R)+zh6q"2NtGmeN bLhN֮L,m:ߘ|Q7Gsm!h?M'&۰]yoA]p5-D?~1<6WvzXZ$->6_rs+PM.tR+} RgEW(_,@g<W{:?\kh:k |͗s0gB91P tfJ@f! / O) [M^6+|\>hIt}P{(i_usSo10^9fHQ2UzG2&_akyL,}I%phX-pha }cɢ0 endstream endobj 9843 0 obj << /Annots 9845 0 R /BleedBox [0 0 612 792] /Contents [9852 0 R 9848 0 R 9849 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22243 9850 0 R >> >> /Type /Page >> endobj 9844 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9845 0 obj [9844 0 R 9846 0 R 9847 0 R 9851 0 R] endobj 9846 0 obj << /A << /D (unique_607) /S /GoTo >> /Border [0 0 0] /Contents (reset_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 217.0654 187.2892 228.0654] /Subtype /Link /Type /Annot >> endobj 9847 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 200.8654 177.7027 211.8654] /Subtype /Link /Type /Annot >> endobj 9848 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9849 0 obj << /Length 19 >> stream q /Iabc22243 Do Q endstream endobj 9850 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22244 20690 0 R /Gabc22245 20697 0 R >> /Font << /Fabc22246 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ D endstream endobj 9851 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=840) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9852 0 obj << /Filter /FlateDecode /Length 4016 >> stream x[IW@Fs_BU*}뱀9>L0JHAFd֦V{G2[,U_,-).Qn?/?=chȨYk]]\G~ćGyЏpm3Xb]Suc]hZ|'z'q+PQԙbD-h<:3viڧfVpӁ) *"h`mzvn3yYg,;KDk=֪k`h> `Il^Aѭ)o}FaA|WEmn͎5h| PCNE@"a91a2ETG$7$C@,XR"n:CFu'Vq۝.H':ecS_z}L+ՊuAtɯ%UUugkpr.;*GжV\2W PW0( brʱs `j2>ry˯Z~8oSpV2.a(GǟD a5^˟8-6Pp ĽOR԰ #`haC6v\#Ey`ǁp\N,i#u=#1/PEt!re3@P4oGZH*/й5]Ȳ#ܱ;![Z-@n!-oY(%G T1|ÚGfɰ5kvF }<7BLwP5;l 8DW0׵V [Z13̊L6?L|1s[T}{kka|cLtY|C #uzc]nHBx~~\x?"FrG2Qj쭒SY&a{`=HEav؉OZG'\ȵȂ"Gc!S:5%.:eD|L+[`[iklcR[x91>@M@0!(b)MWt ҟ9_)_%7y̡Z>B\AduX]$YGvɜҶzZPTu"K5F3OjCV[ZXRx|D7ї%`h *,ϰ "֕9*2DztR,YL=$vĊP'Oe$β*#IJ+dY+GHdm 5"Q l9 h&D4HEݢk4S0u ~ϝFK%V$A?D72yǯ B#Qed\'d?әo!]UyA 6ꛪ(Y{`L_"eX'ѢDkX-BF'O:ֈ(rFClG8'.Xĵ9>Щ$ے̋$޽. {*3,4*ďDVD,`&j7`!y$_IW:e'Sɳz4kB5%^4Q$:^kT{OX| d)!CXqk(+>ʹy@lLm!G4O`yo|!aCLA-"Sz=x Lfo7# ҈z(}RWog&/{{7V2"/STBܽGa]tWC4۸; ҆ӝNA^|_lPnk7sywɎǸ a77⏉ zLGx#L7P.";.vbYHc TKK r7ku/3z^{ Yfl܅.G7QDTW8iO2u%7bCw œ|O=r4ܓ:NZ4-R,/l#CGZ {t',5'6χu\ fjtІA'-Qz8&g/an.1_ލ |9"|NW."s{(S:LdX ]hOf~3"2ʉ[SoME !8uLcopŝ1ٯ(YwuE^ LhmQb3}OZ1;wJy吭(j[=zJY¾ -g3j:R:ru)CY84J^8ikIK#$̒z\[ NC [ˡ<` VpW'8:'#/hHhu{ 24O O -ËU-CeNTb4:DkkJtR4*{YKX{̅'Բ 漖y=Md0$ЪB({XV&^~:֘1Ǝu(z?&㊘qqk;+R(@ư)P1>F-')? ͆=SuV%Lձ'iy~ZQ*Y GcH& =t=4A {iJ]ĩC <>"k+iNhprc˔D*'R5J}O8KtR endstream endobj 9853 0 obj << /Annots 9855 0 R /BleedBox [0 0 612 792] /Contents [9863 0 R 9859 0 R 9860 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22262 9861 0 R >> >> /Type /Page >> endobj 9854 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9855 0 obj [9854 0 R 9856 0 R 9857 0 R 9858 0 R 9862 0 R] endobj 9856 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [90 273.125 122.648 284.125] /Subtype /Link /Type /Annot >> endobj 9857 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [127.961 273.125 159.8445 284.125] /Subtype /Link /Type /Annot >> endobj 9858 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [165.1575 273.125 197.6625 284.125] /Subtype /Link /Type /Annot >> endobj 9859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9860 0 obj << /Length 19 >> stream q /Iabc22262 Do Q endstream endobj 9861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22263 20690 0 R /Gabc22264 20697 0 R >> /Font << /Fabc22265 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dPw /P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9863 0 obj << /Filter /FlateDecode /Length 5164 >> stream x̃6s;6nYYRKs3z4d`l |_̢ߊ?뷥֙%%_Y:vu~K>&|aYƧ\B'c[yP?'>ofX_C_Œ޴3.5%Vv0~Y~fqqKYBْDם ,3K9<(Q>qKQi3:z;K a4L@m@:ZGѡW$T'X߳LC8^d6؎4=m0_jsb*Tg!ГF&0_Ij p⑝a@PG3 (҇$\ (IDl1Z:آ*0/L˿DtS7h6.s*SrRRɀ| (' >8]3z;A0޲R""-*2n ==GXƗqɎgB]{< EdUDm0:6@/wO J2܄$Y`>1Wiټ%[@*VSt9阼E~ ,?#QU?,_g! dcS"M]$fs}ݲK1uu[kR[=u3^B\"`ȾɵVo(Uz*֋rzek ׭G;wql ,6q^s@ܔ+wZc7g:{48QsiNQf١#pE~3q:C ++\u?PT>qcF|oO5@1Zt{Mdu@ SN^MԸ%Xf4y\Dгƛ B K$%n4n:ۈ`^!&+Aɱs@N̛Liso&;L[N"kQq2rg2eOIYk8EqmJ 'b})eFr߀/g9n eA࿡(;XL exm>:10^1XIŔ90[u1zFBFlFP,07yŐрU3Ldu0d)HcZ|1t8)W}]`.j͛A:V#é!HR<ώOzh>`CC GQjBS$g Y`xpѕI3fE1خuni7uKa8GyGhZIq .u>c7i(XX<C݅ /gܢ"7݌|_Ї-f6}o hN!;q0KFlI[pZ E[q$f taJķTgOi4Xo6% 3ЌNU> yG[^[*j8Gw6jq(߂t"] 5r+c ~5v1bm˥+CsP) ajldrMv\5 r%nCܼZ|2wXu!l3?@ Nua\H5՜@\x kzM8]h 3P$䀏r9EϏ5(|˱wrEᔪ76Y`hGW]ǯc3 Q:#=/NѬ#5GLr{yb:V *:Bı*hཱྀ`jؾ\>C-Hiyv J22pl ;-eN.GJ3,;^Լ> ,`u0a,uZ eBݺKSBb+Y!SPվ5ʸ0LE$#&?ʶPw.N|xmvCT؇v&_Hzr* *Nr͹`/ ߜ]LBO-Ő'H+;'2״~~'_.ߞq2dV.PdH=0Rhg)I}:c /V%7#[~(> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22281 9870 0 R >> >> /Type /Page >> endobj 9865 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 369.3923 381.3955 380.3923] /Subtype /Link /Type /Annot >> endobj 9866 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 316.7231 396.03 326.7231] /Subtype /Link /Type /Annot >> endobj 9867 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9868 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9869 0 obj << /Length 19 >> stream q /Iabc22281 Do Q endstream endobj 9870 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22282 20690 0 R /Gabc22283 20697 0 R >> /Font << /Fabc22284 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$!oU櫶`ѼΡDHI]@ U8Ρ\j|=B/}H endstream endobj 9871 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=842) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9872 0 obj << /Filter /FlateDecode /Length 4762 >> stream xڵ<Ɋ#Iw ?7D@(:̭ M7?[lww-Q$oϟ}3j!ok9Vgר{|[Uۿڣ )NoRj&t7vpBYx6X8b|Cpogkv'Dհ}LU5G!7U8Vf]YzGWӸsg~E=(Hh]C]uRP2# &3 ,{+e;-:J<nUmڎiU>bgۊ{ *fA3bMU2*GUrP!]U*xPωF 'ֳcB*L>ۗov`6ʧl(MvU3 bu Z-K),'I۪&"YצrHޏ頜©wBZO f jz ډJY֑ 1?LQVTS|%vN3-OТ:HMc+яز1]xEMgWx(_Yځ}crzA'eSn ,KhɆeK>8ҁe:bm[gY [0B'dJ1bWV>z_$@}1e Ke@:1ɉ@Nͳimk /@r߷7~a-ievѓ@-e[ʼnvgDu35- a׀?_؟PGv-4#%?)gER#dmuj2y 2/& fLi{s^,MFh^sCvҞn|'}O@Mx?~bXU|2OjkA1ҡ}@MM1rzg s06|x}μ.ܗ\ H6e/凜ߠ-س$nrd} 딞m~`ʇ9 x!DI#J勒8d\B}xǵK#Aoz/ hsAQM!3u˧O$UCpהj$(z M(ⷒֹzDTb6Z[;Tvvo{0\L=z ]]ttnY*U7^=@@B[ip3O CHphq^ƪݎyH]amf`w^i7zKI |Kby6hu"QE a,uQ W' 9:+D҇(=h^ح<5EI^T6&A⦀t_R={Cr=i AϦ)^Nx^N#p)k~gvK;"w/Lfx4 ~BmlPP0+q*0:'e닁|Y3W?MR'U3ݤf6ьF_YǦ"R Tpǹ7.w-Fy0uzu?>[2f#SFx:Yu{ J_M(U`^ʐ<L1-uiuטPAH۴LΘBj'Y-[28HMT\"wo 2DRA8 f3&(Ռ`"R7onS9ow|V$O̵R/| beй%6%Vu ymHjHf5=}ʵn{& iǵײCLcuMUr`kݲv_jkS\usۦ+9=Eܰ(ebj){}j}=QX*}`jQ\XQAizZX67]n^@f'׺kjvû>FVhKs_s"<2[Ĥv!cP˓76)՝AI36=M /9w d^&wǓ1غV)~ٞ:untׁOmW6u|p%Qd7\7I(z3:yokHBj MKpm[%8q_h]B M͝1L$DvR[v=nV \-[6<'H3wy[Moз(`U^p lL^mH5 x n*7wT"]}p*$W#2#,/R|)wht;Detº;j*Otg]dB;\]ʿ,)?*qniKemdcm]intu6wmsAvG̕`cq @@Z$-n856:n8\Kuӌrl;83co2QYGUjy uf V.9z_-?80z&>EHy$orOBrÂ} w SE^_E g \>`EZU^KXụd+:Gle1# T<&;,kٞf3%˵ъX x+HEE>,jL endstream endobj 9873 0 obj << /Annots [9874 0 R 9878 0 R] /BleedBox [0 0 612 792] /Contents [9879 0 R 9875 0 R 9876 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22300 9877 0 R >> >> /Type /Page >> endobj 9874 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9875 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9876 0 obj << /Length 19 >> stream q /Iabc22300 Do Q endstream endobj 9877 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22301 20690 0 R /Gabc22302 20697 0 R >> /Font << /Fabc22303 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہYC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ = endstream endobj 9878 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=843) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9879 0 obj << /Filter /FlateDecode /Length 5304 >> stream xڭk y,qp[v?Ex7UMYp9ogGVс48U(W}ʱ f0L[K L 0pn K(UqmfЈ0 SihSVlsht,zu,ah[A8n~+-KOhT0Q Rr=o j2n#86uKnlH1+0oڳG ]CJNl8eQ!Y7bϠ^Aư9ykƬhBKw'a_^Ո-V8$6zڍwEL'X .VN՚f~(%VP%I/·>Z'ah@P7]f^dFl}Gcsn3;sL~巿,r4l3x)S2w ?*oWW\+EJ_;f{#Ṷ>p R6V{5}O>-T3ւ6}zOϣ:Kn`.5Yo#sM|,Yc"m4f-V{0yƍ]c#\ƟH>;&u ѡTŶa6i0: ۮeк.cjg:gϩm@O)xL([N 0栐/r\h, yX I#Q/)ٵėD̪ x-v4^y")Ds.,/,."v"˨gq ֯~#WFGh.$_Xaȓ)mZlR1KxވIk vy` .Ǽ{+p"aא);QdiކZoJ+aSմ±ܚ@ z৪"Vf$ O`fՂ_l ZMR+ jE0*4BA707|l&n4}+kBk|֣m["xX*4Ki~eRy\ўz tNyBD*X^G-_X&cup'PO,`st梤*3[<;&c'ii%46Cr)͟Hi\cM:F87ڣ-"/9ɬ6Ȍ >ޞKq#GPئ} v$Ҏd[<=o|wn;yun&(q0Z 'Մ`^t'D@1A MjDȁ D7A)ĄE<  Jq{/=IcjH򫨭CN%7>~[~L_G/ |9 xP2wR_xNf Ȭ#0kBYR*0b!rTjSEǰPX2l"kR,:ȤJB >0Ρ$FBSĐ{wjh\ٵA@22:-U'F#`qڻMVU@Ty=I0HM=8$/G0"İ"+'7ApQ;D)!x _$S x |Edz>RC[.rCXV6Y؎%Ʌj3}׸#~HuҬH?0` ~3P*=ԆC&X• 2Rҧzo-{4__Jd+`eP.rT+EK=C#UqSF5(&O^$ YQP-HF3%"vn/ƐMٺy&7k+{ _wV{ ЛHەvM}\F} 0m H1> (F`:՞{ipo ?$]FvrnkUHs+ݲXjL_/OYv:5~^T:V1_-5J긬q.ߓu!;k'Ы+w%W̹BJsilbrs{QQN56Y͋Ȉ@a4,J uEu˭4r던)_Y$(o3UyΥR#!HoDT{Ŧ$dZZ=B**%~0_|ۍ6_V˟J{*6L9.brڊvܼ޴{kuUKC@ՑeuGz-i1) _XTe=Í7TgC2]6ՑVB:˨@=`,ʡ1Ւn:tB*(\{T_=T6iեMuڄY'r` &q-i[~tiz!Wݼz[Zgq'f"keYg6T*} ,?rFj7Uw!,En}`x'^bKk ׶§uPA/gFmtp(`#X7/=??[GMN JFM =epX$V6]MzpCX_]SMeڂg5'FAu#ׂ 5)knVK齏MF@Ly]B(@+hk܌m&c|s |B^m_~y.[pnº/{jĪV+LlPLJ&n:bގ+eMAnca}ɳmmC1lŚ܋ &b7hcrHM67bC@ FyP_r\1pʜ0p(l%џbdjZ8;LM'/zw2@L C[h5wu|Aq^-O}DI񦦲*M.0݌^ΚS3u&cLV:5N+pH? Nzl"`?ѫKfKrTe>3 Z)cqhZ*|LƒQ[QE,CR]DD>0% CŔb?4,WmT]ΰ4/d,Um6cc:{4QJfٗe+<d˥T}n64Ae87>5,;?8&?xߊijxh%#{dأ6T> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22319 9891 0 R >> >> /Type /Page >> endobj 9881 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9882 0 obj [9881 0 R 9883 0 R 9884 0 R 9885 0 R 9886 0 R 9887 0 R 9888 0 R 9892 0 R] endobj 9883 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 529.3 144.2737 540.3] /Subtype /Link /Type /Annot >> endobj 9884 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20210608081201-08'00') /Rect [104.1732 513.1 144.3012 524.1] /Subtype /Link /Type /Annot >> endobj 9885 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 496.9 149.6802 507.9] /Subtype /Link /Type /Annot >> endobj 9886 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 480.7 166.3397 491.7] /Subtype /Link /Type /Annot >> endobj 9887 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 464.4999 164.9372 475.4999] /Subtype /Link /Type /Annot >> endobj 9888 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 448.2999 180.9312 459.2999] /Subtype /Link /Type /Annot >> endobj 9889 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9890 0 obj << /Length 19 >> stream q /Iabc22319 Do Q endstream endobj 9891 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22320 20690 0 R /Gabc22321 20697 0 R >> /Font << /Fabc22322 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 9892 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=844) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9893 0 obj << /Filter /FlateDecode /Length 2926 >> stream xڭZKo$7Wz?m-{lK~vƃ"%Q|Ji5yuuoI1jӯsjڠ55덵Z:)PrOi~<6aNўOe %Նw gL:˄ pA4|m1@Rǝ}%:`׉1Sq1Ux'㆑YPoǒX<܍ ?rI?y"b #LǓ(NJtH!{X8CnY-lJ6ˢ K^ʢ<5Xk4GDG[R Mo BO3Ԯj}uG:mu]Gut?&{, ơaX D^ '8)_괂IiR?v$ scS.'K1wBΙ X1I/mv)M,gaie$riVO)rD= jihЋK&R@@g"5:t2W@_u&ΔԎyeiDTZD}q`) GEHvsc[f 5^Kx\2ޕfBuE'P Ff do]ӛ:[?~yK8 kOdvOzZ72=P5 Z떋ZעIA2"tUp b0`wWO]"IDr$":΍TFjQQV2ES|_TJk^꾓~)i!ճRkOidW~֥^*^n%+zP:Oxg".Y1z{ 2ZvbSܾ c7KS!!89X. L?%oͽUF8/ O#SHU>WExDjψHCۦ 7(<-/FĘ{ 6kKԵ8$>d{|v,_]l'{SrFϟTźo$gw xJƾB)ÖX|kXؐ[ANuGVPإ7E*f"e[""Gړ-dT(8}r&̀ȗ"a\pQ-8AZ1dM}P~ss՜̫K70Gمw;(ߥ?ʟ`w^';4fӕh嗞s]:اwe2cWڈ\"Ip27m <8t"aVGerW%BH2 -Ԑ_D@dsk-\F>ksA?5}B^bRIvD;[ߋ)GJ5I*WE!nꤱ᫟sSSS꩗Iꖹ8t)B4K~}L<;s+A^@+ⳬcy#xe8y2q3*;'Gu`ꅣIpiw&lDyWWN"жu@(S*vĕtBP5~g=8<=Ֆ=oց>.UZظRXY|,\1S{d}+0Ix,}'xDz!̤5T` n;sK^{=B-"!gUSe.?Ih97ԹT0@yvh[{Q}_7LhP[mA⛔8teqcVvs>-K?" endstream endobj 9894 0 obj << /Annots 9896 0 R /BleedBox [0 0 612 792] /Contents [9904 0 R 9900 0 R 9901 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22338 9902 0 R >> >> /Type /Page >> endobj 9895 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9896 0 obj [9895 0 R 9897 0 R 9898 0 R 9899 0 R 9903 0 R] endobj 9897 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 150.325 111.681 161.325] /Subtype /Link /Type /Annot >> endobj 9898 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 150.325 139.8465 161.325] /Subtype /Link /Type /Annot >> endobj 9899 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [145.1595 150.325 177.6645 161.325] /Subtype /Link /Type /Annot >> endobj 9900 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9901 0 obj << /Length 19 >> stream q /Iabc22338 Do Q endstream endobj 9902 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22339 20690 0 R /Gabc22340 20697 0 R >> /Font << /Fabc22341 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMA endstream endobj 9903 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=845) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9904 0 obj << /Filter /FlateDecode /Length 3970 >> stream x\KWl@ ߤ3|=AN묃`&%?U,>TX[,QWO 7_γLrkx(߷?hK67kI'%*+ՓTE&f>a"4eR[aL~Eo?'.f%f$GάW3PsPY,.6WSϤ@'9=F=b>Jk)D@ %ړBLy"J2;fJxb^ Y'bg| ƥ6'CW80`>> <״8"PZHjURl-(u@'\w)z ,h!QePP*+@kAO6Yj!Xp(v ] V XU8ol$.SmG0~O `vV,oV.f' {4ϜNMjyh!`ɭ-IWXv:)i.V 4ap҆Y1IjɌ굍誨GbP;OvڱD387#þrGzRj$&yn؃XI&=wt0(fxHe*60CGU-Jmh<Њ:<0ᾈ,D"V$c5W0(k4FX2:5#aa#Rt*YjiQP*z V| i$+FZ 9b{#~},rmq--~+bs--~;܂egIEyJ@Hq`0 1 *D_eFjU`18i6׊f+;̵y,Bg:Sy94;_vCpZ( ڪ|O-c1ԅry[B:~'d-:=A+""4.K|41O~|Fʜ57i% vЬ865Ȅ!y[ ]:%|FM&L, 2WJ 4,Mm]ե:XK`Ϻggl/u|O$DUr܏RӣP4,ϝR=;D8";1ɣ}S~12Ǥ'vm뜝&KaCr4 ̊2 j#s`ᨆݟnp~l,U}?~ık5>Wyp(-[diƧ rgc;ރsniGa+W}&\tfG[好q?$߷6.Nq%[Z;ml뗬?X''mK%L~%"]_$ލMv4|~~ʃ=rd+7{ (wċL괜d1dYY/5Q:4*ȠVjok*4[GKґ>'SYP:3d{:[隚r'\6`ݯ#Fu^A_;3 s+#UWul;ێظYQyvLSU a6ɨ17\x;rKyc)XrN3t;^*'e;\۽: mc4PnB4]Yy`S3ڻt^ӾOv9FWUZJv՚5zyke YBU_]OtD`5ݎUsfѱkDgkf - %̧~ x<._ϠTPxbqnW^fġǽ6]:2X핳 @fN!U9>kp4q4 Ʈ?!-M\ӃkF6Ƹdx_k^A}ԇfPAFP{@͆jmiZ٬. 5FԨ@͚/2Y#+C% FɊwx[V;*bw -mM ]ַ߽DCZњoӚDk~}W c ؂na(r o";}lvW`ɞ_C;ElV!&k}Uu koJh0aMQ`-%'3kk3*Q:u+sISXsK錆ŰN"}[ }='ӊU:HBaK/nrCZkWQM R|A-I#=OM+1L`*k[$y]D+:0q^2# bV"gLғq#TYQRb&!q 1LBJ' E) *YTNY#UF4%3ȸhuIۜzKIR*9&>Gx#Y<R:gߙ:-i }UKPAR5k {ψZpz&Qy., mS2UMQ*OQ)ʏj DKfB5τ8%ފRuiGy6R*aMvaRVgmlFZգ!]k?!EH~Y9& h^=E/hFܺ?#T3o4ߛ㌲k5 T^yMGꩧպʇPu4WGknhI{՞[hq.@6By'!/\tyx6>#|lUtXƮtgwf9(&.FOu MXv|51Xla .bZ.s:ylSnaiSr.> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22357 9911 0 R >> >> /Type /Page >> endobj 9906 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 249.7731 381.3955 260.7731] /Subtype /Link /Type /Annot >> endobj 9907 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 197.1039 396.03 207.1039] /Subtype /Link /Type /Annot >> endobj 9908 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9909 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9910 0 obj << /Length 19 >> stream q /Iabc22357 Do Q endstream endobj 9911 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22358 20690 0 R /Gabc22359 20697 0 R >> /Font << /Fabc22360 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`5thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XLf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w  endstream endobj 9912 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=846) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9913 0 obj << /Filter /FlateDecode /Length 4735 >> stream xڵ<Ɏ#n<P:(P* ЀO10AƚRj_7TRn w2E ~/Z]S2m]Z=j*Y\,~R)mys >kwaR5o,x6wgo^y](č kϻ;GFIϻx_[:@m"r @h }m}m"y 6:bWbeA j X 3 l"7){k@ FuV}Io ` X#"iW[ͻ٠\J\.LO a$L* .VU*G0wM+|n0;L |:>Lt (W6[~ iYHP @Z\]]@̒ && Y>|ʑJ`"CyԧI_ 8aL? QYȗaZ#Q,픾Bf j`8d@5ɴ)GʫoD{b=SLxW;J$Omo6߂IJ+h2I$jg14oٍZW`i]ɣM$C/>X|[{_šH?Ed|FxՀʿ]flRޔy)u972~50r%P%eQ@CwUÀđOl "NVwWmI#e0: USjzQf{^D1=LQVN5$YiQH&S`Qɚ5/k_q+g@2ՊHbo,ם>]~\7a J}&\M!t`,/Vգ.Bc'Z1SH_pI5lD9oܚ]k PȂ& YӠu9ZK.Qw7N z[^5`cӁs4m^]ʸ s4N啍nh*ߤ`̚FU6g0VN9z8b[#>Y1L€W;^fj5#.{) 8WӔwT'gc[ {|fcf7q+xBB~ eǜRJ; emGv/DG5A" $Z,Dg 5ۃ2&RZ__qtUr4RПko*^9ZH֌䲊*C ZSu_?|q.TVͫT|=5ul%ƒAEN)/82MX^ ZRRfKR2g#%U:r/I]DͪxbV2XyH*O`+.De)je(/ e}e ~;mvgjv8l`z.M6?}$17(XDRTccw8Sw]y@W@$P{TZW z'Lo y$7iu; JIuUg7tƌRә2|B-Q6c*}f?z.jg7d, 6imŷBqL)MjP_+Y֊_oJWUORΕV5;T3ɦ'-U4uzQS.AsSHŔL:PXCWn2t0d%e[W#{N-ElKrH-E|/W'> rDS) 6D7X¿y *9!`c%O/-{j:Z.:踳Kʺ8#^2skBE~̡R_;/-v=WUѧEw0r\*?ptL ѧsIdldQJny'NhsRQb40vabsR7v%w3^šÞݰsR ޭ"E-dqjJ`/MA#5sgU:630<1@:ikqlNiK_0m\gJ5[;ј8VNɕ췌,ZM}wg[GHE?/O>^ellVv6۟MGzX$(CM+-Qx=mo؃58&Ɔ.ɉt-͔}} Mglbǚ T\T+cwō/|GSy5!%!zYeQ887,<}gg6Q?HVs0QKw6 [$7 J V+rs-_ ʍ#Kq:o)8rdZCXv- (ク80U'be F[c&$vBh 0^8䪽uAQom5vI'tatbŮT ~@ĺ*:X~,U}&.ȗA38TȠp-v9:):rbƼ> /f䗿<%;C)7&BY~Kp&$Z@N\ EI :4Y_X<`g%3b`lw;IY&4 CMj4y:(MU  @0 JOX4560"\]6t>#:Y\0i;7 v"^&Q,.| _R h,9Ţ2ZoE|+q;s:/ Y3i' ?qj9˿E4$\R$/"]%,.!1Ud_p.(hDE>ݴ+TLvEXʰt)Pu&WyMExm&E3Y8x65Z;JGR#΢?tm endstream endobj 9914 0 obj << /Annots [9915 0 R 9919 0 R] /BleedBox [0 0 612 792] /Contents [9920 0 R 9916 0 R 9917 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22376 9918 0 R >> >> /Type /Page >> endobj 9915 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9916 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9917 0 obj << /Length 19 >> stream q /Iabc22376 Do Q endstream endobj 9918 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22377 20690 0 R /Gabc22378 20697 0 R >> /Font << /Fabc22379 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9920 0 obj << /Filter /FlateDecode /Length 5271 >> stream x<Ɏ#9v8  L}kO>>m t[)elA>o_. BҦvgIҿ?~.x7R_Pㅔ*n/g)}|wxw'3v!H3 +j- ڴDM"1huVJ{ٿM'jZ'z^ Ȳug=cMcmۿ3-(F[g;pVv-̷g|ybUm.k

    S0 DݟuV60R^A?#ʨoUb0")&C|_*}V܋E *y$!R/R(eS~9`5E.8w!#JNϩ4*LKwb pb$8v3Fȏs@aݺ[s,Y(g}ʱnpL)I*pw%RrqicULb5:;[%.N]E\`s7<)%c(A/K1Pһ=) xz tT)[b??Ž'N6A+}9}ÃI̱QX}ucN&}fzتTs7ރo/Tov iV'/;TE[pSGgF2dC=J tB[Q@tu qR-0YO,cZjdP99z4:S_\QZ(>au}LOΊMccfuym\߯|TTdd5_;9VS͹JٚWൈab?FY:񾥣(Ǒ"HsZ;^ #X-WOYl\BG0蛃/\'yfjQY V澡sXkƇ%uд*{$AhEm[h6;>n8);:_ކǯ`7Uo g<s/D6E AU~]` ,+,R7^{Ocx1_:YB,"6ǾQ҇Jr{vjV3,| NL.\L0{N4%'35M7&AlMǬо&^a1o?7?5ڃ95~& z'Mj@zMmyIѱH0'컶cw;oupMh5> ~{HI3΁]/НcB?*䘹N^ir'IgCn"CK{aU,g12WޛHv"90W{uH=NDD}2jY\p(WkVV 9wyWEqE`tSQO@)#6U x.|$2Hv}'+T`ulN<*8@a1I.wJj6[`v[t b&g3A'-//?ɕa^C K|ujHpΝWcZ'IOUQ )|1 t@bm)ú $_-f `8 "}^ƵhxVtq&'޾n7 mZ U5E"s6 2 Ir9e * UGr) dGÈ!re11Q P"ɖƐs]~rSR/RKc*m3C`KrJ6:y[V؈&˵_dm`d &#S礶)?F|vǹK~Ě`yL20@ϸ:S9ɽW+ ]>.DK4%4)lGVyE]=iDkFx;՞{=8"zYR5|ޮ1qξK5{}^#W7W@9NI^>N.\dJSPQ|=iZ5NK+FΓ p'qNqisgNЙrpǗx>4z$-ܪP#o%HYM+K~<- 8$Mo cR;rľdG)6zV җx5]&^̱pLܹ]>ˈPCpcr!*9CE>Oeꉰ{"|(<,|قg4O 2{C_p:~¨zwOHT2N>\piGgώ]lgGj$I>(w7ѰΚaFS+i>;=;p7TaPJ@6Xh?z9szUvlCL3JţWѧpG638EUq8;*k {>u2:V>fNڀ dW|,hT*} rב1QCt3PK,M6}?QwPu!u `zfpf'Zl_TtSNcV8SS51ŜJ'I>ϦWdfbKt2O5Fhk> bEv+0] {ģ2i£L9ʎ&ݿorQJw7lwZDL3W8< TUHIoNjԭr/c s@`Y`p.JfjJO=|c}hcCqUZ&%c_EUn9T[wbk%dzdwT XM'XĻ|U> x(7B9D4suVJ~Pl9(XPКFAH=@1MuqsCh{"^>glmhi2'ܰ.AL _l?ULssw;ʙt™At=e*N7o*ޣ)C OzM?\l@S:h jX476?]v>TJDYNs=ꑪ%&`nƏ(6AT0#OG[TrVGV ΀ؿRO!#CP%=u't.Iy%q~ _ @xלOc?%0-߹3 +ɕ}N'o4!q/iUd."з.ls"p$d1 V/!| ;?3R!MDkeid+b 2J.O|D|eMm%-Ͻk*t\z`[Cp ('@0'@!sNg8)ki&ɣuaȓbu6rbwa?6$nu#\|XB ȶJ 8e =+KL*"3R%rh(sDRD֖b禧yۊ,BnZ>[:۞d`UNƑ8H\DkT?NMH_P.e@&֘P [֕;–, ~x{{^pʯ+jO"Uny ^T!i/T=Dö<3=|'L+,gOTst - K䉔-,G> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22395 9925 0 R >> >> /Type /Page >> endobj 9922 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9923 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9924 0 obj << /Length 19 >> stream q /Iabc22395 Do Q endstream endobj 9925 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22396 20690 0 R /Gabc22397 20697 0 R >> /Font << /Fabc22398 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=B endstream endobj 9926 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=848) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9927 0 obj << /Filter /FlateDecode /Length 3961 >> stream xɊ$aR@RPQ9ۘOmSc-BU c )'}R,T¯'YN&]Q?5m RVj5{嗟JkT)ߺBM(mJ3LNQFg_203Cc-:I`u2e*J_#њmw{KkZ .f ꆒQ gMCe[!8uk{L[ψ1YSlĞe01SamMw;_׵d9+\6 }k"4: Imc317n`0q-;qq T ;h?G`!qP!['mMċRVaܞ}[b>xNwoZvk9]klxT/_N(xq'gl~<⡑K(rPZrf^@ܯ1bѵz:z0|7}l,jR5.Fn.]@M2sZOr $6-ޫzti(ћF`4Z 4?z aB"z`T>* Ɛĭܚcv d^\2nulO G2 Go"k<9 P`S Q#}I_u{ Gd;ppy\zgki@g)5xG ȇφ>2~xzbpy,1oλBhs"+[\XHJ8i0`ioA^O W&MubE lq^Wp} D@RJ؇>xo2pEm/O(){xe3IuAy%9郇&yw&jO8?_mɟ>:'bcCNع;̤1և^(6G.ϕ<ўS=ݱ)C <4ǟ[6c`_۞y.OcR9_ I1B-A:qKB@xćG ᑻH&؈;sJإul\ݹܹ|x=Ÿy(]ܤ:v\9 Olw*;܇<$=P bZpw4?ܴ$ʵ戗AkP+&7A666uAMvNa3.ɈT +pAi ѹO"y\0pP?E,;p .Ei}w@:c8kcZ+dc-H?c+u!r7lEO>58v,m_En5 e凩<Oȅ<i#lu=gAR}f+%1Wy D\{˻6xsSqAIFŭbD5SvJyej|%J7)`#^ >l0_ec&wnx!!mj ٭bZmgY2e2<)b}FŔmfa{[FECE$m&dgA}ݻuvbE?pt}̥U"ͅ ?)t\xcH+B?WBMWn|X.m!1 'L6GXX~rn,t&&Qyn%y 09Q(t%h<ƞr q&$#hH@k$dUA䭎|h3>§|'oS \| =^vv〩!]ſW|N`'^ YcNqGyNmcY?wrJpdt]rs*e7]c΢! endstream endobj 9928 0 obj << /Annots 9930 0 R /BleedBox [0 0 612 792] /Contents [9945 0 R 9941 0 R 9942 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22414 9943 0 R >> >> /Type /Page >> endobj 9929 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9930 0 obj [9929 0 R 9931 0 R 9932 0 R 9933 0 R 9934 0 R 9935 0 R 9936 0 R 9937 0 R 9938 0 R 9939 0 R 9940 0 R 9944 0 R] endobj 9931 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 512.6077 203.2722 523.6077] /Subtype /Link /Type /Annot >> endobj 9932 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 496.4076 184.2532 507.4076] /Subtype /Link /Type /Annot >> endobj 9933 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 480.2076 145.4837 491.2076] /Subtype /Link /Type /Annot >> endobj 9934 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 464.0076 154.2562 475.0076] /Subtype /Link /Type /Annot >> endobj 9935 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 447.8076 191.3372 458.8076] /Subtype /Link /Type /Annot >> endobj 9936 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 431.6076 144.2737 442.6076] /Subtype /Link /Type /Annot >> endobj 9937 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 415.4076 149.6802 426.4076] /Subtype /Link /Type /Annot >> endobj 9938 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 399.2076 164.9372 410.2076] /Subtype /Link /Type /Annot >> endobj 9939 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 383.0076 154.3497 394.0076] /Subtype /Link /Type /Annot >> endobj 9940 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 366.8076 180.9312 377.8076] /Subtype /Link /Type /Annot >> endobj 9941 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9942 0 obj << /Length 19 >> stream q /Iabc22414 Do Q endstream endobj 9943 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22415 20690 0 R /Gabc22416 20697 0 R >> /Font << /Fabc22417 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo F endstream endobj 9944 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=849) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9945 0 obj << /Filter /FlateDecode /Length 2340 >> stream xڵYKo#W@s~Km999],ԋd GWWi^t:lW?vN?_lVkMUZv;r6`ܞpiqIIN$e`X<.kv_o:wWSk5v:wfi5ZM+:pA@p!ht8H@͞+pvKh=L9$k>> dWZU,m &q)5/YY+oUPpS|`:-ZwA5l آI-ܥ:suǃ-0B[P%a;1BH,Pps6Tϲ3`k̞äみGs)_ukat{ފenl8fEad;]657/ D QlAHɃ[-_:ꢆ(?a 20"]0rKI>լ kVXޠP%dZrW讂 ѫ TsֿW"{*ޔV*p}y~}1!ֶrb1XKZe-܌mw6|舻Rzrve:d!.zw \=G eAQsF4fM<~hkZL_9+9zAcS?OE0aѼGo쏼vxzI3 ;|K;e ;P݋D-{#~P +lC }bgRK19mڧ\x"}`:P87JہbceGV$ 7 TyV] d /Gp2HQ F:H |߂.tCPC)T3=>OE^§ YB8c%q%ϬUbL5a_[A]Pt~׹6OdlH΄eύN]=UvqpFĉzٸ!47${ymaU˜=n΋{%YѰPrM6WQx$& FR[%lPFmObJGb 3Zjp)V]Zl. Jwj]zqcLAoեY3R? s9֫@0%ga_Yjb'i/Zl *qJQO|8.06X7nWZ|R?BL)-ylpت!)@m®c-%.)thXΖVā:@USԙvW&Y ng]N 7 f֊X'wn3w@-|DF>2_W[ ywhi7yAO:E♘[W(eA˶E9v,waH-"gQ^P^4RHߧ@z-yKsم!4& ncllV/4IEm TV#@G*.NYU6i{J҂ܩzqɁ_,tcп6 Θcy$Or1=׵V؀~k dyXq1!k`BOxw {x"o/J5MT'x+;HN0TњIzWBlnxGmg5^?uϩ?b뱶SN FlK!M"en}p{#\ endstream endobj 9946 0 obj << /Annots 9948 0 R /BleedBox [0 0 612 792] /Contents [9955 0 R 9951 0 R 9952 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22433 9953 0 R >> >> /Type /Page >> endobj 9947 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9948 0 obj [9947 0 R 9949 0 R 9950 0 R 9954 0 R] endobj 9949 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 165.325 122.505 176.325] /Subtype /Link /Type /Annot >> endobj 9950 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 165.325 150.6705 176.325] /Subtype /Link /Type /Annot >> endobj 9951 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9952 0 obj << /Length 19 >> stream q /Iabc22433 Do Q endstream endobj 9953 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22434 20690 0 R /Gabc22435 20697 0 R >> /Font << /Fabc22436 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuU"|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYMޚGFx?1$_0Ԣxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 9954 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=850) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9955 0 obj << /Filter /FlateDecode /Length 3995 >> stream x\K$ Wl*zK,6 䴎֗"l z%DR']R b/дN&$8|99ik_Ժ9ERINFS*O>QJO*ŝIM~A(fP+4:U?'Ò6¯KfOJ=ՆY),/sTTX~I:G% '5za !et~X؟=)̌R̺dml:tU-!  5 z`lyq^OpCj(1 .OQh%!8@Ei ߃J$@)`mu3C *Qy$#ʢaQsdZ('C]':shgUdƦV^g(p$-W#&H 9o 2\v5.˛V2QUjkب0ΦTGTrsqJ6l|q3XѾX6 @!H^>AWWĠOV4 Z*5oY9` lHvx`E Dak|Hۤ(sT3'C}^Nl.t@݆{$g0`?BُiCi^ DՈ5]Ӧ=p5cR[)qp숭2F̴KN g>[Q.U4G]Z2@fi57cE-{BJEE<XXC`["πE Xz:&HL*RU+3{/Y;.+ghĬh2 Nj󲰴Fe"w»Á;>W@k5l@EWUKf^c4'`B-ܥ{M1gy`ϵ?\` u5?48}21_:gF~w~SNQLsܯC#0?VGcԑg< gN'ۊ(JVDŰS|e>bOʗzplw XMmZ Xˆ#AF`I.z'F Ѵ B!33\Ke߅>*+)}]9??advcXnЇSm󱋸K~T@wh<>8h.ثܖjO9z:0@{Dk|xj\F~8C]2?3k #fik„]p2kBA^9VMvcp'l=qbC:`[n87fpu i^95Ə҂}?Vb hZ?tu~sq{FKo[V884g0{b˅ ^WKӂ6RC ˫(|#~Q`}Z{^]*\nڵk_8Eq䛏4ht􍤶#> m4m؎5aϝY-pnDYFzɶmYx&+Wyu> =QA8X\Fr2nxr~hwfQ+ 4e<wBW7Yvz#St~V[,CxGkjk6+C^C5[6[^o^aY4MP-G^ WH;0UV̵+V72\ zŵעZ\jy5ō~jT_Q-AES{PŽQ-@hVXmA0g yۚ9H=E:6{Ȯ t,v%E:&kc6fX}9c;-0*\Z0{/ٯ\;>gpq0%?5o<,{fy븰,&`u.0 f.VEzoHU#-p6 L)7/~@,𱘧qB۳٠Aї7mgjqv=:NVmv䂶@ֹ (/+VWywbÕK {w-M|!tti;8(vo? nM@7ZK< ·*K5TtSJն\#IjX3)Rk+=8'B2\l;3Zov~›.֗os[tmjSKjpY$4YkMvi#+X 2'^ث`v.*XS~]~+-sF78>.NcV>T)ip1c rՈ~W;9!Ղ.uu!aQT|vq&\C-(Gb _HI\a1 xRiI^f޸Ǜͤ+kd7’9?PEj*[UDዉKk n`2E<02h)>pZfq=Uhw0JRD1`FsYOi{?^T]9n>BHW'n_Rs4ׂ1|ƽj/ ᢙ}?ThKt-bXWq܅G:`B3sr9"3K-J"5A")1D>N#ޒAeM5 MK30j_ĒsST{|-Q8\,yCS69mK T)%VF5\%y ΋.4*0)Ta=W5e) '8%>WqhU%69dG۹5lWA>]O|f{cjxnJy6 08.|2Rv4D7l'u\Ctq|֜?XnpNqzص^xWQb9 #`tW^@v̈́TgG~(|8EbV B|WV<{'wQL ݷiCrk=?]N];6Z!*\,WS׫g\]ܜWlz0+<-?O endstream endobj 9956 0 obj << /Annots [9957 0 R 9958 0 R 9959 0 R 9963 0 R] /BleedBox [0 0 612 792] /Contents [9964 0 R 9960 0 R 9961 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22452 9962 0 R >> >> /Type /Page >> endobj 9957 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 461.4846 381.3955 472.4846] /Subtype /Link /Type /Annot >> endobj 9958 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 408.8154 396.03 418.8154] /Subtype /Link /Type /Annot >> endobj 9959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9960 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9961 0 obj << /Length 19 >> stream q /Iabc22452 Do Q endstream endobj 9962 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22453 20690 0 R /Gabc22454 20697 0 R >> /Font << /Fabc22455 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛJ endstream endobj 9963 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=851) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9964 0 obj << /Filter /FlateDecode /Length 4612 >> stream x<Ɋ,9w}[ABFdan0T ͫ?LIKk/%EZ$;GHzR%*%?o_oqQrkjVo/uRE9oE—p-_m?$(B%gLR?`)4iaHĿ+,-ZBY#y2JA3F8ǘ}hےh&sw ]+Y} @ۇT0KωF N \.H]]_qoBW3a}G X,0Kq1Ɍ PU &Wd.d'm5 'W퓶Y*Tjr (Y9QȟeߢpʨQwt0Dc5(+:R}ERQC)ZN H5Os(brՊH&%y?> oT MfydOX{yi^#fψ6n&Ȃ n9Pf0FxoUD]ҀkTXB#hGDZ:ؓ0!@ |ÄOvfd ],C fM %uҜIAw\H}u+PL2I]jP,;@VmXU $ hmIg نGuJccRj"Vf`GN38 DK|l M&ڊ! 0E~Ey?'%:"{f筦}80p _8q3A `&nš @խv&rH P6@&",Q|UZue+?. D2j:fk3]7\W8An0tkfFG|-<,2nRZQܫJ:Yu7ڌ U[Lbu<6Ѻd!GFYḸ I>Rh}7X)s``1EaX(Vե= []3GYES3© ok mo9@Gdtl:fZ @Y--GF;e(:m]c /TͩtהPa[+Rt#*|ѩ[+ZGͣ6$Wj.cbCiGs ?Dɂ*p C^M MZ#gU P=ΘLubB1sVXyJJc!l廅d&l%?+o1˦5w/qҥXbċdl椲 -㒘y\8/(^%\T U(\oCkm-Tח. mFcbSh` }lz1K$d=(Ԫ/0t#OkT82.Y)sfZ̚a56)7yu`jX3YQG}G?}*^T{sy?AIx?Ds_\dY {D7YC>4qhXӃX׬"T=AJSŭs$qぴCAanD3V>VPhK cusGt%0x$W$b ~j@\rJUgX*#4T ؙDHٜ*8$ҷU +Ƌv2M"حT~{DlM>9 IIN k%欪./&5zxDߩf v|7{Ń`/Oyq}$Ch`Dڕ֧=g,Dw a4xy׻vc?֪xf=>)/$ޡ"T/ǝCw33`{A_f{(QAjفƧ{nJci Z=Uv9LlQu>Aʺ_COD$Vr5zGU/U'ט[tx2EH|sһ uAMM`Sv ĕlsH?`W,Br7.| _R GtbQ;*3PwrT k|^* |7•eBv!cLu?l.2؞J4]Вtq*"G~bu]ue[JP_/E[?/[MЬ!߉tl.3ΉU006.ۍ|y =ߋn=NL#`\Jw;K*$̔ǐ LJs` o8jjbƦ04s xYãXznɔX w,nͿP@lmͰ2nO ʸ8T endstream endobj 9965 0 obj << /Annots [9966 0 R 9970 0 R] /BleedBox [0 0 612 792] /Contents [9971 0 R 9967 0 R 9968 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22471 9969 0 R >> >> /Type /Page >> endobj 9966 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9967 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9968 0 obj << /Length 19 >> stream q /Iabc22471 Do Q endstream endobj 9969 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22472 20690 0 R /Gabc22473 20697 0 R >> /Font << /Fabc22474 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gHыCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9971 0 obj << /Filter /FlateDecode /Length 4139 >> stream x\I$Wy ( *3+54`|j{ƘC?-#r dg*B!={|_"B˷_rM-.Q%I~E.EQW)\t_??I)ϋ_ .h9qC۟tggԳH>pM[_|2!\3Gl?/Y(BW׿>56Gf^[,HcYħLyuϋqLnlYȗ2z~K,lyyt>F),ۨƔjz)w|cZ12e^W!U|KQY- z"ux):cVoAe(:8U ºLU$+cQp(c+4@w[zIn,I: O+ÁX,蝖KʦTY_ 3DŽ,RY%e>y VcCς,Y\|g*V)i.4\U42T -Nݶ8)8{-N>`q0agHPmj̝I;4 TP3쪿 a`uPѯ&9Y-*,Жk(:l%֢AƴP4Oٻ:Cў w CD:MhR nn ي\D]\faHڜ'`O1(^\u _'.>z&#тCcXBXS!@X9G rf=z ;'}T}|3QI)"a{w%}t/_O>toy,<#V6}|x{@h/ڭA[m+xҝKGɭڻ% jsS=V&wFˬP|E ` p)ziM6 >{ j XG7HmDVhZ| 3oxHhw~ A^4nWQ O+ژ  CfK&{dpM̲%fYcbE\ ߙf$MS-C̈́Iul ob 8 A&Mq18AA(&;*PcXU:my7 ~mxQY:Y.D~0<|\u˽vR W}Cei$u*oB)$˨ D}×YoF΍1Z`58[ (nr`M@rO7tETW_ Li5yX>$ǝzOFhq)r1/𷳈\.YFx߀AyƤmWp@mUWRsr$\ZI$ħ-AACgJX~ {cS∠jA'-!>ˏA( Ilhw((_Y˖CHt0G*:h Z>J3J#GA+Ȑ> Nr^vW%1r!o-.mR!-ɂByh\'YGiF #X5WHEhxHgPA t 8e Jtk|џcab9o|'@lyW}=]fmV?[5㼮ŦVicd߉kr v!BbAVOٛ>n\-,f1wuRN.)4}ec)mNP˽PA#^Rx_/,e흐5{}׺ZmTܫXS^NuuTWU pL.x< )!dd{k,jr>+/񽁣.|]>d5"#on qCH$nz(Z0mc'*+ ۘcW;Z 2ЋF)}ݰE)yq 䫡XJs+KIg2/BSߏ~}8]ӘòI]O |6bj|'uuw&bxm!, (+k\xw Nh{[b87gy^Ջ(%WoB ͭV˹ VF B1[Mt}.]ßQ!]OzgG{>QƬӵloU+4U3llS/y˙c,˜0zX2f4cO |쉋:e&v>μ3gLJ6~hwZnyڝ-MZr+(xz^,`/U["{B ֥l+ڡ9 X=nا!,t7ǡMoFa8X'uZTk<>Fl_+.5"o+π){j r ]oY (/hk%9ioCifcN3WKlm#"#F2eܔķ~fj;]@ROPm>1q M4PSJ'ǔ~x"4hRʻ$^Srfc' iU󐻯 ;?)~1˫o){AɭX|2WغP*bdr;=ʯAҗȺ{hYE>oLhTo9(R+2*4RnkN_2N^)d~{R\,/(|9O?@Иkht,(j4bU~S[ ؙv6e5鄇 Vex;59XdsHk>ߖ/#Cl 2T)C At2vi]\O%tϚ5jWaoy!2ᦅ+b5w r<: F,f! vtKo": ;K<#44o]c?MYl߯|U {4HbQDO}CuQ2ɚԿCƃQPƀCWȅY'?qj7W ,;r5|  |+eDT2LQ_p-\z-^f]R߈St,i=HP7_eBfwnk=%y"EAynWJl[/DjfJ (dzoКNB&XK;nUőhKemdm]y߂:{~-vb/k}̥d$͹9c7CX|Bi]8Y=7zE3ˆ^$} <̨,ynx?4q>ٞ]|XD[ӺLch܏{5-Yx! 3DRY-W <^Ny%E'#| |By̘xƪkpXǔx EYJb{&+Fl פI^pCbyCrhX`Ea+:Xhap endstream endobj 9972 0 obj << /Annots 9974 0 R /BleedBox [0 0 612 792] /Contents [9984 0 R 9980 0 R 9981 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22490 9982 0 R >> >> /Type /Page >> endobj 9973 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9974 0 obj [9973 0 R 9975 0 R 9976 0 R 9977 0 R 9978 0 R 9979 0 R 9983 0 R] endobj 9975 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20210608081201-08'00') /Rect [104.1732 546.9 166.4497 557.9] /Subtype /Link /Type /Annot >> endobj 9976 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20210608081201-08'00') /Rect [104.1732 530.6999 144.5102 541.6999] /Subtype /Link /Type /Annot >> endobj 9977 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20210608081201-08'00') /Rect [104.1732 514.4999 150.1972 525.4999] /Subtype /Link /Type /Annot >> endobj 9978 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 498.2999 164.9372 509.2999] /Subtype /Link /Type /Annot >> endobj 9979 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 482.0999 180.9312 493.0999] /Subtype /Link /Type /Annot >> endobj 9980 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9981 0 obj << /Length 19 >> stream q /Iabc22490 Do Q endstream endobj 9982 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22491 20690 0 R /Gabc22492 20697 0 R >> /Font << /Fabc22493 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9984 0 obj << /Filter /FlateDecode /Length 1815 >> stream xڽXKo#7 ϯйz?@l n)GR8N M0P>>DJԨ*\z|RϪTeiVߟV?S}xe.){OwƸbLZN 0s懝h#xS63LȁTA_xS:bұM&/ їd':h;3+6$a %u>VnwO+_ک_+5׻u8x]n|mvKO&̰bmևcW}8O5DKM ymGDuTDڤSS)bt_"6*S*( =N}{8vOGciG'vQȢg`}YBA.Ʀ"2V pw,`k*AXke2czqPXj>$mpkP{x W2ۚ`E:˜V:3TdiAQ([k큀HJ϶u.vͰIO"=kPNoJÚuyg]k<2/wNґ0e]܃[SޟrWkC=?7KKy@{2p5C&GySXr5tN>{o>)R\ '{DtC0OɎC* v nCq9%wTݺRqq,X& 6RcZ7*47MS_xj!5*۵ka0K`hx>ӵC)HUU$32vF;K!;TΏF}?K9*nv6ΟSa:]a 1Lѩ9mu56bFL wU .຺h/vI,΅MO*aEYꢲv &2*l4i艡QpS۬IRsfGӵ tmU,Q umLO΍=LO4cx$4YH1Tߡ؇}M;nZɆ5ltؑ/\l1Nj_/ikQ4 w"`8i%2=h!KL{QZW6Jo*x ׈9fhu}v =êBXv!oHקU{,>y\˲n\^]y[asҺH] $7Jٶͧx})F D:KOt!:=iϜ}=VXj >x*CK\b>&Ǣ|zh& _Qt25>=(/mJJ59:āځk+GsXeܽAoV~=Z׌o894~ashpp5;6By!mvq/caYvע@Tsyܷ{nt+ iߋoX_fn(AXtUR" ] k endstream endobj 9985 0 obj << /Annots 9987 0 R /BleedBox [0 0 612 792] /Contents [9993 0 R 9989 0 R 9990 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22509 9991 0 R >> >> /Type /Page >> endobj 9986 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9987 0 obj [9986 0 R 9988 0 R 9992 0 R] endobj 9988 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 250.525 140.952 261.525] /Subtype /Link /Type /Annot >> endobj 9989 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9990 0 obj << /Length 19 >> stream q /Iabc22509 Do Q endstream endobj 9991 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22510 20690 0 R /Gabc22511 20697 0 R >> /Font << /Fabc22512 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70+|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9993 0 obj << /Filter /FlateDecode /Length 4456 >> stream x\K# W輀+zK4hMvff/!E=]LRQT7~ȯiLT7q"pqhWuSt!ZCE)*FUW>6μη'g]XQQ&I~"e~у+/tvI $Ԥ0߅fLRaRIyW!O2=Ju?oR1{*ƇRJi_=  GH$ϹklW˱9⬍"a_R0Զv^}:JUduӜ@//E l)ڿ ߙ)(yjP}n W9XA09O!ka yFW9y_o ДX6AwOHF$i3"0G_Π'` AEc[a <2mJ1@^UNjQM`G tD:wo|'*kdn[^FBҸ$\g!%78tirn7YE#ڼ[Ľ^#ѣq@L 6<ب$]ÕRӌ38ubս6# C]b%G u>O70EY WzR)TB /#1!_h­P=g7v==T+tӟ?&"+ە 6 bO9^x*mߖ@u U7 Hܬ1jBI瀥gcaUUl UWYekԺVF k›hs[U(VX!`直u¹me;fTT)ӣvд ebabaL3 rW5< l]JMkPח##Nk@(OXW7Y!2+F}GIn..Yi$ ,m)m|öo_[3%&z~emPu[ 51p^UTi@R[{;3wR7}rdr=X[AȥjNg q;ƛ3: r*FT}b֧A,l梠 %q3fdKP  BCn1[l„(35<ų<$QDx_5:c?&_al#02l gY\Y2m s B&+;wD ~_+v]x9>wTG`LjOa>vf.vNs%T-8䵽9C"e:wl Ui^ ]'{Dj7Ezgs`}Jv+&hnvhXU mіᅿjuWeu '츔ߩ½T{Th*ܻTi6Uz s}\Km'a9[/vW1[ԉ 1\%b;^$u|+% \oUXi9s~g #/SHv2-HҴ.hطEPeRkjH_|HZIK1SUVE悛*Ce"XF$prK6ba4lẗhBT2`Bb .-ݔ&>JuQbUqĮ\b蝛Aa=nĞ2DZǟc쉵tNTײ :4̨fƋU[B]ruEd3Yc"I:ZX̂;-9|B4TYKf> z^ݪڶ[%全Xp3::kQsې ZYW{v[QQ3"?75mJ3zhs59̄=kd6’8?h 2QWbQ A9<xLYA7:C;: #A$/k1c^p%>{3^9~,iw z>(آ+?ٜg=]~ERuֿš$./ +]USXH9t9a~RNkvGM6|K*ʭD:"19_p,nK*r1a_Tz΅YR_y<3Ae*%K٘4~;.O" +uZueJEyӧdc/Y_b*`Y~K+I MoepYq^d;2ejrMzz ۅ6 H?̫Ēyt)B^Q*&J㒦  8rdjvMFRͥe^~S ?VҴ?mU8r0,}!@g0jEH#f̛:)<$zGsi4`Nڌ+/GQ5zľ/:ҽ~/*ͳ:OָysO 'Ss2\ܔڏ~@g/9.Pi-?  endstream endobj 9994 0 obj << /Annots [9995 0 R 9996 0 R 9997 0 R 10001 0 R] /BleedBox [0 0 612 792] /Contents [10002 0 R 9998 0 R 9999 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22528 10000 0 R >> >> /Type /Page >> endobj 9995 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 592.7615 381.3955 603.7615] /Subtype /Link /Type /Annot >> endobj 9996 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 540.0923 396.03 550.0923] /Subtype /Link /Type /Annot >> endobj 9997 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9998 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9999 0 obj << /Length 19 >> stream q /Iabc22528 Do Q endstream endobj 10000 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22529 20690 0 R /Gabc22530 20697 0 R >> /Font << /Fabc22531 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 10001 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=855) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10002 0 obj << /Filter /FlateDecode /Length 4662 >> stream xɊ#_ľ((J|0>=cLa~K)jf0QKۗZ~]" BKg"._ovQjsj*Y\,_/;Kν,~W#7~+_.z&|Y*neoff5Q!TaǛQre &˒;)Ko`d`p6 ׅ#4/|?F`)Z҄2E.{#fxbGq듘x ʍ** W]R 2vu+ߋ\[iE bdUe#mHI#-M,n O (u]ۚ‰*zuQI5k @%-b%q/L D6T aQ]^M8& @V fF4/ބ|ga d2nKn w<,$S Kn&!$x^d[uDyRMxg֪tna5^2,J1g0ld2U-54.^`o>nMz0hyOc6Ho&FV9cL<-H6f5 6HE; "wX H$E"ߞu'5 (+mWڟX-hV9݉s@fL  Ye>ڋBT7Z,h4>#1gR!IEqFx7?^\[T(N%r7M* >: KSVC1KCEJaSϑD"A@h1[5odwKou@wq 3#ǻʀk עc7{}sWDAՋ+8 $yKd !(r!Q?ҕyɋK d1I3٘7Ilk}WP9%W(0mP˷ dŕy|#\#+x5HtӀP^7rq?{BF)#>h ƌѻ RN@n}BpMI &x'瓮2d[ƤKjVeKʦmtY5pnmq>Zj}{D>wKwlDaWˤ輵;w]-HnΥWgI܃#,Eb= q';sSi7Y D7I$~̤ UԔZTcN"grTV !l~"QZsf,9^d'arq0˪fF'Iq^0U0WzB{Iv R\N \ׄnO $[G-][ L)H"AMo#jIqdڮZ*APv`US|'fVsغT8YzSXk*,}\Bc//VVʭAܠZPB"2tbσ%q@j6s > ն̟--ؘW?≡a+ߴ5]gFu:{;uQs$ww܂n9<(j] {z;~}57,kPH-ե.[[ُwsBO9scdzcAs|xk3rc)1牶|Ωಗ {a6#f71툉2vTA TivȘHn;Mn) VQZGvX,&LV\S;l݉[0qMqSwzZU_U_ x*ٱG }h6ttb l9dPȪ c@-?]4CČS'z7ρ&sVmgcГnx?m?wJ6:~vy-{|%Ǎ2,/䦣]1F '^:0e1m}=Gn]Xv[9Ń5A< jN5Bh7[lϤa?kxZCdcjUmvyos |a %ǩte]& vkkn>w&e}l)R}P{zZ7@cRr~2qmyK&} GY}WE9VLD J >.yTDpV*ÝDUjާ&߳&޷ՃT2'PE`XV֪I)5;6%Sѯ& G;J[lƢGMeMJzWtL/!yd2`֌n'֤1nYUf+dm:_ކ/'ꌵn{ʭͰv:j@<@TuhgE}>ԥg>vƓt~[Ͱ +¸܅a=OϽS'C/cUVSP_Gb_K d`fݪ}-adI46گ޶h:=SЩ?K6c+F7Ĝ - ]wWфU'2#/2zMsZ׫tA5_UsXCL:&L$JiIa/le",ȭ)b ʮYq3Cd|]Szx";B{`c5' h_ǏڞDO_s+ 7:x}V<|}փ9v-IئLy튣odۧNJx剷ۡn aQ9dC^s7WsJSCxXkVHM${ޒ׭j`''߽MC._o(,8A;aXT4j耝 hWWOHpEG󅨃 10V֤E#, 6.D8b`k =wN˷%:`myi2hFX7׈\ ZhzD]wrbWXpA)c(& t3cIqN 6sk98kD'-_0i;7^ v"^&QՁ @GmxR2ɫ cH&H@bFj8+r`jr&3XW7ALB{:<5l7,ͦ<WFu}x," eϣ8|5-Yxn32Z2 yV$З| [ /)߯ [M^R1oqzN|2&:vo NMG+Wt0bx}sTby[dQd[Qz?EphX^ġLwc΢pB endstream endobj 10003 0 obj << /Annots [10004 0 R 10008 0 R] /BleedBox [0 0 612 792] /Contents [10009 0 R 10005 0 R 10006 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22547 10007 0 R >> >> /Type /Page >> endobj 10004 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10005 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10006 0 obj << /Length 19 >> stream q /Iabc22547 Do Q endstream endobj 10007 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22548 20690 0 R /Gabc22549 20697 0 R >> /Font << /Fabc22550 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`hЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ D,o)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ& endstream endobj 10008 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=856) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10009 0 obj << /Filter /FlateDecode /Length 3767 >> stream xɎ@3`0t C$v w6.R񳓼MY,^Eje6;I_#Mic嫓yY96EY+C; WQ0z^}+BS69eLJ71A /O.ږfi˴O*z="`j=n"l ]΂i U/}̚t4(eIXG7Vh(,+yA D3?a*udv{]*t&hTf0`Ll5;BT)AB0̄6zP+m& 963$T62{ʤ2(ȻhUԝSN]7[YFW G(u<3idV#`wIW)-k0lvʀPY<9y0 ,9!5haȸ |6@$cڙMg_`% (`΍B8 A"0- xUB@XB(U Ve+{Li0E/,89jLS DHWgk`RjlFY;3*2rX;Q3, y~בBhZHr Ҳa*K ݬUQ=CdCW]ϐe$zP-ؒL.9 r@<2z۲(-jc֝dE]0=㩊Y(^^ZZEd'p٪Vוmtf{ͣV|%;궶Vlvcmi] JdSQHi"[ţ~ncw @U!Th43.-2HBa!ЭrVӦWk%x;B)eK+Twy\htsXQBQD[uA%IOP|؅í`9Rtv谬Qs>,[4 }¯tռI跲R66-E-mU[@! ,eg3˻7lrǗG]|u8U|\B*\(ߙ>'jRj}𢡊0Bu h *0V n4w)8ldInD > {Esmy 9#1%Þ8^[opU1Ѩ|Texzވ;me֪`'NGe}yg6_1Ұ*}1+ȝ 6+ygί32r)f^k=p=< ms*>=NXs3Oh^`{-W_\CP킠_[#|'V+^YIS{M9GswX m]ؠ!<Ʉv7ca{ g>a&6;+~x! `Y8,Uشb "@k/o {6FHe`q\zƃLZ'?ƘEHFXUёLRLfxM32 fm7`FV7hbFgڊqr ףy\yqOYq_>SndmK\"K?Pep6Xl֪CƐ:Ұ 2"e̲?lSEj۶JR֐ۢQކNRsuͲٙ,Nz8ќRskր7kuy[,CJXU^6es -ٝmr)#!ʕIbWx PutSEHwSnqMߊ 6鶮1hQe0%~Hͽ͘Նd';In ֻk=cjQ0y}RƦ^@e"I9v3/aDLV7IH=Aa+uᔳ/׷t4A'm>Ux ͧlDwtu̻.@_ X7>гO~&*lq' WoReh v\!MZh`b!;4yѷ#ƍ>QahkGSFvb/34}*ÍcN&܄ ځA4 Ovm]NpnG 5'^z}Ju򗋰@*0}P֎@i$ r6L~`aMGQ%kHv G[i@1l8AMNe˰y143hZ0=dgO,RQ"ǣk!='I: 9'4p (ة?qec²5m-W)u!rBEDžo!|ӣחTm%" E;k\]}fd$ W0褺2\(H[@nqFѮr?hp&<%7v*3F 2;A#L \ J2.n}H:Q3ˎRIf;Qѡ +$i=̶GZ. m04ۓ㣏}bݛNF|VǬ5TValԛS؊&`;So8b\RYd;@2 l]O10ۍwۈ~F?\FgjumR@s*fף&BBL˚#8[0 6^rbG:R(G`y | H9G Z endstream endobj 10010 0 obj << /Annots 10012 0 R /BleedBox [0 0 612 792] /Contents [10021 0 R 10017 0 R 10018 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22566 10019 0 R >> >> /Type /Page >> endobj 10011 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10012 0 obj [10011 0 R 10013 0 R 10014 0 R 10015 0 R 10016 0 R 10020 0 R] endobj 10013 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 172.3292 686.7] /Subtype /Link /Type /Annot >> endobj 10014 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 164.9372 670.5] /Subtype /Link /Type /Annot >> endobj 10015 0 obj << /A << /D (unique_684) /S /GoTo >> /Border [0 0 0] /Contents (report_objects) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 173.9627 654.3] /Subtype /Link /Type /Annot >> endobj 10016 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 180.9312 638.1] /Subtype /Link /Type /Annot >> endobj 10017 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10018 0 obj << /Length 19 >> stream q /Iabc22566 Do Q endstream endobj 10019 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22567 20690 0 R /Gabc22568 20697 0 R >> /Font << /Fabc22569 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70k*ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}>D endstream endobj 10020 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=857) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10021 0 obj << /Filter /FlateDecode /Length 1239 >> stream xڭWIk,7W9Ji x=f!RԭgTW<<9Hcwss"8mi1C[s /WDgX|#?/ F{k߮{P;޾JRI7` -ڒYxr~Fs%b!W:?ٳޒ]9-]k"f *!c J>OyE=ƻ(jnѢЇN_q+ Om^!RZUvfa)hSv}94J0Nv3,"6"1VX*G_ ږU[ N 8A?B{6;l{ΔUR,mOrH錺 ml[^(2\d_q\TT?*̕z28u+pujA<`<+ B~ }|^>$Ch1p/NqCрgRbSw6e uvlƉNO%Ej=NZ&JY'3P~-4ŸLV euP9?OsসHʄLm!T>// eGo)n&m1|5o]IJFnr55aJSk{VRI\ޒ4PIݤ•q'A>ʅϙqd=KhgYJ#DBgg ]B#]]SgJ Mwtw<>y+|ABAg> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22585 10029 0 R >> >> /Type /Page >> endobj 10023 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10024 0 obj [10023 0 R 10025 0 R 10026 0 R 10030 0 R] endobj 10025 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 301.525 112.8525 312.525] /Subtype /Link /Type /Annot >> endobj 10026 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 301.525 150.6705 312.525] /Subtype /Link /Type /Annot >> endobj 10027 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10028 0 obj << /Length 19 >> stream q /Iabc22585 Do Q endstream endobj 10029 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22586 20690 0 R /Gabc22587 20697 0 R >> /Font << /Fabc22588 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70kЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10031 0 obj << /Filter /FlateDecode /Length 3895 >> stream x[KoW@+|` n ''A00sOHj1Kv-_=X|h6¿^ꧧֹ)ũ8e9NM_Я80}yzgm4ŻRR.|2.7vK#T)'מM_~oCO_dcqrpC/#;Bw_6~Ь4~>3bar#b!eJmYp4y1Gk(?[Οs7Y^OB{$< 1A5rB?z; l EmV ~ :19,1?Lκ9OX?UtfGx S 9 >?XV^ڴϠ `? 9Mda>={2-F}) |^^o< ( ɀz]3ϕvY1? 4Y}=+%mzd80QeWNuWQ3,zBUmnJ;9FE\1G K3 KLJ08ٖ%߄Q}75//ҝ,xK 8)4z =LU,EȅOft ;p0<,p@1hV7pQ 6x3Ul atۺ^es*[ ӧ̇5!'cZ]Czdt>t_C NP>U3:}nބ*SlEKU5i[Ygd/Y H#s=ؑ: шy, x1aཧl@[9^q`kB.cɏT`pڡ1](s]eŒ0$_sDY ]1y RrgQ4j#QA+GQL"m5͌y>G}MAe_A׳] 3~~D'XAS&㹂Z]?)_*ޱ-ϙNދUhea=$\w/Xl4^U`ux! ΞGc2A$Jxl6vV\Ʉ?VV|E<2ۉJ?&;RƧ/k?3~(}KܬYl3 ZX7<|+GU>1Y7x3+r@>0؞/q%iaPL!_{ HGjkE3'ᢗǾ#CB"V~ɼAm@ 5*eC5i5/+ױ-F(AުXy][ZR L:* %$eT^R`ke]CyY%$.EV?MiYpz_w%UTi3MK3(lZ/^_!K'W>m{Llײatֶmc/mcۯmkӋma#ܛIٙt?|`,evm̛󐩻e^jKQP$~ se{e{!AS8iô̅kӏvUZӬa3^%;LdI VJJw*@jփR! kCy-q I=0lj|/j~`OL$AEx/h=Y8a~F&dW& r؈y}5⭳ˌS>9C"Vo؆vPTxβrosgkb1^0Ʒ Pvt_IN$v*  Nys?Wdl$kY#NvW]W ĊXշxbCj.5ǔU;YIb\ssdKw"cWDT3k0r%l/}(%mTREzhRtڈW֛mZ ?r=Q4nM<,nV9(g1svz(0ikǡa :]CEn 1^X[-4"qPDkio$k>přV31JFC/ WlY1JW{>HTj))kGY6gp@BXC=[ 6 7e8&xw|DtrF$wZҜ@eI}f1'Ь}MΪyec6P;՘Pm 0FBw* ێ 2E|zLGDޚi ^A3"m skZ =Ӊ+p!{Y|Єc*gR0V ?~WF!7ObsioDqώ 07] ?h:C9[< ˦]K׉<[^ܗ\J>,&>oO[Y%yuv׫;7{ӌi^ kD"C\Ke.2V^;nNqH/m/E\4"4K xD6"0_rGg&K6WbAQμ@3p?÷3.Q^{CJ{b瀜V`\8ȣ:"ROY‘ sk體\TTQTx"+3& AJ?9_-eGZKR~j5^DUdTK֊ Y.܋1ϥ+ %3'F _ޤsdzWd;A 6(5n {7W=jrRϖ“f_Cˉ>*sLEKOy]^%aUkGYWi7ai@䔞|⯟'~&OЉ<3'i{QY7;Ǒ+8Ӑo3`ԱC=/ {<8|r<W_tAv d8kkEF7}P?~h|mkpTؽU~jk&'hF4 u78:æ #^8i=*a!k endstream endobj 10032 0 obj << /Annots [10033 0 R 10034 0 R 10035 0 R 10039 0 R] /BleedBox [0 0 612 792] /Contents [10040 0 R 10036 0 R 10037 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22604 10038 0 R >> >> /Type /Page >> endobj 10033 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10034 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10035 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10036 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10037 0 obj << /Length 19 >> stream q /Iabc22604 Do Q endstream endobj 10038 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22605 20690 0 R /Gabc22606 20697 0 R >> /Font << /Fabc22607 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`֠ЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10040 0 obj << /Filter /FlateDecode /Length 4592 >> stream xɊy 5/TVU|h1Ն?m($ef`LNmۗPͿzV?1뷹ӳwsxKѿM/W7k= aQZ-)Dk/濝2N)f WO/Z \i̒l q\R<^Wv}Zt yׂh܌o!ګh/O80"UoJ+0yU.:x[Wq ޞÄ9ԙr8Ν't Tx%輿:9&3"+3tc\O}yf4qttgd}7fǚt̙74MVy0dnVu4v9i@]^`W@4l*L^ioӘb#RRhR.2 $j: /H[$"YD@) ߽`A )͹H+P㹑XK(h gzIX<ŢY.2HY>z0UM2T"*M ĉV &+Q)6@Vۊjݱ"IzmApPoDXתP-Bɶزp\O7tP@};uDq(nB^DzDTJ !5vyк/wY&%m<\ RDP&WGl5ޛriI% `^DHډpWc6P"'c=DԅO'ϫ)~,IfdӧS2?*6 "  ٹYȟy̚>#͹\DVngH {zdTiK ,NpWuFd6븛1wrL骪?.=' etvS:ۓmbUN3׫ihXʯ"dCa]04u"N)IeDXЭ̽SWr@#oWv_- S^^ [:e]݋yВLBZ J^˯Ϙi#3)G 6+Fr^Q"}֩e^{?4'- <zr[W :G :~*-:7RuDx85!m6X=AC} D"l JYMk3t1Ta tޗ\׭ b \5]#'%uYUcZ^aJ.~ +FYEI'm- ܊;lSԃ@nc 8*fXmmMͿVIzchёpxϱ|6P]iqp><=HoWO\J7s_XzJZQ^Ju*̬ !n-~kaFuP^Bc/7IkDFV-"cߝ+Gi2y}SRt].Đ {-Md`mg>noMal7XD;^-bf؇n_n]Rw#x)~QQJԲ}q}]+݉0~|GұV#cM\}v>f.̐7wXL6TT=)omL&>R>bY輗t3\۴E7un-NUo Hܕw譶 ډZ*Fw/$a;,^&+uqC(-9m`@_0}6|ݲ۰IiYG>,$fuxV jϞ@Ͻ@&S'ظ\g&N5Bkxޒ G_KUQD4t5Di1dRJE N*Ԣ~XuO}j-kB+\iI a&`tz޺KpSXl`6[QoeRzVowEK[Hnh&H%}0YϦ1]Kdc|-#efvcjJ_@d`=VjjKl;*>|w?Rفyr/֤n E eEۙmxoӽJp15bb=KW6"#b^]7ס0B.m+>V_;Ը|}O7Ƭߣ `?`(c&[ JCtdsڼB#fp+@%% ru w+O[1FO o&ۼCX |Sp&zJ#Oht8;1LҒ(IŗeK(MNb'uPn]aؼ | E)3n>dYV+L)7=#DǟEAkّcL`/[J$鸾0~}5-W+u!r7G&|\;7}TڒkKDV1kBhMW_Ti hcбU2\(HS@Nq0DR}3zSI%YT \S$h0rfkAIFWr 2uPIfDD:pf`mJw<ȕBn6f KDxlzƨ6nLF7YSA2v9sY)hfaG{,秶TLF;\J{&42o]sicsRǜTnj[)fd @u`:L4-'7x@ٝX bOD4׋2%`%8>9E<#=ze'.ęW!C<] hFzܱA]#!<џ€X]/p+42^(pD5WHc/+O'c^Ǐ! AzT#kG\e1~z*P^m>sznT$Y 'q(—C[RsU&R`c΢x~Pk endstream endobj 10041 0 obj << /Annots 10043 0 R /BleedBox [0 0 612 792] /Contents [10055 0 R 10051 0 R 10052 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22623 10053 0 R >> >> /Type /Page >> endobj 10042 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10043 0 obj [10042 0 R 10044 0 R 10045 0 R 10046 0 R 10047 0 R 10048 0 R 10049 0 R 10050 0 R 10054 0 R] endobj 10044 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20210608081201-08'00') /Rect [104.1732 256 216.2412 267] /Subtype /Link /Type /Annot >> endobj 10045 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20210608081201-08'00') /Rect [104.1732 239.8 196.2982 250.8] /Subtype /Link /Type /Annot >> endobj 10046 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 223.6 149.6802 234.6] /Subtype /Link /Type /Annot >> endobj 10047 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 207.4 146.4572 218.4] /Subtype /Link /Type /Annot >> endobj 10048 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 191.2 164.9372 202.2] /Subtype /Link /Type /Annot >> endobj 10049 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20210608081201-08'00') /Rect [104.1732 175 159.5252 186] /Subtype /Link /Type /Annot >> endobj 10050 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 158.8 180.9312 169.8] /Subtype /Link /Type /Annot >> endobj 10051 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10052 0 obj << /Length 19 >> stream q /Iabc22623 Do Q endstream endobj 10053 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22624 20690 0 R /Gabc22625 20697 0 R >> /Font << /Fabc22626 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJWWA$񐝍.h@d:$ SOUve|M fp rYax} L5pJ|\ C-vuOthǬ_{9;u SYr̅.ѣ*{J8*ebBE9hX<ǜeQ,N \E#:Ej+/1% r؛ \aA ({H@A=27!4,.4}|U$o""M4TϬ:J GجLԻ㻶-Fi4LT>Ѥ ]}$ZG"e5X5e_48יq|miu3j5ۏ#Pc1Մ5`}'2g$9_2猏9>`sJ 7LMU!`B׋!_1%tw%aIaJ/5s(?`_Ћm߽?L endstream endobj 10054 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=860) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10055 0 obj << /Filter /FlateDecode /Length 3434 >> stream xɊd_gA=@SU5%M#cz ~߱^G2fy/#Mj1}Zz'.E*N//$/_Rg3I)R{x WxQŞW0jIiR(_ 3l(6(<aOW:oW!))u:+9*u'0~5,gc ;”rpiU&mvբ-TЌ(~#E0֡:x6[@la Jƹ rjh:XҎG1QV.r,h Tlfn+7kNf ٥ݧ-,Ve.Gg #b#!IF pn GUsc ,i{-8M*| ƱDRt1Rf9%-tqe9\0prYnL|\U^'[_Pʤ28aX Tk5tC_g#@C Xb SƄƞ{1t=ށ"1Pǐ[a<^pPVsoo&\p;J_CT6[Xc]!2=&p|ԘbS{huy-ޅ!"+ *^o.g5>W!^f$}:o_~?E(EqDv O[ƒ/ƵQBM]w.ȋ2Ł?">k> LNpns͚͆#? ʁ1Dv\s0|<Mj⊈?S!~>עz =Mz0\7d=f׽Z%ް]خZ@:w]nl`_clѽ%WQE A¢hfHo4ӂf>>47*7ߧr]M(9 gVF򎟨48 V KGk7NgJA{!mB0V맱ЂDՈ9[!2%D֔7K(:4)' z8(\M>IJT"> _Zu١ raCEnUn4H SQܠU>c`.n7CIOMr j<\g.#vg A?Dg7t=wϯ;?8;yodžɌ;to܁xG/ArD`]>eυOTMv ޶lC'f8˜8tP+D|X.d j~4u-P®uL\>|B^@gw&[p#wa{9_ޙ endstream endobj 10056 0 obj << /Annots 10058 0 R /BleedBox [0 0 612 792] /Contents [10064 0 R 10060 0 R 10061 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22642 10062 0 R >> >> /Type /Page >> endobj 10057 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10058 0 obj [10057 0 R 10059 0 R 10063 0 R] endobj 10059 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [90 386.725 201.1385 397.725] /Subtype /Link /Type /Annot >> endobj 10060 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10061 0 obj << /Length 19 >> stream q /Iabc22642 Do Q endstream endobj 10062 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22643 20690 0 R /Gabc22644 20697 0 R >> /Font << /Fabc22645 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP /P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10064 0 obj << /Filter /FlateDecode /Length 3915 >> stream xڭَ_g>fg?yZ/({=)Xm1'b//K3KK6إ?_Y>._u~M>&|~Yz\NV]nw!ջgc{{Tu};O˧Hu ڏS_ik,U;\), 3-f^ k)i eM:OK;?.,5U~=\,c 3# D 㦁e:o RWx*Ki\mִ`h0@#=#1 SzgXVph@@jzA8m}Tt+ qZ ҕGS{ DØ/[}^ClX_a H}} e 6oc @%ã \ )~0^CY9af"78țХjJR(Uj+UK*_E #Kbfjzb 5]Yܧƨ1۾Q' b}/n@cDDbMM]ZhI5ڮNƣ'u }=y2BJ˦*(PEߣrdEu mx[<2t\AEc z ([HfK11':ls'ueh؝/fyE<ا7aLQۄc$}ަt%X%_O֮ZSkqLq_/F=*0:œN#9?bt03[ \%VW/3fDAo  )O"AO]ROlqpZ'8 ^(ogh|&P,z<24E^lEfy5ufdYqnP1RǪQ5#*֣WK2bj : ?ߝi6tuHwR3iUİ k3HjID>)Oʞƞ$zpY M<9<_dS\n<MF^d`cQ]3}}:zAGq' SRU3qq:vL>e yCA֣lzdM\c,@o Ѓ~ yvM<yd0U8Uא|بO _Sө,rlcHƻz!dk̂BBZ@8-p]61aHh;m(b ,XW3bn%ZJ;; =OYZ'O 1C9x4cK` 2Ǽ(d>)YZq.XfzYswӉYp?qc…29{kdx# "iM%@0N =mD &`Oppf^ԷAxUNʮ" xdڹGq5%2H&2p$,bذ#]&@Fk2zRpL4k֢2&0H `TIe iW*|d%P jw\)p;DFa0PgӶ2Ma/|K`XO}N >- )eO,Hr |C贄!%Kj w3ؐ ʥm<^?eiejSe0e'&B}`mԸt.aH:xuC޻/'yxNNdu%쑭2r6O5}G{ћ]+g[]a覅+5E nG_a:7fw٘8,-pTw;W--0nvs^[|ӦJu'ؐ:[ ֎U %~ yE5nM[A㬌mȺhhV{.qMFTt(^ M 7U6Gȸo(,U@aci;jafm Bj΋DžBTD޶Y(j0?#c؏1,#UZGh>7}ΪI/ӈvn,5z&:|D/r/ 7nM`킈zhvŒlTx,L 8it& ]f ԚzJbnM?d.#ؙ薟تM]LU)MCN=n$~B6Mß=0hrPPJ l6mCV]7.iև:ʖ4/(.(P.LͅR* ه=m# s\A Dk_$L` \v$R8fU.ڪoAbR?JׅƳV P0T+\tr[Ir/)]$ꞒQ`5F=թtkѣ4$χpل[S!6 HZ=yzٓqIbx*(|T& |To#1'LQ:LL ˌ+U˼SI bamw7'+DJhnmtL"C &΁7Q('s[5 ^lN 쀅w+Hlxy4 bVdbMY<ՇAu">cjRX9 XF1ۂd 6Ө!Z|YuS[MK׫>P{C؁XXհh^M)AW1 !] 7KZm⊜2~tX#3ֺ ܤU 1jf% yE~f] <5=:ZH?P4He˥T}.64A4o10Ź.X*H&c:&?dެijxRusTD?j,?}g/L*qNQr-nƦzm0u5'LT#Q3Gjn{fVEqMmSӋo_gBm Qܾ!`p\2JB^9 c6|}'B|ŭ{~T.RLH_j{\O[?EGށqX\ w93?9J&*:5(yfΗ&k!GkzJ&_s2D +}`s5EM endstream endobj 10065 0 obj << /Annots 10067 0 R /BleedBox [0 0 612 792] /Contents [10076 0 R 10072 0 R 10073 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22661 10074 0 R >> >> /Type /Page >> endobj 10066 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10067 0 obj [10066 0 R 10068 0 R 10069 0 R 10070 0 R 10071 0 R 10075 0 R] endobj 10068 0 obj << /A << /D (unique_566) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20210608081201-08'00') /Rect [104.1732 553.3308 153.2277 564.3308] /Subtype /Link /Type /Annot >> endobj 10069 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20210608081201-08'00') /Rect [104.1732 537.1307 169.2217 548.1307] /Subtype /Link /Type /Annot >> endobj 10070 0 obj << /A << /D (unique_568) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20210608081201-08'00') /Rect [104.1732 520.9307 163.3477 531.9307] /Subtype /Link /Type /Annot >> endobj 10071 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20210608081201-08'00') /Rect [104.1732 504.7307 153.7612 515.7307] /Subtype /Link /Type /Annot >> endobj 10072 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10073 0 obj << /Length 19 >> stream q /Iabc22661 Do Q endstream endobj 10074 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22662 20690 0 R /Gabc22663 20697 0 R >> /Font << /Fabc22664 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4l?EŐL$E d_ )2̼d9 M \09 `FbU$!īEWeGyݝC.]@ U8Ρ\j ۾{w$P endstream endobj 10075 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=862) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10076 0 obj << /Filter /FlateDecode /Length 1763 >> stream xڽXɎ$5+|FJ}J%ҍmPKj G8S3#Di[N[/Ԕ}N._S'csi1^?ֺv.%?\%g=P,(oMjy`]hZO`F>2tpj_Mףo%s\x.lp&_cQpYXj\mM Ba-Hj6XrjG"+>::>B3<^%׋ }>@+:chvєZJUu.JPڅӪ`)-㜳^/r*, Dl"#_G 75\#s-\ KHe#Ĩo5Xg;&#"P"3+18\#Oꃶɱ7*t 擦.7CW6rY)F/?|QH_5ӿ=wQ{nVG믵\8jks:P i2~9gwzz#$T%UkGl4!.} r*T[CN͝%S4"Rmd+i nQrwBt1ߣNEF&X" IҭЪC'a=Ob,|Rr%߂Wo_!<ϲ?Zm} q@eHx3&t$BFo4K0zLt6h׷y<Ryj]Ś|٬ zfuW{pw5/CNW;L| M,)j>FlN9^SG}ƂMSӿxTqƫ`]mOz􆺧 R*3{Ei_ӸjTԷ/nPGI3}*3,Jj`@NZYп@VbX^!^u8;X ě.b Mgp<?_l#֎_qa96]n**T6?L2>ǞD@dI endstream endobj 10077 0 obj << /Annots 10079 0 R /BleedBox [0 0 612 792] /Contents [10086 0 R 10082 0 R 10083 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F13 20737 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22680 10084 0 R >> >> /Type /Page >> endobj 10078 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10079 0 obj [10078 0 R 10080 0 R 10081 0 R 10085 0 R] endobj 10080 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 324.925 122.505 335.925] /Subtype /Link /Type /Annot >> endobj 10081 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [127.818 324.925 169.6455 335.925] /Subtype /Link /Type /Annot >> endobj 10082 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10083 0 obj << /Length 19 >> stream q /Iabc22680 Do Q endstream endobj 10084 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22681 20690 0 R /Gabc22682 20697 0 R >> /Font << /Fabc22683 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70gethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'CoAv%_@}}{Ԭ9*{RvaqP@A fgѯ'&DS3xi*J9ߵiK[ZNߖj?ɞӡ'<ʡ|72ْԑȅ5J.\[-: ufg[XLۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10086 0 obj << /Filter /FlateDecode /Length 4972 >> stream xڭd]|^~}5Gߒ--z\V[Boƶ>do}7:< Q! S[q:.>j\jKо1rp, ]K[zθWkJ4Ya}3SMk]ʖtԟWwy\<\3YBW){Q\A__b`l OPyH@]U%x/W|e:x1<#t^ K_--ضq4@b=Ӳ-]A3 .M}O^O?~ȽȸO"&ZBuzk6D|wqFDؘAVRʝsZSF6p|R%2ňagש 3Eo0y箜".-A-Xo2`&oSbkQoHR!4^3At(ʊ1AeRjv8-u}Xf@W E3JeHQFP6iObqB$$Ldڳa zZcV,>w ;o= i Cgٰy=QRP-HDO{~,GO.ɳM&y\ RjɭG 5[ѲV׿?b_:+IEޥ.˫gpy^sߓFq8`yVkDzYv$B /A52W{}=bJd$VJNj""" =U.M1:5VwEԹZ?q3U;N =llՅN|$?]YGq0Hc w|776d;v˚n.b>ƳVL~z\hSEl:e`֕a )縅>;b;{Da]ng`Jo; /1-ǽ:wh -ߗ8 ^mFNh[|eh6g#sE_a*CU~c bTJ ,;Dby͐yZ2B!?Է@ '$iY0-v1]EKいi"7>"o[}To[}@gb4Kо\BF( a7(z ` y {Y3#V 0.4 ni'ޭu[aWEco={*Sd`8DĜl86o9 l3'xڏ YɆ [${̷}lJ{y?8=I/)I-Pϴ]yVA(+׏xUSeYvf4!&nU5Vs9[t{+8l(TQ@ Uzshw5*ք{HC‚$b4{C2R߲[F)}epvl~ qQ,a, }mRL\pr-_y%Ŀ=@9Sv(@xPGZWJ뷀 D2eNn4Ln#a&msy @Ft|opSke:pq'*VeHqzxDOh (-h j5h=!zSCTa?Uhz#ZlVZX<^"1T$tk=8luZ†q;)S<"5Xhm 3e-bzHKqk5$Q)U5.w3< t(ZS1K0j&)ZE:Eb 6ӖQ)g'4kD=zjO=avҁ7-Ӝ3"??3Y Y:|nJF'YuMENW(E+Wd:O݂nٖ--ZnҚg6oz bl < x}^>1`U/B'5TY=n_|X`A7'<*f4$)'a9kcMKQuˊcY7Y?-4eCUO٘DB:M@~갮SjQJԻ7"A06Z#0ns\Srps۩AvU T͘ xʱ佒,NRt]. KC,+:(*c;&f3ѫ٩38J'}4e%~ե0,FjİOFf5=̺;]@ :*[ú3 }"rj)PF8ŬwYJRd;&k)b11u: Bhl1'>1'+k8+ jx3-NM{;>5򥤡g ([ȃ|E;T?3ui/q%Ln1T(S'~[wݰvz2;:BI,RڒNN>*wJ%;KfԔFX#sjm 8io'3>ò9" 0\+G^O(~8rXecSpRM)lLt&|:{;Q.Ǹj$QdV?/jzx`]?'䫘G#[5W͢wMBd"9Hp&#cH ( #S*=ƦLߣE۴עk0 wCx۱(h.g7H%;͇Af 9pgDkFQ\H4eõ֤>]罭8CÏНeKذ9 G,Ia0e ʉ^Ճݬ͋a|:'d3w&=kA-~4632R)P!w7L ]]wl'F{i3ӛҷKPf*ܘÙy# x>89}}%‹u-xHFʁ"J'm ք򵆉wyys N,>q2eS X7z*b)@4 U}_ܖR Xznx(}Mz zfk-7T<ćx eܥOaaXnf7 <9Υ 9!"aD][ׁDgW1WG̗1Oȫ=Qz]ݤ:c UX Gs>mQt0O F=BaVx`MԲ 5uTl^cr~]43h[֠dO, T.R(x*ǒ/ "ozG 9J ] ] __RGt*(Jÿ n5 e/k3J)~0tp<@.pyw}$tizwEr)ʭ<"5e,VA#L \ WiB5*dfj|!J;:G ',oEZ. m KD~JA_ZO?to":uVǬ5TV:Sc˥Tm~_b+YZ0ŹMG /E$ѿMv* ) yfC:~S~M þ.ɤT\/R* L ?GE{5u}9ơ8eOoԟ8#`H6w XRWїg|~zO>'"_X Ҟ`ϜOT]V 9-HP5 ZU\+T;UKt/U߳0LOG1+\=}DG=3_ ΢?8s endstream endobj 10087 0 obj << /Annots [10088 0 R 10089 0 R 10090 0 R 10094 0 R] /BleedBox [0 0 612 792] /Contents [10095 0 R 10091 0 R 10092 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22699 10093 0 R >> >> /Type /Page >> endobj 10088 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 588.0576 381.3955 599.0576] /Subtype /Link /Type /Annot >> endobj 10089 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 535.3884 396.03 545.3884] /Subtype /Link /Type /Annot >> endobj 10090 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10091 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10092 0 obj << /Length 19 >> stream q /Iabc22699 Do Q endstream endobj 10093 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22700 20690 0 R /Gabc22701 20697 0 R >> /Font << /Fabc22702 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`@ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ endstream endobj 10094 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=864) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10095 0 obj << /Filter /FlateDecode /Length 4735 >> stream x<Ɋv{}2IAeV» ^sy>C̒2U0RJ 8q!Z%]^\5:F$rۇYZ5^H Wpҭ~yRۗ5}H鵔K_xGc{YAZ8=>Gl="}_зAdRE|;2H&w0oL/h9\$R7 HUe8iL߇Wz1y C%3RWs3 ח5f\ ؔrIx-sL= #|`G 霯 0AδoJ놟7:* ߁1zU$v03 PDж2M9 4DQ%I6L1ʩqvF /Xr>Fי ۬ɼiZD%ŽHA-! )KD/^ Ňh|͢;'`jb)OԨtƊ`tjXֽvPg3/wxlgD@ q1 4^.yW6RسÚk2*жY7ԝLYQQ g,Ǻ3cE+&hcm̽cL$. H\ (Et V.uEV|jvn{Q@_ xi:ŢY-.A23D=_$@1;vR[V d[b2&G&7!vےj=YhA(p?? jFfc<3{GG8 RW>ZyQKoneQt\Cj B08>I6fIT)L(Ϋ{[5/[oup STRJ*Bġ5o]p8ވ3Eӵ1 wZWh*fànITB.!r!V)?Ù<y?bEֽXqxQx'fTwRt m)M(k8{Hg02JoiZfS҆;Eϥ CN@(ER2Gy|`\$LY,uA|c صN0X|*|V_|BD_qVH,k$-nwQ!']E9rQITw  )iFݫ F7|HdSf?L6syL|ˏ"I)h逭*RS>fp+ŖtՒZQ6* d!x1q/Fz!'cXD89xW`ڸ >=y2I^tc^> ;yny-difr|0ع3pfcIX`lJjfz;IbfK9;rfN}u5&zg@؂Ͳ䄍6-[ݒ=JNdJ4F̈́?N-mzB՚EOzHV?AESx,I3ɖ/e~*Tl("L  ٹ,VaSG\E}mp;Sb\g KS$c\}w*T'dTufhd6x䣫OV9UKłǁ@C:6ݔ`X5w"/xya\8*f8jN\fLa$@79ط$`%b= qΛ[9TYp}zc {Y9O&d-Z-/eXPC:M7) $Ur0gDaXkix<ދ1TFɇm4b]5ITۧJ85D9CйTOG qV!|i56QQ?&s$}=w6R"P>Sle[Ϡ# _D"z͊4ZͷRᨴ; kSiDYpϚt F5q/f' @@mKe1rClFjlV[))@hĆA<*g6HS S2/yDq.+ͦSݬ E1Ywv/vx5 aia7ZyC‹!b*4?p@6x_Mln'vR*j!G' c#c:.჉pI*|SƸ_jԁ7Q 9d%$<blc)]rSÒղAGpFd ]͔?V CZs>H5v>ڜ2[:Z&aucCVe\A&\w=n-:f! WzTxRs[eqݯ j)tҮU27;NX>-PI-ɧ&;{9Z04 C[J!M}ϛ-B⦴-sNcO'u/ɡ.vT.r\.MN!HnSjGMݑ~s>N$,2\-q)7"BRY~ȓg`ps  G^v6E2gTFZ%Am25T_;}!Daovp뱃MT.هڂƤEO{2f;M0[ρCޱlZZxnȀr9m40/QzrՁq,aKL-GNjtb*j?/DgA͹*n7[h'gϖZ[~=?ilC(3])KYx-Ɇ:]ІjS YyNv',c`eܐxzެ ubSށ5N OΞ88&K'ݺLj,%>} <r$JS@A|*s(ɂ*'j~km;_ڋȒxߪVOq\U)םwb0u,`Tz߲PE/Lr{.so^ߥzg_vFpolFeK,`&H%uݡM[c w&+Wmn%1nyUfwou ކv7SJhu\~톬o%|/Ձ#Aoxu+|7}zeKTX^VH-xkq#@|3Ͱ Ƹ0^^MM/2]AkTe_0YڿNh_+u!F{mk vέ/JϽftZs9~0&yP{sݰvA&b4A(S}}fܗS]OV wNzegP׳ pV1iu p9%};D:Y&5$tn%ףVW~L ~_WwAw.,95"tQI>PFz XFV $xqd 10TK"Dž},\hqՊ`",? '_N'50v"yuj NUh' ȯ_@G![0`>L uv%?ZaD{ D6~u>bd+%!vvAMga* ?Ni!.tP2p'\a>_8(e yFSȈkwI[jWc0GA/5T4ϖ vwr7GI|^'·|Vڒ kKDV*Bӿ(.*_&^Pwr)T~뀫:2?@.pH_KWlXHaG O'|+2z2#G*/d4\|#B:ݲ+T3wT#]}pvCH C \䉔vJ=#vdt;k:P:ó?3.uv?/E4A0b#G[^1-m, tlM+X@\w|ϺKp;1/K?hKHSW7g*$l7!u)hk!I\PM#ukߒ_2QR5ԐSCy Nu~," e?Gq4{5-Yxn3HԶWW9<>y'oHp_QEbR0~ 8=ɘBwրvG+Wt0bx}GR{<&$kCEb%˵ъXvP&?}c΢/ endstream endobj 10096 0 obj << /Annots 10098 0 R /BleedBox [0 0 612 792] /Contents [10106 0 R 10102 0 R 10103 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22718 10104 0 R >> >> /Type /Page >> endobj 10097 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10098 0 obj [10097 0 R 10099 0 R 10100 0 R 10101 0 R 10105 0 R] endobj 10099 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 451.5346 201.6332 462.5346] /Subtype /Link /Type /Annot >> endobj 10100 0 obj << /A << /D (unique_487) /S /GoTo >> /Border [0 0 0] /Contents (delete_partition_defs) /M (D:20210608081201-08'00') /Rect [104.1732 435.3346 206.4237 446.3346] /Subtype /Link /Type /Annot >> endobj 10101 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 419.1346 165.4707 430.1346] /Subtype /Link /Type /Annot >> endobj 10102 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10103 0 obj << /Length 19 >> stream q /Iabc22718 Do Q endstream endobj 10104 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22719 20690 0 R /Gabc22720 20697 0 R >> /Font << /Fabc22721 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw70gжYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLZ[/? D?l˜!E_@1,s#9p!g9`Ni)QXI*d~{QUh^@wP".*x Pڢ_Ћm߽?I endstream endobj 10105 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=865) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10106 0 obj << /Filter /FlateDecode /Length 2325 >> stream xڭj,_J羀hE'ox;*u'Q\""clM;moWi^>t::= LO᮫l#j&& 0áf6#^ LKr"LɩKDT@GH{vqmFIћZkڪIX\fa0鎝Pېo% &Y>y(,JՎ%TPb ^Om' Vlw+nuT0n/>N.SgzIsn9fNHs=Ü7̫=*;+u涙vQ vm1g=LKCWp;ba0Mp6dhtH s78%9rio03n>N`^D'ү@j.a>m7S0 ZOQ7H)b|!V,`Q)cj7#U vl' }W5F9RANr(Ɍ"l4#,Um-U?,U͖?[ WҺ%.8ڑ'E/h^N h) ,}N M YY|#2MȪ@PXYW1T@tHkNq8*Yȶ11th!ڦDJB^g^ཝtF8L+IB~2!'e?cey'F1  \Iy'Ay }3 MzVMv VՄIMqm9 [JPRgW~ FIeH^rȪ7ե}#}#xkiZW숱=5t{p5ui:|&W;ߧ]T7fjVqEu+t鈅^=Y' >c #z^)40{&DoqOBߞ<'i>Gxo^' qݒ5Uc1>:i%YvfsqU4": ۚ Y6o:n-IAď"LMfё N, endstream endobj 10107 0 obj << /Annots 10109 0 R /BleedBox [0 0 612 792] /Contents [10115 0 R 10111 0 R 10112 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22737 10113 0 R >> >> /Type /Page >> endobj 10108 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10109 0 obj [10108 0 R 10110 0 R 10114 0 R] endobj 10110 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 315.725 122.505 326.725] /Subtype /Link /Type /Annot >> endobj 10111 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10112 0 obj << /Length 19 >> stream q /Iabc22737 Do Q endstream endobj 10113 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22738 20690 0 R /Gabc22739 20697 0 R >> /Font << /Fabc22740 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ- endstream endobj 10114 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=866) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10115 0 obj << /Filter /FlateDecode /Length 3758 >> stream xڵ[K7W@F3Vܜa'g³sٿh<Ʋb6w:E:cbTOsOC}XrHO/Ƅǣ>8O>,OOֵ:T/x1tԟ~>2up&+ P6KZ 4x3|;&I9?Yi?ZZ}Zqkq]uQ'𝟠g9SoK磲q'e@ ;W"pXHBgH<׶"OY.kR?im]ƲK?~r /3 , ̻%X 8'l,b>+0t:sF )-8"`n3%u]k|=\6kcXz~'` 91Ӵ DŽ mq&]Ry?G Xf7|`+Xgs''P (Tq&O>XЃJO\ϓ{pegnu1u# Ӵ:F, A"!d@Jdۉ?n"~G$V-甔Gt8܉AKY|Hf42#olu[v,nhwY\q`T+[GؒW/(crKWA.u:A^~ثd(ԝ{QG 87f)ExJHBiN/6`lD afOH`fiܞK rY)K'?9|ܩ16^Ul N#2J/[{ .[ʼuj彈# $4+FBjpTVvLrʮu 2H' H@BIdu}2(+q5 5~|` |ʳe(u ' _Aۼm+`t?!rdwqowG(7Q::zE9 .i;#;VjK_3hH4\ԗDyy}\%Poѹ|,7*G{ :c~ͻtj O|N^b>eNNbuड़7-+*j)=Fփ,K<X9H.==s:4K*IOpaC+*D$\KQI,|9 eų^sa-X#@f+L :`+ELJU a8V0 ͥVI5GVQ<8mZ:L7vÐ=τWXN<H}$*mԴUbWU$.>l0ltN|MƣrfD%5Mi& ʗ$1q-Lsf1u7%7xWkEύlр!*GGK61z'/z@;I]4@>Ѣ@"6΄]b_Re BIfZ\Y Rfmݕ)GG3q=-[y|AO*q rU4q2@3Ut2H`WC0eUժ2(r9%Fmt&6D\kG.v[͞|8ɶ:Ҵ+Ud/(`MyYúu4{mķ6k^c^2Pʳ]dP%Nt5ř]( aoxMbDz5!I&N lX v88݌hM6un+F:\71CB |c\~ c-l9Ks1f_s~y;kx +m_ uv@gpYr]m2ae:}[,'S aY*K{q]K׉ۼ>s.9ws>W[70Ͻ:s @=Ti87w&a `3LI[.6)4y"J$t0B Cw0a_cڼ p~4ˌmb@s57988e:LqynW6u%8H벃5H XSue⑼X9q. v. Q6Aӯ(z%UoG-p)߻zz#?~FuRM,puVF(FPi\@[(-aP"bL<xJ.FJZ o\k鋔xeXv% bb^8jp9Ig[ʹ Od[Is=1O,{ɨ"D5:gئrR!F%{Qz,U6pMG/U$ uao*EcC[/|׼{1Gq~i_A2dl^%~n~Or. a7Pk}bS-9fYq[Mt0,nSOO;i=C+u ZQȜO hxź >Q"[rMs˂_žqQ(*x-?̔Myp- f-'~/PuxVsb2VIʠxPR-9ve z$7?j endstream endobj 10116 0 obj << /Annots [10117 0 R 10118 0 R 10119 0 R 10123 0 R] /BleedBox [0 0 612 792] /Contents [10124 0 R 10120 0 R 10121 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22756 10122 0 R >> >> /Type /Page >> endobj 10117 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10118 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10119 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10120 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10121 0 obj << /Length 19 >> stream q /Iabc22756 Do Q endstream endobj 10122 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22757 20690 0 R /Gabc22758 20697 0 R >> /Font << /Fabc22759 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70g-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 10123 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=867) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10124 0 obj << /Filter /FlateDecode /Length 4676 >> stream xɎ95)F!!ȩ 0(vt -|||B] RwUZ o1mN=/19~W=km!<3^7~$ħo`Z;/.ŤM W?m,|>Nf8GLʰ(W8 4A?)k`<&Gs~:^W퓇Ѧb\Ms0ZՅa7&s&+.zD_]a14(A^WB~]GMcdqe\!RA7y \M)0Q\.QY\݅cKl[:Lw~Nn].en#/'` ה&!$ "E pYpr.vA58?Mt&B'>T>7FcMԳ^LQb&<'Dk27:Z74.^`/+ e}E6Q46iL 1Lj9* 8/K.2 Et:$s_>ID- 7`R,!|Er^)"@MF~b!/Y-im~V@ a /w T.7 В?2Q8hh .—U)49䦗FJqzWWDI2k x#? хjK,l-ǽLxCG8&DSwn%C'pJ" $Tj=`.37C4ZeA[TPrMHedBYt=fH({oʡۏzshls"ƁyqX K7{}sW4DAk6 궤yKb'! )G2.%/j׼_b$^+$%Y[6-I):X?/2`L8H -`"w2#-Z֊ ǀP ^DXtˊwa)clDy|`HL YIH,uA |c صN0XZU4.XՅ> YZA}BpM$?/6CLDG̶.sbvI@ }R4D8tnmAj{D5{=6 aՄrӉt0sy9`dG'{I u%ȦeSlIX-e#`ҬA|54d.3XD? ӔTY7rʲN݇އHA[Fv`]K"*=9&C0Ń'g寁t캣mIb A9'vLGHg [4;VIZ Ap&y `yJ g*OwTKwQQr@iU=z`]DG=M #"MxKA bc_'2}!|XR>5q; *_* 5/>9`7ȕk+Vgr o2o}zE<2i3k`t9 u0>*q' ׅl0\~c,o]^0&O9ۮdJѫ{q/:@v=q ^\u[flP+)FcF-,nدPac-vuE^ /Mb0xXXj~k"մ{I!ߙ?vZ.,<ͽ_xM+ed2nqɸдj\*m6A{C=(-jYAD[Wgʞ R=ף-Rϓ& M-wFF5^wRT Ah m=uJ˥ĩFhGdٗtxl8vec5*I-iS,GQ"^&#Ȫy&nSg;oْ[N3OY;Q4fzs'Yri4` ?r"o}Gxe܇5˦0ud&H%s.¦G3+dcބӷoMmdVªd ~E7*J?3QO NW792C}Lbc 1_6cn ym=Q؅$DWz&A|!˰yK¸a=Oϣ{'GlwEF_ b^lc='i3z$8GU< `G`Xf*]Mo~ҍP[[6ʙn`a&ftiFfwuϸOw;X%T1땹栂tVnwǛs1Hc.٬r-{X5(vxvM^,O2PX4f]JqsNX.ǿA#FAePsڡ (ݭӉ-ѫj_%cyN㮿{5vcrmVUZHkIOVi(lF+*OދI*.#`{"~&7WlLX7 ^UzZy~wa0.M 'o]hv]/.XuJ2"?Z gbWcbVKsciⱴ`܉+?[tUx e]C=GtD1KSAO:.W]r\=y|4"><M3y·0>LSJ3aa:e\ J|Huacd\maфIϾjub619?y#U3&pF\4e@Nva{|bxB5a3% ]Ii<đԳL`LA.`I; W@yRb/w(zi>uG _Rx"c-`.!k\?N垑M \-ȅ"DZ Oܺw 1R@(+zKI-̳@ĵI`S7:m;B%Y. )ia%!\[y!c6|)#Sw0u)+7PV_.X{.[M, J1M6G S*lH&t|J{&'Ro_ۉLأc.\i cͤ4x%RTFz=VW!i% [Զ5prXkWAUgJ'忲Di_Zd DyE<}ϣd}i݈UHo!} |3||[)*C +O'cq;~)o{]R\?6p3_F T|g~ Bg"t$]y)Gp( ߚR>,jl| endstream endobj 10125 0 obj << /Annots 10127 0 R /BleedBox [0 0 612 792] /Contents [10134 0 R 10130 0 R 10131 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22775 10132 0 R >> >> /Type /Page >> endobj 10126 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10127 0 obj [10126 0 R 10128 0 R 10129 0 R 10133 0 R] endobj 10128 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 285.1385 164.9372 296.1385] /Subtype /Link /Type /Annot >> endobj 10129 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 268.9385 180.9312 279.9385] /Subtype /Link /Type /Annot >> endobj 10130 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10131 0 obj << /Length 19 >> stream q /Iabc22775 Do Q endstream endobj 10132 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22776 20690 0 R /Gabc22777 20697 0 R >> /Font << /Fabc22778 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`VUthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10134 0 obj << /Filter /FlateDecode /Length 3073 >> stream xɊ$_gAc_h&i1=EŖU՛d 9Y[MTM9W]/ߌzi[A=;hְhs Z- Wݢ?j-ˢ,+[2N*3AYAx''38k<,6/é>m1RD<0:?dp`Rpa:i.}ĂN>.ϰ"xK@W[W:^ɘPμ.ĘȏuK>yL1#+wSgܣ0x-is6_WP35"DBHчw*xCiJcU )ļ|Gf/G $+uGFhb1&yƾXAZaּTSFM kRzd`<іPꗅe0r2<VZ*TS BXpU+;9, ^g22[̮>)Sk ÂoMF$KFMQ\sL:uxXn0ԃ7;&9sll 1{r |o$pʬpK8UW~E'+9OL4W 2 L[X!`%P8<qnh6m6CtS l*^Ffh|L;ƛU.TGWk ]&ۿT>̖emb#!R|t-$vAwt X@B}"jnQlM9-ob!oLBh GzKLF#F55tn Tk$sBr#QlR#Y/X:dNƏ XO%zO~Ki6w^YmvuurV񑭕JŋqE d$`z[l vȧ̾|cH7lʘ䴯¦PUCosɝ;wwM@()4pʞQ73<ܙy' gݧGpJ1ݾ p!` `8&ق 9CPfLܕ)M@C'Zjԑ[n(_O20<-1j$mMߕv]Wr&B}f>.8]F'%v L$wyiZ\stn<\qy vقXnq{Fi?760{ԋaފp e\%fM`}ᅡ(al|Q8gOv!Q1Zzn]grPzCxo?*ÌU('xʉvY~[]>alg=.E92 k7(<Rqai@m7 L(z,N<ǧ<.(s#`z _{o[;$Fǻ޳'=,VOB]#{8e $ E1~;Zjz*)lvoj򢨴a `1+C FQ~ Xc%_N7I@*ȅن7&V C~HHn4vztt/Cm ]}4#ʚ &t5f9_zvUӘ:狺f,fZX1L#0.rVOƈLYi,i؜"1/q> #}m|ه~KcǟbD|VW1YҐPrQiίUok <_pIA}pAܩR*fZ5 `+*jv]F.Ec>`i1tѾ[jtE\MA--/@(E}&&bjv3z!a 7iӰ.5ݘ'=*=ྼu.,Уt׊mV w;<lP:81БNqgp5+vC Uo~X2u[ qe(hw"M p@e@[k2LHc \.ar ɈV^)sK/0 U8S <{YL#%`*7I&OjeS?eTgh2jꞶ %uMX9ʐ% edԀB|k3XUatP@,X~"y`6jo&`YF(oQ*:BijjDB~G/2Za#ڶd|!NE7* 2&z e%ZKe KA/`݇F>`p(+|G.MC[ێB&hi7_:Oړc"ݸ4Lrr`=^,d! 'BxbO}?“mH,0&^~'x)M36}[C]=x6w{O°7IkE*geNߝXjʃ8Ԉ—Co޼RfX-A|,Y4C endstream endobj 10135 0 obj << /Annots 10137 0 R /BleedBox [0 0 612 792] /Contents [10144 0 R 10140 0 R 10141 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22794 10142 0 R >> >> /Type /Page >> endobj 10136 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10137 0 obj [10136 0 R 10138 0 R 10139 0 R 10143 0 R] endobj 10138 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 349.125 112.8525 360.125] /Subtype /Link /Type /Annot >> endobj 10139 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 349.125 150.6705 360.125] /Subtype /Link /Type /Annot >> endobj 10140 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10141 0 obj << /Length 19 >> stream q /Iabc22794 Do Q endstream endobj 10142 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22795 20690 0 R /Gabc22796 20697 0 R >> /Font << /Fabc22797 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟB6e#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶQ endstream endobj 10143 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=869) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10144 0 obj << /Filter /FlateDecode /Length 3873 >> stream xɎί*s_=jC;fȗ~ƭz6ۧHjUq{||;X˷,H._ZglJS/X|u|LnNkpV[o!VW?]Cho^ˏ˧/ i #OOM?P㢷X24M;<),?_Ya|f:trq.lIJ,~t\t: :B9/ĝt!\.`DdP#wDH t'.;#Qf;% h4ʬ؇hT@-F@J~AX?yӽoV|0>͖,* pCd/@v_ b7Q< pDOQ\'3r@O^sDK”NV7NMkDr|D} Lt&~ oHH,<Nj}w9N۰}޼`cCE\#Mv3.}.ƘLc*MiC)\@Cy hX>ft>`bRy4لCM]ުd;M5e<"Y]VjAiћGѦzLMU \z^:#02-\A*[n:DI]}w((/P +=1?aEA\ @W=rټ>I?z,'^QL, s+P렋i ˳!7e!L@DfGB+B=Ƽ]`k|ᜰ# I& Fp&-Џ0ꪝҴAfN ]:[3s6goT@r0(3T7k%6J) Q3Pv${$yAlǕz*Ih >_@NH:CU0!YUH@*pZRժ}^;"@B4U,ZVkF1@-= *VJ2QYK|j,`Yq9\KhF, ֽVK qقN^W kT?ߍiYMpZ7R3QQİ q}{E6K":J_O7J^qMiݹX&P/cR\<&vH ɬdn j}U#:3O'0G={0X{Th4nwd=RtyHjuMQd" ^zyT${@d*z;*o&:$Ljn{ ĞMƘE@q3֡DJv%XC5T9!AV zAXB~ʍҀ>@nyKGVUpWtlaad`{*+ F!oUGrk8\AVuuĆGW8xbm@:ohې, g$gvu:9PM/ثg0a 4l>IސSI/3xoUÒSwy.LUd+1 &{/Q5Y'qԉ{E;Yf~+4EɛTԙ-W eaRj25Ɋ|"卵$Y恝9k= Uȕ(ς Jy˙m v Qpo[Ϲ̶zn)brEUO@t;? KΝpA1_@gH,J jw2&޽-ŰUsbN);PIQFBSUF`!&U|,,1N83`?ΉJ7O!anؐ n2WƀQgq//Ih&WX ҧ>&}"'#Y#>wl5.ѕ@1sWױ;Ʒ]j0KFvBP2-/ؼ. Zu5/o(`=xo}ee;<Br0Cb[0V+d|OD΂tR3@S7ipM2X,렉FB?TsfOf*.iG p6qM5x,] SozèTӵaH4:UWd:ipA%vs}K Lа|]9@(4S)5;@7 ƶ4Xi̥щHS@M0 i;3}IB?ckuVi_5esi~ыfC$X$\wfy4n&@.Z֮: "˥JkSh92;= Eq7konv^roNx!>HbĮ mXRB y?%6ꔀJ[r݆嘲o2 Ը@j@0Qp!\D'icanb t6U>[@uwZm%riP;%nQBy21oF)pkTX Ș~Yt 5٤cIN7K-07L4 8Cv|":O}ts آ(fNj8LG{+t3.,OK ~ȉ> ا*hEn n7i|v|y3# yG~Kؖ܄r߁Z1X=ϐoIuwoWxZ+dƚqsI-Wp(u!rCEV·"|חTmexweL7_Q\T+s+HLa%\ZaTj@.py W;-NyyW,9OɅU2lV8X#G/NғxyXv J23zmXDH)I__燔\rK &*㩏}b݋NF>1k6 Iwj>\E&[W8dqĸRYd;@2 l]VcHahDž=+w}V缝DyTz㜊+C$:7߭0`Yq/(n邨|`o_6E8$ ާ;tSeLzGpdzgB} j쎀sw3(aO3>MO~IV(dM)O0\%EQx\~P ?> ]˶'n뷧["kj]{yN@_ 5Po) 4A> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22813 10153 0 R >> >> /Type /Page >> endobj 10146 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10147 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10148 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10149 0 obj [10146 0 R 10147 0 R 10148 0 R 10150 0 R 10154 0 R] endobj 10150 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20210608081201-08'00') /Rect [104.1732 140.3848 158.9697 151.3848] /Subtype /Link /Type /Annot >> endobj 10151 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10152 0 obj << /Length 19 >> stream q /Iabc22813 Do Q endstream endobj 10153 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22814 20690 0 R /Gabc22815 20697 0 R >> /Font << /Fabc22816 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 10154 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=870) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10155 0 obj << /Filter /FlateDecode /Length 3708 >> stream xɎ#^_/@Kj99MbAOſq+8@b||FERU_iI}駾Uk^sLΩO_ߏZ[uO*  mOF \i]I}Q V~.m,|>ONVFMmp_meZieM+x5xH"u)/Z+|`S{>ymm۴FUx!Pcάm' >8]ѦCu:=#?ӜXwITMi! 5h,LtzZ&) Rp[Desśv7zEz!F"[پul\. Ɉ$K'9 #B! g\XmF38MtB'>|ąOxvDMԫΦqaڮD{49Z6-3lrl`H˖*1c6ia^0@ 4[WDu˄pbTRGwy2C{9D]MH .gUD*[7X-CJ9FSNݾbMuY7f' Xΰz2E G=16ۜXPwݞn)d7a#ry=YdKu15Cn$bxN'!YbOIw(;V #xew<_#BV* Pf׈ָW {:g؍M[%fT4Ǵna37QNkr[v%U__a#aV89;-`[] ^^|-˽ Z=jdh2V ՈHV_D:FtSļShRf9=S"L294iY XT?@%p|vrGVp򊷙7e˝8RԨ3_Pcth237U؉Χ^R-?aJف%-YF7~帆4ċ hG^WK7-,,,r)B͵:'6r{9X[u +Fulqf+'2+{N5Yst>ǚ,mkx RD X$ᾥLN˭ߺӪeV=Ʀ3㛏Sc+(z0Mœ0nC8eI A߫;ίٖbCyb.&%81AAٮV~ǎrn!45fqwIѐ!f8~KAjD-jѴ ں ɺ#nZcN[1]5É~or!C LPYCh}X_0%XrUFʸ.TZ2眛 ?8, NNr 眝ok`.]|j6'c5#MoA6xv֭vMeW{%y?toMYsǖvV ߠa I<&q?fːIҼz=a& {^o z2E7MU}sd7Ym]a>uw /<5qs#ߨcvCG>v9eD2sTc߲z 9ra]9ȩ&)5 V<{`+Կ\T-8LT_~NW@1ZU?h d2^!7ƥA:|7Vzl}^Җgps0Zu JD54[`a:RK.f~r3Kvh{XG ǹa^K՜q}̐.B$+C!m6 5F?E#as< YyѴ$]h,7[TYP)NNN_4ǺsV x-tX AoKCRWSx:Eƒfu>Cb&E)d#C"$:l8$ڜ" ei4}uPr X/|v[kB+Ϸod߾SvkJ%LR [%Phf/e!;%,m4#n!ôn5edNZTm5<˛\gl=Cp#k|(+yc#n0E Wۥ6&  ^,frU{) RNL"h(k2<]&^s,b/@ IPk._(MVofe~F]t:B،(S%,#Kg ?e+u jV#:aj+-x*0uDL)~ |=9Z/3,;?o,}>Du3z8a?m++a4 m]n;2 ) &)" 9΃&7!FUe;@r+ bn R~]H Fc;)Տ >T%Ol5dzN(0ԷviuBΠ NJ[{yk&}=^PSiJӤe(<< Ym~SqApLy4ږ6.M6|^0햁OJxw2 uz !bU $V߸VWO09Avylo{I{s, ;ԝ7&uC]WQNK5Cc>ZL%r}&ũ~MT dѐȻ endstream endobj 10156 0 obj << /Annots 10158 0 R /BleedBox [0 0 612 792] /Contents [10166 0 R 10162 0 R 10163 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22832 10164 0 R >> >> /Type /Page >> endobj 10157 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10158 0 obj [10157 0 R 10159 0 R 10160 0 R 10161 0 R 10165 0 R] endobj 10159 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 296.525 122.505 307.525] /Subtype /Link /Type /Annot >> endobj 10160 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [127.818 296.525 173.38 307.525] /Subtype /Link /Type /Annot >> endobj 10161 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [178.693 296.525 201.5455 307.525] /Subtype /Link /Type /Annot >> endobj 10162 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10163 0 obj << /Length 19 >> stream q /Iabc22832 Do Q endstream endobj 10164 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22833 20690 0 R /Gabc22834 20697 0 R >> /Font << /Fabc22835 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w$R endstream endobj 10165 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=871) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10166 0 obj << /Filter /FlateDecode /Length 4028 >> stream x\Ko$Wl`:| ,hF@ANA X_S/zzVnbbHWVsG^k1b1П?~W/o砝_~䐲_?>|+-fy;Yrogjk+R{{lu!OF"t4Xyx?BO,i-,[욬GfqY׸:K6`OygtS\pw <Xi,[;EpϜD`|21 ;KJ΃EZP>bE \xG!Z|ߵOdiҿPAQ. l%``=?~=l=+wFkЀrY/ ʌ?rzvܘ4j=5hF<`پCgz=1΋m@s1=-/.fKΆ^<<ڸ~Ȯ0WAچe?T k62GeUCYBuvٿT=vD0å8핰f}vXS[($ F _ڸkFf+V!1 jjyOl=O{ld 9Ω5~0E8OR=n{1/ f.ny>g,(gn:dֆ_MQWænz՛7n^ x !y@8QVu@WH5n!E0~v=YpCb,s/7V%˶\Sf ) yq+[Fm" L:pEFɔݻ P(7vE2xW=bEY ,YщRHʳC8ӏ mT,R(E@BIdU,{ ȼS@] 5~|D&Sf8㹂g[^? jvYP zNĈeg+uӶAa>;8^pL#MzfADYú8I1 }A)ZCJzp[)?4ddhxầ[oiDZLq0&/%+cse+MhYMqz_w!+4rS3u $q~^\}5o?E7ިy%S#KYM2%ܩ\d^2ץ\eܫMF}Z%l!kydt&U8e `[f.V[ׯq`h`h`j`wh f Bm "hE^ۜˊ|y}aX`iI{tч<+̴-zQe2au dMX8kiUu[VnEb뼬|Q 60(8 /2.F\C8`8]٪xֽd `Qt| =Cif絮6mps>` S.vM!fhj0G1b p8!Ql:T$91+r+DASѷH#9|C>?#J1/&ksꝊu9[P3h}T lvT@S16RG*S^OLG :5U ]o{Px+!u6Cf{A6ؚ"f.IjC>=eqH @M$Vā{%-%xƎ$EpV[&L@:$ E ^XMtX ڪ ~MU"4Wx a0&ڴ owTƭ)k37/@ ZֳSj(E^jg(S7إ0J,Zڧ^i VyBuG6قP mcmx !yTjac6f0Lt,Duuo6xͧ宋'C/\p|8CXnn}zJH/r-[T@2u Pemg¡K`H,* % khzdƁ1 {2%hrD-W[-.D 0<%|ІoD3hqfk4,k_՞S8|QfύtQtQ., hRFA]$4NtMWu%DK'b VM"#w糖4?Bu?%o ٙ"T#n}dl+ { ǯ t@qtEHq07@/6&"=Ԉ9|O I>S<̈6& DvR\`n*D6p{'6+oR|Fg9pũi]RSb\Ip7&+qx^ IBSVxCqY0 \R,悫.>Ѧ [,'` ̧r}r,]UҊHZӉӮm^ os.97s>W[;Rf]:s.UZG *4a!H>"]"9 U eNzRNKF.~sGȌm:b@}Po rpKȬD\aP,EYa%H벃%em$fӲ@]x$k6tcSj܃]ÝI6ՙw(~E[wx%hLf0"Sn>__Q]d(K+jJHJHBGG%W:;ŀ[4$INSa"5|e.3GZ/.'IqG%+J2%R XGBI,pKڹ,AזSK<:7,{(#D5:g-ٚr!F){Rw銫l2|+#Ή&7 .UVNLFB톽]9~⫌;G}V]0]3e ۫6@gW{Gٷs&0h;< 4;E $T.pѼ=tݝ\R3 ׉\! DF'xB`DeA:B[2 ~ _N>Hm (0'Jm|C;4͑Mqݞ{o=ǡRu28u=ۮ0zo.n(a)EE~\J!<;QA& endstream endobj 10167 0 obj << /Annots [10168 0 R 10169 0 R 10170 0 R 10174 0 R] /BleedBox [0 0 612 792] /Contents [10175 0 R 10171 0 R 10172 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22851 10173 0 R >> >> /Type /Page >> endobj 10168 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10169 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10170 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10171 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10172 0 obj << /Length 19 >> stream q /Iabc22851 Do Q endstream endobj 10173 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22852 20690 0 R /Gabc22853 20697 0 R >> /Font << /Fabc22854 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo* endstream endobj 10174 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=872) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10175 0 obj << /Filter /FlateDecode /Length 4799 >> stream x<Ɋ$ɕw ? eABFfAN5= Ղ?mǒ-Jٳ/U٬]=z&mխZ~nCmؔV[ ۯ?J/s W/?oZ \i̖l q_g .x_me0HӢh 4Ϋ䵼EV| ^F}yEIrP*\Iͻrۺb\[pZ'&h/ϙ4p,_ǰl>iXo@+G=DׁgėY|+= e pΈ+O~9ب-daLe}bu[p @% ˈ8,w@D@dLB58ittB'_|n9`5Am*霗癳i``#ܬhfs,Ҁx$PiR4FiӘ7b#RRhR.2 $j$XHD- `R$A{"9/LRIsEWs#a?QD-~fu@ f 7w"R.7 PRd4A4'eA ,V0er[#D8MXn+-"v$т"ވ>ȯUZ me6Y蠀Gw{+/jP nB^DzDTZJ 'Mj ߡu_-M*Jx(z9 RDP&WGl5ޛr/94 )$ JRIm88x'8`&GzȚ /uKR$Ջ Qt8%IOŊ*MR۟$,߰m_ HJ1@~9g\ "-`Koi7,#C1xa=+ޅ=ٲVo 1tdYꂲ; x8ka4W8.XՉP YMZA}BpMIG%!&#dSE9rS;KtKo>)" N t<ȶ S l5½cC"=6 |0QlBzGF{Ik8eMu<uţCj(n /WY[%TKzhE)&W 5?Yٽ dq Ê?+gP2ا5/_ԼֹLyjlL X/}0LCI|0ع3sOX%Uj6X%)ңr  fn)U]+_aKwV [Y撓%b_r̦C|dO:)'2%zF̈́&*wpx5O>!.>Y‹: `$-_NTQ+EdsY_yH.nۙ:k^"UZa9ߗ4Չb,fr3|8U)]U@i]Jg`{R@{z5 -7!w,PX }9S_R+Vt+9ı%sT-ЈREg>v$tnK⻔_9ꊝLBZ Vsor@rJ*CtJtI[%zޣZpՐcIujIסҏ]yyP҉duPe~ڡUn 6k Jv\  uBxΦÐgkB+ءQK/guDERn̤ ˓U̴Vi . 喌nU?,#(zIKM.߇`Vdꝯi| +g\QZp$ƽ 6#vd0R$vIAn.nŮ~P9Юw_s_ZЈ`3.L㖮ekBAC1͢о9~p#;Ghٻa?8*g-uBz;9_>Gt<R)>J鸒m:@h1ʼnŹA Hl FTf Hxjܑn V@W8eul=Gf+G)ץKPm qr4s2jUs{xlŠBwȠ>tqȱ"FF>4LR6vތX<]~ϱJň\Cl=#xoWZwmG~~,[y18߱sh&0s~Öum}DrP'R }*f}=4jAU`z2:b$c5E'-]iS}I~%DnJ 2eY2ƩܗsZmHzo:0Vo3_f0uغr-ߛzρ&sfmgcb&mƝA!~~Tknx3Ȯ]wvZ9QoC1F T+ ֶS[{7D"֚< z3æc7h7[l'`-<x:ߧ72?omjQA9}1Rgh=ȇcLH.D2`Yw֡Imy ~ݦ+yLJ8aY')7>R}R{z4^:.aҝ2qmyO&}77;r[MJSu^CCk:*NDpiNm:׼/IgMBhU]$#0}ұH)5;.\:f/m_}Tr~Xl(L7ڱI"RI_@/|Ck-LYK?ĭ9X^JXm ?_;.-dtX翬?HmW`)Hѯ.-! Fuko79l%1ay".wOLcC<;OpaXCx_:0ne.0ާAOmONˮθj7(Uk!PLϜL:Sw!Ƅ-֔CV'Kjz3R̮%w~P'Ӿ`02SLV wNze⡣1 -$ֹc@xR𧔖;V(RZ\"vJ9oQbvf M71PNb(^Ÿv*Yhɻt2nء7 w[_AOT,C|`{aly f.>J7# ?-[[}ikA7D9OC4cds]ܹʊ~s=λa]#AJTMRY4Bm[Sݽ@qXn=)W 0rZ#2uv@ 6܋%NKRqzreN&o^C9k4HN݆^m(עlj+.dN몇w@xݲAOP]T*k$bv_ o+"6w]?c89q}JZk0{Ջ*>W0v{_vsiV? :Jǰ2 _AM "n;bJGp>YD.nC "-_ml6 F|mRX́.kLDt j/ \ z!_ ru8~B|c>y|@~_<:ܗTglo 0I(Aq0QKK\OZo<~`$F.A6EAMvKa*,?^i!\4e@Nfsa8/ 2?bR$;0 "^D||g8sCo|Jq0~Z+8:{Gѣ>/|[>+|mI㞮%"X5EQ\&^ӫ|r*M՟  \%ȅ"DZ9D# 㜜/,'L<^|qGy<8W8 aپZPp.te_$3wT{|V8bSz24m=?re[a)H _w?&Kw0u*#7PF9_.:Y{.K1M, J3G,痶TLF;\J{& ?5wo]sicsu23S̐(R?q>:,~Y.яpyf# [Ԧ4߼XhϗUeJ'pr_~Kށ׽u3Gcq4GᄉfBG q!$#`+L@2(k$'-J!bH(,]~Mx,>zN|2V6r ޿v^=z*ÈS=4Us+ɚT˯$_YKnK%uW(c΢+Y endstream endobj 10176 0 obj << /Annots 10178 0 R /BleedBox [0 0 612 792] /Contents [10187 0 R 10183 0 R 10184 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22870 10185 0 R >> >> /Type /Page >> endobj 10177 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10178 0 obj [10177 0 R 10179 0 R 10180 0 R 10181 0 R 10182 0 R 10186 0 R] endobj 10179 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 193.9462 200.1757 204.9462] /Subtype /Link /Type /Annot >> endobj 10180 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 177.7462 171.2512 188.7462] /Subtype /Link /Type /Annot >> endobj 10181 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 161.5463 145.4837 172.5463] /Subtype /Link /Type /Annot >> endobj 10182 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 145.3463 168.8862 156.3463] /Subtype /Link /Type /Annot >> endobj 10183 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10184 0 obj << /Length 19 >> stream q /Iabc22870 Do Q endstream endobj 10185 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22871 20690 0 R /Gabc22872 20697 0 R >> /Font << /Fabc22873 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1V endstream endobj 10186 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=873) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10187 0 obj << /Filter /FlateDecode /Length 3244 >> stream xn#ίy1|?A% 䶁=9 O~A6VK= 3*]Ŗߤ𑊕/_e32x viq|y20fEF|&fOAYx?Gm4{xh O<)¯8=!:+ w \CG,=IbVTfjA53${qK"l{>ymwa[TF$n :E}|pp%nPQ'o Y NyCxP9G.$Lѹ8Ns(}[E1xsLm]$+ I,0gHe$U9{[ 4ia7d瓁 ]Pjb n_'Sof6Akءm{liEQd0 a7Ns@NjjQ 躡H\qyY+Ԯ!+>3弭'ځP`3e=H0 e#7u^YWTcS>}Lnu(,Ɇf%#H\C7D* "`dلs{MspFƤA~&>t<*%)gHԅo{VY8 qS D3 cdZVS>4v0͸M$Fn?X;6a 4LO> Ņph`NRm5^ <SR{vVT4UĴ`H"^xWwu,#姒Y+j"n %yQx9># Fr.ފuQ܈gdضb縦kj2}l1aK"}$:1h^|!/5zdrQ{xkX_펃F;@?9s}'Z*Ck6xCKK.ۨW\ix\%hvyݬ$`YF6Gyoo==Hڌ/b+㬂chwgDnAr犎0nPa,&eMx<9/ͳG0P1e T)yp^SqaJ$pzAL/_̺ tk7 4 hGɮ]\WBEפ`]`zZ2Jh`A" Nc\V| e3R!ުlt/i=*ʙX'aʌ9\$bL&qɵR,ÊѧtQ`\ }/{cL+=_!/F G`i~`CMjRNdF_Qc? AvcN:7i!K56e)y2##8Z2 KzsUӴ^}.%B,wE>$NtA+"?(JD) bpYd8BbcG4, 66lRqn3" K0D2YSinej S+DֵRGxsςF#9f|ffYf\=5>% r2$ O0:~6ZG8 RBٕ{EE6+Lt%Wn~HiS.Z hZ_Qh碉@XsPpӢ~xdT g>pSC @i %S~v]#+#ok ‰;y0$@rmjBo ^•5q5`qa" "}C!;N:>/wIuco D)ـ_*8R 6Ӭ1yHr*DSe)Tb<툁{ +CeNJ;,^|CN * /^0PAKʀKA,*%ֺ$^:sy7# yF^~yM3E@;5 a6 q옸HvOaȈƶڊެAc5aO}:-8OT_;Z pE37 Is*WbN $p L'ʶfɗkcGJr8D0%A<a|N\2X1:گΣ@zZ#iˡ h %&O >>H^y_{>/է@u-?/W)}r< Ecށ"͗eJ<l%D *(9?,beG ӆ%٫܊<8ɏ=`h}YT_I endstream endobj 10188 0 obj << /Annots 10190 0 R /BleedBox [0 0 612 792] /Contents [10198 0 R 10194 0 R 10195 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22889 10196 0 R >> >> /Type /Page >> endobj 10189 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10190 0 obj [10189 0 R 10191 0 R 10192 0 R 10193 0 R 10197 0 R] endobj 10191 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 202.125 111.681 213.125] /Subtype /Link /Type /Annot >> endobj 10192 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 202.125 139.8465 213.125] /Subtype /Link /Type /Annot >> endobj 10193 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [145.1595 202.125 177.6645 213.125] /Subtype /Link /Type /Annot >> endobj 10194 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10195 0 obj << /Length 19 >> stream q /Iabc22889 Do Q endstream endobj 10196 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22890 20690 0 R /Gabc22891 20697 0 R >> /Font << /Fabc22892 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&h&#₅1LS4$dqJU$R)!^\y"/)b6M CZNNٷGӮBxg(&FEG7uߊ dE4 <~UW<7!fZ5Y}GܱYZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w7 endstream endobj 10197 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=874) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10198 0 obj << /Filter /FlateDecode /Length 4186 >> stream x\K6W^M2{<f.]UʞI,ZEɢ7.w^h[ÃDH_N+ɿ?y$y~xʕEJO,{4Y'¾%PI7ni2?q1ïILf/3Ps9PYq–n'W_4ӯFe=wzbRCTIxEܑ*ÃPYK!2TxОcChS+4P ؗgEX?K!gϱ (J[9F Jf@P8t{ /#Oҩ$U85CKz6}Q9Jˠ}!-!P!=F]j7nꋪ`JߚD}QɎx|61FH40=>n\"ެ2lNB_1[,N_2c5$Չt$, i +E1+c;t$(v?抂5/l58% Ysfcv14s^`o#hz3?攅)Ť)I9gC4,4aXyqY\M7j;ǾQi7I~v d>qi&R??f7L p% Ld:v$L+MZX+B$iVW;blWn[gr*jU5XKp3t$6@o EM:1@շ Q·c,|X=·e,|m,2{`0.Ѝ)wј(,"[ %8b4K16؋-7!IX0QR> n :/0Z[ȁm.9LƵ,@ @3 DA°>q5δkGmUY=b]OBNu !"{ @,|R:>^R8{\wFf.4;0:ie,b?ADBQ! s T T/+'Zeӵ''e2 B],9e=tۺڀ">fWKV+^6U8_i-1Go_=T"XF-4vtkVY}ۮM˟ V zǣEo%_ngHF2GNJҙw#XPxL:7pOٗfl:@%Su$Oj ,cV:dCoh["yBNrklcRlP5YːܨO?eY_M $! Pʥ`rcVH];L[ʁ@T)+JJD-֡%:S+=hR0,Ն @feR㽆 K #Cg[6Vp 䚔YE KJcX uT9u$Sخy7i RG οKggLB%mݡѴȆn\^bQZ.:n Nҋ-V 35diB]n),GsGq٣5#f "mc\+/9/nnwj5ۂ?j=P!!Jf u5^H Yu&luda՗V@=́P:~4@T_|}=2ܷpf½׏\{V[[\-p&•\4ֽٝ&Yr7,ym7u̓5g}K~ʒnvyPW"Jp}5{Gqk&WcY)o|~o.6bj -cvCԤ:]&QJjT|@+۵$ YFQ [ڞǛ#&p3[z13\˗5X=L禨ld"ie|zWҏaH.d:/dYK]R&h JFt댣r֯0R55H1jisS%^2_IB9 ʬOPG؋*K)?1sNmMnv3vuL()l\9٭ nX $VO`dY`[ޫ,ƬQƕybpO`B8bpj0X|w |WXkIw@]>'n31*cT&H=y4 EK".U{SU4gwZ%_Ye10>aهj2JP`(O^T;zt h(5OG8VY r}`0$r yDZؼA"}Oa_*N"rp൫87Ty^s\}a80ӫn ARžq={ P*!x靱AS V6,s=ߢC$0@]&ؗ}лNPrAH*@$3V# kX٥wĻ3~-9[KwK3gK S-.Nz,/#q"6Ok3+6eNĕАN\nxCT6,8&IO\h܂C h0鞅Ko&8#udZ-:"Bǥ frLj6a0([P+.Lς4Z_@ k 4mDH`&oS֎%~r:`|_Rk4Ղ>%B;REfruJZJS$nxTxk_@B@lt .g\YLfYwĬ%zI*LV SKJ/<*\T ]-(SkB>^}f2?N?};y jHk_+xkψp~yi,e]IV) y+Pjc~*b٥8ުr,k]%m hSi]sK=]f۱ڊ>> Bw.?;&}F8uvyKOq'D0R->΃K.6jNZ=lS膴UgttS*jZK^Sp!2Bw0x4!m[N:N+)~Ud9h,?<| ɸΟ K3̦vJA,4u1M+ԭ:diS%$`PXǥt\恂H8jv endstream endobj 10199 0 obj << /Annots [10200 0 R 10201 0 R 10202 0 R 10206 0 R] /BleedBox [0 0 612 792] /Contents [10207 0 R 10203 0 R 10204 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22908 10205 0 R >> >> /Type /Page >> endobj 10200 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 192.5424 381.3955 203.5423] /Subtype /Link /Type /Annot >> endobj 10201 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 139.8729 396.03 149.8729] /Subtype /Link /Type /Annot >> endobj 10202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10203 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10204 0 obj << /Length 19 >> stream q /Iabc22908 Do Q endstream endobj 10205 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22909 20690 0 R /Gabc22910 20697 0 R >> /Font << /Fabc22911 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 10206 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=875) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10207 0 obj << /Filter /FlateDecode /Length 5289 >> stream x<ˊ,r{}E %0=ūc1s w;B =SU`*e*CR#]G}krf / Wn3d xTE<7CG?m]JǽIynt1 !Dk,|G%~-A]2"HxZ[xvQ pIK p1kʲ=t݇+FxebG3h8nJoۯJR#ۿx/< |4/O+˽Kӻh@#2]K-Ev 8\` PDj}`p$X74Xˢ/Vo/um%YpOlxm} h yM%_62/C b-ke/?|g_pZ8)B'wx7Gn{ kM">>o;;t)Nx?֞r?šݪ #\Z!`ςr @EPyB{aL44aw!p2f4!J+D)XY(~2 C*\T;PxaL E\%BE@qĴi~ƇZ(]l@6ᢉ =b)+cËjlDSq,*j^}G4eZMjHS$)HHq.Nkvy0FCzKq;ա+/h iqV$6H┇2Ic9zEum[LX `)1#=, .4ku0e?{-`iD T{cVccU„86>?ѧ˲}3R1iwVm.>Uܔ7bɼHkxikêY7T`wTd./tnwD)O9(2h \U2)0u;;Ҽ.Ƚ-Oz.KCr(&(Ӟ*;2/R@) g.20K3mc~Vo>IAʫq!S@0eB6nﳴ3\λYk+L( ~-yy9lNBɭ4#5l(kU0.4,:)Z')qHx\}Ol|NTW/,e9MP<̴}2GcG|9\vɚɐy;ϥN..vwѿRk꽫g6Q;оn'eގ~I$Sݶsx}}?T]|}$u76v^TgQ`_ُ\*SyLq0"UMyg6wV^vT.&RPm:2SOuYe[zh7zA#8wv8 =8('w\L ,4GK'{)s9`g l#98iS`cS4IuȘӌ[<2OgI"cv Lj?O2úuOjq<[ߘiS۫ZTrCʥC'%'lrɉ@ٳU_ET{J.2Dp;ag2һɩ1|)75;* Yzx]~kjEuLy E-^"63礏s+iuW݅T5^pDw6W6?=j;U6gե́8EWfB>Ig)Ƀ)MsvI r'}^m*Cߛa{:lSo7`Y&0a<]Tr.o8r:23#:lR?녦rd-yU"˰/%ʊjkպNaKh糔|a-qLIOZmU0 Z|»^&mj~y{=⣬oV3?-/"A[iT~z:{íJl$T5̥=G;Z=UpUxWY9ij0sƐ3 i=iXw8*TF&:TZ]of1dKe1`XcbQk%vXB4|t ԰C6k &!D0a)նM '' T;^?)|fޓ5*Thxr0|pzJr,X Cla&IeSVz#pdh,Q oVFDa{3݊K^AwAm'#N+LUyA3^-~@Μ|O,&iVM}LZr^r)GKcTC-JEfҁWd=Y(U'{q?.}=Ǹ2o"m|6 }&Fk'1\ŘWRk]+/#=;VNi@[SSiWu=ޫYTNJE2e&^C]X.|CJHyp,P!/BZ?ail:; ӗ$dނp.ECTbQpJ W0UVT^V 1U\Iʦ~ xwC|#ICCM..#Rx2oMNW;9YEeA)91h ,-JXnZi]|x&.;a۝  >T5e|\Qz|ɇN$oAr|ىchUHF,(h $8ʛ36Emy&fϯL*ky~9Cz7T>M5FЙF>#M2u/(t"8r%Ж.eإu հ3goOϼ>L͓߾0KtsgXϳ<R{)3\/j@ ,ʲ nȥ~ 4T m*uiEM`'_uĤ{V,W3:lR%ӊnZ8Jfk`rQ8A(S(ɥ:3&4vBqMWXG1#bv 5W[;jyK3iz}>|mIE'fUl2M+O#Hhf?.嚤'&"+P z #cB- .y , w$̻̍ f=Kcuw,.4<4S gz'HiV^(K-ق%95'L;G@ {:#i=15Ilnj+5y ^?3 LO&/ ƲfVu(E3oiߚRT&H.1?*hqpfmh?uG&xo:Zo{nN^~e}X}.)B|@hr(~A/ޒ'ЛVk9a| ͇)Gդ5&1` /ּv{&Js+4si0z`1lv9? pp+9~plaox{,f.`I/ʼFo*@TVobZ.D<"1Ў@LYRF endstream endobj 10208 0 obj << /Annots [10209 0 R 10213 0 R] /BleedBox [0 0 612 792] /Contents [10214 0 R 10210 0 R 10211 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22927 10212 0 R >> >> /Type /Page >> endobj 10209 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10210 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10211 0 obj << /Length 19 >> stream q /Iabc22927 Do Q endstream endobj 10212 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22928 20690 0 R /Gabc22929 20697 0 R >> /Font << /Fabc22930 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM3 endstream endobj 10213 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=876) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10214 0 obj << /Filter /FlateDecode /Length 4778 >> stream xɪyҹ/P1a`vQ83쥫fb7g$1unem1TMZ4aLfo*=xF3SL&M?@T֊ OVC=͙z3#Qn5jHdqQP/,hdn^6/97Gvk$alrcb'Ӂft]~Locbȏ-M~NRW\Zi2S[Ke['Uxcpw!!n:\C:c &C`9U$EbVvN#ب1U]E ފvc̒i|/;ªѻ4ouٻg!ދ5m6o\+|4NEMKI+g2E]=81IԸ5'^um#4:=\mH R3QQRIQ2HbYctE%u?G R#FjrO+N'PU6>l BfȁDmSJ1j'zc̛{/CQk0\vMi3z oiq'l IBR$}l$f@3қzૉrcmK!^mi%u닒5,G0olNl2ό}~9FlXc@oM}7};cw~}5EmV6am;:*ivamC1>KtR2)$~;l=jZ,q:GN8J.*x%Ƚ6Mcis3ݡ[w"0 孻1yrFe ,Gom}Me&ݐN`*fKѼoXX4j-~# oMݵ[[Nj7U.fV/YyayMca+[Cs#_W_gȜ Y/3vt-4}y6e'N6(o1MEmrZ{]Km2X`*1}S5E(Tmb&%kּp!!YtQot֬\յq=8wܲIݨ 쒨L{eB@~Bw4k*%ՈnD$joUӟA7DbIU?s*\0QEIƽwr pV?cyrm?_.2[3?y 9c"FXlr8U`-A;2A10}y2_Ng@"2e%. kŅcBl!_C.F) Zpvޝ=ekbFƙ0IIn,1lREV.ז9 iM-%0 8xu8I3W1/im:"t~_N)ix\n8Y/U0q4 $ӎء-c bThL4C ^m:;KC1؃Z-`WZDmީ_-'r[Gy|̷|HY QI P"ppUDo."ݎC)i&//E5`iiB4!cLj G,2~/q1_pp'R.hK8Gh.x+^S " 9]}OWt%^d,ŷ2nj Xͫ }+ӵZ6`I;y:׍z mBV.V2݈i=m+R6Qd$0N "RJ,[*T t{X,^@L/%R-'#bS' b,Zc E0 G(MkſᄎnbZǔh_0k>xI,A`'#_Mcx]Lǵ"lNmҸ8:q3r%=` @q'u+ |W.q=y`e:$F>!Ƨ5lw2*Ӆ`|UY*/vF^K9q` 7ks"1hM. |DYH6 endstream endobj 10215 0 obj << /Annots [10216 0 R 10220 0 R] /BleedBox [0 0 612 792] /Contents [10221 0 R 10217 0 R 10218 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22946 10219 0 R >> >> /Type /Page >> endobj 10216 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10217 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10218 0 obj << /Length 19 >> stream q /Iabc22946 Do Q endstream endobj 10219 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22947 20690 0 R /Gabc22948 20697 0 R >> /Font << /Fabc22949 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70[TthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5o)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}KL endstream endobj 10220 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=877) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10221 0 obj << /Filter /FlateDecode /Length 4484 >> stream x[KoWa&d3X|H{lmKbUo_εOIS>̿h<\╟|}P:$ShzFqO@SbU_RFiDWdżDxg,l4&#VM4SxP9?+.\t_~O <tH +NqJ 3 Xc.0 %!4RF+Ks&'MK5'ʏD^2XSہM(3,(dsD@=HyX}Uq.ln9Pn<߰m'a]u%Y(ϼ`8R@`c2,Bm*~Qxhkx{㶪ӢcιcPqwVtQy2S(rG3rJ(BۺCU^\&:OO(X"irKks' it0."e nI00OEc @2] xb} 9a}a}r1>g1>^%ZjeNEkIfˌy,D.51A3rv-[؅ͷLJmDQI)i%ڤFfwGS<*IJ'Bag{zYSS6`&iV #Hf]vf)^x:&ăXŹVu`T4Hh {&F!:%n$"DHop[f =jKaڅVNx#E߿-c~mGK=S!:@a}"Yk^ t3}kUB]ps]M`<ӏc:*QᲲ]+(!6+;Jn\+ ?g㑰 9@״UI($C<!Z1Jt1~>!Di`l -!t9'DP~on#_F k]mәSϳwVwڝ{IjȘjͰ6vT$!HGV:oJh1_0jeW{mm)%DZFk͆|`.bÐg3Í&(^T51C}6vXrBO4r^-VT%]\O6]B{=@'GLKgGwNM-U\~~'a#x.1Ē+qd)@zck"pFQ'1߲9 7H LAj:)IEl0ns$`ƒx^#] Lِ#sܙg?{.ѧ9tv}[y:x*QSA]*gođb \u5CZsdz,9$.osnaI"c]@[{sPId@IL'B}$pW"8"ʂ_xnߔlC7BߍUn(Y-_Ze:Ǵw^9/CRĬZ*Ҳ8lܳCWe[R:PݫS!/R@_;3 U1/Tmy掓J]|cBR 3 PE]EXG;&gsDٵ#B&{$}k^F\id>B~(Fxr̾#6fyD55$7TTef/U@tp>cZ2lx~Fͬ| &t|W O5'u"71'l]͎7"d\GggNY.ٝ$}xO¾E,:m; ;ֹd {ٞ3l%gL{StǰF6jcx.2fDVa ȇc~[ m.K8$ <:+G/x4l̆7t{{8Ԋ U?K(aG]iIG)k{'RͫG&RztNpAq%r$r7 !%X^`^.2淆#y?Q< 4:_!4!i)&b!%)'I00Ћ{bua ../[@Dӛ }D$LފK/t~:; PbCV[Jec]&aB9La2QHqA!3C`9%ZZh &&Cs52SmufP妗Ր:Ew,)x:< W:`Hd#: u$J*TB=$Ծ"M`j ѹ?f<1fCX^Ņ`kT1Rȑ&{ҪǢ=LoͶxڤ&M0:t?#C qDEݜFOWc+t*Op+ (JU!{,E It.ZV.V%~GTY% %)*IRT!iOEiK&`eT` RE]yB%ۗk:VIe#2%z+;/PkA,19.K:|T4e NC\QvyL,q9qԁ8"X7,: nP6p癪Վ:q%Sްzd[B5p%^H$~$թ]L$p RBi@{]{ruCB,X_zz6)ZDz{G;bH_) HӆLN<Ͼ_e`^^HچM'ynwx^zqQݽ7hQ;y܇rx-Z͚O}Y[DҶ{zC"KBL\D-hy2I6!|x5VXw I+ipCn^mlZG7 ))x$j ˃-h3Io6&+]m]X$bL !B4` x֠=l $a{5h87صu8#% Wϟ|O ?m/|/[i I~CŰVg7ہLL_ uib0j" `9cGɃm!UlDNEvÛ@'A<Ri3 mxFw-(2T>J8kyuA\ pu=c7 @ww0dp;ʝ+(zn PkOO|C-U%^kY#]PNE/yI -\)7ڧe&CIS*P)!@%W<{(H'y`*DZkxZ8 W.jUZm_$+S z1jTjJ6's|Hm* !m헫>GE7x];[lK/[6NSфfQ ZOWڷU燭و[;ɍ-;`m{>YmL|҃э1V\!os*D8l{ꃮ~y`Ky:\+~$>AzDnKXz!fA:H\/d OnyyʗE<^ONP0F@%/%IXvd̓Bn~όBoIg\~QF6Iyh$K/yi`<> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22965 10235 0 R >> >> /Type /Page >> endobj 10223 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10224 0 obj [10223 0 R 10225 0 R 10226 0 R 10227 0 R 10228 0 R 10229 0 R 10230 0 R 10231 0 R 10232 0 R 10236 0 R] endobj 10225 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 595.8038 184.2532 606.8038] /Subtype /Link /Type /Annot >> endobj 10226 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 579.6038 145.4837 590.6038] /Subtype /Link /Type /Annot >> endobj 10227 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 563.4038 191.3372 574.4038] /Subtype /Link /Type /Annot >> endobj 10228 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 547.2039 164.9372 558.2039] /Subtype /Link /Type /Annot >> endobj 10229 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 531.0038 184.2752 542.0038] /Subtype /Link /Type /Annot >> endobj 10230 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 514.8038 154.3497 525.8038] /Subtype /Link /Type /Annot >> endobj 10231 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 498.6038 180.9312 509.6038] /Subtype /Link /Type /Annot >> endobj 10232 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [104.1732 482.4038 174.4412 493.4038] /Subtype /Link /Type /Annot >> endobj 10233 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10234 0 obj << /Length 19 >> stream q /Iabc22965 Do Q endstream endobj 10235 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22966 20690 0 R /Gabc22967 20697 0 R >> /Font << /Fabc22968 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10237 0 obj << /Filter /FlateDecode /Length 1765 >> stream xڭXKo7W\`7|?C%YzK!'NQK~!w&FW3$/jruYw1bIeg?'uO1К9;c\w<19(o rڜ 9P蟎|,S cMgc\ x0!|:K "ߗ>|R@IiN flœt0s<>Kn;bJ*ǝrNq Y2,ۍ\ -ɀ8ޭIJtidsE-ja5"S>Nj\jFt:gwBa_3ᔖ亹S[JyZ,uڇ\CJ!(]\%R_O?OTs¿<>U~kPST_>j/8˒<~ei{$76!Gx]AС#no"? |'LN?7HLN8kyS?U^x![> $&&d?B)9x3&fa JE,G(s+9h^חAYl8R&ϲ`6y,!&D-`F3gݲ@\|͠BaNnZoL14Vb[{BfFֹq%ٵ%:=eɶCb&J,Ιsu5aM-J&u|aY]PA_t]좟DVtA b8'Dcwupg.2W2|ߐW;K/3pWoF]ֹ:J?@w oc8;7zS%:sP?X"zEN3ޓtfyM}Sذ1W 3/X?'⎗^_jQ4 w#Mm$0~RDμmrsR)jݭlHcfԆ"J|H| sԎQ[tjo4E cuBm xVGW-O0UnNy.@cmQsRնRQjk`ǭf wD|Me] uVF_9T~hށ@,Ǹxf$ʷWAz0pdkihj43*mZD4i%Ze9Rzi1 ^e9d1yܭdZ)F $q.KޚE]yYKj0v-&2I?#էM>-Ymp[\}gBCW=,+ ҕ߈]ŕnvfwRH|0߃yn!Y$}{+,^}7K6G4.PHu9a x2o&?X{<]sO,-0 6tGÝOcZc]u!&[z--, kkEbXޜso NY4|P0_.n(a.H])o!Hd&ҿwHT endstream endobj 10238 0 obj << /Annots 10240 0 R /BleedBox [0 0 612 792] /Contents [10247 0 R 10243 0 R 10244 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22984 10245 0 R >> >> /Type /Page >> endobj 10239 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10240 0 obj [10239 0 R 10241 0 R 10242 0 R 10246 0 R] endobj 10241 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 207.125 122.505 218.125] /Subtype /Link /Type /Annot >> endobj 10242 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 207.125 150.6705 218.125] /Subtype /Link /Type /Annot >> endobj 10243 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10244 0 obj << /Length 19 >> stream q /Iabc22984 Do Q endstream endobj 10245 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22985 20690 0 R /Gabc22986 20697 0 R >> /Font << /Fabc22987 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70fthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}XP endstream endobj 10246 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=879) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10247 0 obj << /Filter /FlateDecode /Length 4071 >> stream xˎί@+|`gڀok/C&v`X__jug`KTX/oiqW]`VǠE~QO/砝՟~?aJaN^S.O1ɺ J:Xy'(BRNф`n߿~ %+3o}l+#8 Gv졟' qYt\dby~3AD13e-~ĕL+>h2'쏂@Rj>腐cE=#I{̓Bb4HT|f-}c>F%6.lK %&͕.?Z* _/N,<;է`uh0"!lӯSR H\[c 1iY҂)d` pnp )AA L1b*.)iȺGBng y @ }= 4Yqg/mrlb&`vO6 ځc_"6ɚ3/yJ ` B?\Hi6 "k|jӽ0:vlȲ,q#y a,5{,Qā\[J @]#&փuK;+GyQ,=*)n>3xg@3wr a3Ƨ_v —k#pTՀ"t)499vcyl%qgv^//>;t{:5/8Ď5 MHu C3cZH( =s83ʮvW)LҼq$a0F',>>f[x< gu@\ZȇY*ţ0ׯPR$*q; ";t=P#2!;vXOLx.̳֞O/MdpDg+ ڂCpS2Qp1 ct(J+8!N r8ݦ #W&m‰`ì"'`RtX͒dYaW,r#ؽsZB7`c 񙽩=}7\@27Y~w<Ż"̆V;னy`vií`7b_|g;)U$G\7V#<,-xŬ/HE3am y},;l ߣXu9(hjAeIѫV(ii\‡C\pj϶\]ouCtc A E(*.*1bUԹޥnT%i͍ڑXQhkq^8IS9'qkӺHMo?Wq%#e^{ .>o:q'*m{(2oiѻ2X2*1}mܢ We{4OE[cax~?\|7#} ָ,jDbRlT0[#yg`%ٺgK[y\ǫ̽nܕi7GVK{2B# %+K[K;K!r0?t]1øWG7ڭ6%,s~ kUX2Zp[ :4[|Z0 l)3baPuضy\+|]c^ukۊ3lhgMVKV*nlTxj"H†nkY!I}|ͦ+nfMf1[]gG~iOgGo2[ݣ/2[ݫٷ٫ګӋyi4]ao"-/ҵf/RpZn|֋tHց k=mfEn3[lu^f"7ZT:t$;1_bz~o1\ydz(bz(y{őg6JGV<2;q`+\=I^Y7%EPpkE`EAVbhWՅzD[S=^2FHl(::sU<(:v@Ahb  捧kyp?zm(Ǧ6)Oyq^ܭGm#ƒ֜-{=}ve=ꉄc^)}s gTՖ/iAx>zL }Ulsɒq|`Zt{4Ua&,S|pYcŚykK6)ѾNf/YP#Ӎd(\IhEYiQ0#d噻dZgu!^h%R%J-]N|jXiz[rzP^b.rUUpzT꼰ԋqߗ(ׁ1[A*YAIZƊ zHtxpMᔏ 驔/υ m' :9 SERzU Ԑ_e3OPੳE+>8EC%Ikmth]oy(,&)ƅTGQ?>be`:xn<]A1p !ޛAۦC8obDPQn[߄n1{jn~ 9~KkksMv6)8ƛ2F`n\H?S#"qi3qu6Mm%z7=x&^A<2&יZG=_AP3@,z)`| %.t)β_I>R2qZHEf}73;qGeFCV1WbҌy>W 9)$Vy@.}`9XeYSIIi&;$_BT'1hcJ #y(q,s6eлzRw(~Akux5hD٥NϨ.V$kR%NPpTQO4꺝 }Y\ߘcKI-p\8ȫ2 Fl{T &(_/xPJ_Uu{K2>'BBN曔|vKٹ,eVKs_75,_""/ DՕeXr #%ZQ{+deQHjM:GuU!$}'tNE*wvf[؊>FrMo (@0dzpeXo,g<_IKy^<9lÑi''1в3jjxF\xCݝI\10F VXNφzҀ#\i|wrs\ W8`L?nm(`w?kCG¶)u.#[ WRt(XqV&[A&o]Ɏ)\ݐLꀩ .`s,Z endstream endobj 10248 0 obj << /Annots [10249 0 R 10250 0 R 10251 0 R 10255 0 R] /BleedBox [0 0 612 792] /Contents [10256 0 R 10252 0 R 10253 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23003 10254 0 R >> >> /Type /Page >> endobj 10249 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 500.3269 381.3955 511.3269] /Subtype /Link /Type /Annot >> endobj 10250 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 447.6577 396.03 457.6577] /Subtype /Link /Type /Annot >> endobj 10251 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10252 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10253 0 obj << /Length 19 >> stream q /Iabc23003 Do Q endstream endobj 10254 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23004 20690 0 R /Gabc23005 20697 0 R >> /Font << /Fabc23006 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 10255 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=880) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10256 0 obj << /Filter /FlateDecode /Length 4899 >> stream x<Ɋ츖{d$DDf,zWЋWin=;#َ̺^s-Ig$zV폹<ӳsHѿ~.Ϸuzu]~(7ETמwxs*PfhgX nnu MVi]Cxq2…߀r\C~0EU&gD2S#oD 7)'.j t2 .eB)4PhMD̹r %1 \ގAA+%p;:u")>,ؓ_txl,U-Ƨz6+ A)k%;@`#VJu޲ FZ03NƚEٔt`lAFQozC:,_ S*(]xW tu7AtaϭdՓBׂMRȧp1jQ쑕GW, 娄m^p& zN  :G' K;)!O*o/YܣH65O$FS&#8#A]-/B'* 浭m=MPYNqaI iVV͕nխA%>@-#2NSDB3jmkᮟN<֊K ,\OgɊWMsZY*yoiQxH=؞+2Ua]s]NI;$C>Ka]2~F*<9$+ 4&]>VY^ksF56/= fi zxf݊ӗ{sʬq}5,$ƃh`9S&sf۵Pq;Y\ԣtήqV<LL#D~9D)M2 :L334ldZĹ nup~GByN*O+Ūݛp7H-&)k N4SKqZ8qz88z|e252 0 Y~RV_DӉտm]RɜH<.ݲCU3Gy;fAtl٣):;i GĜҪrjߥZDi*a8N<cf{? e!)=hRCrvֲ"(ŋZ{<#drf*K|&0xFM(l~+y뒒2v Իy/8Ez{%Cb@0>$ysIAHo<rRdYמM)IIane[)ulI`-ktU,ncpE|2ͥ.=يlWV#2އUKWѤ't\tcZ=>?#rw"y==2(zZ @X-A-*^ujeFmu:5uJY`V]p.r N2Nd!-0"p"'oq'0q'J(؃(ihwӭD,RTϔA`ԊŝʮBP~s7I|K8UXըSپ*郉 |j!~<9KncD lshl#D\Js"[l2Rc|Qҭ5f YdV'DDYmg´tvI1mCOլQ6Vr} NnTm>нsFYM^# (dx7ywO+L{;3b-ynK 4l_c]ApB gABٴE@6vO@T0<,p3:}ZbEG8tUZ| jQ>nM٪gw d חRF$XQ57:t#=ubB->%k^ pmU/jioKjzkw?!h.T8v.<%']} YGnj0pzxN(n>zGQִH1Vym`98]ޛҒٮj^ctupE|JVa}JL@#l>GY)Α2~-SdѤZhұXW dYp^uijeg1]c2e̠Â|qZUUJ(z ^K˩~Ku1ѫݝ"†TcBh$jQ_mEsUф$T22-c* RYu*9k]mʽ@=SV%{BVb`6b.eA8ei-FĽMt6xr¨9Qi:J.zRd:Ap7 ޚvX%Is'ҲǛ$]g\w\ormpoʡ?,ҩe7mFE^\7]o1ЬX }돫ޅqeQm$zȃy7&hap;Jr&#\8>q :<\K P:Y;}{C0w6&2O:-O[{dtqsL=J +˯'k8UJՂ[wT=s՗p0 cc`c#VB;G64 "M렢tŇr'u FR9?y Q0N5tM܌cJX ;*RG*vK Ѹ#@x&@|'I萶eF@@Eb`);{dPɣ{1'@.wq_!49%n8 VJ}umZ!,4@pu1(Nq)1@0PەX%`$W^Na[`(MVDN tJkb"K4 W2: ⍀҄O9fw|b 1)&@ŜH3Ŀ!O=kIPL+w6cio]Q0}d=ڱy0YkK*:^cFZ%NV1kO}Cv1њ2տSysOT`WdB` 'L~BُZVoNUdIoy< T~ ':m`)L7Sr hရ3@ZOl_]5s|wk O[}RZ|o}T8n F]YCe2<TkRL&HV78|tˋ#¹-Y$~Lv=˿'jͷ,4 2F+}."Ylv 0 8&v \H_-X٭/{\S٭nk +&pD>0 X Bn};Khne9Gv"̝KKszfQhD) 3 /1G^v6E3 m+1eQ K endstream endobj 10257 0 obj << /Annots [10258 0 R 10262 0 R] /BleedBox [0 0 612 792] /Contents [10263 0 R 10259 0 R 10260 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23022 10261 0 R >> >> /Type /Page >> endobj 10258 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10259 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10260 0 obj << /Length 19 >> stream q /Iabc23022 Do Q endstream endobj 10261 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23023 20690 0 R /Gabc23024 20697 0 R >> /Font << /Fabc23025 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 10262 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=881) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10263 0 obj << /Filter /FlateDecode /Length 4158 >> stream xn+ίb/aCn0CKf/?-Y<$3!MY,_-^[[~Eߖ?]sjT *_޾-}RJ%wE}J3ᣌ^=-oZK<~;~J:ۿLY{M`U<)`hxJe eUΙ5Ũrk VlZw88*U :~8p& S@#0xBQm5k%| Yg kd62Zy Kh뉁?ͅ1645ЗVT Dxy6|_EƯb83oׯX~iLfzicxLRG@#G@bpEz@b<G Bx_x\vkgsqä:MV`b+@yU4F9C$^%ќ@_*&K=>~j2shRiPh#JnGO{CpK0vHɀј"WB`ًBƀ U#T018[f},хhg<WP-T<v׆i $_Il#歿>T&6hD eG8 ӦjO9rC`HUբkW7&l|lCq5#])bS}9U:7LItĽ. +#]@ߺ#G=J՝ <g/78Ղ55kmWD\Iq)\"ѱ[MP77ac7x.2,8 &b<g՛+{nbOsH8*EBK=y@=}Xg"yp@ S#(1M47 v K tGJv%V  ^enC- w.<ڇ°jjNlT#H4óN^ k[j=6Kퟮ/*e6yR|NlƒII*8 b 576ąCT(!r}(2%P9i(nJ啔6Hdmgm벦Kk\jOd5)A$>_;P{}ُT kO5a3kPK^@Y i!х9;[^K]G2lU$ dv9U̡Rb q?0GGҧ}fyv[|e݀Mǔ>2eO&sTZUM*A$w/rG7qF\3CTtv./@~:Tc^HYF)MM@1 h< 6grZkPG~fʊ Ԣ&NC=*kd#3`ndw4!kS|,e ZiB٢{.?hpqovus0|u+ţ룥v:wBӈMg9Qoj(v712H²kgG-vCznRHw4ړ"!5 N8n]qF+"V5|ߵO %W!~cܮx pPCT(q "KMh׸JrߔMܹ*s ,Ãˣ)kҚ`j;V]VJΓRОMٵJ2-r1kF$!6IN)4Kb)H}0Wm~>@rzrk:?S=?t8뜏P 6H+B"n (΅7bS~:s?}:1.+YhgY(z`Mّj ! 2v tݱ}o+>AtZKh4>\”CsV,K{(ZU8^r(Uzl)Һ!j?x ƚV fvIo1V@u3a*^ qVgRָi@˽t=`MW$C8zcUuR1J,Yb(`S7]P^ky|r2>\422A(j`h[3Y'~uvUfV5wY ekUk+}Hx~"ssY( Œ8Tac"m2D88eOP\RSs+c?r_ (Tq5&֨*f9EtA˖R*i Ӛ{Tugfe͔Sq8ԾC&4#yzB\M̩CuS+jf6If5֗r #jM)Tn7?by3+n!ZF0QIʏ18(s].IZ(NR[Y6H=VgQ@P2xZ2>q'7Ep˸70Hc7hL#_NCPȷx&Kd{oWྛ1KVu S|ީXhsިuepݵ/fR;5mI{}΃X_4vo~X=beٛDQA|.ob[!ZoG !*,JP*&|;~[>tDi"*>d@Hc%3hZh vm]CA-ݘ's/5.nR _,}Cp&Vɛ(Axq8;&c(r+v,cqѦ46"J;0d629ߗBdzEV QWbc҅9@tF0]OL788ԭ *DwNGo}G ;sdz5vexc{ rC#߭GsX8-0xأo-`*MhE۴ԕw+_=]Fq "TdBDr)pq\ %-CZơ(z =V[|z_y=ocPEeJͣMJxD6?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23041 10274 0 R >> >> /Type /Page >> endobj 10265 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10266 0 obj [10265 0 R 10267 0 R 10268 0 R 10269 0 R 10270 0 R 10271 0 R 10275 0 R] endobj 10267 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 146.4572 608.2] /Subtype /Link /Type /Annot >> endobj 10268 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20210608081201-08'00') /Rect [104.1732 581 144.5102 592] /Subtype /Link /Type /Annot >> endobj 10269 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 150.1972 575.8] /Subtype /Link /Type /Annot >> endobj 10270 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 164.9372 559.6] /Subtype /Link /Type /Annot >> endobj 10271 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 180.9312 543.4] /Subtype /Link /Type /Annot >> endobj 10272 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10273 0 obj << /Length 19 >> stream q /Iabc23041 Do Q endstream endobj 10274 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23042 20690 0 R /Gabc23043 20697 0 R >> /Font << /Fabc23044 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10276 0 obj << /Filter /FlateDecode /Length 1622 >> stream xڭXKo7W` l-:E!/fʲ"~ Y;m?QSfts:E] Y's. X>=X뫵iomh->  0ޛJ.ENNhXn]`>X"?tXSâ`S_oAb,-^uВvڻfmS4!`%7VwQS6Fѓixi7wͻv};}ioM^ ]Nww[-aXMuٺ>\5sLھ|EHXLD_ԏg Wpr5jHS5Q~^^07过c0xg9!I{;}Dּ:VDu/`]F"! gYTSw?5wy&֮9' ;8g^gChi=MN$B*⛐%y̔>JB'SKB#Jv9`.xz>IIb#ɍ -o Vz&ܫDwDQEM8X;&򳜘"My+Gr ȧ])]]6no+U7TGԄjj|r=v%iiΧ>pSʜ|e 1MqFfTIٵcA0oxWŒ)|ɚϑ :b<,=∽`hq5Bt bd2<ѱ;6+ƂMkwk>oWP^젻zl7Gԝ1c2ޏ=Ш'Z0=$E1٠3OsZɆ̵dMؑ/`l1'_/(Yj~jkD0~TL M,)jw)Qz7KycBǶmLHկG]y;GY#)]P,A\5eMyHcmqZm#Rǵ]%ю3~w(\0`V L}J]1]`7-ʶe.A|5Ƌ`Qߡó^ bjS7V#e'XS'lئsOseyIf=lo%=J!4or~.Gy]cWmJx1Ԫ(Ao(bP6@kj"Ũ' q^P+ +iٚ0lScYRI\^7+! + l>8Y]ote"an22WT#q㒐+G`Q_R97`CD'f.}'MXvrhVm)V=ccyyW^/m^/ߤNqt` \'\(Cko{V~iF[<IujsLmki'5z > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23060 10284 0 R >> >> /Type /Page >> endobj 10278 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10279 0 obj [10278 0 R 10280 0 R 10281 0 R 10285 0 R] endobj 10280 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 301.525 112.8525 312.525] /Subtype /Link /Type /Annot >> endobj 10281 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 301.525 150.6705 312.525] /Subtype /Link /Type /Annot >> endobj 10282 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10283 0 obj << /Length 19 >> stream q /Iabc23060 Do Q endstream endobj 10284 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23061 20690 0 R /Gabc23062 20697 0 R >> /Font << /Fabc23063 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫çCfٮc8c.@˼ĵ1Brt~AѣDI`2R(.Xc4ECIHE+E̕'R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD3Guœ}"juZG)zr8knT-=%MW4yrCodE#2yk))sm.\gy4~)/? D?l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPB?4Cj|=B/}; endstream endobj 10285 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=883) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10286 0 obj << /Filter /FlateDecode /Length 3908 >> stream xnίyn' 07C' ؗ~jo5ؖY$XMi =#/N~fu z2IӗS){U1A,oOUPxj'Y-kn40zy?_>o$:^<< '͔d._VNWp,c(c$)Xm@l =<{gZ]:=({ndt*g~z&ĭ "JǛmqGhA$tF1?LђX2</JQPP pӿE!G =})k,Sׂ(p 0 ` ӳxgp|Pl;R[mN| "w lr )@@ 1jBB.O Mq??`ybqÂʻ I={y3K\ $Myly. yXˁiԑ>ZBY:; l2(b~瑇y Vօ&td*D%FGe=L =u2;ǹ%GSe:G<#>L$gui9<}a'>Ȇnf^L+A1!8xby`R>Ι)Wf8l v0Z5`m˦]{k >5͇}q$cظWLe;@u6߇_Aj~Q]:.߮x_y"A-PIk]pN6`H$F8t@3`2e"8{A EjEDc+U1~iv;$(Skʮv2xWWBEYD28[`G"Ag'!ʥyڀ0HGP:GQD4Eڪ#Q?mS+ :*lMȝLj4em"x*³ޟ:_*i* cZ<2; Uhe!p= .qˢJ QAaH$G(zDv'oVL3ي_ۊ+8 sDE̝~MT*Vsz;=LM֥}c\^п@5hej\u^=!e!\ qԨN(052 SRyn@w<c(RZ?8;&T^?™7m\8s(?ݓhVqLRa:k;`bh~ |X|#D.IJزsWm^4;N-7j:>*783Q~tF=s0Uo|C[>D9%~OK^U]oEV|4SeT1hqv_B]~9^iv(D_?4Ĭ]|O$4qP~ ~eC`UXJdH$|o-6{$s$-)ZN8鈍p)fwPƥ!+R6Ivk:P8UG*ڤBX_F#tӋ:iUde64KSXHv3%D{ ,X[!3#- խ\ i29 -)pZ?0ԫ4^w@S \Lt$Q3(lߘ:ÎuuZmEݛll3VŽ>-][Zjv7۵Ӯ [l?{VoVoVom}Ѷl{Y|5ߗ/7!RiJ%Է0ʌѣPS%ݔypn8H\;LK\> cbXf9{8BְoGLA`'C^]PTUS#[ݮb3uDrcubxgL>LG97sm7h煇gKdN&Y7_K>V-g$9)|R3)q'ټ+ ?$Bv8=1< ylvC.spSKEAyjmook@ bܟnxieH)JisHBGKAI$I|HsgLm%O$3cV >njDU4 rD6]+p}l979i0 +ŽۚS :4эtce2X{lx(Ƽf Iٵ?mV,FVt%])>\]egIвcX]2c}Όq7پ4gӱZ:g-iX o$6p#*CQPjv[o`!4$reyi^Ut=QھQ0C6]^Y W;%XN+ aXvAQ 7sVJqAnHgQ1-%-ْi/[~h\su$Z SZws2/z=vަYDNQhs8~v'' d>@À(pNōYNknMS4m +w\ :˔#A #<#Gv|]S?nLЋx0mֆ+;.`Y8˚-R]{P^ mMMtPY9#>YՍwAWm@.SkS^G̷f >v>2]D:徻 ؇ͦV=BD:q~T g֦戟E;SKt3 X&3c!)&+R/c)xh۝SLM[qX d|(N2hn\_uж s;m jEaC?]J<>ا\m)7S#WkV]]:SLY\`Gr}!NH9q$^:,8 )2=r-)cbvRl39LfǸ Ōԙ [1bBM<;2> smsaE=PXoYi;ȼNcCh # ̕Gf=QXR{ Nza2af+ςSUcM!$^}lNE_,sD% wel@tg+G9_c'Fh]*S:޼(TNnPnt7tf'.CH!RsWۀC!s>&쓱xz:tBt$ښz`?XwCzDQT`ČXǦ+LM>r]g_tu(XҸ{Ω\]K~d%9JE70iElaigJ endstream endobj 10287 0 obj << /Annots [10288 0 R 10289 0 R 10290 0 R 10294 0 R] /BleedBox [0 0 612 792] /Contents [10295 0 R 10291 0 R 10292 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23079 10293 0 R >> >> /Type /Page >> endobj 10288 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 553.8192 381.3955 564.8192] /Subtype /Link /Type /Annot >> endobj 10289 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 501.15 396.03 511.15] /Subtype /Link /Type /Annot >> endobj 10290 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10291 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10292 0 obj << /Length 19 >> stream q /Iabc23079 Do Q endstream endobj 10293 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23080 20690 0 R /Gabc23081 20697 0 R >> /Font << /Fabc23082 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 10294 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=884) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10295 0 obj << /Filter /FlateDecode /Length 4827 >> stream x<Ɋ$9w}FN{xan }h=UÐ5Pyv3pHEzzz"Ǣ N^gjqv Iom{\\ںUR(.{0׷˷(-Wη2IJߖo-L[-Қ`cKſw~{[䁅p#4pn F33$6ùuBz[jgxe:k% _U2BVnKd`"F]Y ֭x TպL#gKU\&J18J@1aHUmC9>r2~kmP]j@hBVX,-*zU!vS]k1[?v|mLلɄyi.ꞓ!cucFJn%pDg~)K L9=1IF`h ։l >~Jֳab?SY4vʻX$4sz33Ds!ּh Pl[䚤{9et$c})]nfL?rNٱ^uX a$@7R43#X5y7x3Cf[M, 2f]Dې[mR-v j:鲳UDhYU.G;= 9iμ?1!Wy**lw7ðj:BLT$xTZH3Q6JF`iyڲQ}/YkG ˜X fq?+oN*VFO-n*7N[1 ͮ4NVaRA ɟz"c_Yr.fvx&isI@˴Zi'*0M\c|\6A%V7HÆ ʃ̉(يK;kjmxBp-vHvxDZ=d:%.iV=Ds\x[ 2]uޙT0Zy%dDIq<8LL5PZҚږAimjgn ma\ ;], $I{4AO泸ׄմY>_IqV>73tHCg!%>d[1tR}b7se g -m0r;-r"c-~ldݎ-~ڄ$z4=Uqsy<ص ;6Fs|{cNuۗ6~ w Jjbe W'BnN][ͥgR Q'lN^3ث9c4OHDo 7&w);zg9e`uY6)ɩ| iQȳ?P9][}0/X=rK\oXZ:H1)h*6E+Ћڳ'K/к rkw}aT#4;oɄpd9)j4jwJ"1(.XU%'> )sLԑ-e>){L^戵j2G=e [Nxl$mMJ0>(mwc<ttd&V|Dٓ{nLV$οφv7q4&q52>?I)6?3=G3?k//|ʺ0Ǭ!9j\X~PKS x~?*KēQ t )볊k=tw9&K3a{ &}ʸ~a<|NzY't rxL)dykX.4pB\VHɩ~P?NԀ%tj4"h\~`1d8{ium}߱x&)5|fS]OvOa]Ν?U|yVœ_e0*8KFg'JiIale",KK{SNQQ)杕]2E6Ad|UL Cbߌ>"M@.?3?P%8dyI׿, 5kH AF`= TRٺhxiж!ք>w&,R&"5A p0,?V>dІ15ܪ ZhQ[ rw wBCi{bF~JvTglӚaxSp&. J=Ncw| 5$ޯjuqtؗ:߂@1lr$!v횃t}5Y&y"U3$pD\4e0a JO53ϐ2"Yx87#WȚO΍wbo <]mMYU.|''^6bEc-`,Ehw)s;_3{? Nh <i% 8uRbrZXr] 7*2z2#G*/8d4\|#Dn*;Qv+0|0U||gB&wz%y Iimܻ"2=Ntw z[Jgxw&[QkTtAS=ߙ|-OD*lH&]Mv=ׂw~{_ "0G\JFZٍuBr1$8FZ-SY,barԤwo#anO,ٻL.&_{X{6w{~g V.QWg\PtX󓶑g;8;};@^^/_'y˟?Q41 =XTCPϵA,~bw86DBxE蝭 #`UydQ΂d-V| ,%ӵѮE)Y@UKqǜE ? = endstream endobj 10296 0 obj << /Annots 10298 0 R /BleedBox [0 0 612 792] /Contents [10308 0 R 10304 0 R 10305 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23098 10306 0 R >> >> /Type /Page >> endobj 10297 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10298 0 obj [10297 0 R 10299 0 R 10300 0 R 10301 0 R 10302 0 R 10303 0 R 10307 0 R] endobj 10299 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20210608081201-08'00') /Rect [104.1732 306.0039 161.5602 317.0039] /Subtype /Link /Type /Annot >> endobj 10300 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20210608081201-08'00') /Rect [104.1732 289.8039 188.7027 300.8039] /Subtype /Link /Type /Annot >> endobj 10301 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20210608081201-08'00') /Rect [104.1732 273.6038 196.2982 284.6038] /Subtype /Link /Type /Annot >> endobj 10302 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 257.4038 164.9372 268.4038] /Subtype /Link /Type /Annot >> endobj 10303 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 241.2038 180.9312 252.2038] /Subtype /Link /Type /Annot >> endobj 10304 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10305 0 obj << /Length 19 >> stream q /Iabc23098 Do Q endstream endobj 10306 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23099 20690 0 R /Gabc23100 20697 0 R >> /Font << /Fabc23101 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 10307 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=885) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10308 0 obj << /Filter /FlateDecode /Length 3223 >> stream xڭZIc9W`!mW6̭94saߟX>?VE| XeMTU>#`ۣwF>}s]TZ;i :ȧGmb|&ilث`sOI`HW 퀨G'םk>(p--h}|Ɖ'p6#NG/uoپ&8J׃cESRKCx'{z R0& +%¶320QIN>3'<ՋS^IrSN/84u }u`okQ1BPe `2D<,w!!np.b:(>hښß ,ǣjm eF89]*­+ܓqIrn&iۖOO<x~SFbh/B0cܶ]`$.sX}+SZ:g23ݨk5X_Qm;bȍg2%n3sĴ (7n޳#A]529kHH3D-Ƥdr /ccЯWTţ51t(lUza*g0^`!eR6a,qޥ ƲD,p9rMI "TI4ڭuI[9/̋-(=.^:s[TK pqO/LBشv#gҔ~CX*RŌޚg08b5q ۑ4 ej72 >C3<Dj4t}SS 䁀يYq8da;˵ Jtp||HU endstream endobj 10309 0 obj << /Annots 10311 0 R /BleedBox [0 0 612 792] /Contents [10318 0 R 10314 0 R 10315 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23117 10316 0 R >> >> /Type /Page >> endobj 10310 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10311 0 obj [10310 0 R 10312 0 R 10313 0 R 10317 0 R] endobj 10312 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 301.525 112.8525 312.525] /Subtype /Link /Type /Annot >> endobj 10313 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 301.525 150.6705 312.525] /Subtype /Link /Type /Annot >> endobj 10314 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10315 0 obj << /Length 19 >> stream q /Iabc23117 Do Q endstream endobj 10316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23118 20690 0 R /Gabc23119 20697 0 R >> /Font << /Fabc23120 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM endstream endobj 10317 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=886) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10318 0 obj << /Filter /FlateDecode /Length 3897 >> stream x\KWl){ >9cL%?KRtώoGb**Kd^.4cгE_A;޿ÔC^}dLx\bu?+Txi'Y.{wrvޑ*}_O_75v&+WCA?i3eGf2 Wgd?*+< _Fk;d[˔M,P&?|r0&A9,?+hyd2gOЏ#1A+Ymm@Z@x?_-NK/)v豶NYc%??|,fFiY87@#t8?=,J̿$&MyWwv *EB?\3{ !l:,mOhs9u h6ǓP1K?;_mw1T7 c_QHYHGwxҸ~(0l}qՎpAUl/])ua [3h܄㨫-&yyJ`pSeG<#^$)ˀcus9) `Oկxɯ4$(NGj%e^᜙J ~S łqLSV#֊`DvM;ccG3:2KĖUcB^u,aG(ݶ>-TՀTՀTՈTG܅*U]FH% [Ϗָ)T*LY9I 2=1o46/Ҷm,Npb'08ལM6r1;hl|< l0PP](ʮaj b8+, ,79DU!.Ù~DJz:,sb ΉQ<":Τ)VhTgWAfcҏ w#pDx.Jk엦JbxLg+Q 'R!B:L{^,H+Iu&!FG89, =A4:dz9lBa62m$f=Kcj=,Xގ #Ҽwyc{~(C T\˾Ew:252 L\ AtGm=X1(sI8fF߳AG Ϣݐ;ɜg+fz0<0ǵ̓֙&gX`M7{7nj43z8od_ԻĬ]m@|O"Ԋv_)KE 0 ooDx~= VqyD3B1U{-?N q;y ..8;"0r))t [kZoHYQU*e:R7o1ZCt"[+C;$hR N5g6KPYI.\)RCCFFZ[J+$,kJSRC:RRjf}MAa"892 ?E^oy-C#iY :5ܸ^bQQZ.:n Nڃ`k*l7pKa\5RfǮ00ծf#vMќ {DqǸVx]s^A`' ;ֹ Bݛln3َj&]Sd{ad^;&/|aVַڵݮv7V7_ŽmU{lM{m[_m^}/%|~WB ~óYaήH5,cSP-XaPW`ԈV+! ky-<|eKr/k?c bqV>&kKOE+ܟ:H=~Z4jI>cj4N %3!W6\Vm>a^;nO2&dIMKsA%Kٌeݕ{v5,]h=~DQmgi>2J>"&ܰFQBC\V+)t+fK iȜD [YLz/ k[3kDgA؊E)sOM=Ăfc%`Iܷ߮.:hb W]7^Q*&3OEǢ=XgRcQr9p˭.Mذҍya^ö4 2 Z gq t+'PK>( S$Sd1~q>ViaoƎ +~CbDEYb(JuKoL&D@Tֹ,O}1^]Kƕ&RfhGJ=v &'RP/rqJM4 f~B6{_K<t(g2-y/A,]?W~8yUD~dҲH&pq͋^iᕷv=v>68sсR:Ytg~0 9j6ϫwBFz']&ְKq;BkeXRŎ du#G}cd}J_ЫP6\;ZL~2/占^\egrS֟mP^ mMM}.aӽPۍ]Y'Kڻ6Ӽ۔ڔhʛvhWͨH$戌=p5(]W--]P}=A<|q)oD[&#~;g.oO9/Tk(ǎl^3K!Ξ?jn1e:6-n a͂&d3~922rA Nk/;3,, vw)]fndx`_r\,y|^kNvuuvpRX/N# ?5*r(,m 8z0] c ϴ{2'= 烎#ʕI'%?|^~~V<s2|.Q7( 4vg|m:R\#?k uvÍꜞa)xL]96ghu7ag"VEY"%!h endstream endobj 10319 0 obj << /Annots [10320 0 R 10321 0 R 10322 0 R 10326 0 R] /BleedBox [0 0 612 792] /Contents [10327 0 R 10323 0 R 10324 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23136 10325 0 R >> >> /Type /Page >> endobj 10320 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 553.8192 381.3955 564.8192] /Subtype /Link /Type /Annot >> endobj 10321 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 501.15 396.03 511.15] /Subtype /Link /Type /Annot >> endobj 10322 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10323 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10324 0 obj << /Length 19 >> stream q /Iabc23136 Do Q endstream endobj 10325 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23137 20690 0 R /Gabc23138 20697 0 R >> /Font << /Fabc23139 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}@ endstream endobj 10326 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=887) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10327 0 obj << /Filter /FlateDecode /Length 4819 >> stream x<Ɋ+Iw8(DJV`Ü^O0C{D(*OnE¿-Z]G~[%o/in_g)Uz[  =_˷PKR$o˷&-iM%; -ZBY[87kEANbs:!A-Q3w2pxѯ* +ߤ^~L40rY7R e`Df>"{ߞLnm%Xg,q0lGdP8 ƝRBLx ҫIB~D (頜|J -*"Zt+nݦ5W:q|aQS }EI)䏾ֵPQC)ZL^F]V6;R"ˍW+"tJ7|VEہ?T޸tIF2'+CJip;^׬C S'*M&  *-;-OjAe؉0B'xr1bWf>HU|dSMÕVnխFdPa )O)qLrO'0NSDg!Aݰ9"JBKPeZGKFv:?eUi7[F1^75):,p-#s\=JWPU*}n }K"'TE\ Ɗ2}F*?J4'/,99?h J&:5l{EuQOW@洗αaZBi֮8]u=@Q5  1~8~n@ `>L]<4dΔ܎WAxzgO5좸Ѩh!ˆbسtk3"iX 3:EzWl_$ *h\xc`T[6,j"$Sa7-^&HV(Rq2ƭxdAY t`5cvҹ-33SUF ԝL&6j=&حZOzu- DXxO3[5<'>ֺAM#gMU\J18J@1aHUmC9>r엯eѧBu)&wa53[mSb2_HxU؅N:0I=&Qc5 *?v|mLلɄyi.ꞓ!cucFɹJn!pDg~)K L9=1*GaA1vQ<{:5>~JL@Ωy]gs,\n`n9Ds!Լh P[䚤{9et`e҉>.a73_N HUWNٱ ^uX 3a$@7R4S#X5y7x3i;4x w󹯟J% oT`e_}`,L̄I?eOD$ڴl8gpRdr :N'}"8S7j:{V|DB҉J=0~GugTI*WMK@Fi==>/ueÔ@hRgf-F+>pK.#4;G,;9Ս۰kB.#g7 `QkG' i1oNζ&cdB#C8*4֌p&+V`?0DURK撶UA/=iaxh#aԒC*jlܐ3yD63>/SR}~ߍTV=U4&X©{з2zu潕gPD^l=8ؗ㴭loKvj^h;\n2Vޖ+Dr=$7ڮ$bf/|pܟSsLaMe>{>T+wdjYni=I>~!l\Fԏ+-S&?즌e4qPFկ s[@Btn~VKz^xm7.VW^M_q& ;Oߞe0ҧgja8EKM-2nf *sR:UG1} ٜ//_;cP9zy`BdcS,Ϳ" =IuIHzt^R­)kwm4gJu6n ^-\B^l,Hͻn OO'rL79 i[i\>p|&{5" i]<}Q~v17 UV>&_>h)K}9pgrXw`6X=rK\XZ:HQ)o` 4E*ЋҳKк1rkw}aT%4-5,gZXL2_-NU9L¥[d<d(mmJ5'xn:xCCJ_A*t>A=7|d"yu-61ͮAdveYax'&3:{Gֳ~OO|mJEy%"XEߑ]T~LkMMyտũac>ۀk龎%X[Ӻc~4^nGm[_BepgJ@=aKFBy3_A_M{{u-Dĸ/ß70z`C!cX8+Kñ)'+zFl]0ZzT{:97y(gARBbCshX7`S$?IL naxeQZ9 endstream endobj 10328 0 obj << /Annots 10330 0 R /BleedBox [0 0 612 792] /Contents [10340 0 R 10336 0 R 10337 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23155 10338 0 R >> >> /Type /Page >> endobj 10329 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10330 0 obj [10329 0 R 10331 0 R 10332 0 R 10333 0 R 10334 0 R 10335 0 R 10339 0 R] endobj 10331 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20210608081201-08'00') /Rect [104.1732 320.3039 161.5602 331.3039] /Subtype /Link /Type /Annot >> endobj 10332 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20210608081201-08'00') /Rect [104.1732 304.1038 188.7027 315.1038] /Subtype /Link /Type /Annot >> endobj 10333 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20210608081201-08'00') /Rect [104.1732 287.9038 216.2412 298.9038] /Subtype /Link /Type /Annot >> endobj 10334 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 271.7038 164.9372 282.7038] /Subtype /Link /Type /Annot >> endobj 10335 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 255.5038 180.9312 266.5038] /Subtype /Link /Type /Annot >> endobj 10336 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10337 0 obj << /Length 19 >> stream q /Iabc23155 Do Q endstream endobj 10338 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23156 20690 0 R /Gabc23157 20697 0 R >> /Font << /Fabc23158 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 10339 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=888) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10340 0 obj << /Filter /FlateDecode /Length 3093 >> stream xj$_̉}QP4[fN{ ݗ}%֬,mƈTVDFx)I--xȧYN&S!N ϴ|ڰ*eVW^>)RNYiQ9 LXU*a Nx7faڮJ8w(x?HZʼFmi;`A  2#lw*{ sQ.:Xw`M9!@Q:zy#Tp!/6tv>ǖX@}·sV3HܨLIY>Ue7wfM)4x{r44AjnK9 tSps98x>H[p_CmEkrkξAj,0aS.XŀYm󠔶Q;HT@ޡj@!>`QCXQih Շ1DFMiE0ԮRX.lAIUCoB'gP7myp*rհpaݳ0aW kX@$(.7bj5nh 5~櫚8P7DE쬩yE͇5''2EǗT٭nbX7 SVgopDumVY$.u'H^A\eYeVkӵHJ/sqCGךe$Ug> _c| f NZ_jܚszy q5xU#_;!raN:G=խ\kI 4ſbpkL<{J+ tиH1oA 83ɬݶ5;5{#ޑz"vR.E]Wj "/=G[VelYa{64;balΡe"m Ѧ~\mW& L:‹Z(<;B<Ԣ0Ѷ@k(pvC_S̒R)da N9P7 +HKZ,T)e{#}RՈl ZD 䜴Z?{VXBvBJvj_gY-*_@FTE4&fl7yPo^IeE:kN'hf9=Wo.ݯc0% T9f2Ɏ"OE;cM67ٖ8R=db1婘ˆ`1Sc-Cp*4R%vn|tMb(--+RGv߮}+U[ em͜ZFn-LOz?ĥF%Bp 5f8oGeux~OOON%pFW؏0wKNiy^+ې8\X149{koΌn99uݷI׬.dݘZ9B 1HU B܅+`b2XS/H#%y$pBOjcHC!HAqCzdo֘s<{S)-WM(.ej=.F:Zy}qOܽy HՅj.kp^$d x .? PfK9S~E~w_>!͋15KdLUL{ 3FyIzzS@X:p`;5NfUX5*膘tQ#:pV`^+e2unJ݄IpBRU[z؜4;ax+rA`17|ŬAy[iΏM ]n"9(ރnKKPN.Ovms@eh#]ŒX/Ʌ> 0vPGxKhz2Mj@KY!R4&* n" [tx\~"y) s7Ked*,Q2pR`S^ h!Fǣ2Za#& 4x"ND5pDOC0=CK|q܌a)>Ha}}a48a (SL8qtd)ZALLGOq<7rIpm\U$h &7vkg "+HR}Va?]V*Fo+a3~Q=l3}$ VSō8 NcoĖBt!v TquFUYirUl l~/cl |?3V,P-sԌX:ʃ0G KQuɭp)\ }K nLa endstream endobj 10341 0 obj << /Annots 10343 0 R /BleedBox [0 0 612 792] /Contents [10351 0 R 10347 0 R 10348 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23174 10349 0 R >> >> /Type /Page >> endobj 10342 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10343 0 obj [10342 0 R 10344 0 R 10345 0 R 10346 0 R 10350 0 R] endobj 10344 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 207.125 111.681 218.125] /Subtype /Link /Type /Annot >> endobj 10345 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 207.125 139.8465 218.125] /Subtype /Link /Type /Annot >> endobj 10346 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [145.1595 207.125 177.6645 218.125] /Subtype /Link /Type /Annot >> endobj 10347 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10348 0 obj << /Length 19 >> stream q /Iabc23174 Do Q endstream endobj 10349 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23175 20690 0 R /Gabc23176 20697 0 R >> /Font << /Fabc23177 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`!ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM$D endstream endobj 10350 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=889) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10351 0 obj << /Filter /FlateDecode /Length 4549 >> stream x\K9WM&``U a't_YUmJ" #_99Iÿ~N~L%LO`?P\ds1+_!ɯgck{R八:ħ?^?7ddSо0[߿2dus\2<ҳv%ff_[hN_n2c_]%/y ˜t(֯OSow~|gȳ }䵎N='e VB:Q&|. ~Jlh O3VxRljKD`x2$VT*w2hS_CP+`jJ_ѧh3 Ӑ_x ]],}vA=ۗm&./(+MO VH8G~UqҸ}*O#2ݢ}_^Y噙F˲h>^" fvv&w.z}bmV xV|`BAheR{kkdтO0̌9DL2Dat;g"hx4asZحyNF>?U-/L#+JCy/:%>s3NW9&ϋ ;!a5Nn5{-ӣ=NSCGc@Sy/8eK=LېQbԕZLa{]`|9QG ^pFaJfx, Bo)DT-@"(}YQ&Mf9s=5QA'> W0~~D'$r+"M HhY/Ex엦J2E;7E,sE'/|[sg3v d( ٻ?>[" /Aw&fiXr&y&7e [$q̘!"" N=jʜ-U#y/9o/?JsQt]g9RHt.Z쌺.\<}_[rlH*/2H*3é(JekNҋ6575wCE5Kv΁H|XÀ'nSCvgi 5tqK(cȲQbG/K(L>k'vUP#Swnacv][@nyS!^LwކP>o|OiS7< ׫jTg%u_1]Օ~pްJph_qp/CA$Nm_$ViwT|f#KunJڠ?~9O3=_Ia?{˄g((P_/@Hp?8wpiɗfnhj"O6#sjEsߡ)CM€X>%lI?"!'LrHpcCJJ(dSHJD#5uG3P%%+YE=:F[۩:*\V)"5{̽Rtǂ*r$E%)G?WCffX&CʊSBk)mbq!Nn[l:KH\7JS?$YU)uYr*ԮӐb荛Aa {a}%ǟ"j\KwhD7-+РQÍ*One*}[Eǭm)u_u";i~WnIՑْc@qOf/ծd욢1Oneڶkk+Q7nm[::5:7EEC߇ QP]S=٭騨;"/GZj?ݸVqPj7VFZ07ҋ[}mnd66;-o>xߑz{%{9o.o9oy͟{: I?`ɞo O;w7ފ[Wwcxpxpxpձxp%ثa# -&Q u3ty0m jzJvNЏ<̭5u/y B >FZwoRuex&ޠTdzv ө(,0WP+VW1E\mWDiPvOy΋uroak}l?Uj9 J34r ⭴>ɚ9r?]OmGav^“wd1PԲ"T5=g_S7xw(@0|cdzQS(l'U.O}ru+]}H{Rz.'i=}fI *Hu [NI.{xrAE.Hxw5 &3Ml6PJi5ܥ9.ymO@JDdT'3T0IіaQEب6TӔ8ƂT·_r\8[a/` ᓘĒ2Zz(DB(Ri27Uk[g3wZ5Y~aQ&|nՒ:(IZAc(ljAz~QxIו V Uoo($ Hv pX y!U7ԹȽؖ 5VU|VW4t_M}&[DՈ趨h=XR5ԹT9m#(liLX7ZğcseTky7o_ iJ##쌪ar xv6δ*w<> u@`zy`+"cS ܵ1`+w(Ψ @l&,ޓYXh&3+K) *(Z Z]$EG(*F[<+j6 ܚā& areϫ||Ѥs̟̊ݜlRC&x n,#!;HBCn3$:.YalN'>|rf'( ?I(c6('f}!t#3풺MܤYf6i7=yf~z\JwRgnyI p{*na'ʧ`l:- .i| %NZYK91'3cm%D-wLnΖis//H̠ܪ#]LtT1G:@dg>,. r5$IHk0լsT1hcB- y>q˜R[s6Qb=Ro|(Z7XL<( o#٤k*Z?N%HM\YxC ]-h|\θ@H]70z^~+"=;l7ɉ<ʬPĶI`S%]RQ%]c(R)$՞PNU+? Y? K"nI[;FjN)ѭaݒH4F>.8ڔ مROԒ6֞KWla,Kվ9M:G u!h?]&߰]eoEC ݳ&˷b/˸wܝm䴸[_:|9ç|$rȖ[ސzrE cr]68%+`NzV A[ B:5g>iՖ<%,Ϲc\Y2 endstream endobj 10352 0 obj << /Annots [10353 0 R 10354 0 R 10355 0 R 10359 0 R] /BleedBox [0 0 612 792] /Contents [10360 0 R 10356 0 R 10357 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23193 10358 0 R >> >> /Type /Page >> endobj 10353 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10354 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10355 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10356 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10357 0 obj << /Length 19 >> stream q /Iabc23193 Do Q endstream endobj 10358 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23194 20690 0 R /Gabc23195 20697 0 R >> /Font << /Fabc23196 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w* endstream endobj 10359 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=890) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10360 0 obj << /Filter /FlateDecode /Length 4671 >> stream xɊ$_gCb_h:&4dČAKFfVW$r*xKdϿzV?1ӳwsxKѿ~._nzSjâZR_?+eR޿+'8㯆#^_ `Ә%g .xWvCta :MJkA^K4nƷOl4 ЗXD*7ܔ:m\:0!F{yΤ1u&Gsg:,fI+ݠU]>wdpf_BdenKIV/&NnL/io vDT&yF-! SODȔe*Ӧ-Ѧ邬2Rp,,#✲ "Rn_e 'kbI3:eNg2sckXԢyz99*6Ҝͪ.6" X&wG]]aSa jMc40\iH9hJiVsJ䒨t(H}A"ɢ$J|R0 H$mE"_ύB^FE[{LKfu@ f 7|;ad(eET2 eA LV092Q)6@V7mE|Eޱ"IzmApPoDXתP-Bɶزp\O7tP@};uDq( 7D/""*MBJRIm88x6'8`&{zȚ /uKR$Փ Vp^K'5kޯ|qo1 ^+$%I _pO@R!O'9{(45%*'Q/+9~+otEkk8JKґ.R=M07ƽ]KO [҈U3 ܠ4'>$ttK2b" >B6Ut,LOgX]!đ@sl2V#>?$2]7f& 9MH/,1+;Wbr `6ۛ?VDTT4D7졷3(%l~9fG~[JUtJK*{}%*n%ط$`%b=q=pcA7/9.u~-^;Ck*1 j& j%y1&A*WF)]AHWF*#+)Ikrq=N-Sp6z&6q :iR ^wuMi$jm D^}^5ZlLMwv*NqrZɹS33u`-ϻ!IױV.J' m`bm~q{cmXM`TZqy>K)5SJej*V m!,>j_EAᖸX싅KUK(7$A57RWev畩b3g$;)(J^ -HgMSiՋU9l:+R>ƳV,#bƃ"Tc"s]n :(M-߷FׅO^#o9U!n~{TDIq~` njoLN{].Um]z]ߵxwG #[ FG^GvX &L2Ss+?[TJZrԗLO%7;v(bV"00gv1φwsZ-Hzo:˰F 1fȟ<{SF?79D}"ѝWݬ-lL`z?m?wj4:~v| +č,/$k?2ݻkx:ߧGE$6JM]9}R?gh=ȇҗtd ֮Imw5|]- SܙqNRo}F]h i=u]J˥;eT#-p%nfd!]XMJCZCC+\:*TDpiN-:(͵ռO9~dMBhwN\AʱHY̚W[` .[t ^Z+?Q}s(;{>hQ纩L=6ڱI"RI_@/|#i' ٷV7F~]xhNz V}~-;'~^M&xO?e?0jF0~v`QAI 7|`ڽqZc͖>Mү'*2{{|7):orkߧ{0,|!/_2/̇yC3dSWʸj7&Uk!PLv͜_L(=1$Z+ZV,;mNGn4>ʳVEЧ2{}zʸ* ]]<7|~R:Up̡(wvO Ғ^x EJY\K{SNQQ)W0압]fBdB]y?j*ʱ:p3VFJ奓 v1Ʈ:qzz7C‰:dAܶw_h.Խ<[j_δ6U?#km3o+)gK3CmI1!Ƙ:-o)ʗeS.[2ucn`^{?jƓ« mW}3vkegp 7fǟ74JdHirviGRWKJ8W1Oc_!?ϟ@RC3yɇ0z>LMF kt87,_c(%Qaߓ֋/oh# P T_b'uPn]aؼ 6R5+7,DŋJSk>dYV+LwMш%gLQibhCq ȼkR%Oio ]s}=67#|G-xrұD`&+kzON垖𿉎"  \%ȅ"DZ9Da㜜O,'2L<^|qGy<8W8 aپZPp7Nte_$3WT{|V8bEzkF!\x"m<3kSƨ6nLF7YSA2v9sY)hfaGŷ7Y1Om, vj;6&ەL'zG= _E7ln'&q߀5>*<ޏi{SOHg |t>:-7q/&Va#`]Z0?O#8_Oʔ.N[/ ;ʺ+]9?sp+x:/8FG{:k> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23212 10365 0 R >> >> /Type /Page >> endobj 10362 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10363 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10364 0 obj << /Length 19 >> stream q /Iabc23212 Do Q endstream endobj 10365 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23213 20690 0 R /Gabc23214 20697 0 R >> /Font << /Fabc23215 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 10366 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=891) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10367 0 obj << /Filter /FlateDecode /Length 4259 >> stream xǎ#_g,W M>>2E*v`i%;zr,B_rNQ)I<\=j<z~eNj*g ?90E2IKy׋T&I)q~/a:hmsowEX|o @vRY)Og!' ~= 5|A b`ſȔ_*rêjJ8 8k[ a} w#h?F!u d2Jx.hU@E n`kq8NL(KfNh Xt0[%.el1 g

    FKbdFDi+_wx .=eXt[߃o&xf[`/G8Ѭ4# Ф,p%p#d'Kd /Ԗ>d!"K4jBRM|29oY[.t҄KsUs}KqYK 5ʧh7=8V.={mv,@at՛Z%6 Y`ZGBOe{)T["&nE3 BEjVt#R[,۽D]BmݟIqz,-"I|O87^Yr=B~׫R5$^ۉn-R;"&vG؜9vC<}yI $OY, qpg@]dTҙ$Oxid;ՇML]bO{Lʭj'\pd,Jبs~ af-!T_cҷp%

    D,L^J_̰$"7:W% 8[. l".;8;@MרAb0ƌz+OdƚqJ:h?Pn>^˶+~E[Ox=hBј(c+j5ue凩9yYg?5@4V^-x}+~4;c(ϊեŚP\, 4UHpjq f8ul_p.-kg)D0J22RŦo~ g_Pp2;I)[ޯiYa$HIRz}çȷtu;g$)h/aOƃFXbp&3x+Ӯel_>86܂;'nK"Sx25J٭ \s҉¿FP~!-m/I<ĭ endstream endobj 12174 0 obj << /Annots 12176 0 R /BleedBox [0 0 612 792] /Contents [12184 0 R 12180 0 R 12181 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26670 12182 0 R >> >> /Type /Page >> endobj 12175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12176 0 obj [12175 0 R 12177 0 R 12178 0 R 12179 0 R 12183 0 R] endobj 12177 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (copy_bd_objs) /M (D:20210608081201-08'00') /Rect [104.1732 377.7461 169.4747 388.7461] /Subtype /Link /Type /Annot >> endobj 12178 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 361.5461 172.7747 372.5461] /Subtype /Link /Type /Annot >> endobj 12179 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (group_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 345.3461 175.5412 356.3461] /Subtype /Link /Type /Annot >> endobj 12180 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12181 0 obj << /Length 19 >> stream q /Iabc26670 Do Q endstream endobj 12182 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26671 20690 0 R /Gabc26672 20697 0 R >> /Font << /Fabc26673 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*uؼRLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNb endstream endobj 12183 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1073) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12184 0 obj << /Filter /FlateDecode /Length 2597 >> stream xڽZIo$Wl*рRm9>)`⿟qn$,oj|nsVǠ)CYui5kkh~z=1w0~8/|]k`36[%YVlU Q; QWxgؐGc`Bկө!)Z;E<84ex/ `Rpa>cN>>˞Á W_ÕW2'"|@sg}brѺ*1O\?A dcx W2ذƚlj4>@ֈ& c74 P3@ Ɔ4xBcfϞ$G#(L¹0g&=3i>XDNP|Z^'D)໋1n͇|Ik5yX=׭~%TUNZcj+@1lӘ!( dTWs N8yl5T[dD FԔʴ[78#3ɚu(/r&E{{5GpXX?e]{qLwW=~A}{n-U[lZM,+;LaK) 0xmmam:rz|2s-c1n&QXR-R7{bZkOKןSʻ+""*PvTܘCru?k3N~t q/tyFV:5U?OrKٯe>q)LС^|m|o֡rXVyR)[0kȵJ 3oࠠ܆, $?&xf(o>F dVj#NМh̸ZP\ba/ =J)EnI=ɕ*Djo1|@ETkfMƉX#Ŧom?]}$ S<CgLx@iKv e _9 `B f1'?L]UDl CqdhTl 1D2P}f`,*v5誫M&AD]z&x3I"QijDBqG/2Zai#ڦ%9τ_L'z[$OdC4#S+yB^mi>ȺiQ/c1D0q ΰMa?w ϛk`F b1KכK룗f U'5ٶEB!ŋ9NPRcW~ JIed<8dI GT"f}A뮡ByXj2ȵڲbgx_gP!s^z^Iz9o? Qx̼Γut(mJ}% :L0n`[BVz5s>Hߟb'ۉxP"{( #'HxFyj,x8ݢǻ|rN|no+:5`9}PIhQcl>$."‬5 YLvF endstream endobj 12185 0 obj << /Annots 12187 0 R /BleedBox [0 0 612 792] /Contents [12193 0 R 12189 0 R 12190 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26689 12191 0 R >> >> /Type /Page >> endobj 12186 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12187 0 obj [12186 0 R 12188 0 R 12192 0 R] endobj 12188 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 377.425 124.1605 388.425] /Subtype /Link /Type /Annot >> endobj 12189 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12190 0 obj << /Length 19 >> stream q /Iabc26689 Do Q endstream endobj 12191 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26690 20690 0 R /Gabc26691 20697 0 R >> /Font << /Fabc26692 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoD endstream endobj 12192 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1074) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12193 0 obj << /Filter /FlateDecode /Length 4641 >> stream xɊ%_QgAs_i=ϠH:Z6.}ǖKիF`FOU[Fn=}̤ߌT>UA_d嗱K1~fͶR|gcR[`N1-W {:m1ů_>}~E}%0f;MAb?}7 Oz%'hg\5%釿*;[pV?NYq2kJBYU2*,Oi,)?p}B;0@c"L%q39rg!9Ē $M(i!wUQhY8۲hX`O MУ/%/S@),i²~*̀"Zc4 j.>4`X |`@SG`,}za}|Otذ ԡ!?6J->կ/C e]glŸ "y^+F)^%Dבv78;lkDlNk6/#rXC3 ϋbuzwŁLj+D_Q3 x{<;ˎX tB᷄5`>pA0ku`= Hȝ`b@vy,uUn:P]vJJAAPb(GQ"/ȷLMI`:EAZ.e:?ğDMȷpO mkbT}0?d+}=̧iʷQg:)F;@ ,NZDb*Q#*z7O2DfuoN)nPs~M;ljYv䞖]>MΡEh+Nx 7:έGF:مsF(/rD$'~LOZDG1c-4t5#3FUMU>nSᠮU|︜5m3}·8.eJ;T-3 LMh _o`"J&:>eDl#:Eh _ElJI`:!=zU TQ_3t{=LIXjU+ׂ€~N][$m@At*MJJ<ГV,-5HK,H*+LiҠ0!ߋyf6KDPYq5\\R`]C<#^n%_酸l'OA┕\)MKNa@q;7+tOSbDG뫰Yh{]]ˊmh㵆;VX**}^Uǽ*]*2#2*2Z~We9Ax8G5ppwj/V}y#.ֽy$ںoE`DNE=H@' 㩨H<Tӽ>3îխv=jvnku]_ٮͫFaoD:H} 2 [} 2z ")LJ nq~:c3` ZaR]t!SKt Y4~)a3"x%K#!s+*lF*YAQCv dDtP#YufX̛ 0;L]="nrljS_U!Ӛְ-G`| v杛.Yi(G&~ b{N圆n)8F< DrI ^O7D׋kdT2t ̕7 70- :W| 8SXR*%9 <4Kٮ1/\3sLEgK6煋9[46^j`zd ["Dap8HP8#5&JX/2 x 0 ݄Ĥ2XuE@AEB@B!eA5XIŔ9̢0>zPJBr2%^9 &dAaлd-hLP3.pv=v) 9/9DȩjL;'Ͻ oP.%ׁ5r`(9є_g@8E4h"EԂEG `Lq(Cm4^T ۠Ҽ2BUZ2GP?W y+6%jD A P/^ _ -|(ހ- \9ר(_b +Zta/3Z0~R莶0dZ@I6s3 nX o=T Ȍ %C-bnt@XIa@CPt=;"M )Zyq�RA#94wh耷fS4 OuFhS4<߅>H#DŕZ3*.c W`* FPQ;Ǫ;){0KF5pGE]v$4ZT!o[J0jnkns&t\x=>66-T\#eik5)@ ?ߗvWlӘiy@^m- `6x_+).qE~h屸?1mD^ݘxS3;!ãճ9F㨖Fh}y͵)J-6?0 i)4wLf!N*t,udh><'=3M|=u!8K 9 {ꃹʪ_B3]vFp|I:'kf(+=0taˋX qj&=wGʖՎ-Y ҍ>/-@49o!ú/~~pd~ɭ#>oUto륍^MTkgFԩD%’ORPWB_ڵm./ՁT72q&i^b>O.D߸z(K>)×d!%DRvK3!C׌ [o;ai݋vbA Y+6RVrJKR/F&x&_|8ޚޤszWd;B  jݶRbHa us/D7p'l7mL*G㜊+ùzC'03R 8hGc_i~ln7//]]Lm:GuwnULxC=\0Rh絊{' >? ݸ<'%y@CG-~?/Hyl?z;< 3 z(?Cx{V+VڏꜾ;џ/*YY"~usv/mt3e{ Ov.h endstream endobj 12194 0 obj << /Annots 12196 0 R /BleedBox [0 0 612 792] /Contents [12204 0 R 12200 0 R 12201 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26708 12202 0 R >> >> /Type /Page >> endobj 12195 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12196 0 obj [12195 0 R 12197 0 R 12198 0 R 12199 0 R 12203 0 R] endobj 12197 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (create_dashboard_gadget) /M (D:20210608081201-08'00') /Rect [104.1732 451.2384 227.3347 462.2384] /Subtype /Link /Type /Annot >> endobj 12198 0 obj << /A << /D (unique_523) /S /GoTo >> /Border [0 0 0] /Contents (delete_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [104.1732 435.0384 232.1252 446.0384] /Subtype /Link /Type /Annot >> endobj 12199 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [104.1732 418.8384 217.4127 429.8384] /Subtype /Link /Type /Annot >> endobj 12200 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12201 0 obj << /Length 19 >> stream q /Iabc26708 Do Q endstream endobj 12202 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26709 20690 0 R /Gabc26710 20697 0 R >> /Font << /Fabc26711 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=c_%ee8>϶ff^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 12203 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1075) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12204 0 obj << /Filter /FlateDecode /Length 2357 >> stream xڭYIoׯyp_FR{a0'% ؗ*V[=P"2_33JsΤh)˿_.k<}XK<}>Xt?d|4OB[Z5O:[`YR\0e~d;lpG.ؐ 3pm,8n>˒kmH'Bk0L hO9,'ke@T'yp:S+<yP GA $X Y6EOBYx;N3g>HIÞy=Ts+Kr]\R.w(8/è KvrIsHR6g2sRuɱ-୲IwAU~l&Zhw6w鐩|ķJݤ5UuȨu[ȶ\݊Uv5PLՋÑZiw1.іpA(1hv;CX( Mݛ믚yBs1-)壎KU/< 'C?ǓV0! L%Q@%0t+Q%CwG jt5ݔwOě ^@ԅڶ`G;ȝ;qƴx?"힉v,ύN~ZÉEmzoTK!q0}s[7aoXNL{et792z} 4ebG~E,e);᯵|1ӎ)E!d1 M6ReXgⰶTiHjtMV6g3z4R޲8ܲiogX4Z5o=38uTؓğd^N T Y>>d'Equ<3Ue''EUCg J.Um玑ZWJǔ:Jm➌^t4GmR)ٍ065KW6P?XT(%g?WU endstream endobj 12205 0 obj << /Annots 12207 0 R /BleedBox [0 0 612 792] /Contents [12214 0 R 12210 0 R 12211 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26727 12212 0 R >> >> /Type /Page >> endobj 12206 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12207 0 obj [12206 0 R 12208 0 R 12209 0 R 12213 0 R] endobj 12208 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 348.325 124.1605 359.325] /Subtype /Link /Type /Annot >> endobj 12209 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [129.4735 348.325 180.4255 359.325] /Subtype /Link /Type /Annot >> endobj 12210 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12211 0 obj << /Length 19 >> stream q /Iabc26727 Do Q endstream endobj 12212 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26728 20690 0 R /Gabc26729 20697 0 R >> /Font << /Fabc26730 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12214 0 obj << /Filter /FlateDecode /Length 4040 >> stream x<َ丑 =2(4PY5݀aTy߸x)Wu/,AFhY4;%|{_jY_.MC.y5W_-_ߗz:Oj;OJӗvzߖ_w;m #WWMmk,U;\),Uf S3SZy eM:T jDxYnQ/@+i( T_ p'_A|Z{D0 ߝ``i&/Ome3/kAm{B [VG)\/>5"J~pj! 4sh+ |"=ܓunѕA  Ch-scqNEༀ;VW"huYc kl‘WZ|0x>,0fM m\/U hU@f ]}5(sX=t=1.0'h2]5&ir 8'NJ 쿁f>Llү@y.Ux6d a|p.*TnL!՛+ #ǐE|V {h]Qr3`PfvmikA3T'Ruv R%l @)+OdQ*6ՉÒVhȗ~a8}m fwug605ALqM6qj:tELshG3UAi[0P vcHnE#YPOal#Hx\N|"ޣ6q9xTfyv`όK^P"d"cʪi>daZaSe3&>3k={ M4 ޑo& G-av[aXf:G ( p´*%-Eb來JJGwss՚8a8 |Ibq\SX?zJ=+*c5Ϝ}sPbf^@~Y".2& vN^I~[`ê&fzwIa<p_<̡өwZ蕿-_8 iLgFx`>HiO@ Mۦ w,} kdWJ"p`0SKF(DS@䄥34zVo&"ȁkKcVuG⁌i2X6.!:R􀂼UyR#WSgfLQ5Qjiʈ`8Vz#&š<稌|`}7wi6t5HCoXfcaYI`6~t/TtԾ7"uoԼ9wItbdAĘFq3:֡9DaJvM@C5t!A1*k 6(&=M]59ȫ@+laPa`z:.FˮlUGrm8Af0WU?&g-%X rs,g yGG<ݟRrlϲҗ1JHS>u=82OR+Y=ba fAGr,=q֒rm٤z<i))Os5FrJ0)L0)ÇSCY=>5l1=7L Ҍ,PE1Y6X~-=hӀi\5 4{ҾrrB!ےYQ[ǂx8o!sG &()0%ɿO鴯ݔ̜`4x |94V,s2bKd򆱽2j 6}v\[YB8e+'4v5AĊVԲIe֜5n4">)_y8U6ĸIٰR?L]hjq+x^l `q045?ʈL;{plG~Z5FrBtLg5 V5z7tMQgx`xw0a׻ 40Vi) 8UʆldڸX֥pv2_JGf!I .HG=x ܃S}}xQHb]v9 k5wv Fb{4) V[Fn^sYր TRdLJPm4#D_xM aN"kL1gWÍmIM\';@ƕxVk:îMZ5z1W/wc>W[ ϣ􀻺u⫋,}Ps7>LVkG\0'\M&υ[ф9[G *nxo*EC ó&kb/˜GT:aI7Ω2$䏒w=1g:kC9K3혿\nm/ŷRm[KP eln*<% 2WW,SH! 7 t&,d_}&䏯J̏G3\>Mw Y Ҟ`|2BŚm ށ/<έqhYUaP áB}QWFKRuM2P[dğDgYx~_,$]\e iZ endstream endobj 12215 0 obj << /Annots 12217 0 R /BleedBox [0 0 612 792] /Contents [12223 0 R 12219 0 R 12220 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26746 12221 0 R >> >> /Type /Page >> endobj 12216 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12217 0 obj [12216 0 R 12218 0 R 12222 0 R] endobj 12218 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 459.973 144.0317 470.973] /Subtype /Link /Type /Annot >> endobj 12219 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12220 0 obj << /Length 19 >> stream q /Iabc26746 Do Q endstream endobj 12221 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26747 20690 0 R /Gabc26748 20697 0 R >> /Font << /Fabc26749 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p.Qӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר}'2g("_@9 ?ɜ Fp|s(dWLj$x2UPcY@wP&]@p94C-ZݛXX endstream endobj 12222 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1077) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12223 0 obj << /Filter /FlateDecode /Length 2639 >> stream xڭYn$W<@@(@U% зIvH}`0Lzѝ/Xe^:zU1b\OOcV=}k}xe&;cS1cΘG<٘F(z7DwglLLcM9)gOٝtgj}0&=⹂Մ0۞X腬SAظZΡ p!X1A|1aSmezʀ Q2x+wZ,mȢ'UeΗ6wmQXf yhߵ% GmeD_ X(%jtɦwԉ=(ߟ1]te:+H ![El >i4tÒ]f"ol6}&ck6ŹN*;xQЙgkQ Yg0Є׃+ }iA~Wg]"JK9C;ߥ䳐]§>.9 3!_; q['\!oI;e:UenQ%DgxpY[Kݑf'=b0!hǔĭwN/vdžH~JnGgL*ǀ뼟{{kՃL=ܹ~J&٘FŹ63߭garT̥>CM:֕NV,.FPIG:"٘q3s Lݍ  T,/v ݈=K[ZE┠C࣫ϿK-K?dEz=QrG.-م ${kڗ. &"!#n{(n?]q!e k Fg=wof@9{26%[ct.]VqNVP"D~!.))\;Me@S0hS7t38":Wk~ cv$M73Ծ6(eIxl?>(SA0 C֭K7!;\G&[ڀ$U.u1;IMqtDO5D:k yjjjRԇ /gqIUآF`4Rtϊ藻V@k9٨_IϠe(Q e?v-r6ɟϩ1XlN`&XʛM> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26765 12230 0 R >> >> /Type /Page >> endobj 12225 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12226 0 obj [12225 0 R 12227 0 R 12231 0 R] endobj 12227 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 312.125 140.4735 323.125] /Subtype /Link /Type /Annot >> endobj 12228 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12229 0 obj << /Length 19 >> stream q /Iabc26765 Do Q endstream endobj 12230 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26766 20690 0 R /Gabc26767 20697 0 R >> /Font << /Fabc26768 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nYӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12232 0 obj << /Filter /FlateDecode /Length 3162 >> stream x[KoW@M6`H浀=99@ _S>{8Ҍ,Aݬ&_UŇRςIɤ7qx4Z>|ZFO/F.Fᛩo[ys)is#[U>.?>g Z|^Sѿ"ߥZÖZ6-hoggjjêޒ/֠%bJŠ2@n2 1^C`5(I`p?re YZ7T&C1&蛎 ;7CM@} ߈v0ٴ6$`Xi{}O p&<`[֠`]t64pfMWVtpQI׼ʌEg"cˉO^k[,ڭg>4/eKA, !kz2غ9\l @ dw,HjZj֘e?: =6mKJXСXO:5T㩏HU]]<=#4QGqo t}j&6|Y7sV[!% E//+G}-Iĩ9O*]3q\P!*ymS;cǩÁ;\d`QvKO7 jD08CL幔üɬ ~W?7LV#֊ήvO[f';bm36fSDߙWID2jWRcsğ/`-JApAj0 ,pdьƺWAzsi'<\gpyws6~TUW8l78xQQ7̮8iL{x@sF&֛ -Ͳ5Af8qÅGH!ռcGREyݒ[Vh=K@#!CK#13}.>iwJ0WSrYQ5هkRpEޑ%(b[nNwx!F{!*I^Հ!Gi1|-5=﷗nA{‡L]b-9ceԚ9I]z/T>w-V:=0)b'N8FS2 (eeɬ8s K\#]㊅ֻGtl5sz~cZ2.>2_NӺS24F 5S9w!^h= leKP ST_YO=ŗF%M>dp9ZU~vQbɫ[DKVuhVds_XNhdߍIQqVǫ{.yÕG /!'|)ЗW&`·FM>OX'H|61Ne&I*7@}K*$EG_uT̴B%O`dQIXpo:ZyMrsJ@53֨`(!q;quƋQHB@KBǬEvF@ {9FEˊRR)l/#%:TBnUE21[FM pk-1a#6kʵ+V ))8UFOXuB:Zf#M`/= vWi )լ,؇ҰIgD[ 0s#2d֬aYu$[RԱI8 Qj6b' (:nsk= Fyx=)e.k;8B-_Eg'.;#k|._X_ԫ/ZL?8|G~uJS[ O1DfUh)ڝ(8bvJ"U]N_[~8rEǑc ]㵕k0k4:[a;LOS>voP1PR{ sE[Q=a3N7nv V~h@KF'DMjfĴրԶ;%Wky?4},W65r:=9RfleBwvi@ߺsxZ)Tv#}ݎ)b˛uvb.䥺k9-aMZCmNUD}Y|l\P)pxv9ܛ /NzSńq,6&W HTa-_w)g-Ş5\j./ּg>(/7(ϵTxu.E9OklSvl(j@b%FܑEp C̹5 ypx]u- ysw,(!yE=Wg@W1 ؙp["{ދAi#v9d#w,cVD/d&@IYm# |{E~x+z2J yr#{'&2T1z|OVf5fVW%t2K{%~AҙLn@U!Rh[R XG/r@쳼ϯ"zUϕwbr m#d+p-.,S2Yp)QVZhjrQ Rz̥+Rf"%S !%Uce'?3;06#/ł_ڨ&8nEd^4StMtL 6o%)MSFe5!߃ˆs-w7O䲍! +vfroff{>[hF*JpMҖROC Zoj? pY=n]{ȉnw?2vw'< |o nCIi=36tw>I5|OwjC9Gu^X⺳D4L:,ssPܪ;7-#)w26x> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26784 12239 0 R >> >> /Type /Page >> endobj 12234 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12235 0 obj [12234 0 R 12236 0 R 12240 0 R] endobj 12236 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 12237 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12238 0 obj << /Length 19 >> stream q /Iabc26784 Do Q endstream endobj 12239 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26785 20690 0 R /Gabc26786 20697 0 R >> /Font << /Fabc26787 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n%çC;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fկ ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}e\ endstream endobj 12240 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1079) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12241 0 obj << /Filter /FlateDecode /Length 3637 >> stream xڭɎ+ίـhM 0F|^bL.ƥ[-b㽞nnbdi-;+WVt8Ex;yh&%U[ +^u[7CYzݩ=Ms/?/qE'LJw ?kkZ\.N[S',Z[NdQ;4{k ۟۷"0c46B? F мZyx:?jP jU< l'X~m( ؎HXKwN;\փcZ,%k2 hri2՗0r쬇jŇLN(@$X g05&%p8LXv/o׌@F;M,65 >ڏٺOӳ|?s=%>S?[y.`רbi)BZ-pq `bb Q`z^u}x-p>q[[޹Ou΀"K̷$|\&̈7%ei.h .,o*'b,'8w5K(!IYrb !Ћ(͉X|J#AJw I_iF8PgQ/uZ?֫Qh\X[V։fA-VIU+zSרwma3\A*?ש;7.PTռolw晔\EэA&cg{@ dYjaE(A.~{vgMR%s4}!\1MܻHJQjK̝P"i坩Mn'ƾcSkUtb3f}kpX8veG@XU%j$'y7kc02 ٵD[~k!敏P~e5,ן4 ]+ <+m7适FaCߦIt؟`9hߧ`B0Y,S9ko@;oT /;^]8—_iW%M5#䄤kh f6'5w[!Ja֪~Au^$m@Bt5,;z^^^bjZvBAF5ZSkS&ВBTb1k3{ Ծjf,`zjIWFŃN^W kTʖ?ߝiU]pZw:*vr3(fŰda$YI,{]MbЊkėXWm.7a+voӤ5KhjcO8q' ss"n\7wRMi;n!oH=Ⱥ6yeb,@oסI< yvo23QM q yԴQJ% ZfBvN]Ɂʁ~[­!vfNvmY0\FNy.XH=a:sbA >H˱mkiDZ]1t }j!]89Nnq/|ug@^^cp.\h+x\M=*]FšT$}NP۟H4KL+U8(EeQYg5d}?&k-͛6n. ;RꃩezTȚkQ|ю{dslGy(y(PzK[BW),Չ!fÀA.uH3cޘ͒ZE8$DM94P${Rwd:qCoUn4ā]el?(zeܴ\ia!壖 & 8iuFPeIbܳН^J0|ޤzt΃f&Rl:/}%WW B}V/&QH~"cvTV1Ƀ;#B?tipܳa,'5&ܐE7m~ʜ4׼'(ȣS5!NߛmrM58$Iu1W(i/|9I(79d8jLwߝ*;c#0[YS0֫R8loOEg|JtGa-Z/ђhRJM`8s8}-a}r[St09 ZYL 2\@/1')yw L˪1,[l.$48.Ϝ];p`ɘZdʼ0V etsu~73jNTglpwt }1gOUbTb3LH{y1ؠ9$:.m"6Yd)[kdL W/߼B X e718W%JYF:hn$c|eAd E㡦\רc0GN ĕ'fs8uI-W+u!rAE/ ~CGo,x=kLW1YW'k\Vd$2`Z@.py8ipLKwҸ(ߊbMxH/\8HSa "52A#L\ '[EJPE2-{IJ23FM}Xa؇S)%5÷>%R~ DCYIki=^;&ѣdTJ^Sy4s)QT}.K M,xZ$.tqId2glw;cij[ _E7G6roOeY ȶLWG .W޸ꔠ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26803 12251 0 R >> >> /Type /Page >> endobj 12243 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12244 0 obj [12243 0 R 12245 0 R 12246 0 R 12247 0 R 12248 0 R 12252 0 R] endobj 12245 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 543.3038 182.4767 554.3038] /Subtype /Link /Type /Annot >> endobj 12246 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 527.1038 187.8502 538.1038] /Subtype /Link /Type /Annot >> endobj 12247 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 510.9038 192.8002 521.9038] /Subtype /Link /Type /Annot >> endobj 12248 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 494.7038 179.3802 505.7038] /Subtype /Link /Type /Annot >> endobj 12249 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12250 0 obj << /Length 19 >> stream q /Iabc26803 Do Q endstream endobj 12251 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26804 20690 0 R /Gabc26805 20697 0 R >> /Font << /Fabc26806 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p*Aӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12253 0 obj << /Filter /FlateDecode /Length 1857 >> stream xڭXɊ$Wy }_Hu@ :j#-KvfE?[}1_3#5yfz3)j>]Ɯ~Y/T(9"oENeY?m3o/=# 82۟,ct!'HWr;5o?FK4y*92aHl<ـ\"<.>SEyw.ߕ"%gٳg1l[ZZތMTE\Rk\Tt_[.J9.-:.A(h.^zlun+0fQR5ry\ #=yh^Q4`wx Ra3|*\a?j!JFf<3VAQN#k2Jຒ ªD?Q2%_kCA Q]pN(@9ga!U62BEĮ7aFM ̗a#.2?# Q,)껯|67p ʱz?>b՛7,GO5ڶ -۰,ztG=P%9"^)Oi\'3/l. fށVcmONX,/oV""f!KQWDKўDpIU.T)5)6te&^Rf4 Gi֧5rևe&V ee!4oeYYz3ܖ9vYXg/$ qGLkjAfi3J~q6Wy1P6f@SH1Bf츙]rYIkMq۪ K%B8%I?/# t%ꆿySLMW$Ү^K J 5}Hr{;P3uaB1G.?| _LzA1<gL<^OGAzh}.xĀހ)אq1h0~oqӰ3?oSC{{^w:/%72v(N .S|њ>~fuTet8;إ"?szc6ȫ^lx98T݈pAp1YS74PK_v endstream endobj 12254 0 obj << /Annots 12256 0 R /BleedBox [0 0 612 792] /Contents [12262 0 R 12258 0 R 12259 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26822 12260 0 R >> >> /Type /Page >> endobj 12255 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12256 0 obj [12255 0 R 12257 0 R 12261 0 R] endobj 12257 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 392.425 124.1605 403.425] /Subtype /Link /Type /Annot >> endobj 12258 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12259 0 obj << /Length 19 >> stream q /Iabc26822 Do Q endstream endobj 12260 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26823 20690 0 R /Gabc26824 20697 0 R >> /Font << /Fabc26825 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12262 0 obj << /Filter /FlateDecode /Length 4266 >> stream x<ˎ$w}EHYP(+ӀOY]z.KRDEF֫{Y3(I)(o7?V,v?×/9}]NA琲߾t^4=3l]>޶hYc}u{]Hm߶h|пetjWFo}_gk? m7'meUg;,lƤGώ}n9;h9[h_{|NI66=ޫm|[cƕFH>+b)*C#d6cU<¿`i@IZ_5D?)-yöM۟K5>NO=>L:ևϏHM,,Vh4OD[\7?`!k\@]jo[ Dti>x׮IfZע`Cx`De&,5ԇN+}ѡ>x]|AMVbaMEihԼOQ#c֕b?׎U-֧9~~w:LIg %n1NG5jZ4yeiG -ЗĐ+h6`&^\9R=·W+UCܕu@]6>Rwx{<^QC ,̿DZD<+ AvϞf̉11b$=62阑-ޯw&>clw"2E$~k (]`.&cL'^Q6\ƅ33[Um ze:~ߡ-f.@kPOǒ&yfcX@%X%qFа ֆw 뮷@^o0pwNHwTYסOqsƏ!čpFVh5M a{9ؿ鐥?ǘӞWb?McmLY{b.<3ٽ7݋WDx; {*b qMiZbɫL^}Y`-nQ @**oIS}Rijqe3-2^$,[.ңNenK9mqj/mrTg?`fۺ{%_|x`J~e?bAЎy< qz7ni <::M"!>cdNڧ/?՗_ǧtL(/q.u ބڧmzOS@ mET;ZiEFܐk#E3ʰryX>" " ,8:;_'OlrC1Ęu.cƍ |`> 8A0!Yr<@0]<Ȃ감%$B )k| .Xo}[<&ܵytnAm '-L\Jj$¢U@ˮ.6cz-Q{J>!v6Y2\ݹw7UDե8fpaL .R-!?2&@gnuI:$Rޓ@&R S(T|ƒ 'ԑТ/_U;B Aԍʑ:(pȪ1+RLSre|hOrhx2X$C[ʕ).+[{ɮsLO:zAA~lS*%4fmp$Tu~nOħ<N ٙmvGqz8\|݌3ӹ֧2?{k6m9fp75vOY^2L:a[l :PuyeYo"<۪ն np$)'!&Rutn҂3:*Gz}lG~r WabIJ4WA佽 {UQa m0+x[QQ0MB% Ҳ/Z6PHv P|ݽ _Ҳ/#!_lFnz:vX ՜j4nfQy .nT[ 6C'C HvRyKܥH܉|Kp&ͽ s-:-A?6bB՝ [UKt=E(}ퟞ!bK@ׯ)NˁϬ2No8r!# WrfvKYmsu &CZ'Wt#|hE5dʏQ)&jog@̃5Xf]\8a*u'!0s./N !U#3Z p[ݴk{Q#m/-o=@Cfo@߻AcOg]1$=ƻ(]l~WnH`SvVvgC*|u+_ʾ#漋U7z{i=~V1*VY"Y! )@\]Vdm6GUGcktF- y!Tm 2dxb7A*B uux~?:- vE΁7mϭwu9F4V:Du( vo6gc5ۛ1ȷQF^zözQz1sheTvq ~5ʭIG;E}VGʃR{t(*$xL݄hLWŰS+~X&Y&,rԟ: L]:\(8="[mh^gExcǕڔhKƉ_&3 !Zmh4S ҊIzEbLĩ1pƃ@H2IO~3έL[bG|s\g&QGJ&f9rA˖ϳb=:`Y Դa)Ʒ!7&$H.c>z!0u{.Ec]:n|eۜ,G9gyWg\45E\F6c)F㒫_G0?xZC[>1Erp7}Id\2qqq-<֠j~"E-"_2|wlKJπ!9v XoTdaOl_뿟ZuL#q+__* &㞼gA ]rkHV[= Nɼ]ҋ&Zy$gY-@}?YVY 7b@Mhe endstream endobj 12263 0 obj << /Annots 12265 0 R /BleedBox [0 0 612 792] /Contents [12274 0 R 12270 0 R 12271 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26841 12272 0 R >> >> /Type /Page >> endobj 12264 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12265 0 obj [12264 0 R 12266 0 R 12267 0 R 12268 0 R 12269 0 R 12273 0 R] endobj 12266 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 252.377 175.4312 263.377] /Subtype /Link /Type /Annot >> endobj 12267 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 236.1769 178.0272 247.1769] /Subtype /Link /Type /Annot >> endobj 12268 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 219.9769 183.5217 230.9769] /Subtype /Link /Type /Annot >> endobj 12269 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 203.7769 187.3167 214.7769] /Subtype /Link /Type /Annot >> endobj 12270 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12271 0 obj << /Length 19 >> stream q /Iabc26841 Do Q endstream endobj 12272 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26842 20690 0 R /Gabc26843 20697 0 R >> /Font << /Fabc26844 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}>d endstream endobj 12273 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1082) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12274 0 obj << /Filter /FlateDecode /Length 3719 >> stream xڭn$ί9@/@C[2c99M2 MLEZj),m|9?j/t:],vme7v ,܉R^BQXTE[[@lZrKaF)-cu,>T}v٘7}VE0G.-& wEf!#p)W]Tȭ#s8 ڿC1م:´4p(/d|UuYJ-<7/]\r"؞0RG^(_k%%"z_hQ P 5{gF0þu (/݈>4<@`c`ok+0E c~5>A78m)lgt%[/et"9! $$\m2?!ov}qm-ȇvEV᳈r,1٥TM# v`٪m/?RH[[RL qj܂墭 yh%j`+NȃobP1;N # PZ@珝oj9k^F}:' @@޼TUË/}b|+*6օ B [覼eLʍ< &чzh26\8aKs |GVbktt#l$p HGV+nP!JD%A`ؐx+W$80` sؠ Ga29N q"uE?1o3[*]E[[)folr]Fd[qו5imR0l\Mm7!@tƆrbs AM2 Vts3M޳?ﲈCB캓,]u$w R-K낸Ix 8p%S_ G?͜ZvOk[1E*E~tZ5N㼗t~[Oݬ-;xU+CbtMIܚ9[ܰ&uwnQuZcFmSE',vsY{4:G56'~{ȱ^yv+dD_{N{:J0>i?[R=Z’U葺_,2RDz,#pamq{DԯA…E:!:T0-rMd6ϛ*.C^=3٠@^6kuwdVgVWV8AFa{1\dԇ7;aݻV⸛51 %TI /ffzIj5E@C[{[W$%YM`ַ!r̹@HBVu1*#⼩CPk093^C*1}ǽz¬Cu)1p S3E.pnHXM^a,r@w Q bH%&_8#v4dqi[▊V ]F%J m6R|NSa,F_7 vk| _o<^WŶʶ֨Z-!R쌀R6f[b5ySPyqww[@$3Ъ ֍Vnx~nY|yBi{5.U:0VKƋC*&@ k <$iy5لO^z<%(/sǹxN_k<ȑ$by"3-18-Y?8gLO!''9Л0ĕ61vB0=x(ׇiEux?m+!·3?r'Q5(x ?X׺3S;Z[#(vژHN3xbRO:@'ab\ V~y|F_JsR6娥՝t{4.(,3(9tDٜn`[ z2/סg2vc;&{'z%)& AS)UD菛 W h炽T64ס%, Wsz`/ᡓ&muQ#GBhbkuGNqɵ~9^ EGO茷"$=Aq~2ku9j2'W!V` 0V|$ڕCB,^rC1p|,^*J&#_V@իt xmq9d^LnqtV:.|=amUkݘ'y ypWorsvQ>L7m[~/5օObo1*}Fwd_STo lػ|y~b ư|}R3E]46_.!1vpKȬ)jVZQj-$#q"MS]Jʺ չ tG2}t:bܴ(KJFAJJSf= cϞYSj-m3X{%uCX9N¿BwԀB|gy&2覦 YY\x`CBMP>3Ó ō|*N0 "~m h0reUzah֢iJ$+S qJ_ ^5zi=N Crnex!mzsL_Xf&oQGccwਹ.;1 ǖSӵ#HMbtM%צ b n l%/8|K]H ɛ ~Fqӕ{bf ^ 95sV0fFwKUI ]OR',DBI:oʏx V`ϒ/}Υ|3D1> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26860 12282 0 R >> >> /Type /Page >> endobj 12276 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12277 0 obj [12276 0 R 12278 0 R 12279 0 R 12283 0 R] endobj 12278 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 334.125 124.1605 345.125] /Subtype /Link /Type /Annot >> endobj 12279 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 334.125 162.6715 345.125] /Subtype /Link /Type /Annot >> endobj 12280 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12281 0 obj << /Length 19 >> stream q /Iabc26860 Do Q endstream endobj 12282 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26861 20690 0 R /Gabc26862 20697 0 R >> /Font << /Fabc26863 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x~?va,6}{`B V&́2hނ׮nwwg mw/g+RIE\1%9wr @L$V-T0EH(.$c"KS"ɕL jE3D,ƵaPdDξ@lIHBJ.\[-: ufg[XLۏ"ÐL$3j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.QTfpF[z7}D endstream endobj 12283 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1083) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12284 0 obj << /Filter /FlateDecode /Length 3816 >> stream xڵ[Ko6W@Zav6&,3[>%uE%i UjGe/'FLjDָ%%+*HAKi')%\'} 4aglm V0M 5Kb_#DUpO=gѦxsZl|qG^&B^%Y~5%L~ 4 G#@jb 3L>r|4@@?y>k~5>DÆʃٿb"1)%-qf}x1$ G2 \bauO'x5s(e>\"8a~ v| iXĄ̪3F;C)6앶-B2~UI Z_lfى:v .;ҚM^AFCwr!č"8 p_LqY<\ 6g94 A(Z.p_zVoqcǼQ46uցu߼ >b6:m(uPv49 Ine: q(8(8QpQp[]sXДgNHIƄ(-@NIVFӞ,Gk\g-/r@w(,ɫ/^kV.6#ϵ-*+D8a]R%j ) b Gi^mKeLVB(F(m Ye/de1a!~&τb)6Q8!u{86.Os:N7q:܋ VosNӏ]MˍP(unCZt&ꌔ}IeԮk,˷AMm[t<ܮLVjuIID8Z_rXa%Lޡ 񇸚ZkL?qFyQP:kIV`ՙ}-ڢQ@ G EFI\-3_6g\e{0.t"{>{P_MrqL 4iװ.۵EJ+NrcJ6֮%+nf2 ^=^oqRnѮ+ M/' $+ >^0WE򅍣ݹ}DK8ʂ~ߐ 9q RHX¿l!L"%r.:^'/($I|Bkrh̤Cm'2#5uG3,^aqas#V~AV%r ="eE=/SВF3)z׼3V 2(Rz *XG}Ȟ0Y֮%_i Ȩ']V]\*Mf56םJUPLڪώޤ6Ho ]~%s&'z-=Ew`"РQÍ*ou yYE!]{2B1ߔ[^v8viv#;pwSt1^הWP7X B=jP]tm PV}jqܪC-h7{\Һćl!w;Xs}0d/>'^') K913l{y(s~.ɭD o[z(smaޱfkf}U2xGxCiS].9dzޒtw9nZg\:R钭<,KQ gmi剄N9Szn֑Emхx2"Wa3S%1Lz8ס(:#:t7WMㆩ䊿LK\I*FAzEU|V璧PfnN۪EF^+h@ 2yaM 7 &ح \E@z Cp0gv:)fqI_bܩF41Dg9#4id@ѤfMgc`ΠҌ6N #kʩOsl"&1XM4zW]ϔ_x,Oߛ)sb0qg A^Q}5_;VRY0W8@Hש[J yM}Y|#޼޹ >lr;r[*m[o8<W^!_ LN@,kNyEm.GDDŽ+]U\\w yv*L^Z>]f{bUϜ&:Riqnp_>%9+Ch* 1>U/* Ԡ~dӑF ~¦EF,\WS ʺh>7D$v(N3BZiJǩD.R~ξb+tX X ?}#"&Bp .VzD1(]`LQ4{΍-7~YJ|4=a| o56h)Uc>M|1>Ó  ]%dUU|68M]-R)^ "ďƜoEYOp.Ww,I8\hOTP3v9S3!HW(+$:h+'Lp#-ɌpS\{ʈ/[faZMڬtm2?޷LhMQy* E;%UȢ8'TdA tjͶ+j)z47y^NI|O7][0BBǐLꎈJiGExF7K 4uvM!a.w {sѥ\d[5yL1=ETׁtUyN!ggRu uW] B9$$YG+oS}*QY? Vd|[7z$FYK<[U!]FVGZUt=sPW{uNם~}D`I_t-)_6RQúXkHeO endstream endobj 12285 0 obj << /Annots 12287 0 R /BleedBox [0 0 612 792] /Contents [12296 0 R 12292 0 R 12293 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26879 12294 0 R >> >> /Type /Page >> endobj 12286 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12287 0 obj [12286 0 R 12288 0 R 12289 0 R 12290 0 R 12291 0 R 12295 0 R] endobj 12288 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 412.3423 148.0577 423.3423] /Subtype /Link /Type /Annot >> endobj 12289 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 396.1423 180.3482 407.1423] /Subtype /Link /Type /Annot >> endobj 12290 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 379.9423 138.1357 390.9423] /Subtype /Link /Type /Annot >> endobj 12291 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 363.7422 150.0652 374.7422] /Subtype /Link /Type /Annot >> endobj 12292 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12293 0 obj << /Length 19 >> stream q /Iabc26879 Do Q endstream endobj 12294 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26880 20690 0 R /Gabc26881 20697 0 R >> /Font << /Fabc26882 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pV%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bV?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy46//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}Kh endstream endobj 12295 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1084) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12296 0 obj << /Filter /FlateDecode /Length 2551 >> stream xڭYKoyhfrs Şx@ `_bICbMVU(4IŪ7ƌ ^eCCc9>=|3s_⪵SFA&f1h;A=pe--Z=kyV@L28Eo AYxPm‚ץ,UE6lO=DVg3~ %k}5oYCZ+6h  P; 5BmksIBE= Fލ55tn$hjYBdFY+G^DVO2&\ 'ޓ>_S;LF!V ;9y+]Ld5^5+(@ #e|{/*G!6H=iRV?u޼X|~mU3nVzc/& $ 'EraIe6i<^,kRRaH6QPu(v4z"WAi9>pG|ru!\bZC/|Ot*`o&*\)'ZSRVI!N &{>璇3X'Äqa ύ1uJUoa 1qUƉm= |A)>jOrg![}E}5_{CF>Kd6oRFD 8I2tFzaaDzJ˵%O/«҃˻ZҢK ~? IxF-,oWDyjױOoir K mĤf ~xֺ5JnU,fr7wޤʽf@&>/Hj;T=eIhkNdLBO BGm3v/֔F feoW⁡u#}!\nrs+=ٍ<~Ǒ[̞ O]FO[ fܲf)e8¯/^F xjukc6 N7w, agY AJ:@}|{gbYzdo£:vv)[5kڂh'bTXRSQ {a&ܫWnn=^3w^XSj7hJT~ }?L3Tj6. BcLKWFˑ嵯ѝ]"ޘcK);ecϥkrۅYDLTf7.n6Vf7ɏ-;bB ÷؍Ԅ3k~ FIi=re deIӏ9tCt㺜>c~25қFe@{@t ! N瑅?>[Ok$iln(&Z'Hq8!3v6j;xk.lzEw*pf09OJ2Gmߝϗko}AξU7,/;lÝ]{*+bz}1xLXKpr endstream endobj 12297 0 obj << /Annots 12299 0 R /BleedBox [0 0 612 792] /Contents [12305 0 R 12301 0 R 12302 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26898 12303 0 R >> >> /Type /Page >> endobj 12298 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12299 0 obj [12298 0 R 12300 0 R 12304 0 R] endobj 12300 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 453.425 137.278 464.425] /Subtype /Link /Type /Annot >> endobj 12301 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12302 0 obj << /Length 19 >> stream q /Iabc26898 Do Q endstream endobj 12303 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26899 20690 0 R /Gabc26900 20697 0 R >> /Font << /Fabc26901 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12305 0 obj << /Filter /FlateDecode /Length 4167 >> stream xˊ_BN%4 ]]4ژiſxSYxg(|_̢ /)RwWX|5}˓>/'Ε;>#wcK7ZtG(fɌM uNm ڷ#WWMoâט7ҫv%ZY{eO'O.9o9-!I,K7HiZUk _xoa,gEtI-?/,đD@~>yJ;p2p+1ZB 0Kd_%\/ѧv&.}#W2`rz7"0xo@ b_|1_y~iAX@i Jm`֨h=UnBA\"ow9`/{8vfu&'gVŰ6Jd1PaFHkȔK?0vv=f[>f:}ΆB=t Ru)'U]VHo-|5'3b'H'z#2ȶ E,G[Չ%7&:Lǃ) j Zo.ۺY Sv˜df5Nt.T-ܗ(`8 xfYV$/ϓ}y T [89j>E>b9d#^df)53g)0⡲:ƱWQN-Dx, ̆}P`)C@vq,A}Ӂ}/{| Z ]R^ .L@#xI) ch h# XPl(H f&` Y3h:!mMr QnS-LjI℥#4^u3O @ɥ+]I}!f:=*BeRR{X^Zc)긥;+ acnV'0"bp^ e2$.P RǦ!3pBukՒFTɂMĞ6 MK*N[AWuJUju7j TNVK*k_Ok^{bnRVCG 7$YDzԩm)v/~+bL\;B>e$WI@6zyd7'WOJ\_sdN +4q ͸{pѠ ;Ur7s|Ehb@KP'UT';Y]G#CT7\CS ɡ6~ew1$cd-$m ǠDڶ;/zW,R7W)qBY2RxHG\Fέp)f^ Yo" m-e'YVvDzn?||u@CDD ,s#q*(b!bjMtFýy CP5PzQԵs$eL>**Wӳ9IISьWf,U3K(ZfA`GljLo62N{W(borrLæm,U6sM1[i+)A aI[SR Woߋ슻%jVc^ yBf#iF :;=[XswRh]YLU^L@/f$DiՅ9w4-U|]ښgsM"$h$ ý fm×5m"=r'_ޚYMVu&sWhe/#VUz>\\o]<8nϋl+#Of6蛝؛ʴ2%ՋoMg[5ҸB#xB7qnx*;kz.}Zy3nEXu 3tVͭp7]I.v)ix˨sLjeV9FX9ށ8Jt"S8AB̲ڍM%fѧdޱ0%]8rbۋ*H~˿{ѽ^?U'/5<(Nke7M_`Qȭ$$,J̹oj=gW:m@jqpQ,F-ye8e4]V 5XYV j<ǼIpAue#h% H wN|IwKYxv C=:'| 1!LU-T-[HˏLzd̯!C>A6N1]oV5s9ISLKJKR1e! r4G}Ay+XECu`k~dw>wC-o@`w *EnWzk2Ի+ʩ[ V[:7ຶ󥺮z}r _M;) >h61QMVi~6z]w^l7q RRa9|P0jj Çl8@ޟryn!Sagh# 4TM=Geț=Ɩ:Zh?Ppg0D>tPSU hUTxBёq<&YTW9>#Oi˄?:Z4>~B)+=^h&iI6YT "n8 LQjؿZ,gi}/TQsC`WTѡ>$i=|c⋴\vKfDSf|kXnM^Qk5j!'s<޷\jMUqY-dei+sߪdq$RYe@rubM+ZhbHǍbQNyi:}/3]eE}\ )C*}x510q F yLh ވ r}_EP~Wi0+jɰuVzJ^K!n¸k+y}UQSk2q'l7k}'7Do8iٕ:ScY1فYhe'{ endstream endobj 12306 0 obj << /Annots 12308 0 R /BleedBox [0 0 612 792] /Contents [12315 0 R 12311 0 R 12312 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26917 12313 0 R >> >> /Type /Page >> endobj 12307 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12308 0 obj [12307 0 R 12309 0 R 12310 0 R 12314 0 R] endobj 12309 0 obj << /A << /D (unique_201) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_manager) /M (D:20210608081201-08'00') /Rect [104.1732 104.5912 195.2422 115.5912] /Subtype /Link /Type /Annot >> endobj 12310 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 88.3913 197.6017 99.3913] /Subtype /Link /Type /Annot >> endobj 12311 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12312 0 obj << /Length 19 >> stream q /Iabc26917 Do Q endstream endobj 12313 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26918 20690 0 R /Gabc26919 20697 0 R >> /Font << /Fabc26920 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛG* endstream endobj 12314 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1086) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12315 0 obj << /Filter /FlateDecode /Length 4624 >> stream xڽɎ#ίs@hjI99uAO۸T֌A,|{$.v1wGny:İU~:-?\b6j&.o_Vc~Zř#dmOƤ11uXwr|RB)iIaq8zOK9Jw{Dk 5\ңD⢬TkKX u-PWt:˄s2GF 5KDD$k!6`843Mف:oFJ (Tt^6jgsF.;|ӎ`VsE[kd8>Q.8%˯ htE}Y< R>C 8RE:G($M <a < ͞:xNc*Qy+-@f]>RjPbk`UG\Eb6xz4)g B@D܂ 48=>A˟q ~߿W *TXt]Y-=? VtȻ@u.igeW1BBPE°PX ,!kP,kIa*% *"@W(z ..N!V@SAF5`Fn@F]nSe(;KTxQq5 Wp+uӠS D0¹SOԿI)ёxT`{L5pOEZT$-2D+qj5 Y)x1nɣH7YhjcසaE~θB| >Ц5C7w( YLWt80X8 &;{?/h ,LqLcgI9ixel,m705`4>8}6QHR.7Qy~5c44`C*c/d7Zi G *urFhFa[\8H,]vKjԅŕ8G#5*X:szհ * /S0"AO MlxQRDiيy Xl?_$ڎ >ࡣ ,/CKR%Hl n& Nl9AdqIhX9|gfh4`v$h>̣ix!21g|DB&?w~%ƍ@I AT#)6yQ0[Hfsw=Z$upRQ1ufůD J&:@'&Gl bgoejD]́P1`ߚ5u!aNN'W}yr'[ARn̛8\W)9M+6EA˸)">E7d7)?V{+_=`IYXqX; jL0;[A_qc[ ZnI1BH܊6cALɤU <~ _]̂')5O|t|&6'Fn؀.i-~ӽ|e>Hk\*Dςi]vT繋kqm>xTNaȨ f8l'fhaU1C.>^ng}&i:$?ᙙsR-ᠳ8-L=9%b3xQC)ڭ30ZťA\@">O|J]0AsŶaqEE˨rww +pgyI8C}\3 &ACo݆+d\n]odϡmgWxa=Ӵr^_̜'G$<3_D`:s,K@+z`xx:RkH*6B.F}وgzXpqUjF]vÎb[e- [G#xno<õՖdԞ rzZ$E$2Άۘ5rߑLyu`rNѣg(lN;x&v%\d@u;ZemA!Xs6lvCu4T6h3 E᪕a0Őuumo'˾7U7/{Mv,va<3 :;\lI5}_a9R'5s %mg Ikfk N062"X}Ǩ\2vnZ#U?fKn[ ID [09' qo OW<x≾`i@s)?Y&R{u4 qR*HۗU_<5n+0˟1~6wD%G912tQWh97bh`u%6 | 5k$g;! &yd*߿ӟ sIC4Zc?Imb<;v673+p .+ao7 jtZ yр+Ae0,ɺvĥc\PtDgj@N)m2AW< ǒ dP|D[Mӎ7Њ@Gt͚nܚgɫo<2]l7apftzm׉`os8Dx #`gI۩Q Ćf~L}=]3^?_zEљ G v >8èդW0 D#j'уPSԠ/mĬۚx;`]#5j:bܔV!FtybfAf> s5.߃S̩۵5`ݧYr~ x9]`)+Ԕ_rO%@gX7t %˫Ƙ\CB{'`EJHoinŅ|*Nf4DmBti[+ݙHzKR:LJM dd*t )z**!~uwc2M "F)ȅN7Y.>Vb'fޖ - "I*޸ϰRjk$_5E fuD%WB諷(/EZ7qݵ)Gِgߟ|~`cX>d|g2h> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26936 12328 0 R >> >> /Type /Page >> endobj 12317 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12318 0 obj [12317 0 R 12319 0 R 12320 0 R 12321 0 R 12322 0 R 12323 0 R 12324 0 R 12325 0 R 12329 0 R] endobj 12319 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 195.1267 709.9] /Subtype /Link /Type /Annot >> endobj 12320 0 obj << /A << /D (unique_223) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 193.9112 693.7] /Subtype /Link /Type /Annot >> endobj 12321 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 180.2547 677.5] /Subtype /Link /Type /Annot >> endobj 12322 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 177.7632 661.3] /Subtype /Link /Type /Annot >> endobj 12323 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 182.0037 645.1] /Subtype /Link /Type /Annot >> endobj 12324 0 obj << /A << /D (unique_277) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 617.9 194.0212 628.9] /Subtype /Link /Type /Annot >> endobj 12325 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 601.7 165.4707 612.7] /Subtype /Link /Type /Annot >> endobj 12326 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12327 0 obj << /Length 19 >> stream q /Iabc26936 Do Q endstream endobj 12328 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26937 20690 0 R /Gabc26938 20697 0 R >> /Font << /Fabc26939 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p6QthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM^ endstream endobj 12329 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1087) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12330 0 obj << /Filter /FlateDecode /Length 1292 >> stream xڭWn,'#5x5 Y#yl";K#ee7Ƒ_T_ۊ 8u U[MIuVǠew?ou Ŕlx? 9C:`Kg)G;rO;!Rex X11N>IU W Ov6?OM{\ĜA1tEȪOMn^H] aRf=a4N u"3Rقh>|-]tcQ1Jg#ͤK5^d6{LY \on Zs&ҿUڛJtYFml P)m7} L2x3v%M=WR̔2 N(cO:Oo2r"[fHO ]@G3#Km2!W379yu ջQly:?Aw /chA:C^xGT Kx,*zE Z{\lVac0Ȅa80כ*vu׸jQ$fN? |mr&Z)jxV6^s!+R/5@ɑPeԚ`4Y ceBmW([IՏϤK`\te]RF"): RmRX/Nh++>qy٦2 u_$T ~Hm|@լĸ^9֐.`Iojg uT֕]cNm-}MF%'Lmݕ6{U:5i%Ze$RzMvY)DMb4Ds[,s:sh5f"wcqVfSK\ q|ڈOw+%hbsīpZܕ-ҩ (J\R4 lq/8k::.yHgU߲roXJT_/r$j!4=őg.go`C68K.׎*%~Dk`818 ;}A[b<ػvK6G4PFouXCX=wK8pAp4{<œZ뚓|M-0 > wW9jA a-p=Vt-zX}5[B鵆Bā*R݀rH0Xb endstream endobj 12331 0 obj << /Annots 12333 0 R /BleedBox [0 0 612 792] /Contents [12341 0 R 12337 0 R 12338 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26955 12339 0 R >> >> /Type /Page >> endobj 12332 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12333 0 obj [12332 0 R 12334 0 R 12335 0 R 12336 0 R 12340 0 R] endobj 12334 0 obj << /A << /D (unique_56_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20210608081201-08'00') /Rect [90 363.325 111.626 374.325] /Subtype /Link /Type /Annot >> endobj 12335 0 obj << /A << /D (unique_56_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20210608081201-08'00') /Rect [116.939 363.325 158.4255 374.325] /Subtype /Link /Type /Annot >> endobj 12336 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [163.7385 363.325 192.707 374.325] /Subtype /Link /Type /Annot >> endobj 12337 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12338 0 obj << /Length 19 >> stream q /Iabc26955 Do Q endstream endobj 12339 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26956 20690 0 R /Gabc26957 20697 0 R >> /Font << /Fabc26958 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@-#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12341 0 obj << /Filter /FlateDecode /Length 4145 >> stream xڵ[I丕W@ @Ff.6T_>."2j$RV.Z/fwK*vHY_*M._?]bUcr˗Z;'w>:S^}R=}\۝oO+Q志/Y޾4B.ߖ}1߿/Tek,U;\),˿+MWF-fF̅Xʚt `dv p:,gxfO.?g0|n g-r! 1+/#3s},kցM#!+*k%ԯ4kZl?~ g2U cWu0.0Wmp0/VB~_ -`ҭ2Lo4-$OWHA/q:*+rFsPJz ^C !C S.n&;x37Mdm31KQkz+bBЮ٥J gA/CCêR<'U!FKqϕ6fn*xcqFmG0؉5zE6K=0Wv5rzeklNG:nGql ]ÎQegZ=03P c0ԍaP,;l˦jMңŸR4W>-+o1?q:QSP-;T_*"*aJkRZ<7p9TC~tPa?N_)fOzgS^zMԎ }P`"K5w1 >~PwGrƠImTbv{?zi/Ae \\)x~RzP?"rmashX FLcMle9JFGWw\S^5s+u[-ڨ)(v.SՓ&TobGxրWNS4g^y*;Mh67@F3H^ 4=h"/uEz7п&a 0цwx#tf\RmJxʹzjBka &UE}8Am@ ~ˆRkjX^^[ -\?Xє 0!2Z&CŠ(arO:6j W6Z^0͵`Jb] MbS>փFiL=U s3 lzޞՒ'u)ҾQFoѝ:]ʊuh,N2EGJߖȸ69{yd~-Ja\ 88ǁOr#p*@}Kyu(iVGP/PCj2-8)ڰf gR2-0<ϡrv PRJ0aP]ԣf7TA7wCt}­b=ь%PB֏ 60(0 p``hňa/ k芵q Em˲Ĵ[B uUNen@vKϸ[ūufڿ) ib)uâ9-&6-k/]-ܷfR#e om{j ɭθPms SB`ߠoBnF܆ 6稥/dRμLx[Hnb:4HDe|)yL7򋛍Y6,0#/$AW@hgJ4tEpG#!zS/Gȿ B :ZU"=d?-In`}.Mڦ-!(_jW`{gQa(rS)ضg.>nY܀M4XnmfkWɁ1Nu.s݂$RoUJ 3J4 j1VtPt'ҴNoΉT]7w!6+͓J 5rhZ 1+˃C;U b>j @C Gns&:pVu&-fkgJXto }48jܳ>P_9{v΢Ŵ 󎀈VoaX ,҆U2`YaDvQXђHLFÝmVH1irRXLbWPv?0EU)Eg/Y%MyR./ct\_7Hn$Jnƀ(2-k2J $dmPF62CL4RشF,h]sID@5 "Kr|ޏYy01J{=2za8PijJ] 2EAKhE9γ>C^ŤEHx7hCTܰ)ݐbR)8?wUG3< $FGw|>4y@t{h|kͬ $eŰfj~I0/?"/;{IγXdCaݦZZ[F/wau9:U!`%Lw;ʜI}=s!a'TNo69mc6d?^_73] ~rx=;:K$(6qx*MɠS@ tW]X*AqK lNf>5"m^at绩6I)ve]iX!{'H-zt|l¸ F%FͣsF={?{~l;m"gQڴF.^MMY^~ #7b5^7ͳM )<ܒ,y^~ mwci3%Lu<-:Lz2fp*#ίӂF >JUeue⁼X9a.?qn>TPSU>$[tc`_Q]L&YSSW9IoჄ? /P>M]qnVsӁ)WD,'zu>7WZ©>,I(b_ST`SGx|"ÎAP=S+!՟^/hi<xԒKZv# PV#-wt︾݋H\: Pꜵb֊zPEǒKZgy60ab#\VG U$o &uaoV!Y<.|SD_~J |~jRBs* G,䰷wc ^LtH\r4\r93Kv~^W?Gg#fߩA,,SJ̀!$}Z!gZą~o o. LZ?[iG~'6d\d;AYwe.\A~X;WCgHNBW[ʜ?EtM Wb!~ǯ<Y!1oVg endstream endobj 12342 0 obj << /Annots 12344 0 R /BleedBox [0 0 612 792] /Contents [12351 0 R 12347 0 R 12348 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26974 12349 0 R >> >> /Type /Page >> endobj 12343 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12344 0 obj [12343 0 R 12345 0 R 12346 0 R 12350 0 R] endobj 12345 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 465.5384 207.7107 476.5384] /Subtype /Link /Type /Annot >> endobj 12346 0 obj << /A << /D (unique_151) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 449.3384 195.1102 460.3384] /Subtype /Link /Type /Annot >> endobj 12347 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12348 0 obj << /Length 19 >> stream q /Iabc26974 Do Q endstream endobj 12349 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26975 20690 0 R /Gabc26976 20697 0 R >> /Font << /Fabc26977 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nlӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12351 0 obj << /Filter /FlateDecode /Length 2355 >> stream xڭYɎW<@p_Bt |0Զ0 /~ .Y]-dKJeI")4薊U/ʆ4}c9=_z:jkA=Y>YI'ߠJ(&?Zk?]FXd=Rl0!'D.'~W!Bϧ5z cm=hY;Vb)gxƜe:xN9QZTυ;,XugEodQ=A21r9D2јĀ}H;|DJ_C&6;LDT1xXu&40κ2E,Ͼ`D, 4tX+p aȯqșuu½դRʐh~6ovnvswfOUy#Qެ5_Mu9˜Ra٘45m}M;N> f`ѯ9ydvdvJlX|Wlڑt(fkiM$;sb -cg%qQ8i޵v6dy4ﲲ^v֮٥ *:o}tkƽ; M'a# U NK;rjl.Gé6gIjKOjwg$wkSo.# &_ n|١ )?mjˢQJax=Ph>W4jFVoJ#SCӸ (P2S}E}kZ3m (XoE0c՟Z=]kwȆL館*g.Ύ֟9i |ͣ*2ҙ.Lq9CK %:c-@˹Gj) \b K\3_C5`>e"ǰ!BS. 22?7f߱Z[ !|h<ZqSNY,ѭ:@,;WKaT y;.P_O Z[&'*0_+C 6`"@}vABu|ģU`7k`aMd-PմMy)Ei+uXܔAuSirM}E>@eEj14¤F>&n{է%o_W?!|]'ٗZJ!-(&c>D[0ⶼn׻BoKM1աcLq\}A^i'vC,!*?-GL{ݚm]qծ7eQLFU{4`r!IO)ܸlFlrVz}D}+vo2v3Y fe;lWSm3CF4Aڜ;TH'C-Yp畾A9Gn jtZgۆ-r 2:^{-bk6}%Հހ~/_L0a> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26993 12358 0 R >> >> /Type /Page >> endobj 12353 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12354 0 obj [12353 0 R 12355 0 R 12359 0 R] endobj 12355 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 377.425 137.278 388.425] /Subtype /Link /Type /Annot >> endobj 12356 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12357 0 obj << /Length 19 >> stream q /Iabc26993 Do Q endstream endobj 12358 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26994 20690 0 R /Gabc26995 20697 0 R >> /Font << /Fabc26996 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫jӡ~1OE) eA6\H&7]N8jeAT  cʙh;QL䎧_E+%̕'I"fZĀ0dD/P|}{Ԭ9*{0J*q(~S1jqbG /_M٧j gVĉwlVRVazצb/mk: s[({L̇h*Ȩ%#5fe8>϶gU5ۏ"bH& d_ EC^B 0)xp´!ld̪'o.U1" ^_/|v,1@w. (s(?`|۾{wrb endstream endobj 12359 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1090) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12360 0 obj << /Filter /FlateDecode /Length 4424 >> stream x\K#Wy~JU% ڞ1 \3%{LfD`0 jE¿~/ߖRgJҿ?~˟.vj[k>OR:+Slu*_*,s-uUJ#,|Y|`7Nz8iۅ%/B_\Q&VɫzOYh|bt̢Ft[S),.A+4h)YJ&kM J/t kH~'=o%Sz/L%YcR5B3>/:k25j1=/|\('to,'n !dUtl5up@٧RQ!SRk&Ez ?=q(BA[od+Zu Z@uZ~XKID0 ҫ =X ߡgۛTëJ;@x7nuHa(NgvɃR5* dV]$S3Wy!hT&Ո]="/A=07OC]F&@_^&XG * AQDN%'A-ɇrd}۸Z7@/t0`XcVJrq65P<byv<4ˣQƊӦFiU a Ssi5]em! VP$16ʩCR[ `,Y#óG܅uú%(n]I:ɯb靀hȑ扻s:!^^nv8=sS6?7!1 k0lUu35\ub]ݠ-B_ayגUۤI59 Nf|#« `w8Zw o4#.8`h A,/lm3 ZXEW |+EU~ca*T *車A>/XvRBT{mp?.C:R^w4jaa7(pR+UEm%f9ȞOQT֗c)FKthNTʬ)3)z&3V &7T_z *X[GODd[J+UF cr[IlEY?0ķ\SU)uUJC;y7i RFחd{ndY_o{'LdӲ`tб^ jReʼΠ?DGpSW]245ib=7,? , uN"򳘞ukJϢ(2C%鯀QΆ-.\`KׯͲq_ Q/s Kܠw29uTZn<1Eߺߺ5ݩ1rVbZ͂=4SޮFT؜TR̺̾WCߛyq# V(v10ISlt{*2O'J=җ5ixs7)L @Mtp= Lk~E#>Md64;jjJwHIM4cԹ0 pE]sUz8ô5wm;1C#f'۵ڄ(έVrK#SyL+ooaXwK7=hNx0F6rx:*vg5~e T+)w1UZ݋0tbfפCX 0Ogy1TC7gg:bΏ;`WJVl5% 4t =ЩЍc*}3Ad~2m$ԘQ4N;H2tf-~\ dpWNl:.:U<,}ElV0T hBg5;dĻ.՛zK#ɊTGwl }+5Vi:qҰOsDQ{kp0b!;A2 ƥ$@7-%1BW(Ǐ8nW)=Fovgc!6 !0&8݇Ǟ*>E՛kH1aK~bJg@J.kAo|rs(ȆѪPnYŕ~xՂ~?P%*(دd7X6*uCzڳ{g{9\2O:%/>0?:n{ nW]ݽX^ڽ bzy?ss6,ڮmŵ9B՛Y%Rp}ƾ$i&R1Pt>ߗs>R~U-Zc.Ɨb@tVCi*#\W\.~a 66Dtc0 :r*s>AcLѫu Dmߡ &M]!-.H~ۻ/J'>/fϭd':K qUZ`GqOE}d$mIN┐!MH  r-5r8z:1'h+W5a= Ѽf p~]#I"7uD^J  rҫ l@< EL4KI |QiuMwvՖ5HtV2qGެi;7^VGӳ:};'5֥h)nC\M/|q*u*?U{*4t(te~1#K.jׂzB@yH-0K.: Ee)NY $U_/UJ_s;*n{WPʜjwQ R8eOdW.eGZQ(/rK)-gdcnE$^Qu+5jҹ"x_2Ee"&,?$ת9Rl vi:5f{=j—<6[^LI|s2vG-*$ q ɤ.2- s0^*TTj[#AzOCKd+g]:\xv3tu'.,CH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27012 12366 0 R >> >> /Type /Page >> endobj 12362 0 obj << /A << /S /URI /URI (https://www.xilinx.com/products/intellectual-property/xvc.html) >> /Border [0 0 0] /Contents (https://www.xilinx.com/products/intellectual-property/xvc.html) /M (D:20210608081201-08'00') /Rect [153.375 403.8077 432.21 413.8077] /Subtype /Link /Type /Annot >> endobj 12363 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12364 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12365 0 obj << /Length 19 >> stream q /Iabc27012 Do Q endstream endobj 12366 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27013 20690 0 R /Gabc27014 20697 0 R >> /Font << /Fabc27015 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12368 0 obj << /Filter /FlateDecode /Length 3987 >> stream xڽ[Ɏ$ W@c_B]U|рNcK2%RK5ȅ ##r}2L4ޢսNY+f:M k>P~bh8Uty7-@}@$@<уz6FAchDҠDs5*R!kp2PQK@/c<ZI%r/I»z4ABqj~CE/R@[{c̠[ o|/c$0^g,g `0yu6xO 6=.̶;a^ɂްQG4P?բ$b*~ImV m/ؙ=/0s@>]Qlu5S嘎rGլ87[Zq4Ahe58f*Xvڑҵz/xC=W`&R40MWFǃhIjcmYFhͦQl—2=N~~VDQ'>TakuGآ^ V@lCc$й32׋^y>Ȕ>vPNG=7Qt\MkzOwaGcmno^B!mȤ"n I='/&7=T%Y`rm~ ŘD)/.xݔ+gE{ނK$[B4gѥDU}phxi_wػc/m;p6 ,SӢK. r>fm$7d9"bc]k+Qy*?Ae@D<ӫ un6*9Tp MP鑕ds:-u$=m<jS$Uh-|p,yo\ٮM3nNv*TrSl#ɛɣ'Š;:YRj[xun?X ;zoeUF Y䳐bg+nّ|n>H _]ISc QAycѽyPJn5\-\z ߀ qﱯQaڏmd 2Oޏ]n3TmcN ٕ<* zbGXp 0qwL+Dl]ɪى ͳ͛=>2no2s~F QԒ}eVm3c n@ {pw2[,֬ݯו[lu; &6^[o?.-&}kuվkŭ>}p`˛PpѧqM.Lx Me#K+|gN1@;x?Lp+\߶#\O??F!s,- xM4J&lPx:Jtdʽ hBzn;0M~&&䪉xxe< |33h"BʘƠ>@`g ⊉äY=Akȸ6tɣ'ƠBf&x o& CXyV{HvbM6};Ƨ>j|cH|bD`!/be5=Z'v7M8CS Bj$z+nfh\@tN_ z+$C}š -kŰchR=S Ijѡ^8@%HRj˥*ڶvIKsG1TmW=:o&oh zwe|-FS:؄gas* H.c:&?lsEĽR#`|%2:SfܤB4ΩZ%?gOܬH_$Ѷvq;Eu1_½5X*bքS~ nW3|sJjӇc={M80]v-@,@%*By b􊫳'3R=:K] P OXOKl7cyFĂCx?웫*s|WXͥjthTxg?s|iV+GJ"+%KQ] Pe-S P!kgO& endstream endobj 12369 0 obj << /Annots 12371 0 R /BleedBox [0 0 612 792] /Contents [12382 0 R 12378 0 R 12379 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27031 12380 0 R >> >> /Type /Page >> endobj 12370 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12371 0 obj [12370 0 R 12372 0 R 12373 0 R 12374 0 R 12375 0 R 12376 0 R 12377 0 R 12381 0 R] endobj 12372 0 obj << /A << /D (unique_202) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 561.8 182.3117 572.8] /Subtype /Link /Type /Annot >> endobj 12373 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 545.6 197.6017 556.6] /Subtype /Link /Type /Annot >> endobj 12374 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 529.4 195.1267 540.4] /Subtype /Link /Type /Annot >> endobj 12375 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 513.1999 192.6352 524.1999] /Subtype /Link /Type /Annot >> endobj 12376 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 496.9999 180.2547 507.9999] /Subtype /Link /Type /Annot >> endobj 12377 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 480.7999 177.7632 491.7999] /Subtype /Link /Type /Annot >> endobj 12378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12379 0 obj << /Length 19 >> stream q /Iabc27031 Do Q endstream endobj 12380 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27032 20690 0 R /Gabc27033 20697 0 R >> /Font << /Fabc27034 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ X endstream endobj 12381 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1092) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12382 0 obj << /Filter /FlateDecode /Length 2020 >> stream xڭYKo$7W*z _6;Y, /Jv JD~|wG.N?F:X"}SgO_Rfc@5s4Q?qa 񌱽Nb:7RIEǺ`4yDt0&;Yט$BG BbOi\&Od\yMkggCN"HkHF`,k l|%sDL3#Pip,.˰;!vI"c;[Px@ΖٖTR[Aj*ykJ95z7NS0~^b,&̾ˇ-DXIpϊv-0}xh4X5KGd'^=gzts[ pmfnZZ=H@+aTQbsT̺2gJQ+41oxjބwsr\tey HneЂV껱uzض*2A9D n;{]W8ZjxzIvِ1-^ SD9֗NO6s #ܟ"r8jꆤ_Hnf s@#a '>GcI3O|}āA+(N;nĚh {y?'?-wtFBA Y'd!`ܠ┙c'@>HCdhOI~Pjۺ^u^u-)\anV}wQw"R-a ܣ8#VI)υV25Pz~ ``7#,??%R@^yApP~';sg)w75x 2 ջVe.wy!o-w@0Ÿ32-E\VUuqkB3WMaaesRwR!3e~+՗ >ZZꁿ? t/"ՈTr5fR3(5'|.umX[yuԜ\ki,g1Cepuim;+,X^s+z]Z_ߤ9b@wmgdyM({4vb5{|D骒T2,0K]KgX;$'roc#Z Tm> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27050 12389 0 R >> >> /Type /Page >> endobj 12384 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12385 0 obj [12384 0 R 12386 0 R 12390 0 R] endobj 12386 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 348.325 124.1605 359.325] /Subtype /Link /Type /Annot >> endobj 12387 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12388 0 obj << /Length 19 >> stream q /Iabc27050 Do Q endstream endobj 12389 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27051 20690 0 R /Gabc27052 20697 0 R >> /Font << /Fabc27053 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 12390 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1093) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12391 0 obj << /Filter /FlateDecode /Length 3628 >> stream xڽn$ίt~1: $gRK~?{zv쎦YdW*8~Ӌn0c"пogS_W}XrH/7DwoOt{n^7“ O&wY}4N?hx~/,2gv&Y9_p]bxmi1֢c]MDx-r1hLz0{@~Ў4NfZa8ɺ= C'4&fkeŪ[jM2U >,´EL@v+A]ɂ{UV+M\+hW0v+,X7&^9waeoui& ȷ~p%%^^H~_HWK[p~+83]Q5Y3 C;M88>~Wʅ;d}!Ol\Ȳވ&떉[eyS$\%7{DvEVC֝{En]֢ePWI$m;8f*UuDḫu*;N ,P>eH, qJZطr@^hGc|4 0"F&ҞwDhIpJ{b8'*>)KEm0.+%a+^`P<2 v}YdB6xi?`NDƳp%a;;J`1!k~RoV| g:]G.>CX_FгXZjX<|IZBj,lْ5ܰ>JxF ףgK2 v|$(Yɕ~ԋ4ጹ: :WL}pR؊yy9'ۋ#fSd}͖78ZVlC+5<^fSPZn:ײtDvDEk,zo nkl$$ *0YIa-ܰ'˸xbވzu/nQsQVQOק֪ުzU)juU z^%16-I"&HܙuA4)M s(s&^rd )O8Y5q5`IJz?KÖVq+d`7<aPqVHzM`#խL.3[ۜ|Sw9V"C`c rlEh<SAEV$)&/ 9}R9c LViDrqh1cX̀jh;lS7}D9E'fR-n&~S7"@3@;2q=u,aӑ_qǯm|`xY|-=_YhF8i9*zGM\q>R\2fz6oVvU4ՁxWq^Y]q7ur0HA$}~ɹFWhԪ10f 6uhH獼4T;KKuŚ `I:9`<v~x3X)fpdصn+2 "qIT~aܭuͰ9W9W[Qf]*u.".v}!.H\*#L@(sӓ)vZ2]b2vĊ5&4\5KLzXy7³8x"3!t x1a w 9%d6 T)Kn+6u%;) #6tts44* 0WFi5!-7~>wew>`| S51[1T \f~}O=La"ni;e S3ۣ17;)'y}n<22|fsכL՘*@HKx°.{4=(s~I|snrOӺVMa4.:rR񣦃5L,Gd~Mʂ{yk.ķ}vk7TSx7 FPF<˱M8zo3`Hԧ{FmTp0D3/t=| *|Ӿ[nvO b2nR;6Og0q|qvhX}T~Dt_9MZcN7O%hٷ_, UPC5lb)^?An endstream endobj 12392 0 obj << /Annots 12394 0 R /BleedBox [0 0 612 792] /Contents [12400 0 R 12396 0 R 12397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27069 12398 0 R >> >> /Type /Page >> endobj 12393 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12394 0 obj [12393 0 R 12395 0 R 12399 0 R] endobj 12395 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 323.2 173.0772 334.2] /Subtype /Link /Type /Annot >> endobj 12396 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12397 0 obj << /Length 19 >> stream q /Iabc27069 Do Q endstream endobj 12398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27070 20690 0 R /Gabc27071 20697 0 R >> /Font << /Fabc27072 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=ΡDHIO;p%4CNZu^lM\ endstream endobj 12399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1094) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12400 0 obj << /Filter /FlateDecode /Length 2725 >> stream xZIoׯSDR 7rrr )}dӒ5F^{[o:UwVǠW~UOE[>W׃1C>˃?󟀊a*ʙ5VcQ? fx'W"Q'smm]]lS1`bS Ox\m%_k`YGe-2әI@#<;X-~<,rY򤼱-޽=*ePF5'SjFCFMAH̞rf\Zj -BaVn+?k(}9tTunهvqsםvޤQn `kLՅ8>rVxY)+оG[I3ap`\K߈zfóE`|./ JXs:@+w'3gQn5qoqŦ>a-9yavVZZW";loDLkvcTSQ[1 hLmC^bf"sBi'C*lަ6Vݻ|5OsJ4`U`ćQR›ߴ;r_fbx; T,5k~n "d HihIz4j.MPg]4|RfU1r[d4$-lTaIĝTA(y` &޻Pt7'|I=ܩQ>,D-ZklTҳg;f=+=ǐF8J= Oǜ[ǚgʔ EmGy=i="(g-j#ؤ[zg7= 5h>ƹE"*߲$ϡdiV`JĞ* "z\r~ߦLFiY?1bsTafāXZDU)@"lpkVkNdnrNynʝQjnc!KNy4-ĶPsPi8ɵm^F[ײڲ>\?GT;ynCk`֩.rw2{VӟjX!n?bkW,áC3 N^#OWBn->^uS}!VCsؖ6OFڼQm/}a3 a0174J73֙7ZL0γE+U -<~#J|k|u/?Ox&3q(SUS< kvƣ'Epz 32pa_d߀oh!])"W³ubPŽN,` ԚSnpν.0Ch!9p$VƤ)QjSWg!Rn$ն:eﬥ9mߝƿ."Fe n\ΠH \_U2Syw 3IJH2VpUX_b>܃|r+e052#XIgzu݃]G{z|@T@6[:GAZ3H{Yk|P>8ǁKۃn #}t -4`Їx L0}JFr#xpJN~x'/WG$Wup`Gt(rگ(KƿL?8?0:O/_MQE_~,zȄ5B6o=ZK0J!7scqZ] Nk[’_uX/X`zn0`gw]3uwi4i:7mnW{Tyc'Lq?~#9njC kŬa2kqLO("+~v9Em%#HQse4k?0!W-@.GlD3k;5 }f*lVL29 홈}f'=<|*N8F_-3GZJ a^dLFLdg|&Mtp[C3d=׵]K^ݵ#`v*dɁ`13\ 5p?pe;;")?eq AI툠&V[k!½, ;B7~"Fp9}b?lkGEgp M]%p PY38s:!LEg endstream endobj 12401 0 obj << /Annots 12403 0 R /BleedBox [0 0 612 792] /Contents [12409 0 R 12405 0 R 12406 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27088 12407 0 R >> >> /Type /Page >> endobj 12402 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12403 0 obj [12402 0 R 12404 0 R 12408 0 R] endobj 12404 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 358.325 124.1605 369.325] /Subtype /Link /Type /Annot >> endobj 12405 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12406 0 obj << /Length 19 >> stream q /Iabc27088 Do Q endstream endobj 12407 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27089 20690 0 R /Gabc27090 20697 0 R >> /Font << /Fabc27091 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12409 0 obj << /Filter /FlateDecode /Length 4520 >> stream x<Ɏ9w}E ֐<ȗ@}Lՠ5%dڃ!HH 񒊛MN1Lb?_\Ut Kӗ'c4w!>+w}Rq1%졄KM_~^9<M7V/CKhOpu,v_-0+O~kJKɥLdb%=,b1lb{W'e/& ;!y q/beKQfH/oB ֧#<Ka-g# an@ٺcZR,{L`3t e _}cum~;̚E%FNl^aW_}q ɏϞlxcIu2M\JW`CS_y 3w<2xKr|1WYcXw9=8pƘnY`DN$P_)x~_Y6I:Ĉ6yY$1`)x"f3GNg*w/~\݆2m1^x'V`sCOuǼY}m y훏:~qlQgZtrC (|tէ@䱦R"۞)eٛB?_ Z&T+3=oXT9 ;Bt 3n:;"6H1^o֙~EleR8˞A^ax)7KGx=ح_' P zg x7:Rogm :Myrm }O(4yT5Wy@xCߩ e#%<ǝfՒ Pk?t W=L:a`_5xpmi j?~Q?D-HqƣeRz_F[L-5P ([VdP }Pb,1kFW(F!;c) ֽVK{78;ؓ.Ge.o1MI N_AW4tUjb &Fجz<_?EHDG})QFo쩻t.+P/cS\<-_U=@Un1 t : G=Tco[Rw9?Lj'cVG~!;HII)wI{Nʉ^bŝhX>d4);` ytVydzIWt4(Й&-f+>, je<*¯v:@q`c #sYY0tt6wpy:ᰃգkît%ɻQrzt?Oce (5r=Ig;זi>̆8Ά3(|NZ$._W SMqK. ӹD&M|YIuOY`S>M0q>_tdytUTW4/ږZ%LZ"F/=Ք,V\KKh7D=#.֨6kL8W~3Wn P=ncu7Ï)w3;>y@tDL,9Sʫ,-bbY$U=7i$c<%:U.h4wk Nђ``eP @$@ z [m([}n2ڇb<(P,>p6&= Ӷ$ţ  0̀<=GۺP8mEi02oSV n(SV!Nk~#[ ?p룇-MֹdoQ  G1<` b#-[/`ǁ|"zsl,1|rY=F xa&ms[2Ҧ?+q3%5{~rW_L@Պ%6iCɂh qKbPX8J3WMN{DU GIdG `d岂^^DwԕV!'u?'q ˡ겶 <¥K6'F!FOrB- yqjd <3QX*Eu| 0{+ҒN;SHꌑb8m-g[—Q+@+ P5v"@2b:,B]\$e'W )FRrubtZUb8C__ϰ5xKxj 'WIdY\ve~{E|%dƉr,rC" kTv$aX!xjW$cj.]*{Z9zSbD2)eH͡3oi#~{ʨ.{2ja,D٨iG=EzLbՁRuւ~0(pp9̖4<%/W.`E3ϑxeC$үġSv3,\Yy%[k,$Ҟ^9im̋;_`/w3(A]M^tP8?{F֠G#tʅ*@။1Iwyfe7TPx}(ITkO[!#d9!AAjZb3BK:Ndx;6MY*R-}ݴ?umi[e}W}|R%{{ݲ -9p}Q5ۛsY9صT->XRAK K$B1:l(|]AL7U?Guß$g/?XEti /2GV>걡յ`=C"ҥ}s%[X7薠EPH)vG#sz[d9ЄI;Q=o[;qzlKygڭdNG+佸`(a>.::uS8:1Ls^%àM1\f1XXV'S*ej6fɬlD!(Gl+# ŤCӔa0G8mTdIlK*hE +îmUaG+(3nR-\rݹbx 3DkA\40攆Mqc} 0m'Mlp&ûUx&x!mgFC6 1Wbc7kPՐXV+Rj2F)0UŒO9 SN>c0GA ĕG-I1qRG J]@mG O_;§+|}IU[Y X&\ \=>cqJ72׿\? W?W:UF^ 8myܨ?g~?jlTx6W(yOB*Vs$L?g_: ! aM qw˄ endstream endobj 12410 0 obj << /Annots 12412 0 R /BleedBox [0 0 612 792] /Contents [12422 0 R 12418 0 R 12419 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27107 12420 0 R >> >> /Type /Page >> endobj 12411 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12412 0 obj [12411 0 R 12413 0 R 12414 0 R 12415 0 R 12416 0 R 12417 0 R 12421 0 R] endobj 12413 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20210608081201-08'00') /Rect [104.1732 268.1192 167.7037 279.1192] /Subtype /Link /Type /Annot >> endobj 12414 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 251.9192 173.0772 262.9192] /Subtype /Link /Type /Annot >> endobj 12415 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 235.7192 195.1267 246.7192] /Subtype /Link /Type /Annot >> endobj 12416 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 219.5192 192.6352 230.5192] /Subtype /Link /Type /Annot >> endobj 12417 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 203.3192 178.0272 214.3192] /Subtype /Link /Type /Annot >> endobj 12418 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12419 0 obj << /Length 19 >> stream q /Iabc27107 Do Q endstream endobj 12420 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27108 20690 0 R /Gabc27109 20697 0 R >> /Font << /Fabc27110 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫Jӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12422 0 obj << /Filter /FlateDecode /Length 3781 >> stream xڭɎcίـ 4В 渁&FwL7oGW#+瀯T"[lu/n MaU&0 Ť|LAPT,o?H#*y [0Q(kVm\Ś <8@ H~N ] r`J+*3R+Ȕ΂`tpp58M(ܯ罝Tuޔhm]pveVd'L ?/ mP)zd@b#-[+u !2`|e@ W@n>4&<@`UZFZ_1IjuH|eӋ6dx9im͝4>X'YWC@8}NS>K= Z"`p9jhG,h+ij1^MB5YGxOݺAY[Jr'it2ۧڎn{M4& HgVhH9QG$KNX0{\ay4hv>g >`sUXm|0[8: m<0"R8}0( X>pRCAA6[o <$ ! wb09eTΐfm$ 'H_ZH">W"rZŴ9 SX+*O&2gTm[~1oG5b43EgSns!-T& l!2ĥ5r 4BePPM/1 81rɣ7 dي?hLDmZ5W Yx밢+w>Ah伽& $'MO*z7 ڪ{tEj!0"18w_Z7Uq؊h AuY8=p:2url;nPá{ӆ!D=U|XWKCƥXߥb ];"-! uon&#Ͽ8~֙Z3$1rIQXAYqHQ4+=*ӅSH7AtAuYl}-h0zl9gĀM{e yU‘9&(|CfXT'BdG`s`Ыb{q7Tc4.î;XV;EW.۳ C9]ٴ;骥!L~ViظY,"Oq*VOm%A8 :ͱ~9~ltת&:.i;E2N4/?YWKoپB;N<8]zl99XnMGi"'C\Ƥ!HxܗYX5D<0bC{5?y ym|32z܉=E&qWo6=HK]e6o,=mztlЛd:3fɎ0w-^)}"dh`S7)6AN4r-gR-?%eC{PoKWL`F1"+]|<1WnvKw1b2_ )MIaYYהc31ͮ7&BsCȨ#k: I5{)z $aJ\`xOCCx pO m| QĹNPOըWhP $ rgs)"*rFEd[ȌrԨ2BP(jתɹZw%:'bVa^ߍΐ yzz z[.y@R/K$^mw%!v*lQKcF bFn>dW/hrC؁XP/![*2N)7ZlU% »zʦ@V֛d1U@Bt"uZh~!n!;71טwc>_['Qz]Juƶ؇e1y?>LD8mʇfg;Y3%+֫Nuڎ.~R͟] ol+F4e]Mؼt}뎂&p;/;]/ '\|*XR  TH<_Y/dFn{&befL߳=c!lZ{;UPy#g!)gOR*{H%uL}uMXv!M¿Bk7 e?L&"UW'auy @,ѸTxh`&x&`.1 ōCmh<4VڔP`SV oz̭EDR]_R mOd`D!xYҼS˶G<9z,\g&oQsk`_Ke+<KKӶv #H*b n_x|%sJq,uX^Ha> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27126 12429 0 R >> >> /Type /Page >> endobj 12424 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12425 0 obj [12424 0 R 12426 0 R 12430 0 R] endobj 12426 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 358.225 122.692 369.225] /Subtype /Link /Type /Annot >> endobj 12427 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12428 0 obj << /Length 19 >> stream q /Iabc27126 Do Q endstream endobj 12429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27127 20690 0 R /Gabc27128 20697 0 R >> /Font << /Fabc27129 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫J\ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12431 0 obj << /Filter /FlateDecode /Length 4299 >> stream x\Io#7W@ `d+@n40z& :nH*-Ud(]jb6ۛuZz'*E]N-6>j]V~}PʛGy0 lrruQ:Ѯ=;k||_/_w=*rBoߊ_Y)ԤA_~FЬ4~_xVrN9K|AFNQ J)0VpJ}FO)(@x5=U.:Q|jÃSZ~Bp`'#> &T>N{3aC4>@rw 5u!s(<+}5y{ H|s0)LUut`gdIY`q*(,V#9?3aJiPK"e.MYWh)_A?Uh󄠯lISrغ{^:58)j=|&?8j4tkNV5C/[]Q*[`&UP=4jaR+̋@\hf-,C.A.C.A.C.wB.vC.C.nB_T*R8ix8'02ɪ-3< уKXw'g NqNNLxm(L >%;+4 P4% CF}'gS6KxzBYojf2ߝ D3B5”Jm|8-c705#ΑX jN/&XBV y7 gc#n.ٗX5 2S:tOĹ,ssŦ}3=RR0$ɗJ׬ikhcMGta{fOs_rX@C$T 5Ӏgi0@{>S "NO5Eh.s ?Os5 3rTTP7wNm:f԰o%4lBzc9V[| )43[ndEAU#m+^UIq!'Kw` y_q ut/L2$ڎ:7=X*r«(9헟$4ȯ mRF1^p5k7; ZHҴ.xe[b8^ ]i.VDTSH9YdVaYG zvJ lRUNz'+챙QX 7W Zĭ}{n9dTl(&v%BybeZ['f2jV+klĶihu/ђBT2`Bb]Z MbS>֍FirLzT s3،q鞟 }n?A7ިy5NT`x.N2H*,*̩]2[C>V[ b] 8g QZ9n ܍J1PƸ5x[R^@aP0b b jPM?^ دwjMnS0->Ʌ*i.d[| .d? 9Ot"76"EnU=ΖB:L݀Q bJ =#-v"7S1q#ʭ~X!pM ܜjXK5ABmW/):@09v`CQ}UYE0Tt1^nVt&ÊRWOKȚ!e@&N1SO*.m#rw>aEi _»QZVH<踁gS5'X# ߑs& <5y(R >N~8S01'{Iz~9N+@shaЏo[{nxxcI=<= 3mw1r3W)R@C'J[X@y?q۷HSP gvo*7+@ AcvW9%L8*E07`.QEh(|(U.\(z0 n#!6v&յI ¹E{= 줬SfPh uLeI鹽Yۇfbὡ+UP?w(#,1y2qo/[eyIߞˋ꒹MMnx>pbA'ҎnL'3 p0EprS9(="%}43FP`rlU/%w̓3k֎ s~v=@Y o͝7qãqq~Gyl()uwڳxټm5khO^5BƘ;.V 2y9a2٧!hEy fѿJCeu QBI+F| n@?QD~@nE7k65^ iwk3bqr~^kUc)inHiBE75H&_F^ޔ2yL[턘MbYisGU~MY1Q;(i_F}gNҳtMZcH z߱X"(@P8mƄ/0u sAYfEG}F#{[W10 E٧K}dq17GfҙܑP;eI_:osb8O|O{jx L}NmV<ʧ0i<+{yv;k]87T&#nm]>ps{"ѩo Y ,wMVmgcZUMY;\22.͒ ~sZDޢx\7wiA7Z v˓J[yjA v)xֶ3Hl$R1A t>&k?zrԀ>QAJ0I [JZiR6]KPbs\|$>c^,`[wqu6O"-Уp6MbrR󋇌TVN\F\톽5v[͞ư}_nv*_̋_lbyHl80WS2e4^òW-#DG H(9=u9ŴO7zt\ڞ6Up,$^FUzL:`ԮC#O >/|O~O,> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27145 12440 0 R >> >> /Type /Page >> endobj 12433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12434 0 obj [12433 0 R 12435 0 R 12436 0 R 12437 0 R 12441 0 R] endobj 12435 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20210608081201-08'00') /Rect [104.1732 111.0925 167.5827 122.0925] /Subtype /Link /Type /Annot >> endobj 12436 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20210608081201-08'00') /Rect [104.1732 94.8925 185.6942 105.8925] /Subtype /Link /Type /Annot >> endobj 12437 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (report_config_timing) /M (D:20210608081201-08'00') /Rect [104.1732 78.6926 203.9872 89.6926] /Subtype /Link /Type /Annot >> endobj 12438 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12439 0 obj << /Length 19 >> stream q /Iabc27145 Do Q endstream endobj 12440 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27146 20690 0 R /Gabc27147 20697 0 R >> /Font << /Fabc27148 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12442 0 obj << /Filter /FlateDecode /Length 4865 >> stream x<Ɋ,ɑw8 "EA`bN5jD=AKl-"2+M#G*=m7^~[̢ߊ_R Nimk^^~K].oߖimbs2N#uCޫ,YuP|O_]QЉ,(ldz-\rw.zD(4{T^HZ'Kap>CJ4UCQdyML1 }7٪e8!vsŷ]^w[)֭4GÉù -WPtx٬& r./ӵbGAFU]9OdNw !qMfirN-ղƩ_/<gHXLh$^>Xʒ얜@d@ջLdsp:p)i>SX,ht|S dԚ7u@mFS}!BS<csKŮnimqЦK0aq.YM9,{'x8 Hu00hb>~0ANB$GVix?2J0`<,oöe xHkHKx"n w* /~?&/Xt]Xp ȀX8,CH,BF,n,< )C@İPX2"kV,m:"* % &pR:%@NR=; 4nZ Nbsd:E\>LFX$@TGڂa8J[+^\C  P(ĝVe_',G&TwDQ‚|6 Q]>|O0nCpC\U8%9`}kg^>jVXKV(B4 G (<F#K*Twg~E%2ck00HEFDY&e TCJ\R3%JrgܲDZ.CvHLVFYѡG]g>[ϵ\nPDe<}@PQXNp@IRarI&_ !x)GsLTWbt"[IEՒJqdat[k,SWli' -yؘ4${^-~6q!sFC-}/$X0e͊#0Q~G%4hmxX 3AW5 1z`^9U@ע Zͩ] TqaJ_L"\p3,?DH4\ [V g09\ %(ʱ$xdQ[V۽D$\Ӹ$tMIz)CPouVHu1u|,ǁ`S#帥%6B%(.I9CwwrVYsCFq2:*|؈Jeǒgz"G ֭Q2) 1@v3KwzЫ>-zɶ,V- `ޥ̫OY<զ/ֱ;[DI/^nޖKuNڮOSOHP8 ЀiF?)D&b>u<ԒnR7aWc@ ˨M.UZ>1AY1G5푀5ϸXT:9vuZ|icubJY~@Z#fj5tʋ:T w.tMQu+G=wƪfJƄ2dְeho/ LO;mh.h61Lh X!IMt'ZLJK@;{uLT X9;mw;ߩUY+aScaqfvEk7%j3UֲQWuSnmZnmCx@˭t9 8,ԫny56AK7v$-sG7SCH/˸ 4'36.13 pjUmXPH9<>YQ&GryKvМIϢgqЙ^{nhZS׳n)No5+,/w~"ꬻՙo~3Г<%Zu44wS4^8e/W;!?#[8i=z}X +8z}W. r{hRm~hc+Y*Vy0K0!.aݲKe F;y&-(rڔ%4Ӝɭ:<{*!~QY^`,-,b;2^M$; <|< FK˛ޤC}XC8R\pD*[̡ S_Ǫ>"V9ǵXY޾/XkMx/z ^w{[% i$ŖKF 4כOdqfKZ6ֿoRb#(l5v" çx ̻urmsx.0IW2LjǐƴǞSVٙa=)1DŚ>RjVZB {nD*b[ uK;<[kG[QDUuIM"GvҎQmNu P^nST_쮥> i6>Ta7:; QQȤ;G>S?SȩU`p뚰`(D,Zd؀^ex燵^o MC- ³DcyO7Ige<.}o`:BĽگUVAKw3i%lFFu!u:eJ$;(_tb4VdL)24PaiaQ}>oF>iض; <ƑK#M1)ͯvor\6,Z jpM~m9k ) ~I04&3 8#9|gO={żX+FkR@BJg vnSh# RrLp0BA$c=~O̸cuG>wV*Mޓ?=~1R|L|^W |?§~?'ɷC0Y 2`܃rտ֙b2^xhܛ@OB'7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27164 12455 0 R >> >> /Type /Page >> endobj 12444 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12445 0 obj [12444 0 R 12446 0 R 12447 0 R 12448 0 R 12449 0 R 12450 0 R 12451 0 R 12452 0 R 12456 0 R] endobj 12446 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 186.6182 709.9] /Subtype /Link /Type /Annot >> endobj 12447 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 154.3497 693.7] /Subtype /Link /Type /Annot >> endobj 12448 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 202.8872 677.5] /Subtype /Link /Type /Annot >> endobj 12449 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 169.8542 661.3] /Subtype /Link /Type /Annot >> endobj 12450 0 obj << /A << /D (unique_596) /S /GoTo >> /Border [0 0 0] /Contents (report_pulse_width) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 197.1947 645.1] /Subtype /Link /Type /Annot >> endobj 12451 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 617.9 169.4802 628.9] /Subtype /Link /Type /Annot >> endobj 12452 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 601.7 218.6612 612.7] /Subtype /Link /Type /Annot >> endobj 12453 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12454 0 obj << /Length 19 >> stream q /Iabc27164 Do Q endstream endobj 12455 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27165 20690 0 R /Gabc27166 20697 0 R >> /Font << /Fabc27167 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' JU}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12457 0 obj << /Filter /FlateDecode /Length 1270 >> stream xڭWj,7+gK3{Y9 aSURzئG]ѩԒ~N[OӋ:Ső7?c:4ڠ)˭uaO4oEڜv[=o|$%C,K;[b; ӯ =py&7 wdޙҺ/t>ndCdB+tM;-QT\EtePQַtqQnsUd8ׅY&LZfevI?aOQauU蜴&ҿU:k66jl )[f׶"kS &xcK&e l-6 / yDg'o:rh%L Z1t23"ѱ>]{꒼ywaԅ-b柠;u1c2ޏ}UQ/4:{4 Ӓf"E 9zw,2ګUlXȖNeL-Y%pW=$^j73AY*E } iגr!Ro{#PeԚh<4EV[YՏ.k`\ue]\F"!:ZmꯨT5VoZ-;J_ůOT^ T I^s2~}Pu @g͢m f9DJ1p2^ VDY>U+U|cNZz" !LJk=Mt63 O)Mu iZ 3 Z&!BhbYA~Ԩ:ƮѫH}2V4J+3K䇩|@(}W2 CSE8/ykrKvm%- CXr5IX"}\?WT >mY}7C \H_k|Aшl1><8ǂg,7G`c1Wp<tQy*qh1O 2?/2s-uWN>ŀjMAKMwCs۴ow㾸$lʭb8ٜshN9Iyŕc|8L'~vq YE<=LPK?Ł endstream endobj 12458 0 obj << /Annots 12460 0 R /BleedBox [0 0 612 792] /Contents [12466 0 R 12462 0 R 12463 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27183 12464 0 R >> >> /Type /Page >> endobj 12459 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12460 0 obj [12459 0 R 12461 0 R 12465 0 R] endobj 12461 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 349.125 124.1605 360.125] /Subtype /Link /Type /Annot >> endobj 12462 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12463 0 obj << /Length 19 >> stream q /Iabc27183 Do Q endstream endobj 12464 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27184 20690 0 R /Gabc27185 20697 0 R >> /Font << /Fabc27186 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p!MЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD!ӺJ.\[-: ufg[XL5ۏ"bH&} d_ EXBC ȰyV endstream endobj 12465 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1100) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12466 0 obj << /Filter /FlateDecode /Length 3992 >> stream xn$ί&h 6@ {^|C3#s vGdUz"Wm|/Y. :>/A;0吲ϯc{g׷PZuJbh7~zV_"$&+OCAfJsOf2 Ogd/?)#0+_gv9B&c\tlb=>jfg?xwјz}Qeszm 9pm. 8*M&D$<G  hA>Ԅ;,5D=O)9nr @]=ŗ5>1^Ռ&.˘ݩ489sR;2]z1{OR T &Twj.pG|!9qEKl'2>^0-paOq)"2 qۭHxr(@UB>~tk߯se[шa) *%Cሤ.r{Q_cicI+jZ b$foc7EVEu^e3c'kae2܉_-/cw+\Kkwst}{݄/4 }·F7-pOwhWFJ Uڰ" `YBQk(8=x_Mh㔒X+UlG"!m w%WEIZ($S@N}ˆq%43 23XLn\ A ZnM2rdTl\jMZ sYR@`Þ*~G+[Չ +]ݮ-P}Sv/鵇gKXe^B}sȝ`j&&MS͢GM_JAS$疈zuء.pN"6zL.eo]fr^%DvVK5G={m~XPN{T{g;ÀgÕ =.PLrP ,9n|V|h>Eep8̘zauyN8F Wa7Ei6V6U@&g4fX#>2BFcp 2hG[[j&-r!nj^D+=X\ʹ1GVjp ;hO+ 'IlQEa͎NYLX˖Ĉus"S^+ԻVV68 M&$P'bFMY&uU]#z!`U6bzDhãLʒN[]~-k>lҝI91#Wf<4¸&J \~3v^ky^ȩ ex /%$$3ҲkKҠN4` 7sy9hJu]Pe.;Z ZCuoGBr}>;w):|?{nm1] -Sl&0}־暥@P(( b{O*䘮 k&!]S7jJhlcAQL!O)Z 4Azk!NoX#,S 0^tt3T6Pa3ub2> nP4N=oWߑ"?t02}R7[+Q.úEbr%5yʑh@;xtvN>|Zle!tqt-'! 1}12tPc~ݼJ7.<(q;`k^wy mOĠe!)>aaml `v/5֯]V¸B;h87Y.'Xo9cɂWЊ@)Qʛam ovS)/WkVf]]:S 7aw{E7cSq.Ì1id3 \ʭ $!80L6"ge`&C_+ͣOHhj XtcN0 1}\NuQ$BLk*^eK)5H p3*PWɛ5%7~QVAʧޫ|}H[lE Tf7G<, bdMMY_Pr* +\#h E$N#P L>SA>P!"+Sq28Բp޺^UT,ðS\TB_Ll] g\ @x ?䳰[-aFdVS[>#޽+2Q NY+6TN*c^T Y.CqL,54 VϦ&SU*lH.ALv㽝59~fODe:=,n]2{6gg?p{$WstUSVeI\V1q-Әv]eǗ#N.Сl3 W#)ǟ%1ыx:a8Lb`ģ 3u,19]rp-f~ ~Yr#X /R^0'NC[ "+ް6qTO[SMCBQz;-9U~Ə;~4mβO¦y}Jt I endstream endobj 12467 0 obj << /Annots 12469 0 R /BleedBox [0 0 612 792] /Contents [12479 0 R 12475 0 R 12476 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27202 12477 0 R >> >> /Type /Page >> endobj 12468 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12469 0 obj [12468 0 R 12470 0 R 12471 0 R 12472 0 R 12473 0 R 12474 0 R 12478 0 R] endobj 12470 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 325.7423 161.9837 336.7423] /Subtype /Link /Type /Annot >> endobj 12471 0 obj << /A << /D (unique_707) /S /GoTo >> /Border [0 0 0] /Contents (link_design) /M (D:20210608081201-08'00') /Rect [104.1732 309.5423 157.4132 320.5423] /Subtype /Link /Type /Annot >> endobj 12472 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 293.3423 186.6567 304.3423] /Subtype /Link /Type /Annot >> endobj 12473 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 277.1423 183.5217 288.1423] /Subtype /Link /Type /Annot >> endobj 12474 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 260.9423 187.3167 271.9423] /Subtype /Link /Type /Annot >> endobj 12475 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12476 0 obj << /Length 19 >> stream q /Iabc27202 Do Q endstream endobj 12477 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27203 20690 0 R /Gabc27204 20697 0 R >> /Font << /Fabc27205 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC OX0 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} Z endstream endobj 12478 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1101) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12479 0 obj << /Filter /FlateDecode /Length 2821 >> stream xڵZIo$ׯ@U/@Cԭ6r0|R2 )?oV-dGB,{dI}SFi]Uϯ4~myxR;xg>MkނMZH~>ݩ߁l%E`]EVdQ &;U`Bx$s*R{8;9K/K|bEVoϸ{QZloD~gKcĈt'T qQ@  \QRBuiO#k$AaօN\+WIH wPy PK!rMޛS41rIQpXB_"!ȑqͧr&e*.D6@~>f1p*3 z25 PTp(h_lrRؒM^~ vwvt\ \bhdޱIQyAp{cQcm3dU\5Ip$IԺ=u)wɳڻ FeOsCJ VsjamB[H#H1,ǎu%f0]͎% yG`܈I1֝}O;0XL:qsO0$ip+ga_.݌6)^H{4_&O]؋!3ȈW!@~(os6f?:\ZTVrsS~_YM9dgbmƟnRO/%0ov""c>Tzcx)9AبDÎsȭ߼F^g0<(I0`L'_{lQSc z \:A]|>dǚOc;cn+9ftx:e#|U`D 7ԋJPq t2XD{&7>3MJH'Z&PfgI#63B{ 7x7Vyp " Z f85J 6^\[4m-x&MF7p+ ?I)Ttyf`jo. 3- ;=K27x]:|[3(a)+IQV0\NM؄ 5΍f7 Z/e;AL3ѷEB! ) b7ReGr~ JIe2Rg YYQa_(bVϩB-9lC3p y})oXȚԽxJ#xGE;)9CӲ>.Qv]>wdx'ho[]۴4Jr`ڽ~į%f>N|둘8c_WÕnEH8lY7/9]EM`B.j> endstream endobj 12480 0 obj << /Annots 12482 0 R /BleedBox [0 0 612 792] /Contents [12488 0 R 12484 0 R 12485 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27221 12486 0 R >> >> /Type /Page >> endobj 12481 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12482 0 obj [12481 0 R 12483 0 R 12487 0 R] endobj 12483 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 358.125 140.952 369.125] /Subtype /Link /Type /Annot >> endobj 12484 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12485 0 obj << /Length 19 >> stream q /Iabc27221 Do Q endstream endobj 12486 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27222 20690 0 R /Gabc27223 20697 0 R >> /Font << /Fabc27224 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 12487 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1102) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12488 0 obj << /Filter /FlateDecode /Length 3974 >> stream xˎ#ί賁4+9Y M S/Z-fK0UM"|_̢oůTu}f ~4:}Yt5˗_Ʃo/>iӲZO><}R8ߞ<-_|njoKо?ZKWzθ֔h?_YaeiuiuqZ%*4YIì`K8 زEԗ YNqr4kKDh ,3EK}~«w{X  O*4DRo#.O N $=F1ohB {hlԥ|8gZ/q;E6bڽj;PN$A &C \J'7%yRdG:2] S魸`Z&}z+ljx8GϹƒӞycf5%Ԓ \7/+f{e~s 0S@q18 ^Ap%K֥݌$#"d(zP_6N"k[̦ %SZBv2z ~Q`I_segtIᛕ]V|0}߿ }l5o [ېf |?}'  @Rg t&hEC1n೐wo ^ k]`ny {^`91MH5cZpyD˙rť o%pCŐEv7c[ r;wj봁-n٥YS-;zl.1if];X=n<611W1J8#mz)fZR7 QOXS}Tlś}z Ď]x ͕jY5zwG@"79֜"Uϋr_,0hۭe*TdN!>[twJ{<ajN)AsMK޲"oc&=pMd[bk4llcU AcYA%Y`}uKAl>f=CnTxy|]j־6^<69~y?;ESwqnl0AUIyVcꏸ$f sSRtWRD~TPNh8G $VH;O9v&*2RG2᫃3(`^5[8N^噒/ƮKkKj}ti5hU0OIf<^5.f@*cnfT`I@BD0U/,+U>,l6<0-t yK-`]wRcewGU4 6_3wgNdޢh4r=MFp @ZyȭQu*FwDY 4}vZuư)qs p{E;"[2a+i@W=Z"m gɀˎ+']חg&GP [,W{,gM 6L3>kܟ' >Lݓ^֏'2xdWRbme\q؉զêl+3VLEj*,:Pm'c:VTgpw*vGFl| :ʮV1%@A p( 0NR"MRCg2s!Έ*<ԝF#5c׾Ln/]2kEW[CDz\/8n͎E{*HᾺ}ȈQ^y۴ Ў;+_nMbq%Wifٺ0gaNXm66R.Z a^*ZwE&Bvq?>L;fWSBaQЌ*LOUŜAC׻ :1}c9(٫%8n$͒y]O/C|"lksulQsibTz # u_dۿtf{nW ol+wXt~D)I)OcN*9ܕRxm0yuܧv\iaX~YT=jI8™ycfRmuK6`U*^R*f55{nt5-D#Л>dǗPm4#DcW)4Pb!m9q9/}9 C˞VЊ@-Ramv1Wט/c>W{ ϣ􀻺uƶl&,Уt׊1Lx rM|Z .i4aMG 5p.P!V$dk,4p0a۲eLcv~[ń4 7bcҍO@V0=O?F+<X<%Au1SQ`z+ƞqsKjGGՃ.[P>^$UopD-`8Kqfa^bdMCY_a}Ffb=!kSem!#YS" 4˳"`ћÕxJkXUf 2 ™&-&TQ3dP$+S+qѡM/ ©Fs\vK0Uc:[27x]:|PCc֚m)+Rer7U[RlE,r욇oMnB .N*lH.?ALv٪ ) qf/8~V?ϥ0~iEõ 8dR@$UW`žpxo0ur~؃ef܅CgL Uҭ 8T6H5c/Uv ~U=Ԫ=9#`8 ;,(`Ծ)t1|Xt93!U|3||K2F4g/ Ҟ`aOZŇ_w}pmNC!uډVFB5kQsmȏ\cӶ7Y\j"칲i_"d颕2 endstream endobj 12489 0 obj << /Annots 12491 0 R /BleedBox [0 0 612 792] /Contents [12498 0 R 12494 0 R 12495 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27240 12496 0 R >> >> /Type /Page >> endobj 12490 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12491 0 obj [12490 0 R 12492 0 R 12493 0 R 12497 0 R] endobj 12492 0 obj << /A << /D (unique_648) /S /GoTo >> /Border [0 0 0] /Contents (close_saif) /M (D:20210608081201-08'00') /Rect [104.1732 480.9115 150.6042 491.9115] /Subtype /Link /Type /Annot >> endobj 12493 0 obj << /A << /D (unique_668) /S /GoTo >> /Border [0 0 0] /Contents (log_saif) /M (D:20210608081201-08'00') /Rect [104.1732 464.7115 140.4732 475.7115] /Subtype /Link /Type /Annot >> endobj 12494 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12495 0 obj << /Length 19 >> stream q /Iabc27240 Do Q endstream endobj 12496 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27241 20690 0 R /Gabc27242 20697 0 R >> /Font << /Fabc27243 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ^ endstream endobj 12497 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1103) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12498 0 obj << /Filter /FlateDecode /Length 1923 >> stream xڭXKo#W@3|?C%Y 6 9?_lYF]|+v4_s:E]uYU/ׯҐA;kj.!Ǔ>ZA'gW<:<O>_Y ޛJ.˓u 0%֞A, *4r/[oIED-'tJM,'taI(B- lBYdĬآTݧ 9+XL 5b/H5),ِxup.wɪKSj{57?\}\ag;ս줻׻8>?24|3&tFFo0K t20W:sqd8$XF oe Q5i:eZPtݜzV{ev-or~~@_Վ]&c~M,)jzRvJ#_kbN/E: >c1 %ҧFSǞ)]vgx뻩g#;%Hl)0z)/4=HьGQ%ю3~t3 xjkYF+vօ.DZY'̲|̪PPóވ> U/m d#Q+ǚ+6{ Ld/S%+{J#ve*496{gjoB<=/9?ѯ=궭`Y|㎘fja$8; f*r)h&R?>-Nָ n y[Ikh8c[,fyi,oAJ=Il䃒nlΔ-ʁbo(FNZp-ՀrT]`BqGW D8ݨ&U\jtgZ+X2'E>~It,}˓y<^++ia+[e5/')z1,jz׀]{W$P1 m`sHtݓج/C̍3UlgqS_N@{t)A endstream endobj 12499 0 obj << /Annots 12501 0 R /BleedBox [0 0 612 792] /Contents [12507 0 R 12503 0 R 12504 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27259 12505 0 R >> >> /Type /Page >> endobj 12500 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12501 0 obj [12500 0 R 12502 0 R 12506 0 R] endobj 12502 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 348.925 140.952 359.925] /Subtype /Link /Type /Annot >> endobj 12503 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12504 0 obj << /Length 19 >> stream q /Iabc27259 Do Q endstream endobj 12505 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27260 20690 0 R /Gabc27261 20697 0 R >> /Font << /Fabc27262 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`LЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2F5sH0ڢ_Ћm߽? endstream endobj 12506 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1104) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12507 0 obj << /Filter /FlateDecode /Length 4215 >> stream x;ˎ#<4P@Ijm|0|jbѽeg]SVI&#U b6kӫwkإ_O7~j]vcNjR޼'ɖ'j6:.ԧs7Q9kZ|+? YrWjSVF׿y1oϼCqo9W|٦>1F){V*\Rlwz]}R)@|"lƃq{s…~-p468L([({@ aj/e,l-ݮ*0%b7S3VC|⅑M6˄M 0!La /dqāK}n8&(EK6vDK^,;FSXex'̖WDmE?%Gp4r m:1*Mb^8ZT: *IjH0#F(^$JgDpC88!q9UapI@pA{ؖ0ZVgJ1`bt+cPHv5AWY;*MsI.P \|D[2z&*x3þ%'x7C؀0͏]~߷ਹ#=:AحbCĿybME]% ?=xT2([OP,/2YLؠ9U+ Ŷ˄9N]q#FDž~kM[2BZDLzH\1L9\c`Mͅ5;KT ʨD/|"^9좼/‹f(-`$>zˎ(ġ^%!aq鉴ԡ:ʱ eo"J#wkvewrpxͳNY>gr'œ?4se qE87p741]q^Az67I 35DvzvgO8xzY*topϛa`-:@|q!|W' 'z/!+| v\tfg xt|0 C{2 XHKo-*KiW, RjuM?uwhw%#DS@I9!whfv!KIV2h7いש<*XV*!{bj-T*[LX[b51+= G c`XnIWZ#рN~42m!*8m]R*V FQk G${~3$^^zk3Q *ou.> ۴= B2w@2'IbpKc~ttt::v0{v#;⺑KuDF֣|0oI, ?> 5g9 ;HQ:L [rXo,|& ^:et,{9Xf9XA-M2>*m=2La'h! *XdaLXCG0|N]z9ˡʗ`N)v7xŗ^nBmOr&yʕot暢wOt{Uv_W.ݾe+G,~4 ft4fMޓ 9mX4)."-`zLsV~3w^ؒH8d8dzFϗZa zA VTÓ>euۀmGuM:6Ax+Hq.px=g@e8 m"PR:d1mR4FPk~R4^x}4|q+BHxL7I0ך^G #.*Ď`:tT hw4i4qFD7 Iqt\R癞=ZȲCgҶsr/v-ّU%]L3`ґAr dƒx^-"4۶[IuΖU}fdU%_Ւ~K,g1y {qoC2)<9eJ]^dP1J2;(nX۶D˖^/ p fƬa|gVlIn; D4yT0x2❰~o0n&è3=2s,):eü{iϑ22=3I?m*.B0jҎ&8nB-G]B1'?LMBUr*kE-JқD>_-s`ʩαTg2nTc"'k K>Dpft~cg?Rw72Rvc . d\69*Zq ^jlT&d4 [_XvF4ZFb҅kEúk?g#d:?2w=TfNMdk s?;^?~,1,ީv1rC0Zv)lکEsUS(72R|&*HRzR0hye AqMI-.Fmo>-woeo USyRpitU4$-U<.m|h?h]ZT ?W4)_-OgVr?<`ǔo8VPWw[bwX4P2w,K0^;0G{WcF[On*Gn=cka]h}~5xXn1fo}"b{dr@KR$7N*1z2'A#L5 iwiFjn*FjoS 5dήoKZ6 mKB@{SF|osT[Xf&/QKjf]H(޷llͥ.VLA4KYS+ds86d2ڣlWa>]8n|l!G1cc.RWzۊFR8b[d` ^iO麟7{5_Z;CϷ3|c%7xi0 R'.[p+/?Z=sZ)De=,g>sz_~pI/4GM/RПdĹB7 sE>Z s endstream endobj 12508 0 obj << /Annots 12510 0 R /BleedBox [0 0 612 792] /Contents [12522 0 R 12518 0 R 12519 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27278 12520 0 R >> >> /Type /Page >> endobj 12509 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12510 0 obj [12509 0 R 12511 0 R 12512 0 R 12513 0 R 12514 0 R 12515 0 R 12516 0 R 12517 0 R 12521 0 R] endobj 12511 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 261.5653 179.1657 272.5653] /Subtype /Link /Type /Annot >> endobj 12512 0 obj << /A << /D (unique_650) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 245.3653 150.7362 256.3653] /Subtype /Link /Type /Annot >> endobj 12513 0 obj << /A << /D (unique_659) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 229.1653 149.5977 240.1653] /Subtype /Link /Type /Annot >> endobj 12514 0 obj << /A << /D (unique_667) /S /GoTo >> /Border [0 0 0] /Contents (limit_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 212.9653 146.9467 223.9653] /Subtype /Link /Type /Annot >> endobj 12515 0 obj << /A << /D (unique_669) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 196.7653 140.6052 207.7653] /Subtype /Link /Type /Annot >> endobj 12516 0 obj << /A << /D (unique_694) /S /GoTo >> /Border [0 0 0] /Contents (start_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 180.5653 148.2007 191.5653] /Subtype /Link /Type /Annot >> endobj 12517 0 obj << /A << /D (unique_697) /S /GoTo >> /Border [0 0 0] /Contents (stop_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 164.3654 147.1612 175.3654] /Subtype /Link /Type /Annot >> endobj 12518 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12519 0 obj << /Length 19 >> stream q /Iabc27278 Do Q endstream endobj 12520 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27279 20690 0 R /Gabc27280 20697 0 R >> /Font << /Fabc27281 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pa ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$b endstream endobj 12521 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1105) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12522 0 obj << /Filter /FlateDecode /Length 3575 >> stream xڭˊ#G_gj!ZҰeY\7*Ic3Ԕ2+3"2U-Z*w[F~*뜖ɤqJѿoWZ/} RVj_/GS=xpEI*_ `*p1K1DQ1lQ  /'_f.,l-\iĩIвP%j^4jNhؠO2= GR `\DbEιAD@R [0@{ e3VRA|vƲ1jw$8al#-'AÕW2%" ok}fbкH>OT_3~x}*pq&_->*cKkz@:N|SOH- N4P.2pIHKsG=2|=H +=3A63Et܁%-gkH5 ގ>)EjC6ÚصZ?X*\ ρ 9 pufca*Uf0%Q+@qf9 Z[-Fv˿շ@FT̰YS9U~# &eSMQZF'ᢽjt@Nb s@Ax:dstx#7Lrù*q65Eg+W6V^0-A05F_ p=/0v1 Ni܍ɦy?vV9jS-Md ^ШX)7)V~QvPFC|4o D]6!; . 5GhVo=jWWwԋҢiF.s{%&_6{NNC| Jf*.DjM2[RN+s^͹.f`Ir.se/@dɗV[b'#d da)&۱_b3SsitA2 q  R+'uI:ڬ}l1[KxDerg*{0רVrS {I(pJRՄ:6VJȋK%c*tVkஇ!#+g4rC΂ ǒZ4x=8 %Gފc-nUf+q\!ƭ fkX. fmg =NKu~畊lDLe{l !Ec6=;_6♽ 5<1{z}6^V89-T&׬MJ^T֘s Pp+vf`fʌv%bK{ncŞh5Xry%ymV҉ GQQkܑyYfhLK6p4VVH Cema%mKZ gGH泛"貯,QDV~?pk??^OZ0Dy X^!p{]K1iu#ޖz#gjc!b"s}jh kF t,ڤʼn$p#⇜HL^(}I0j*,8mNWCMED046UfT\{*fM­zJK&kbAԄ-72瞢uZ6vKIBZCwJ#m;C̐e Pe 56g~dy-0WV1n~kko]ΓgQZsѭ^%fiJ]Yz3.9WOŨ wY.2Ӧ߄CA|(dQv5(^{\GE֔7F qj:mGԼ?%,s^-6IA~]~I~·Xo!iH,k4gi71xo{7|0y$!'e!M~''CYzV*I_'ng}c|F&kX+J0ղ{"/R/u<#՘\'t;DAվ"H4y8N۰X;I[5ROf*!גS_ U{) 1sT^(E`\G-Az1{H:e;Yã'`=Pp"K ?Jl+Sq)aޛR`)$d00RRzaP5l=C\ܷ9@AZC3"nӲ ) [ 7mN]+(-GaC-h+{>iԻVj.6:65t>}[hqC 'tߟ5!8|̎2mH_BJ#E+,A*&d.*jw0ݣt'5rzTR2g4U̚*MV#{RWD%Gj$_Ϩ^LRVP4M$VU:¹i@@lYq O^2By xQ2P!"ѡt0 S6QT03qJ'[%my2ck!%8pn̰#f^ψGuew"&G2lѰ291xΏ#M'F2=9΃&r\\Uɭ&;ɍ]NXg +H?R}V)$T~1D);ׯxzZsŎpO3=~\wZ&W> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27297 12529 0 R >> >> /Type /Page >> endobj 12524 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12525 0 obj [12524 0 R 12526 0 R 12530 0 R] endobj 12526 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 377.525 140.4735 388.525] /Subtype /Link /Type /Annot >> endobj 12527 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12528 0 obj << /Length 19 >> stream q /Iabc27297 Do Q endstream endobj 12529 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27298 20690 0 R /Gabc27299 20697 0 R >> /Font << /Fabc27300 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`e3thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7JޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛB endstream endobj 12530 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1106) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12531 0 obj << /Filter /FlateDecode /Length 4219 >> stream xڵˎί@+|?=|s@ANA`O3ݶqD,]%˷,NxI._ޖglK__Y>2Nu~M>&|~[ujsgb쳒6ηqe7gԾd B6xwi}ߗ?->oC._}1rp_X?-z%#jg\5%?*W`VY~fsa-ŗ&*y1iZUk Vv&?@mekFY,U}w@xEKF8볒^dɖ5F뀯@F_K)ʟ-]/ѧ~)mִ`?_~1@5='yS `OHMjcWOا_21 _`I贉 r0,k˥d,?ўS}  \ny>]7{Ik5ɮo56 !g#4ΚVvəU071c^0: U5]K1VѲE iH)ʗ8j>:b]kyڨAxuIF+hMҽ Y jA+r,?ՊĶ1XΫ/Tz\`9~=^coy i_^BGj^5E €n8˕'y.c'"ZaL2R\*X:੔_ #Kw4Hwuqץ^*p 2 @|̷ ` z(b&Hba 7_+L6fKj21Q ;Ga6>+(N8 b>`w` s!xi`F)*URq渺4J~ wfM#uNW4_6fL\1G/l+_4ɜD5#^{?#GBXЂ̺ge:`<>Bo,#[i|L~ ]f gV'"aM,RCQP srrU}MW['WrF" =.pf~ae7Ե佐F ~MH LR+;?Q"D @ .,`K&Y͛ N`Vt[xDUF~=,!FC1'xpcwGrLSRa´A/4Y‰[vUnΫ+AtI˞pYd7.SYĩ*f!U/M+}^2ֹ!y4Ξ"6tM{z4X.:nM+qTÏDu5qsuj`3oƢ ʾv᫱Iح0xu&)T>/"{iȰ5WCdS Gaocåņju[Fˏqʴcٴb 2pe C> S:0#o!~4 7`nd4 ܘzL4CQRw"Jq2}NF^X8j\r JL~ϒK#`%a0QmbV둔;q CBL ;V5j퐜E㍚5cf 1 +m$Wjk]^5ОG[[X7@V5Ǧ0b5u7Q $w;)lBK"Vy8l,CH L2;Xfy7B'" [XQv+$κsrpjzFU/W)sJ(|YNUwl #WCeKeųO3k>VT- a &O$U;&DXn y!dHj>5~Ź}1ɦ=h`d51jL丧Wh\A{>F(I>psqZ>g<rg6GNK3&5A&X}[ /Lx4讑Zч4T<툅5ї\kAXR,k@o㱱|] M|:zp9:TA+]Ram&}c/wc>W[߀<]3e-7a=U aZ;峦5>7rk5ab>x0y&!ؤAMn͖a./H̠ f#\l P2 Q9N,\RQ,@ -ϐ :j=}Q`z+dƞqsKmwp(u7'۠H-zT78L Cut0bxMC_TăfYSSeQGhf4˽"`=ѫ/ɍ<̳BĵI`Sj뫴xyv J25‰o0@OT}?_咐[ΰ4/ѫQzꈯ} Du1A YktȡD-ǖKblV4J f>R_dsĸVYd;@2 l]Zh`Hax_D7ٹg|O_ <|%Tz㜊+qsXTÄ|6d-ç{Q:\?*?jcVW.Mg>量}W=2֊W,SH!>n0IJ; y百MO}"WC_؜g \#?B-\|~_!+-i! >/{kpğYS  l?Remz0*Qo>ffd/B62wQ;_n endstream endobj 12532 0 obj << /Annots 12534 0 R /BleedBox [0 0 612 792] /Contents [12543 0 R 12539 0 R 12540 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27316 12541 0 R >> >> /Type /Page >> endobj 12533 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12534 0 obj [12533 0 R 12535 0 R 12536 0 R 12537 0 R 12538 0 R 12542 0 R] endobj 12535 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (close_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 277.6423 193.7572 288.6423] /Subtype /Link /Type /Annot >> endobj 12536 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 261.4423 199.1307 272.4423] /Subtype /Link /Type /Annot >> endobj 12537 0 obj << /A << /D (unique_674) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_database) /M (D:20210608081201-08'00') /Rect [104.1732 245.2423 207.2267 256.2423] /Subtype /Link /Type /Annot >> endobj 12538 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 229.0423 190.6607 240.0423] /Subtype /Link /Type /Annot >> endobj 12539 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12540 0 obj << /Length 19 >> stream q /Iabc27316 Do Q endstream endobj 12541 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27317 20690 0 R /Gabc27318 20697 0 R >> /Font << /Fabc27319 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~z| fpYw.Z 5J8.y-xvw7p*ЖYzr" YHUC.$G7:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " gE;!%]vhw%Tsh8rj|=B/}1T endstream endobj 12542 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1107) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12543 0 obj << /Filter /FlateDecode /Length 3561 >> stream xɎcίـ hI-9n çIƁ ?p}z23oGH wJ//ZAZeЊ{ۛ;qXL E"C/i1Q%OUa F1R6e -K6X;A|;h ]rg Х+0 v+ԾBA<#2L),=F' Wjӄ*E1N])Do÷ ȿ(%?aOh4̶AH=`lq_\)U@v)(i;P45/gf0ždM݈޵M<Uk}{[[ib~_juH|eӋu6dx9jmA?dƭ+!m>{ɧ~A@'3Gxq:Fx:)<gwを1fc Eh{0\"E&,O3W  W 2d0alA=c +&T[0+t`Fw/}mL; 9 nOaADZᚅ;2ٰ3`wXgZD p O3xZ,Lȅ`\O(vx@(2k\>>Uͻ5dϨbh+b6u}^khNJfns!-T\@[W_Fla8c05W0~ X pNG\Pv7#\Y`KtC5AX`bVT2.1;hNU@AfKylG蓇CU}R1xȁ$X5M^AfEN󪊀 g5 uS?]B[p[6ej{SVҀ<[Ę ڳl9Xi+PaWr6_8"r/7\&Dž;B\Y?5C >33ه6GsҬa1vi܉l!دUD"]CU1A%.<&dgA5{U+XEKWh5HJʨe򭮹7œbfn6 S$}";LMMs릏[5iϝ n)Z<1s<ƦBqf,F1kݳ5ja0bdщ5%ٙ3מr1Y*Cw}/IxGh_"nSy8qZqj9fhfm\LvdL 0(XE 蓮}5=jiks姇vW\.a@Γ? Y}r3`k m5j͵Vn6#4q9;*H[ovqzɽ%"ԬnNYgtAiSS39ިZFo1/h:j_m?dV3`-Sjxe&]W+ v6CdM<>\HX  w%*`t2Ex yZ0ƶHlN4)Cϩi=U3Hu~-_r㕵xO'p]O"86q*=+hofn.ni[hu~v&o,X|\#$3ב8R 6Ө15!vFw!e@,|-'ESF xkp/(t&ZKĊ3#)K7hAB 7\\c.ߍ |A^l@G w*[?9@w0 7Цxg;`U_²4ހS|#UgȒ6ۊѬA_~2unl&1_?JQ&EzAWHg%EL* Ҡ9}p +%=G4bn>1gU,l] <{g¦uP '1zRʩ{nIX%U~InikW K G$_/tOvP/c m"V5:uy @,Txx`7˵3hȔr瑐?^T}Im+zt_ 8Ak‚GsE$ O+qExťSeة^<77-]jPAwWϗ&kAŗv)mC _JqUb nImxwQ ߆@| endstream endobj 12544 0 obj << /Annots 12546 0 R /BleedBox [0 0 612 792] /Contents [12552 0 R 12548 0 R 12549 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27335 12550 0 R >> >> /Type /Page >> endobj 12545 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12546 0 obj [12545 0 R 12547 0 R 12551 0 R] endobj 12547 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 386.525 140.952 397.525] /Subtype /Link /Type /Annot >> endobj 12548 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12549 0 obj << /Length 19 >> stream q /Iabc27335 Do Q endstream endobj 12550 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27336 20690 0 R /Gabc27337 20697 0 R >> /Font << /Fabc27338 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`QthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12552 0 obj << /Filter /FlateDecode /Length 4262 >> stream xڵn$ί*s_A@64RK~?oVM="|||;ǙOjgGHz6:59;EUSN?K>)~f-͔7koOJ?\wlm6}G ;= ٺvWfӝ:9iۋO OIP$"|4xj~Efh&qK+TI!AKiRg)%kACEBl|n^ :x>q7 ݔ?ec@C<V< '_~qJOX@hf N*GUF b2Ff1็t)-14q$^J/ ?[`K bcl+O` ShjH Fe":1)d݀0mH|'k:2,>u"Pp=Jyi0' _9.KJmtjBzF;(zz?E>NdJ8O()%Sgv-dخ=ʰ¨cc5uᙐ2 D%Y/lWX#}· 7J8d Eh &/F7$|ўKqu}C(4q_a(E˳%dgV"G:ߩ  " PR8@``vRJ@43֩?@.t g Nʹ*$*pR+UI\ #ڍx !q* JIa~\nz1DG-١{Z%|f)u G E8g/3{f/!S,{,3apYh5aZ/b+ڷk)`=XdR\7bBΪ!p!d/(\)?Pxc @*e~zs}Śt($lے,ӥ<.C qPA>PokSWbeZ zH3P&ul6kQ MBMIzuPVUc"t{"[Nc:.uW:N%MoP9f鮃X?sȟљfrUn5q>aIOWlMJn1A9'I,%YgI(SDW<{= ư)Wc)d##"c @%Ѐ00i6ll)ZM9_L=su?bҺDj RV-. CyMyh,Ês!ax7j铃bT:=Y/d),^t6ʢWړ!n#>6!TvB ǝ|=_aA]_M"> b#tH3,ZZ0pF[X;Ra3 ~=VYMퟪ9gtbclR`:{.aô7;aV+Y ,+!  իm.ʸ(+n6H=W~4 [5|}zmZk;9ڂ*5yF}_i1 v;1If\ꇲUmFq)֯yAE%@uwty!49ENVٮ5B(SAYt145%miXJx7lv`F~& ]nxLmxx ig#a܌{WˢlYY .WqnUmUq^Cv:*0w5M|VyON:/cÚiܵT/1YYL2f);#)S $-ᭌ Xj:n;(7#6=VdhYCb)]UɸZ+2+x]lyMp|cM9ݡ+8.8ⓖ5i|ASݟk2sME Mv\@6C)rbUpx\G|Br:RU?S"#&A.Ϋ|/X 䂨Wmbn t XShex^t6Ccu\l1nƼB^O@[wqmZYX Gt>L=PΈtQ ≰0P!QeL Y>Cd53Ls 6)48خ9Iͳ0LbRL#Do!#`5q[#:)QV@\#k4[@yRgb/w(z{Mx-k@0[P,_P\T&^SS7~K=1m$@WB,̯"E.G.CraΟ"88V3aؾ\8)^s'*i{WG7T"]V8vHICPwK&drkXY\VkJGsVDe0NtY-֡t)F2wK&y* AHq.VhSemdWӱ6ٮWKრ./|˺2ab/:WƮC]PpnBoC2K9Rd0#KREk6.sm*`xn0Zv>o;N^VixZq<{ao'.ęV!ң1!;2 yn ~/b<+]Xgѻ+ybOsdXd"be]ԲH} endstream endobj 12553 0 obj << /Annots 12555 0 R /BleedBox [0 0 612 792] /Contents [12563 0 R 12559 0 R 12560 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27354 12561 0 R >> >> /Type /Page >> endobj 12554 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12555 0 obj [12554 0 R 12556 0 R 12557 0 R 12558 0 R 12562 0 R] endobj 12556 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 107.2194 199.1307 118.2194] /Subtype /Link /Type /Annot >> endobj 12557 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 91.0194 173.3797 102.0194] /Subtype /Link /Type /Annot >> endobj 12558 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20210608081201-08'00') /Rect [104.1732 74.8195 189.2087 85.8195] /Subtype /Link /Type /Annot >> endobj 12559 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12560 0 obj << /Length 19 >> stream q /Iabc27354 Do Q endstream endobj 12561 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27355 20690 0 R /Gabc27356 20697 0 R >> /Font << /Fabc27357 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>X endstream endobj 12562 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1109) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12563 0 obj << /Filter /FlateDecode /Length 5071 >> stream x\Ko$W@J20Y7cOZe~Wfe$M/hkLxGh;G*vy9dCSOm|e,uqz :,߾/3٣Ƅֹ/=/{ z"|>*dEzO8ʘgG^;YGpIm0˷@ 5F |NX"Ηp5Y;MԦ= _%|O;q;ƭڅ*ќvFBwƎTTgM=(|Ͻպz `\I={@3yOxsJk\t4Tg5WMɽ5"} B(d91lSbrNW[/L"Ə XsM5Ywg/g|Hu靝B59Oɇo BzBoE$[!]rgp𘻍-Vk iXKEoυ5:82;eZOy<kO=\ѣ\|oe%rm^/a!$Wm2;#b8 @&Ee?JPf (p䷎#!,ѯ>%^ZBpO^Rs,@h% !" 4uAfY# ˌkT>t5k)AXCj{̄4 1&SbHyGć!I1q%O;z[ba7Tda=LXI~"(3N,}Ct U'ϖ@9Z9^إ0MjHªs]-.΁x)+()& pb>t5ؐ&d:p?bύEC F0!NI3dZ3O@R5Biy h@鐆(kjeyT<Ƌ 3}Z}BϿ^~߿65]/̱ 8ɇ3q`~OT&&ŭ2k HmebDP YVo,=Pj"a8%֢D3|HL TI&hc)Α$AK(aK}<дkA Npay_ubWbL]edr%"Rh롩USZ?Iiߟ?%%2Nc`RT/"i;⁘g';+ոỤ#))]J0OI4%L_\uoOܴcS;D `CqݗSS 3Žq+i?u*m7-Q"(a3.?H'A<2,G!eQAs>%[z >"s"MutZtȦħ]xbGMlėՠ~q|24X/lbMΩbD!Gn8r+ 3I#!!D>S^De_b@Y'dK]Ȗߝֽל:f}XRI؟w4=/ȗZC9kҜA<"dy?sZƠFx?6 _Fr ^n{U{Y IdQ"iS!uc-Z}݁JQQ{(q.l])쓡ZIǥܚ/L|vyQMR'Py %tx U L}=᠆4TQGm踡No¦Va&T煅RoӬK s=C^ORDP] ~iɭfr/?M|A ZChv !{ǣˮ"i;cpD:?Bg#t {ck&h؋lxH!?Y{͋[Us"vo>)W)!&[{c 4sCm,ZTONSr9-Q*ޭmb݋ c($9CLwQBbp:F"zjD;?!`tB֑$,f-]V]< vˡl2"/Kr$XL.ſIٔdLlϘى߷dׄD4ŧm&O=H["O0C«mgI6+eL'{P:B0CbP8皕i Na|M9JFUjP5u&JU& |YsnmלVSZr<{Cݫ`3%&e,N%~`m8Ys,¼tcvEيǍ& eՉR烿@?U*{>SԖUs ѧI4+4 *Er1'i梪S6(>/w6K.wGJ { J e)B;x0#eF 4L庆7iZNT1n5!ׄ3?7ԧVLG!4ӶDX7s"SUIvLr+kiBQ^\`wSdsE#I*Z]IeL첋;[˩k~H :g'O[ \*0y >R+=ѺY-2 ԗb6Jr')9s6χ[mRM&@Tͯ6PPi&6S :ۚm!'_޼;I }S׏NytPsp,oKZ-:'P5 -f\@+03Fׁhr5%ˇ1oW{/ /o w.[eWa'=5 a±VI&>wZM}٧r{71Oq\UNY{V[56Y3.:eZe't}[~UO݌Р6 8!͗kOckNFmހ+^͓Wԃm959XnBSmX13nttQ3qbcfR/3ySՖSjٚe05cPg6$,+if~UUڜ_+ @'.` d+2וJs`;uD<.c oTe[1O "՟6!:U4|Uů5瞩6z4mTRݙL?~@:i"aAepi>Ҳy}Qocch،'|G3M(i+[3l>ꧭ<BӃAyPPN0T%sޘTg*>ޒ{= m5:;ޟ/a[SP\+_fz\v?${`2=ՔB]^0; endstream endobj 12564 0 obj << /Annots 12566 0 R /BleedBox [0 0 612 792] /Contents [12573 0 R 12569 0 R 12570 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27373 12571 0 R >> >> /Type /Page >> endobj 12565 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12566 0 obj [12565 0 R 12567 0 R 12568 0 R 12572 0 R] endobj 12567 0 obj << /A << /D (unique_732) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 193.4492 709.9] /Subtype /Link /Type /Annot >> endobj 12568 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 190.6607 693.7] /Subtype /Link /Type /Annot >> endobj 12569 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12570 0 obj << /Length 19 >> stream q /Iabc27373 Do Q endstream endobj 12571 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27374 20690 0 R /Gabc27375 20697 0 R >> /Font << /Fabc27376 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`MЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛD endstream endobj 12572 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1110) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12573 0 obj << /Filter /FlateDecode /Length 1162 >> stream xڭWj,7+nՒ`؞Ev,BVN|C_TVgnbL[*IuC5M[MꐊϯYζ.WvZ}zYUl.ĨO;qO w(]);g~׿#QrP?`\*byCgx۽΢8?yDtjVɩ8%Z~Dz'zj@:xbSΙ~C[ioR*e>)CGD&VRO!fߢ(ZoήĢI\&`,\R_u@UY[glY' a#'eƈTA bhfDcw6Mp&sG yuI^|;Ewè77PG'Nus#hk؞J|zS="d}CnM7{D& q_]okzGG TՙM΄*E neC5OQ(RM@PA)kjo0+Y\ cen_}g~x!]W+2 z,RViWUV?*Uz[2zZ։Ym&ϵ,sVm2548T ~H_ D01/9ej}j*SMd+;aNZv%F#Lm{+kYisXMI2 )HO!AŌ -!BbQ3d ?_Ca^ ލB21n0d/Qi#V2 Mhaǵ׈7M-ҹ ȯi cmS5ID;.R=mNGnLyeeoq t6 ֯zNnɡ hC@ZЄyX=ه:+4ߎN`C6h9 ׾6bmgTUv,g{o{[pLM9>{W%J/,Fok ,xGcx;> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27392 12578 0 R >> >> /Type /Page >> endobj 12575 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12576 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12577 0 obj << /Length 19 >> stream q /Iabc27392 Do Q endstream endobj 12578 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27393 20690 0 R /Gabc27394 20697 0 R >> /Font << /Fabc27395 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛK\ endstream endobj 12579 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1111) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12580 0 obj << /Filter /FlateDecode /Length 4807 >> stream x]K㸱Wp=%M@AVL>ܿoiKν36)X"UO.gſX'5|X%boÕ~+of5EA ӷ1|;tՌo,|R;ҷtUo_%wP/2| .AhGTrvL 4#_yGna6] # }Uw?%t pсì<o BnF{|YK ⋿oloLph<ン2#$^i2G3kO wjs\p/H]@ @*D" D"Q7:2N)SHp(n F D,tq?pKK43 'j; Tn'@L }T.g!Q#l@#03ҁ<- QP1+q]3ƫT'^ĹJuCQy`A d@ A7hi0@O^28HBKY,:'&ȈAO#'d$?kFo4\hK*):|UaHǠBwz s>}'Z ąrϛ鵏;EhEVeҕm#0OꁆH+[*D H5x]8cńh$W.y%0Of^ 8z#4p:W) *১g T`g;3BFܭ ԑ"U\5RՓgNU5HuRp)\fK˨#4#8fznS?i'~m zFr|.o0mZL wVvPUb |t^h"Nj#QoٺbK2ٿL[ib4?ǫAϭJR{)qW=8n*Li`) \\nxu H/^ nG̡%pjwxE=\Q操_xXxJ.#:N$7uIŒwXxX:|b1S[.?F$LB챞Q]C;ry'Z"| >F)xV60%3߲%BFg { r'ӥx^ޘ 4& 5y- o"k'f?T<<'\1OznxCb"=` PMh MjxbĬӵM  .kzhR$uwEл$ =^d%Nי`zSA봰CWIM HxRbU S )$Gq.gRPsE$+JӂBx bAaK?i˜o}-ܿZmܧ5hXesǴ9}\?W6VcB626̭3;[{Ճ?/0[i*60.ٙ1pAjdQIFRG`Έ SO/\&EUmME^im)+BL!@Inzݍͪf Ν¤Rq;!h_xMg/W $|thr_ kFc7.iw@$u1q)&sE~@W/V *M)_i?aA5`iWZ0d37s^0 Q4e`fJ`W8L(b_a!| e?IF˄RjjDkh-QXi`Ih#6$Ƥf `虛J`囄{vPźRi_{;ѭ:YP%Z™*ɥ,F*Xrqrj2Y4 3אE:+p4q4j>4KT״mTZcIyu6jv 55kA55?YVwCjV߅uk$l Ul7Rvu!=mt!mLt";:;?) `0KS6/Riy^$ ^9ڬ|ԋDaݼO?Y2F*/їd#Ϗ[& Ϥ`XxVŠƪmV/,5㐁͎gEl{8x/g4&Ҕv4̧4 掓fOf=^ޜ4w\˳}4cҼwR6?'͝' ٕ IsI#ssld E礹ٓ9i8i9iIsI'sq\9pUUrt (&ubP]3jaS+[)-5R2 ][}`43AenEMcty"xz*mT6$aht!&Sva gG[\sYH fZ1ѯU ̺,Ax.S3xg=]q^ja~'-M+9Vx46 6u~{>L:+iCC"8uHGUbH>Q D Y yxE|g> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27411 12587 0 R >> >> /Type /Page >> endobj 12582 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12583 0 obj [12582 0 R 12584 0 R 12588 0 R] endobj 12584 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 675.15 114.9865 686.15] /Subtype /Link /Type /Annot >> endobj 12585 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12586 0 obj << /Length 19 >> stream q /Iabc27411 Do Q endstream endobj 12587 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27412 20690 0 R /Gabc27413 20697 0 R >> /Font << /Fabc27414 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12589 0 obj << /Filter /FlateDecode /Length 4769 >> stream x[K Wx]`0y.(6-.nR9cK((EJTuiuf ~Ɇ4e/,_~M]ܴv[ɹm{C5w{_} V}A mеv.Ŵ|"oc-|o/Dl1ZD"~q{.뻧Js;7.F@ @] ay9BM}WZJ,>3:*zEU&R0ܱDIN$2Vөռ]dRAS†0)` m\VT%9`k oxM8zOwHؑ*3WYyTRjD`% gHf SǢ먵Fdmk!nmk\B%odՇ^&ǐ*]i%D. ~NusG֏<|ȺW, IQ_n x qO-V@ Wx569mPOnPG3ة"T5#2(s "|@6,щtx K'YK e "jMaC´ہ3}Ŏ>0V$c=nڌlR0Fk'j leɘYRbU!,ʚ|F<'G z=#d.lоn;)T"fqQx[lɅ7 It)ذ^!F'K}3ME2c~ g,N#S.uSΜ'GBTuqU03}uH~1 ,w엧SS` %8ϹWn'\w|kTF¾7Ԍ)YՏ ng:qֿx=)"y٣>!(ov3-@) vMC(r^qKyp(¹l!*أ/lɥ[c>[)Չ*N%`WgYTl!4%"잃LBڣ7i/#53T54a&'!SRGl42{H5h*, ~F ޚ1P\1NX*T::W2f9\m_'$1.(Y>P Q.J.GR#>)M vW+NvXtm뉅4`Ny#feCfb6.^CWXow4Hr;(?t9fFؙ.5? CMS(A)A+<3hEʍ&T% H7- Y"g!ڎ~S?jF8`Zßq\EX,U(&Q?f]UfmuFZ/ĤBS/!QE+[=,9m^ GT]*rLpmib6=fBcn+HTq1OÎIIz3Kj<ѡ7N AObk^䒈[iڑ(+)?hGzqj* zweesɥQT\bY->WKכ j5A]Ƹu.{{/gNiL,&Ao`~>\&!T\>Gn|M!sJi^NBNf95t8#f  D?^зC?xYs'od7.+ˆezsՆOV,/ endstream endobj 12590 0 obj << /Annots [12591 0 R 12595 0 R] /BleedBox [0 0 612 792] /Contents [12596 0 R 12592 0 R 12593 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27430 12594 0 R >> >> /Type /Page >> endobj 12591 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12592 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12593 0 obj << /Length 19 >> stream q /Iabc27430 Do Q endstream endobj 12594 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27431 20690 0 R /Gabc27432 20697 0 R >> /Font << /Fabc27433 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -C |뻒0Nˠaz ^ Q>6W^vqW$MZ\1h!9wr ģ$V-l!`aL4ECIDE+%̕')gƵa(RɐىP|}yԬ9*{RvaT(P;b)G?+QO T>JGܱYI ^v4Lm)1m:ɓ#-Idj)\Όl iVR`^d d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2_J94CZ_h|=B/} endstream endobj 12595 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1113) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12596 0 obj << /Filter /FlateDecode /Length 4669 >> stream x~㷂O}7$Z >l$Z+|7)+ hD"b 5oZ Ep1IM`a* wyVϛ1p`&~W!Fi8# yޙ'4t+"U;A-0 inNY'J[~Ii hNSc^  Vck UCӵ4Hᵚ*cQG$Fw<5<F4/$MN&TmGzD&͂iYl@k~@@P1*44T,u4!5f͍0߲4jqx)4=m#.h'u{@D0}))d8Ze(kD mx*Tq&`@` @-R [h=\.g~I4F!sZ/==x'GlmHX~ We ų$Oߍ3];$fwcgm;kf+1]pdBJ"S.07>HNB-FۣޘmVB' YkW4 c23@8'v; \&Knf)hԘtb2D"4e÷ 4se5x 9$(lH)i/3 J+?$x ( T QYFەc< rSl ٠¾Q4ċBYPC"BD5(,qRBXkh~\&߽uiBvXeȮi5] ev=z_e"۲LƸ(od;E>t%ʒzWDnJoVAquyllաC$]67S1TPUOf>8RS>`rrD OQ'>FClQNr`( p+"KMRj@&I:sXC6y*_an YD(Ru@H(SqEQ=UMRY\\Zj) avimA[5b6`R~ZyP~`Yg.-^k%ܮaXB%R'$c´ Mᗊ*CRM%(}IA29>0.:r!&R"X!i:)ܭahS:nMۧ əq_B%p& s ''<S~+wU2W9Nkj?5mE*v1][,|V#}"Dz1ՉîP֕j(EDnWGj\qeZ5b\U(M8AKaqSՐuiH7#P(Zյ|#.--{m]^"k.SԖUI膀U i\{EK Sj, Irb)a-VuۺZ:0^,ƏmYNeY5eGeRۅjͰr+-N~D)(uu; ߋ?Y{*ݤ?6ڢ hbtO Z2s .R9B)f`+:"0{CboTg s<+yطFozOՏvԀk1ҵb c7>Ty'CZy%^:_eՖVLbMk?3A׆m9nY H6L# 4nMOʧ+$H+kBz)evͯZkNG6l4>J|f >*xRwA8Ԩj4 >Y=H/0VE4;Epps${ j^Sp}cGWmwQwl [_cIy.NT2~ڔJ\jxᤜ[ÌŊU)aߔ[uK/D!3s\pʰ+Ƭ4)oa>#>/m P9tۯTBWjb 8YuVA\Zvz6BnNPWX*>h{R~;+;H+y;NceĥA igɎ8 4q A!FhJ£aUR ϣ31jN+YhX8l~f-:rk+5Tx,W^u%ש 'ľVCUF@VmH<)IO6~9xhE-]gԺ555;g㼏ۥ~@{([ۗrPŽiMv\둥-V rCH n*[Q(:8i`L/jJmI`/Vk5эβV }k)5ܚCj܀:Io x%G'4LL3~ޠQm&ŭ۷1w5?S~L%j7{1WW,$[ `(0fmu&!ʿȍ?.չ|N{ !g#f2g1;S C8 IeM\KBh)M{Bn6utBaP鍠m/7HCt`0SU*;Lz2[s{6@~Qؕ  0XؔU:~l lbځt[΁0s1c=y C^o_@> ]'lOaS@@1\dS@NhYNb %n$CC\}zcUl5@%HB"w 5i5 cﴐ`y(U1ar`8t;"2Zd+*˙> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27449 12601 0 R >> >> /Type /Page >> endobj 12598 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12599 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12600 0 obj << /Length 19 >> stream q /Iabc27449 Do Q endstream endobj 12601 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27450 20690 0 R /Gabc27451 20697 0 R >> /Font << /Fabc27452 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12603 0 obj << /Filter /FlateDecode /Length 6015 >> stream x\I$Ivۯ \/ddT 6JjAE_o=2AYf={l]#}muf ~4eW}7uqjtؾiOxM=imZ~kj_Z(!qEm>)MD=T LO[ }Y?~&|Ry岾j}g>O5~RS%^爽 %K+{vT0#4iz8n_~GY5a) “I-TgxJwf!=|ڊd'zR/.=ZMޅ{$Q7$^s/_`O~•?-N&Lڔ]s aD#o[.Yɜ80 dƆM > `QڝO%G v*9' wQšKt PkYොa VΛEEYÍQ]y((Z>:ix:Zn|]l‡y!;a#CWhfYD)cfX[d g;¸J67$LsԜY-b#Z9qWm*܈S_S V'GC'Y57+Gga1w+ekn 2/|ݑO#c_YŝTol H\|zXr_ԙ)39'\M3|4\oxT,8$)^vG&@Y]G #V\``ƱA..Ž :y:}O~|(`3VL5LL(g g~:jq:Ky7aŹ4:鈘f CBvTV߁iV8,$ȱD6:+˙;ky[_]#nƆuwݘG.;*޵x|T'`E(5騻_`}bGI9N2d3&i;h1g3ggxSrɇl иUr@fוc 8=u Xln mMSi k77WunwpJ<*Q\Q`5j[bNT4F cFlQ&fa;௒k@SS1]$4{*BCɧD7`S |$e.62lc1PzD(fۣbv@Xu|5NqU eE+RxP!zR#,+oz=dr~L9cQz (1B lKkKnVBc.0 ^ʼn55w ր85zYE% s ecS0EsnAu$>t`087,*WwQ38_jDN :̺ oA,F=j[6XNGȠ3@3lWyjGZM]`[ws鷽͐9jn/p b;YxUA#ē!m,?3Q:]qG1n56%+zyT9ndkv@ $YCeۼ- UuXhE) KzL7g $ά&&jsGu5f$\zurA|37͢<O ݂͇'݄r8 0;q3C$+dopEgnՎ),yHBNB>FfA4eAk.ɽ|faA>8AF[d7ڏG7ٷIgqzϯy7 d>ye9W.iپ;T6 8Z2KZ].9NcܞÍVέѵ9\*DAj#m] MqR"aW,JC°[)U \XŐ^;^s%xs)]DžZ3zks4sǮI"B9{]44-4,rFT[e_K-V֞wi<[?+`sbC gPTl Si5T{8k!'x74Gx *+ ˯B;)-+ǽMo<% tn͉2 0ӣ|R{L%gsPZ.;'?I\*w/! i!tI; ÃA=zL ~O1*m%H@ >P7KGv8OűuĨ|(J3ƞxjDVƒ\({k=Kiw>vjOIL+`+u膜M` Ru-cɓcqT=/!0@ * @pJАUԱ/^'sq njX |7ε+h}+Xǿl:±f>oۅELLJе50Oߩ\۝G> ٍX‡Ǐc^r@`pe YPⳜjm}QAdr;R ;aF"PN)0(?0< ѷ-i &L$H*0$\&2:X]1A]b&9[W}ЖHazGFS_{U%P;'ϫýcsx{A24!U%X*0X }xb"EE0' 2ib^tL{"<PRy+%{ElS%B@_ WL1~/^Pi6k- v?'7Xt+rta)g#{ʞ.:Nfq p, XِYhTϧ}R, 6%L%eLT)CIHA=gbb CvN ~_Q˹CL>yK\1+]@/ P>̐T8WDT3/`ՆQ(Qn1$;9^a>"fΎ7<+K4(ݐhIU=o 9E&Wm*ioI FgQ=I[-,atcw"x1QIg}r餍i{he_KcrQ^j!4rD('Tw,FYBs&}p3W*P9-;XP#OmmFTYs>I8_=f-UM|Mj0s!F2\c4ȫ\SW8]aUqwF"y#~b7!="?:2>1-yH:v9`тH9g!j;-nTG;32],w^2\C& . i;HzU`!RKyRt2O W0 rGA:r=>m5 Krx)pZ )QM7??`2ZKtxMW=9 !`kRw /n6=߹$rMkO %LOM;rhXMV>+t+?ؓmdhMuSg֊m(+D(+0\ERl&H8!s[7Ym\P}̲CRwn仢C oP#݉w'`So1֐0-O㜊+@ v_s%^at.3i8Ц.db2hz?տd||G*/[2h> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27468 12608 0 R >> >> /Type /Page >> endobj 12605 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12606 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12607 0 obj << /Length 19 >> stream q /Iabc27468 Do Q endstream endobj 12608 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27469 20690 0 R /Gabc27470 20697 0 R >> /Font << /Fabc27471 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12610 0 obj << /Filter /FlateDecode /Length 4577 >> stream xɎ9hm ^6o!$kcJI0hmI%;Y_V ;ᇏjZ՚5H$./?ڳmBU ~, BqwB|܅wqjӽ-]{4x|7̦dRoJi~YOw|[i#楦Q_+V%yy["|zxEnx˟oΪXS`r|KT>K $h%"R C }w?h0j-Dѓ G_fPf&+D4 "B* 7r fHlqY> Cش=;q98bl,BzT!v_qZ;H1ig3,\iR&yXVt){kޖpNP=-JD$J\E′!EWIP܄g*˹doz%B$q ȕ@1EY3B*r.I|=HT.Mzy)D;K6i24m-\AM;\؊< t7҂ .oګARhFY .e@!5̂.*,:l YPtIeCu-1\KJtcQRQJ6{DrGI`R˹%3ʕ ˜uO \h " "6Yh5A7M_ lHF2=нN\ X"WF,r$$_,:§`` ^4L1 1E\ n8eWg[Sd(ώt׹D<1) 1{= T8/I1I;~A,xx>0ꀠay=%z]\1Yr$:9>R5[qQ-YNMW1yz+K5s~@q¼ L=acU-+9 }9?JEIT^ע1J~"=[ePq<׉֨z3@s>^b`nj%*e.yH| #.]$/uqVŇ@7bO 2 WcY֝bqOl1lEHmhlxEG;RZإ7` ]G.s \n]npsM ,ƕYfjz TwtWĂ!5f\w1cjB;k'ːi0) a $&x2YK϶l8/ ،aЖcmW2uxN,],9n0=P%ϒހa8ⲕbyr/\{_. ́+\wnK[pOunlaKus)Eko*0V8p`=ޤiU'aF27l^lƘ=k$b2a\.Y"18&_b90yR՗ȿl؋pvnoCywghA@$o7+gڕ:DBޣ.}s(%iB}e Men jEs.U̥Wd6^%8K҆bŎ)3þ67 '՘4ExH[TSE|/n-%s|M]k{YNvme{i+S0P}|U )Þg[ZIyS{2jNj ZMyMCRZʰݷ[4{nw .u/tk0jO1i5ҾSp Xl1չ|Ӧ rԱkZ^y:讀Vx,1Vs=S"X# -eW% Hg0mߴɫ̬tK4o{jOgܝY[(9ꪉhm3GxMd!Sl(aIA w>XZv*^juLҙ׽Į8UAlQ\LY}Iȹ5&<ܷMLumhRâRNzu9*/ Jꇚ@,}ԃ؇G|/lz&>ym)=윳C7 "@xw^HƂ\x.^E̝vBUcl1) +){ Nގ:BRm@0^]Zk")ax2W{3XLf*& tҍ;sdovu}ps3kEjJ+ {G/^&LgDs:GڮD7OM \uwSz@īaW'6-o&,qr Wwp#_=6MtxM/k8s8 e}_ &Vaꖍ#7VlؤmT0EU&ᝥjչ F]Iq eM} Q [Eҳ\,0#|GS|5-\I6˵jӸ&6KD*%7|2rg:ov*/$ E9%N2!$ B =sG' fJzGjJ'|% 7w}۠޶`JC#DiQ~l>qnv`Vm"]RCj %7ovi+$-WiWm\ػ}Y*]md줣ڼHܨL%5Eq=4ޭuMVs,qTLƗk6CR ?ߑI*DVZH۴^epR{GzK6Y}`yRrBv>Bީ \lh)@|42/ku P-BA-s[67 GK;̻(e__Vy 4;aN`DVaf?8/t|؆<8 \$a _I^͆ /V6No+VchmGJ%6m Nehza-1pIQ1e9eD~(p_R=air }Sp@8Pz.~ex&T(sՅrzLO| ` B tְ&Y`UDoBfZAx 4ev@Nj3>C$ࠔ0HagH{|vaea9"j!pK֌_i[۟-LX}=[-b%h]y0Т+̗44qkr*d~+?"?@.pH_K5Vl&_Xw#H=ISS8Ss SF#!Gd_p-(hٷ|i`vP)L'_R펰+a 'RoI[ #iɝϕa4z֞mt;Ee2`fN"gڷӥN票&h !0gɷʷ8b]R6d2WdlW}[vOfyILG o7B c@荃:ҏ7Q8j D5Z<-Dzks` f":g*5aRyWP02|Hyc 3W:t,­ilѧh<^sB 2J@5 ,fʎB !cT ?U^$VB&L;6w0Oڵ5@eLll%^[K&\!ktTv{` j*9י&Y˕?Sh*(D$yYQ#Y9>}&]Բ; endstream endobj 12611 0 obj << /Annots [12612 0 R 12616 0 R] /BleedBox [0 0 612 792] /Contents [12617 0 R 12613 0 R 12614 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27487 12615 0 R >> >> /Type /Page >> endobj 12612 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12613 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12614 0 obj << /Length 19 >> stream q /Iabc27487 Do Q endstream endobj 12615 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27488 20690 0 R /Gabc27489 20697 0 R >> /Font << /Fabc27490 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12617 0 obj << /Filter /FlateDecode /Length 4755 >> stream x\I$ȳ ||g0zx./[fU*˗L2`02Vjs#>}[[Y_AUpR^4׆sX2A`h%)hfrTJֿ_MRlJkl5^O{iU5q* [Y .#k~x! 2neBUhhi*5.LuI%k $QEA[jWO),O(NX@5!Z}C)gm<(椽QOo3_4a $ c{y9XG=zI $fU'V|"a!jt: BIPl–NOD_-M߈ >NjMPRo]pxN#FLnkbKH:~D2y8ÏE@dn(q 'ffImȢR~f hSV6 ,(K Z R씎RB%A҇ O][8D w*gbɒW4`{B!ut[B` F#"=JR&Y!RD<'K65,q%ePaEnt ƀwd$epzj) ^Q$}PQ:g h$C4Z Kdr,iy Ip{k vbٞᒧwz7!j’&'KK7qiFD2jJ?M_AGioG'2{6hug]jobЇڄGݽ,Z` "k H<6}C]+isD/ 4p|D+x>EX"EKܔ FbL0tN1n NR&1 >CRBqD\f5 :&3b!ӳ$"K$8:8WT sd-U=T"DO{PSK!%+Wh+uʷ&ki?U3kP(Hh+,_9SHPK:/ Ct (ԂT{flk'7Qw> kJI*wيV^9PY,rMXE%:[MФ iC kG2;tM\u0vA$ gP]{,$IRn +Vb=V&x4(mznrc}?Ugݗ%GVך sW |(7Gd(8 wmI::mԁqf>l!2Z! -yd왘LjƟU/['1`ăjgRtJ^TݹH7Bхk: Ϻ]\yx2b+TSX 4LXEKB@6GzL`s^&2{],l Sck06^\q<ֈ5.rMz`y'`՞e@748blƳn5Lm~D'7V3eF''Z<2Q{rYOK8LmRbCێSTӛ߄5'@dLԖ b X@\滋}5=v̴E&׻z'%ws51X'f_Ƀ78a MV2z& \V&`-f^S#m]=oMd+A398e./M"Des&P$Hd2jd#5e?]$7f^̎xu~֑@JV:8r-9+hlQ1ZkNIB thI {QV{[]qʼ+!X+|;j7NBvC?E4r!eAz nHf"Vo/!骔XR!%e Ф®Ǥr%γ()xbcGZ#S,LpK3:2feݥbPJAT˅H\!֫{od!4 @!"aR>Y:*$6WGr7WM݋|VrO"ހ5lFڒIqemX<&MV@9 ֲ5%^Ad!*3Q9/#aӻ`-ѧ|\mT u%cAYr!cVR9yiVXYZ~_@ SN~Kk$-KR>1vXtx99maxRK`0÷;n]śZɆ1-] =i.a .VCjJɕmyݘ\Ɉ2HŠG:u;l-JŴg"&Ɔ.Aq2եW|BOH>3;qûV]^1r׭R#AY }KMڭYŰl>(y aʊC! cPeĢwioRWg;w39t틳dͳ? r^6IDy Ws'8Nc':ޣ7MGs&`5!@@Ler bL+Yy7oWUI8Vn0 ZZ%?'y?FcC3AIWſ+ x'$O趃톫1Dڗɏ4~N_X']'H7 .Y\OCo-R.o{k $qִ."zkU"go]kH۷АVo@^oy,NfS몢#þF݀8Fbw'2< rfè||!w8&fw֗[u~Gr |qL5!1 3i\.hqطmcV8Y$^j/{}59ޟ"nh251hAij98qqE - %w8-v g;eư]#7-Dvckxpi6zz|nfN64Y^VK$'5+i-E󑃺(Z6o"-+wlZ2q:Bm-r{juOz8bHsT-,ʋw2DZ`.}.ǯuL13f#l|l^hfF͆l;a7^>͝+ Wo۴FtXzwn.s42g&K(K6u>o4`h'wKƺ/ǽQB%.Wn;`3;-Ivl)Gc5UI(\&7޴Lm(eZNyM :Mmd>IYt,wAH]f|^tP֛Lfn7Im[/o|A~#~yi'ܗ.Un"9@06rLr(%9X{9*j>[o[$P]f0o9dōZg n7}. ̑氼*_om7NJӧQ,F2=L*ȨÕj4,p)VE*[f`¶\IIn[o}E txS/V.YJ-@_o#j57?ˡՙZaځ]XI[_ zk0ܿh\~SA¡~³AXWP? )s-D.i14*ՑĔ_t]p7>h| ip Zr2ROx}Ek`\2f؇ e+<K:$Һ^eKN1UMxuN{VnRv\Lsset]WqN1·N-Xh!'9+e@ۮAz|$22Bd1> qةim]y9GV+>Tj;n{$Ju#`:힀pp OGk;uȴr%L}~70#5~H]K]ew,${;jSChQwW,k"te0,uٵA{ܧ@B.߲ endstream endobj 12618 0 obj << /Annots 12620 0 R /BleedBox [0 0 612 792] /Contents [12631 0 R 12627 0 R 12628 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27506 12629 0 R >> >> /Type /Page >> endobj 12619 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12620 0 obj [12619 0 R 12621 0 R 12622 0 R 12623 0 R 12624 0 R 12625 0 R 12626 0 R 12630 0 R] endobj 12621 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 468.7077 184.2752 479.7077] /Subtype /Link /Type /Annot >> endobj 12622 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 452.5077 165.6412 463.5077] /Subtype /Link /Type /Annot >> endobj 12623 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 436.3077 192.4702 447.3077] /Subtype /Link /Type /Annot >> endobj 12624 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 420.1077 166.3232 431.1077] /Subtype /Link /Type /Annot >> endobj 12625 0 obj << /A << /D (unique_508) /S /GoTo >> /Border [0 0 0] /Contents (set_power_opt) /M (D:20210608081201-08'00') /Rect [104.1732 403.9076 175.7172 414.9076] /Subtype /Link /Type /Annot >> endobj 12626 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20210608081201-08'00') /Rect [104.1732 387.7076 166.9282 398.7076] /Subtype /Link /Type /Annot >> endobj 12627 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12628 0 obj << /Length 19 >> stream q /Iabc27506 Do Q endstream endobj 12629 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27507 20690 0 R /Gabc27508 20697 0 R >> /Font << /Fabc27509 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pPӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12631 0 obj << /Filter /FlateDecode /Length 3209 >> stream xڵZKo- W:(z?ÀS "mn6HJ#رL4H$ERb+ e8İK(~E?-ߟbX6XӍ1..c<19 1vI;'|{7gBM$ В9ƚ.)ucl*Ɯ􌥧d,-{Ay< G{t~wj)y{$b$r~Ht]{Mm)55WWטpZ!K#B+ E{caQ YxsBA ͎DIou(7"ln+nЉXC/x 7q(ɜiX}u:Z3 ɦ[{#SߋZoya_C ZC2EjnZa؋Ҷw5͵{%6r) qf/b]Zc@FX˙A^/FV粩S5u2ӹy+cmI[I.F- )1 씄[HdajVu T7z$y9~y2 ߥC2ޡ,ӵw ԘkS#n6K(eNF Tt$*luF.d|g#dNaY[c``wMDr4W 3Jb)܂ S{爤<㢢DH@珌%Ba"@tKԵ8mr`&O4"e\EUW"+!΋$I Xo^xzI]TBuNgڨaF9ˎ"TcZA8"vLs8֢-I6mN=&)"w)AvG,.Cp!{C4Jy)apr K9H4¥n{Z࡝4ʰ oEۼN dօ@̏t&<-a֑6iIc0SWAOP˗)>∽rF7=bx~`PNJ3g*LoyH0-?Vt仰: KZLgY$U8bȡ§!@hW :r-b%xm9)Dt€ #DRI%PK؉Cg #wPS!GZm3BD˞'dr:;K UNU@&"u1=0Zp6ʹ 71M63XEJ8)ĿxT8{N5p%[T9HQ[r1z˅ޑT /!d:=1<ݽO~&ov]ϡ=k,N6!8Jv{9p>"C@)9egKk,gk[+>iD$OSt꿱wZ+ҰI<|SGjǬՑu+fO|.H%~{:'$TԒ7U$1jP "NPw1ujтz3FlKӠB-HJUfnRRa(X+b<@vru+ȳqtvR4̘k| OUoZ}nXJlDgn64Ox㬬;i>w oM[7m>:n 6L/w&VDY,& vk7_3G%I64h!^gYSlV*aO@ח!bGkb∵h\f]6]Vf?)̾v؈mۋ9N0R"N?>ٵn U=dvV,k[+(ҭ^r [C?6SgO-FsZs[oC[K}hO-֓=de2jurd̲y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27525 12638 0 R >> >> /Type /Page >> endobj 12633 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12634 0 obj [12633 0 R 12635 0 R 12639 0 R] endobj 12635 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 12636 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12637 0 obj << /Length 19 >> stream q /Iabc27525 Do Q endstream endobj 12638 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27526 20690 0 R /Gabc27527 20697 0 R >> /Font << /Fabc27528 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`MЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (cZ endstream endobj 12639 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1118) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12640 0 obj << /Filter /FlateDecode /Length 3966 >> stream xڭn#ί,Z`o1C$A`,>Z-hdzX,-_h{Tm>d]~S_O~fyc|Lnyy[qupjx^BnOm}6>5w `././+ъD5A^cJۚ/.Uoo;w.P֤0Kw6$xKQ-~|"|`H6.&&ݦ) >/ ZvXs0iCB=of=Z|0}\D5-y~O7IϊAq21vay 9ZۆGnx|π鐉 ,b4Ь])sGέ>[%$!OO] X_aVBq!Vn&њ>d ,4r`_!Wgt`,X@. W& 2:=>k;g=jOQ k}N;8t~;S?;;4*%Vh@F52!{= ЃX,PļE! =\liR`Mh6tZw7RS3cw5$ 1/Ւ'})ҾQF3ι;-$Y*elH:,eq69ksEwp}>|~0G#~;j6m yֽzM\c,o p < yvM@AzsQu֐F6Sh[ua)lԙ,c[U6~5Jv(v2=urk99dA`MF jW8ò΀(`B4/!+\ nO1_Be,'>x eOg^̃4k 't+ mӛ̰3%<3r<_-a&%L璧Tn8y##%OSBsMZ;OeGV#$Pvp G Da꘥_a%/ߦ &.yz]ǁ6[4U a)r '쭳"NQ/\ t5/q >ɖ;5oצ~Є uX}]UȜYH_O Pn.MƑ2E*Rbdl(e#>7 (!a*f,J"Mjp+ꇛt gBp$ . ժ=}w)5_ѵ PS\S&ҽY9VZMH4R]XZcNki>YwoHZ6Q'6TBKw]&vfJZwƻ=Aylѡ$lR)|~WO<}qQ T`Ɵ'rI=2-:|4Z/tZ3,FnA5/nּVC۠>)Y02l0&uG%P.  w}i6(]0S /*\p *ZKb\@N-KuיFf/?W>Щ2`^3wq+Lz߾>˸n]Ý 2ԣD-D|Ɥ] $fO$;k;X}oK~ɦG7K(j?hzJ"lmWnM'#sn_:<ẃ]b=d$gBWr1]px \~֎'#BD_\~iv91Fs}Om;mʣ֝l͹^g_wث6ZàRBdW'E$1̫ĄPLFՈԝ[Uݨvco0T,<*۲@=0}Xr5U4u( ޒj| %n:R֎k²" _Emk~ 6ޚKh`&\f˰ |]Ä4}.6(ݘ#Р>`WX x-Q, g̐K+"ίzy$kԻ@]x o6tC[j~`W\|\ʧ>|$GtQ^{_P]L&YP~8H3"!um!cG.G@t5hϊFW)KrP_e q-cqh0rap^k U1BothS 'L UDtzj˥ڶvy!GTmi=2}:7,F>1kͶFc˥TmbM,qYqn6UHpAuRYe;@r b]*rP400/6{u^LmtO=t66 ܍s* #rspk1Li@?lgGxgƼ J1op i{jU[CJj(.Y*졦K}ܩ,SH8To0QV}g!S)gBx$}#|>~JSY5ܜߟj{?ф=3m%7EyJִͧ]AAY{WZB)Ee:XDzPg'k,b!Kk endstream endobj 12641 0 obj << /Annots 12643 0 R /BleedBox [0 0 612 792] /Contents [12658 0 R 12654 0 R 12655 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27544 12656 0 R >> >> /Type /Page >> endobj 12642 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12643 0 obj [12642 0 R 12644 0 R 12645 0 R 12646 0 R 12647 0 R 12648 0 R 12649 0 R 12650 0 R 12651 0 R 12652 0 R 12653 0 R 12657 0 R] endobj 12644 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 184.6217 686.7] /Subtype /Link /Type /Annot >> endobj 12645 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 186.4367 670.5] /Subtype /Link /Type /Annot >> endobj 12646 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 195.1267 654.3] /Subtype /Link /Type /Annot >> endobj 12647 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 192.6352 638.1] /Subtype /Link /Type /Annot >> endobj 12648 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 170.4757 621.9] /Subtype /Link /Type /Annot >> endobj 12649 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 184.2422 605.7] /Subtype /Link /Type /Annot >> endobj 12650 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 202.8817 589.5] /Subtype /Link /Type /Annot >> endobj 12651 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 562.3 217.6437 573.3] /Subtype /Link /Type /Annot >> endobj 12652 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 546.1 198.5807 557.1] /Subtype /Link /Type /Annot >> endobj 12653 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 529.9 203.3877 540.9] /Subtype /Link /Type /Annot >> endobj 12654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12655 0 obj << /Length 19 >> stream q /Iabc27544 Do Q endstream endobj 12656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27545 20690 0 R /Gabc27546 20697 0 R >> /Font << /Fabc27547 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12658 0 obj << /Filter /FlateDecode /Length 1381 >> stream xڭXn#7+x `l됛99y 9/]]TZ;i19'/7>S*^k}:<؝< (' 0*SF@-i21C:~%J;vҢ<.NkXNى?Yp'XmЀy<<'ئ#b pX1{pfD ljaf*+.mVħ|Z!zr#y#[n;~tW[fqਝ 5oZL" |!buSLk6taZmG'6W֨T lGlj>pXjJ|̪JsF Ɖ<l[y`mp}O˾uc7W.U +vA)E#^S)`3Z +Um:ZCvl&B,D*x(KY)W;ֆ/ҫJ$UyIYh,ÔReЂDepF{GyqN^^A[遻̶r q@w 1}P֎}!/CT'B]aĢ娐OƨЫ]J콭XĆᤕc }ʖYv=ɿZ nS#NgUk&|HweE5/#VWpVt |D-&ĿolebW%Y/ʺXJ=B84TTeFBAJ]qǒHH?#R[^HC3 |eZ15x⏩Ym"Su @'Mnhd "60JT0J 3]7`l'Cن(mn2CeWp%ҩHJ]71 lV/0IIlGU H>#ۆzЫw 뮞Sql}p2 B5"dtv g2.n#z#%' iN4>[ߵ6>nC㘸Q~ ҳVG4(`s3@~1!k2VL;"?@Pz~Znfar[H+'lVW):yh !E9ձu7u*:?;lxըb{ϩ5c zqxe_ G8?ӝD['ӿD} endstream endobj 12659 0 obj << /Annots [12660 0 R 12664 0 R] /BleedBox [0 0 612 792] /Contents [12665 0 R 12661 0 R 12662 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27563 12663 0 R >> >> /Type /Page >> endobj 12660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12661 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12662 0 obj << /Length 19 >> stream q /Iabc27563 Do Q endstream endobj 12663 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27564 20690 0 R /Gabc27565 20697 0 R >> /Font << /Fabc27566 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p֤ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}K^ endstream endobj 12664 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1120) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12665 0 obj << /Filter /FlateDecode /Length 4629 >> stream x]K丑W<@|h2ˀom7bO/.5|+J*CT0E0 JK. ܬWi['$A~';z1\IQmgFW_3?)W%]"gh*MZW\ 0O QB'p+Lo a'%vK?$7ʋR?Ό$4AyG>\G t,pa6Vh\ퟀ %1=Q>fFq`&0>%꽌B"^_<(辸vg3 -f B*)tI@U|Rx /! 8KAFV Cfϣ/iz0_TU; <7 NjYeL\bkzJҽuQW@Zsh] /0 ̸VLYeeS7k4}j$It$),*0 v4jAcV"iJSr"&p!nzNA/ +LP& ӏQoe=D} Ԣ$I eA#u>SyĀ}|P׈'/@fQ8 Tٻ܄E+ϴAsu.:o,>V%\\e,o/]b* ] 9l0@tӻ]:~O A0BQȜF]KV;zFem)RAnKyyNԳQdڂ 7Ch6T9eH9+s+tp^fo1;M& m4zOE6ڢjx7ZItVdW#Zfo5{t2{ף!mvh*j1H%.+RXN9dXᛜ d6': dXNms2aۜ p2lᷝ dm'ö9ɰmNt2lNu8W$OH Rc!Ue 5W nZ6h N$jQ4h(Kb}"-ܲ xh˅-2rtz^"0l5~S&> 2$Aa( Ӗu+ 8- r8[; 3 `y3 ޟ@OM {cw\O]|hUp(8+`H`; p_=S}'b:czwM1yl58{Ć^pO=Nbq\"\ Vҿײx 2 MPc8tT)q~˶ U)SKohKR0<|YqRQ~aJx0e}Tlni*;f!]k1%r`!0g KՇo{^B:E&]anj{n XG]C_P"Q/&͉N^KnǎݯoǛ8dY _ctޗ[+z4+wՖH9."7 JE_;'p(:\+ǐ/3^!LJax͑},һz/IEL\"|>Iē_(J*c-eX#+ϤQvdDQBbV^#HknO7eYM $! ~c„D, %n)YtL(,%oMzʣ D!Bx*byvr hT0}haqf$)k䥆#rv-cRt-1)hפZihIi5$6HSA2I*̌u+4,MJ꾃h{  -/Jcd}Vemq+2U"2"cS{ D+ 5?5pcby-8iX\qϳDY4\}ඈ8ex]r^@am 5G@͚}rZ\n} X]AXQ vł-"-.,vi 7I07Ru#eFK%=g 8mMn_ζonv}v+p{{ n7WfEqeو-Շl͊ ;,fvms?‘ΰ pc:r}`GYCy@G:~Ƕ;jt{IBGPP1^߱ A..[l1ɔSzƒFOZڣ ";4"&yZhXAjYXዉ&@#2a &'5mn1F9;GY,u v @˺® (Ơ9$1I\d]ZkY.nTv_큖{u_s#H8t⭵etE2隲zHI9B(EĤP2{1r.AL&fSHP;sS5 Qfxt)NA /I*qKoԏ:)1P %S;!p!>!svB8U5/ M!}I?#rD0"[d;,R~=xNiW%U|\eaZZIo>*lfH.&BMP,U:[>oy}r`xk=)]~Waosm>krFWި/h^qCة@C0I\ߗV ;/O _StW7tT<+'g2 #5Nӡ)@T9FR{19uC{:N~ٌ y?SO5`:~-CZTàY.A% endstream endobj 12666 0 obj << /Annots 12668 0 R /BleedBox [0 0 612 792] /Contents [12674 0 R 12670 0 R 12671 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27582 12672 0 R >> >> /Type /Page >> endobj 12667 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12668 0 obj [12667 0 R 12669 0 R 12673 0 R] endobj 12669 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 675.15 114.9865 686.15] /Subtype /Link /Type /Annot >> endobj 12670 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12671 0 obj << /Length 19 >> stream q /Iabc27582 Do Q endstream endobj 12672 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27583 20690 0 R /Gabc27584 20697 0 R >> /Font << /Fabc27585 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v`M%C;f٩c8I ko ZHj;Jx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] Qoc&sbG _;>7!& gV#ج$VwRu&[)1:}Iw9F&[:YɭUrZ ip.3jUOFx|1$ɾ l_ EA 2T^2 'LsBV9gP|uɨ\T#ERԋ`nOLH9.ڝAp94BZ|;B}Q endstream endobj 12673 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1121) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12674 0 obj << /Filter /FlateDecode /Length 4323 >> stream x[IoȑcTπom'yچ2\<*ۨz"3IFƾ%E߅ Mi?×姫_Y:uq-F9&/_ﴶQk nj'[\˿dN`kv)ߗ@kq S_~Yi8$ eN'c'x> ~^u|؄,=(D|$4ƊhR+s} b!$03Vpd|Pѯ1zWf2d$0ZQ]qA>ܕSb+a,nxy$L2+K _c^K1% [j(UX #1+;-hܧz]s<9" <ҔW (Z֫ 7 oV +<Vt&I{~/D-wq7' (:gil ,Y=qr7IK4Z-D+W&MV~Fytk,f Lyq>N xe7.&Ӆn\qb.3)1 y@RmB̌} )t [jvګqY86r[H6.N%WB^wS1Fp@ڮ)WuD8<\Y7JXVu،LCeuv*x_Uh@lWliCսwVo70ZzO cbtX#pC 4'I`{Ip^ ,&C &fBܚZBĕ 6iJ/|Gدxh}+*MKУ%0HWY'yЎq6!niEb@;11q`]qEJCC5b|Sc&ڽ}74ٮ&rypx.Q 0БJ4 n9n߷ʛW#Rq*d́)`oBF9a,v 8{+P"Glh+S ;Ks UOLjzst-N˰3nY[1-B`U3sUμ* >V+MAVl!V#{$lo71R.8ΒHV{@M35$?u!e.` VMNLK0p'57s)K7xRM>1GI9j1R6f^,e{KX))C E^/ Пq,i^ȑKe(nkZDZsG=H_(+jic!ni5@1 kEB쥆ˈ?_JNdeWU^Qd5?SWd]Kr1QSg(kb=s酒6^͂Ҳ; 4!!t;V\~I#o&M{\5CiՁ Fo^1MS2CXqˍ=M|je$Gk&ͱ4U /}w*e~,چnWWXJ6Fk P>Rۻ;4s+E*Pj%E>MYnis(>=kҝkӋ_Ɖҹ>7vhnl7ηhPXUɐ/ ߸^#$Xi6Zi6fٗ=T㥒-C Y(*C-1k); p{4֓IԋÁrf4n# ˦dWR_w2j/|ZG&ť.*6Domr@+ cR&1 "Boa/o|A^_>|KOW^EԼ z߁axn: $r6K_j;rǕ(le؞2BU64#v]0Y onͮ,Fr#!\LP1GР|\U0ʜB*2#{AH Q߮ϨIbWԵ晁qۑ:#_)8:zCՖ-P>^6^hf@u ?i5Z\O.mȓKb N 8GGf9/犁~ҴYӠ.Yf֚V,.ݡe/DW.EFP<C㒬̃gԸC6^6 S_md䒰[na!mLe<Z; 4a_3 <Kcb㺐b,.7! .cIL~v=ɹC ӵx>hI>ˉ~V9Ѽ_"gR 9W{ _7/jI)a{Y67ƻ/ !5V.K\][q85ϰ| e}ZbNsMJπQbu=}KD_J9}I:G>3W 'IVdy_ 2GCLRkh~~8|?Zea5k M_cC*GeםϗkNʼa|Y9\uv?XiOV钕?9 endstream endobj 12675 0 obj << /Annots [12676 0 R 12680 0 R] /BleedBox [0 0 612 792] /Contents [12681 0 R 12677 0 R 12678 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27601 12679 0 R >> >> /Type /Page >> endobj 12676 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12677 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12678 0 obj << /Length 19 >> stream q /Iabc27601 Do Q endstream endobj 12679 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27602 20690 0 R /Gabc27603 20697 0 R >> /Font << /Fabc27604 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12681 0 obj << /Filter /FlateDecode /Length 5274 >> stream xA u&q6`]n -wwXfx<U%KcX#=a͎k4.F5>,k6a ͨyFg $L>DI]:=);~&RQ;,TX 7BJpn7!带sXd)iCCsPP.)g渽Gm5x.Px]b!/N,ɮyR`jMc L`ud-h/0|0re1U\Z- ִZMNT_%:8ai?QY c x@%0($"Ja:j+xZ|A.*~uP=hѫ4V@W :ϧ_YBo [M5WMW(pz<p yOp_'Xt0zD8ZvPYC܅SsMH J%& "l2-_z><K ZD- 8UGDR'!AbVx EFK.Т. : SFDqKyQp^ݑv )LUxl[XXJc \&NJZ0P+kp Fک'_@p _D-x#Y&$b'Zo)#[\H<,9%lE @}H+ ˱q"O^0i-y;#<)53jipru/F!KED<ߟOh1kIH[|®]ғk7‚OUvcطkP+V7Je:w WCdG3N#|ka1GF& N%ݫ%6Wӵ+TpGw-т|:I66gEg ]^+עN6]oCUl,n]reqGǎnǑ \xA8Xc xGhrcّ{:⭡VP~"hYc?[VXĨSzz2Z?[d׃evD$1xūwJ[oA;Pǧng`*ݺsKi nqtCC;eQ_ P#I?_ z <3{AƕNgˣ[@1OA{_ lG{N10qhRGOla҅*B~4}Xu7OwQbxNTuNQA渋vɑ< {<9Fb3@9 {@ !>|pC KvM#:Tv^=˨ѡ{ܶv}W;EȉMp`:碇Ism; z2b*~(r>p@ >5UB,9s8{B25!Tw8W{LK ;Z&N:9lBɉ&݇ʚ-)n L0{qBSz&VlNREoá]98yA+-+} Q&nٲOJ,Pyw~+fvq,{~SŒ: I_`Ix |ơ[ryEUdN*{t| 1&c& N:w:%{ΧWl7FJJ:rMr)ntO{O %}kXw`JҬ~ ==(wo>ㆌ=p,je9<ɠj5:4du/knlQ?Gj=SM[vMwX́SA>[=ʖ:RF&hAu4iPǘU8=)%S&ċ!*3 9R|`PG*(-cH~ SJ|]]Xu7S:A:9J⺑!Q#/r`\rzbB-\=UB5(:j{kĴ~?Z4J 2J +9wƝp/{~'`d。ޥa\?`uc]N8ՏOc fO@ B;[mBM'AH2{"ԹI53۾ yڕ݆szY[w9;# Fg6ouMd'"ZpjMryF#nK_u+{XQadx8ZȯC+:JRMZ~˻W>>-^m|⹕֮ބ: ӱ{+<&tBAr+pg(&VP<6)Xvѫ }]K18 eMm6O0J'f׃ z 2O+2^bxe{fOtTgHM֨~ 6UBY9S&ߨXNd|Ҏ/8ˤo5`poѽ By㦍~z-Sv ]E] endstream endobj 12682 0 obj << /Annots [12683 0 R 12687 0 R] /BleedBox [0 0 612 792] /Contents [12688 0 R 12684 0 R 12685 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27620 12686 0 R >> >> /Type /Page >> endobj 12683 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12684 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12685 0 obj << /Length 19 >> stream q /Iabc27620 Do Q endstream endobj 12686 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27621 20690 0 R /Gabc27622 20697 0 R >> /Font << /Fabc27623 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ$f endstream endobj 12687 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1123) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12688 0 obj << /Filter /FlateDecode /Length 4587 >> stream x\Icqxg|}dAAe0z #"Ȫ04vm|kĤ( POc׸UJ#6ˊKih\beg~1?l6?Z3QQdmeu[yM680쮉Pv@l&N8 [dd&iđT5ӎV$^k|6&5yu&ʀb[SF)V9 Hla>5lxz52gH 'Ԁ #5aP5E MwߋnmxuAt#A;Mts%D&m}hJn^sTpȶ,31wI7;% rvwddkk ~ޠrEf 6dp;r4ׁRLg$u=gmY.pUҳ gظR=8uCDssliOz&T0$K2XsT$OA:{l13VcVl[f[5mtj S2 +Xm ="e]ħ`݄zdP\Tѥb)S}5!eKB9s! Lm̷چYYez[F &3Y~4USF",2! uEWd3 hH-D#$ܒC[ Nν|XU?ټVRd "< ED < ְ0W |0 {P+>Wg1b~^M$p,j 3%?((≂+&]a2e;@h[&`)^;/pd֣Ev\ΪHKRN1|nzS=q%X3Ą~r6ɲd~HX(Hgʽ=.>dr{ʽ@JHOZsLצqn 8/HnNiEee9}T;lvn+ī$wD+:Y qSM7!؄'1+rݾ 5YEE:]+E "xhS%kn1Dmp|jgC274rDBv;eeaw:Z&+mZ\_ I#fq:s`ߵԭvEkU1ЂóŶhq,E;h~6%؜2Zp5]4y(گb,XE@õ?a[-p[Uw;@Kqym gEq%KDAphuӇ8 8junl8ԦTTF _ȺIY! %/J}1ckB 5:B JeC؆K'l&XcM }pWɘݏC>o槗 ZU=ԌGsǶv-lnQ0]RBGt;ȥh@ڇP;MNpHMA P3h26v%ך9pLci;sCb[bML6&Vz:U+M85{7,bjGrWhK[\=+&"Q9*w˥nǐwH׭6u|Fu"t t+G=}m8%LܯUs``Zߕ-w?jVUjG1% < &pLUK FOL*a? F/9ÛؒOX{L+!2K3cXlcPkLpkt5 ꃼMtYaF؍89vL^:;6[Y8\fIiJӍӦP.Kg6pP)Iەh.Y( ]vlh^rޚn<_{SN ; -,Z1iI,Tަ]MmvCnm׊sՕH5yߩ:7(]iKӥ ާ8h2Kd@!.Ǯ-d(,[ h,VTX{ȡmnyI.Y&P\͛*~Jo4KBN]jWEؤp'0]hh]9p2-FMD~?FcK]^,y` n8:A څCyoGȺ5zST*ݙ-^aYsXj]#[maO*[%<-eyB* 䵦_@y] ܯLu7bN@*Xcw\ݜ諛>7d 썿܇T ٤lݹ`fn H"ߥƫ##逕{+afw${AÉ=l ;M;~lB"l?6шl~Y=mMBP mv c9rH9)#o >]Gjrd )\.e;(= )i)?q:T$آ= ey3>-!T5'N|&ͳj2W";lR4Ńf̘oaO rࡣ8t߻Yһ/j1RIN;ս;|5;s@1J>E>7d3bc#ZH\RD@>·} |YK6^ukP<%E?Q\D:M{M]9%~Svy\ 4&y$֦@|ťCM`\ # NN钏{x#\H)#JE/d4\G: ete[fĩzXahb`Yft\bw:lj,/g?3N8xNhÚJY9,St%xڴGCV&Rd&4 AR|x> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27639 12693 0 R >> >> /Type /Page >> endobj 12690 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12691 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12692 0 obj << /Length 19 >> stream q /Iabc27639 Do Q endstream endobj 12693 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27640 20690 0 R /Gabc27641 20697 0 R >> /Font << /Fabc27642 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12695 0 obj << /Filter /FlateDecode /Length 4992 >> stream xڽ\K$9nW@~*+ Ѐ zk= Òԋ"2Lve$>~M~sjsv OIϿ?̦oQw)ܝt_^ 7+Yﶻ)՛TMoR^Iוֹ r[cS1M-Ҹ@fAp,z>hlhX z1BVUGX'ϻ[S1Ws4aꥏ ?$Kr$E L=|{E8TMCyr°׭5?bdIJQf7)vTNZx}'ƵFF<3l9+OFp ypc`tdv܍}3/y)4+hGze9k=BG(08w^1ը2A_%F}LEJUeF9h'(+ai47? Mr7)nϠn_Bq&P'J/@=Db"&gv)vznИ8!{?B[ [19lذ&5@:1lCVrz垌J6=2[zѺte l.H:A=0W &ehxKOv7/z1#`}Pe,J@l6e XI=JC/Q@%0Z쾗"v#}oƯ8E%`n!sXդ_FY a& ˶ZmWRF+_Z8w2uxO%hHW2zGά&$e"f4'!B5\J"I*N|blڭ;6O- s1j0L>B8$>U85 _lB 5x&zQv THv.ů. sd v Bc偓ZfW݊%.鱏K{8NWS 1z>+zÅW%ԟ!.Y[/|OuC6Bk!g6{$R#1Q -8Bh1# 𩐨o3JPJID(-bY C, oEmMuJt S(+^s+|;w<\*8/[=Z .ZjMî-QĔRA=V r1=Eւ J3CR1%LY**F+O=Qм%RK1${y>}q7}koVa]wb [OWWNNf_,*2KL~ waYKE)Sb{#\.*:,N"%sTbwÌ[ǏvuUdlJ)r7-=B/5!(X7t$ơ { jlñ4~膎>!ǻS=?aY&잴i$_cA‚2g0IWa͛b!?ҽqBkNgH1.wEK\& yR~`=4Ӝ1# K'q-Kz?b_< f="ܨ>Z@ɫy,D ۊ|ibIypSvYFraPX@IK [4zbx18)r @Xo2Cogrρ:toJFۙjIDB2҆S6kACօb+jü>ϜЧ^"kܶ]CRra27=.*2&yZ0nWT ڄ@P=%9',xe"ņ_(?^E#+v;{ga4w/^ J!b;|m;(O|P<]/G~Z=LpL41sW=Y)mNː<rB@# bĖ&VٴH;eMwIDD#36y>ѵiLG)ڍBcl/[Wvk8QjjlȊ)wx!nLjIM Lč(%0zHUt(k&,uq-l?` G Ѻ]),;b.ďx%(s+WH 㡠^yN۞f= :x3{٤¥Vn PӒC ߥgP֍VRʴ*ڞf-q`nި] 9ʨ.|NSu1{ 1xj(Mx1+y tJZ|u[gt[9C1ivc{ivI Y=ͭÂn6q2UhR4]bUc͓v?'h~ <7/!\RcJ'.c,Ûҟ[JKn u0dw}-39yQ]9_o&=3 Q~9Pma_jtT9nB)?)Z j΋K )Y9-^[ _JNwv2[g,+e+=RpGfR+G u =ErG#α7Զ> 辫%D;/a^)0V|z'\>1/s_bq%n)_UN`5m;Lۃ`I`:7RgMǩO|== us5|'NˀGEocIK4!ciH*WfxÌZKJJ#lTqĔHKLXr jΝȚXe-UcuFOy{h[}:\v5kmuňN"%0S^*zKy5~2I).&xl~)j垕oIi>Ҷs9`Odϥ+CI܂ły|} VRVTY<^)pBWF[ZkɆlh%ؐҺD9+> /rnU8+";4X) IVҸ":n{l&kbWzxҢ&2^kΒڰt& }ǁ@Xu9e *D (S˓3Q]I5Tw8ՒDd}wdj֛L%t<]1"V#csƯ8֍_?)Z2}<쬶:=!F{I8:jU:7/c _ʱ}NăՌPDZ2~DZ2VRwj CzxY?3Gy*VтRKbl {pI})Ҡw$4į_{T&0*Kdbpn.([a%x bjv:GKbua~}ej G->a@"Ւ~ G=sE3. ~ L.ϔ\ |%rM'C*v۟mlHw s*:z^n킹=oI' 'vEb+7'C݃ &[5?mzDk%C՚Դ=h]Ǎ!TrJ=-9o|^o_>%ֳv?!Jxܦux$wvf H61)0ܸ#4g΍GhXNF#8:kGӳXy!UWxGZA;?~rnͳ#s< jťMڮ, =jW.9[ j,+[B9|.\yx"Ůf).E,I2XВr*0a{WTz~E,T4HILrdBQw9zlKB9;~gd<N.Y;l]rK{#he( +K:Yg288'P 2WævnwA75|ߺ;D ~rΥd$*t~rq 7mZG-[BiJ{ݪrLm~D#[__jG,EU9+2W{pU6Pn ԳV$XԶ0> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27658 12709 0 R >> >> /Type /Page >> endobj 12697 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12698 0 obj [12697 0 R 12699 0 R 12700 0 R 12701 0 R 12702 0 R 12703 0 R 12704 0 R 12705 0 R 12706 0 R 12710 0 R] endobj 12699 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 212.5461 144.2737 223.5461] /Subtype /Link /Type /Annot >> endobj 12700 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20210608081201-08'00') /Rect [104.1732 196.3462 166.4497 207.3462] /Subtype /Link /Type /Annot >> endobj 12701 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 180.1462 156.7257 191.1462] /Subtype /Link /Type /Annot >> endobj 12702 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 163.9462 165.6412 174.9462] /Subtype /Link /Type /Annot >> endobj 12703 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 147.7463 192.4702 158.7463] /Subtype /Link /Type /Annot >> endobj 12704 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 131.5463 193.7132 142.5463] /Subtype /Link /Type /Annot >> endobj 12705 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 115.3464 166.3232 126.3464] /Subtype /Link /Type /Annot >> endobj 12706 0 obj << /A << /D (unique_719) /S /GoTo >> /Border [0 0 0] /Contents (write_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 99.1464 197.5082 110.1464] /Subtype /Link /Type /Annot >> endobj 12707 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12708 0 obj << /Length 19 >> stream q /Iabc27658 Do Q endstream endobj 12709 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27659 20690 0 R /Gabc27660 20697 0 R >> /Font << /Fabc27661 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12711 0 obj << /Filter /FlateDecode /Length 3540 >> stream xɎ^_p_=3rs0@OJ .[ug,Jdo[IJTE>W`_]_ԟ |3s⪵SFAMZH9hh}yP/N^vqV· 28:e L0;xԥ؉ dgXHp~BX73$>:@PU3w1Dte'TÅ 2ؿdaTQv,H"f0,NoDȸKˈWp.?a34O@wL/ݳkٓkpF25k.9|ojos%aiv؂AC %P5%|z 𜀆'h?G'Mp\SNoȉj;(dk ]ūᒋ@Y~t^Aqd7ْut C\j:-"6AwtX@B}"jnި]m[+om5X[W=he[!4lc#% sȻizM3[1-՚鷕v!¹(oJŬ, f]'SfUgɒA'yf4K_lfP' [H D`!_&]' JdRːma:1ZPͰw޸T$ 'bh}AlaC& v]'mwTC|0=E-yBLͼ*0W8ϫt:N1oX&qPS"ji#Z}'?=ld&6xd}-U t/{i[KH ENQ0z"Ϊ+N|(gF^у+>,.>|EPgNhBPgwk?iSR35x@5Ls+X;̝!uNObucȕi#*W;@Bc'@B !o=x#L"URZ<y\Dʙ›#g6)j'Cfю2$斉Lkc?  4۝L+" vu- 5^,bY,;f^Wuv^H4ӴwSNLB=K ui6W Ѯ!)>t$3 A& n ̳H>dF}雤9~nTi4 Irj&יAڼJZwa-mNP)oFß:;$IzCjRʸ yvYM'mR8l_e\꘧Rb`,jTDxߎ.P3bX\V~~չ]~rtRBD`<]1S[jkq>L)LI{IW/Cm,)Iє"2S"oS}`J6X?[a~~Bs7)ߍlŞGpMzEfpכ @ޅxx88i7=}Tt.>Y%.r?G3mr(V/rd+%Q)z:տ.ucVo R |MT_P l6Ө1;xaқٱNtkq-ehQ8ekZ^!hB zUi Wb.dHAA4 kITصuI!7)_)WwS>_īϣ@ԙZȥn²t?J>LVkG"|X b~Ž7tku|vlқZa80"e̎c,3GP[)l#S \Df>`WXSw2 ;,RHkD#^VS~n{Udef\{:!lZ{M+IIiʬ~eTGh2jꖷ [uMT;ʐ% eԀB|gx&k XYB'no TxL k)|C0CriaiFGi۳9#ٽ+2alu4`TVal45mn;1 ) &'87^ۺ pZU$Ҹa¡ko/R%GNJ4x).+KsH&Q= m(ɕ SɀĶ^+f'|*+bJ<PJ1x,UsE:>>Gc;tҔZo3iHࡃh xakEȜgڛ^?>y"+x?S'x0Ye.~'H{R$l;0ڃYvfᑿ`SS+ Gל;Y?oa]k:_e-ˆ%v=]d'?hCB-o#v endstream endobj 12712 0 obj << /Annots 12714 0 R /BleedBox [0 0 612 792] /Contents [12720 0 R 12716 0 R 12717 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27677 12718 0 R >> >> /Type /Page >> endobj 12713 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12714 0 obj [12713 0 R 12715 0 R 12719 0 R] endobj 12715 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [90 424.925 135.562 435.925] /Subtype /Link /Type /Annot >> endobj 12716 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12717 0 obj << /Length 19 >> stream q /Iabc27677 Do Q endstream endobj 12718 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27678 20690 0 R /Gabc27679 20697 0 R >> /Font << /Fabc27680 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%NS~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12720 0 obj << /Filter /FlateDecode /Length 3966 >> stream xڭn,ί賁is'hf4|# Aཋ?qeOo4M6Y,Vi6I b/S3SS6إ2x5oTcr{NVÓ'_b}ʏ.BN/?O/au ڷ#5IqJۚ%ןߦ_xn2]IuH05rwZ[|u ޛGx)G`G?saq-=N~^O-I@6˿s -, kXĸGߎz>:$m ׵( NngCvDQtGJ8:GYY udqBB^&_?/d;f6 0~F8Fɾ7֣ȓ$cAhIIjD_"DmRU𥓬Vq=*C ]hG , |vbVԋt?u$V;$R$|IJY̋O#'lOPtGv qN?ݯ(rq6({*$;$[;o+ 4UGGcqOfOndG\?wSui\!HȺgJ}UosQڇ7P'~o;ȣRo{*J)A$WC굷:> -,,g OqFZa+ZVz$Bv羲C^d+c#jH e 2Rr&; _}qi7hf\O]|bђ[jÖ1߻4,ܺ)RKؽ" F:|u9ZL}0p9L>g0a.8̡Nk9Ey9ŚwPd^/-tOp!GXx1~3utoגh&}}T(&N@8*e 3LPx f"ч& dpƼ, S 8Dp-P/xl|I9`2O5 P1x43cԦyOz,sNրKjz=">c='$7%d4dfc48OT"t /i')XY>KUeŀ=hSFq,'-Oe%Yüh >aR_DQ&oH*ɞ1R0l#2u4HRGFʢ5e$Ujo*RV+19vڠ8V8{co˵iUA RW"vP~"QX?r/1H7-ĿCo`gFU}qc" pIlwCĸ)[!h3¸;o*3;εߚ&M[' .Dg܆ٛu.}QKUܭz":x)\oKZ(kzc>{b" 7ުg U Cqj61w(dj~4Xsd ƌk;N Øyl֢?Ĭf3`qWx(VVwWь!tsX>cحk hծ`0"宝]Hȷc/NV ,u- ׭ev|O<w1@ZN|yBNNI =Be*ؼ:a~gcwxj皁<1Z#nUYѻdF")-f54_9 ਃ*|0ٱ- UcbaNT?/vhѠL`0Ml1}lIF9Ƞ ɩЊ@9Ra1p*>jn{j ypWw.rR= a0["YuZpALi*-LRӑ(vCzk(9l+Ml:+/pLnΖa.H=AF\ltPa ';0<FeNH1^_`Di}w`oգ:Zx!qcKmw+u!rBEc7!|׶Ttec,qTRH72׿é)#0t*pL+py:~}Yτf|;ç|?'ɷM^Ԓf~O/'u ށT?6ڈptZ|-.ݩ@ԛ,^ZzOc+OL7v=+H$$݁]> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27696 12725 0 R >> >> /Type /Page >> endobj 12722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12723 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12724 0 obj << /Length 19 >> stream q /Iabc27696 Do Q endstream endobj 12725 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27697 20690 0 R /Gabc27698 20697 0 R >> /Font << /Fabc27699 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pɦЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12727 0 obj << /Filter /FlateDecode /Length 3773 >> stream xɊ,7_dJASUe9 >== .MKfe-0 MvR({2*;ԗY-vtxU?V֧^1U{<ó1dG{esS҇g>b 0O}|gB}wCe#\0OfY0Ɉ@r~.BvVF=/o$6N~v[)"fCs&JI1Kqf5+Mfj眞CaД|vӏf^~)9Kϰ[d 1v"ᯘy0b;%8jˑ7xfZ<9av>m?p&pE:Y8={Ap y"\|)! Eh(8WSx(h0ɡg=UgߎyW@WmzaߡaIQga,K,o_ʼn"YnFo"f6 rJyxeJ̐&753Sߠǩ?3+*(IK°⪟pޟ cB 5, `9%jZ'k5`mlwOWdy`(O(e2EJ\AS<5hg940$硪pUpyQgVk"׋4hu{U܎=]7hy=y}l]zL=E(nNۇwRC{tD!?ns~d aPx^~ 6Vh1eŏyYΕt dMΤJe*4 ̈́#V=ièa\"E: 6m{ 2&o=iG >|yЄuXږ~ftp*8&θeI;c!P0#K S_xmK>Nm}bY,jvfÆMɔu>֘m>Hzf~VAÊK wؤm9Ȉ" Kt3Z4`P=]6hZ;)ٳ!/v )oBtqOŗL*$ ޔ\Me27M <с3bM@O:k:p!W1.1Wc>_ ?W@G&ۢUX G*0C΍@i&L.N cX(sӓ(v-zR~#UR Ű)40 5z=;ͻL7oHhj# \l P2' ;CfqX^8(?bR`Mi%џM; }wB|3 5Jk+dƞqִ~8 } #|{ox#:kKDv,E+k\?vL2~Wa\@.py$O)mjz@==1J<5xIn<*D\kxX F0l_p/(h&-DyvJ25 Ti |Bni;ex! $/xsL_Xf&QG꘵f[IJ^ -{sjW͢3)R[lULF6.ۍL~O408!|I8d7 :ɞD0x eܜĮ endstream endobj 12728 0 obj << /Annots 12730 0 R /BleedBox [0 0 612 792] /Contents [12738 0 R 12734 0 R 12735 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27715 12736 0 R >> >> /Type /Page >> endobj 12729 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12730 0 obj [12729 0 R 12731 0 R 12732 0 R 12733 0 R 12737 0 R] endobj 12731 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 155.4057 686.7] /Subtype /Link /Type /Annot >> endobj 12732 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 161.4227 670.5] /Subtype /Link /Type /Annot >> endobj 12733 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (unplace_cell) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 162.8362 654.3] /Subtype /Link /Type /Annot >> endobj 12734 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12735 0 obj << /Length 19 >> stream q /Iabc27715 Do Q endstream endobj 12736 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27716 20690 0 R /Gabc27717 20697 0 R >> /Font << /Fabc27718 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`URthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwoD endstream endobj 12737 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1128) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12738 0 obj << /Filter /FlateDecode /Length 1208 >> stream xڭWKkk7W̺p[`x]E*؅/:3t K3}`. <C6B'8.jDu98]>K+zrB#ݡ"Qxk3Qov`=t؁,S>yn;NJQsIZ㎄sLg,ȊB!S9 ?)'SP) _2l__ NT"!& KBi5FiZ7ðԧ.xbh%s1e ^Uզp-y4z MS8]bˈ4+#Ecwcp4ȇç-7ƫk W)lW?z!Q snmL3hhԩ v4|݇,GS%JGMb}9b_m 6U ~2u:ۊ]q5g[m= (p7=K;:+`BEm";jjiܵlOQ7ppPr$ տol<5%N)lYTSгӣ ;}h6N59=Ի&MubV{4?=#9 {jZoBnm-Fo0vt8HZp6֍ao's~M$|<:*mcsw-Z)6cw}+T|G%D 1Ja.oP endstream endobj 12739 0 obj << /Annots 12741 0 R /BleedBox [0 0 612 792] /Contents [12747 0 R 12743 0 R 12744 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27734 12745 0 R >> >> /Type /Page >> endobj 12740 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12741 0 obj [12740 0 R 12742 0 R 12746 0 R] endobj 12742 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 264.625 114.9865 275.625] /Subtype /Link /Type /Annot >> endobj 12743 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12744 0 obj << /Length 19 >> stream q /Iabc27734 Do Q endstream endobj 12745 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27735 20690 0 R /Gabc27736 20697 0 R >> /Font << /Fabc27737 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴hy㮞~?va,6> }0SxAo}Wi4SokWU%çCfٮc8I 6-$G7\]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;P/5"]zP.J}G"QB3Guœ}"juZG)ȃ;6+Ir8knT-=%MW4yrCod%#5\[-48יq|mau3ͪEǐL| cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*d~{)UD]@wP&]@p94CZ-z^lMK` endstream endobj 12746 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1129) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12747 0 obj << /Filter /FlateDecode /Length 4442 >> stream xˎ$.-0`gڀok/C:vؽCR/J]3={ )QE%Q%mR=}y LMNQ!Hҿ糝>ɻ;냙>Nҙ%:|߈r$=_IO$gD4/BO8 ߧO~R='%,qr+F @?$~Պm(hB?MK'q$:i9:(8~>GTTHrY` 6DuB|f_b\u2k<_@@g΋u xMD!&,C??*bR >ia 'l# ^_@DHO#Ǚ ݌68h1𗙥d@E@yڗrvv$7p'wɔKJ5$Psdxllplq|~<5a:U{&yq%[mnŭր=6+fZ . L5bbvWaQ5=@Fov=ఁ(r:DkՀӳ[#`t`dpYf8*e`& >͍7.S@Y5UA.Vs55XVl?L 8 x#^4 X@]U(JHc߸眆HE-,q4Aico pƮҸ3-n w z5%bEcCUiw -g--H(,6r٥o,kD! f8]\6mKz.BGmg#ARLIjwm}nsuǦ;Cjc y<^&dp9 sY pBd>6viqthp y~Ƥe[gzϤc-y>'Z&o9c@YXA]!.ȓ^n36-b t;lˎmKv(7ڵSl򐤵yt;&DEٔ|]ghDͦg:_5/79W :6Z k>il-Ϯփbv43JG70aӘFL͋Mx#jNOÆz&}dMToBB? t"+z4嵠h؉+Ajb-CMy$ybνeꘚꔎ6IKM:߶u{KVN?h3[V7`bgeB.|/69J;U4(No"wڷe4v.xSzydج~'$`˄7@+4]'@(t.:Ǚu-# 1K0^y/pCv^ܼЀwfK9]r]sS21&G1]L%&#2@Zķm(Cz+pRw3wOl,l>= AJ8ԁD d+?x)Z8,`PGҼjb`tƁsa[aY%Id"fiS$iAS)2fc/jnJHv!pb:'!ljqSf'")m2ĵSX;bDIg) +yR)1RNe/2a6 E+)TqsTwO9 VFftz^\!;Dƒꘈz]a I15CLKC_ڤmL)yEqQp.zuՓ:8}q=K+YAo#uFV9ѺyWP==c8^cL܉;]?u*몖4Q4t@guvW?`gO;֕'&@B)Z <&FpW(hH*[3_>{xc~샙ql9u&w\|]qlJ :\b/ޠ fX@,zxftKַ…MF>e{\h6徂  tGJ|tV]GFv8D^`uѤ`$Dmܵe "Ï@XLA̰Oât4c,=TXnTIs  ؂ZjD w)]F,[1bKr#^A|j%*˼\ą o P(n֚#M7v{*]X T4ʵ (OQKoxxMZe!g˚f:N0_TtЭ&"/ҌlCRC/Qp7 O8u,k.+`1(D&䎼4Kb44:݊|N;;o|צT|M@egcz &YS$/P`*ڀ?:2w%hG q;~6? BkM=v4d*rUL2#jLF"(Uɿ\o)}ͥ4+i{WGTv/G !'}'e?dBfw.ki 拤 )-> {""/:JZC ȇ2w%ZQZ}.deQLͼKUnyr$8'T62ڣabM+\ qKv<}Si\JFv׭` vtNbv]M;s_ c~<>[70x ؇|U=_ח>#mҶW:K9fy9fV3u[AvQz@o0jXXxx̺##'L@Q+#K>ï|ϠÁ5rjHQXJʿ&gccwFuu} @2V X{erd,}.!9 zs":Mׂ:Ʋ'bIxa.ZC endstream endobj 12748 0 obj << /Annots [12749 0 R 12753 0 R] /BleedBox [0 0 612 792] /Contents [12754 0 R 12750 0 R 12751 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27753 12752 0 R >> >> /Type /Page >> endobj 12749 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12750 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12751 0 obj << /Length 19 >> stream q /Iabc27753 Do Q endstream endobj 12752 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27754 20690 0 R /Gabc27755 20697 0 R >> /Font << /Fabc27756 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pΪЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Q endstream endobj 12753 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1130) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12754 0 obj << /Filter /FlateDecode /Length 5491 >> stream x<ˎ#9r<PP($ m SٳE d 3j$ ;U'٬OޭIc~rͮZ_~Cmؔ^y/~QJ5w* =vܨS7|]_Wӝ'_2H/뗟P5~K ~O.Q.2}}]q%\U6sEA[^>1cxC /eG1uV}$ya(Q7BO;H<&岻=~xׂGRvw{2JoAg8ړv;"\Fp"ؔdeik0;܀*7@(@f¡|@}[ԂS0%+Z;~ڶU`+̘ηD+ n/jRR1[Br/qOC}^Y$f'#S"TbT3"ڶ{DQ)W=Ia.B]dIR!\VuhdY JZW]r45Ҁ0.>8 WDPHe %3ݦnJG9cTOn 9N\u3IO(>"f>ܣhg@٧[#Eua% /(Q@tnl772ص;T`AtPV K흭Dm6Y +Za唖I<4\<)6մ &m^tNi'kP0w ah)4J aFٓgrQ2"denm̦tffip­#kǒ&ar^F6H3;ͲMq Lk#`P) VP/Ku- z<گV/~%4UK~]08~?e6?7lZ/^H/<k@2(l72 8ZYcpJ t_p$΁SzӖR.x~}XʚМcE)m!^n] T*pH?׿*5eѯ+uT8w-6B8p$;QpĎ 7RsmP`/ ڠO"] hc̢Y`LMx#W m15݌Ԉ18 O_֟E8fdRg@[7T^/ >ath(P:M /+;ʖ,.:-"/T`#1>-OK}?&re.d4HZTad7HH}`(4EN*!`蓆;4j(\5B,/)~;tސkF+ÑwX!pu`h({|ȻƹBO,*z6`ǠF%v@S 2Q n x0ҹ4Dmvv=+&{ Y GI 4[pkꢎ" GE ֗^>{mo8XQ~T. ˄ipzIJF%KU)EhSQS$8 Jӯ% ]jHGCD#s|FÁm0 tÂ!ƺFyfl;Z`qp8L|Jn!CL:YH]"43ptjмP|q`g,:gh_z ӳ8s¹w֣,7shIƮr︝B10̶?qp;@yZ&U(^j.Dɐѱ/)&߻+![ dFM=p }ʯ+Epx伦˥Ef:\`|4 -B5$ F9[L`XBmm0]BS8X'W?+FA&.cx΢PL{dMuS܊kĉ\0x:kl6RZRP6 Ӏd8Ds\fuOR'Y Qs_:l1_.ŇAmS|g^޽*ds[ZxNY*qps%:mieZr ? 4`S 8"c0 P"~4ir V2Ah'fW©/ ;$v@ZQcW2z\8D!= z,#wLN:l<́sڙ(@f=՟sАco7R.l9NACe̳($Fѓ,HM~͠9>4\R H~wwҽ<1&ִ$\H#r;Q;򔥔oI<6^|R+hD{S%BgaDVֺ#Zĵg4@:X=NR3[4/__a074k9 8\G\ő7̷s ^)6Ȟ\E&֌(̢L4;4@l_pE([1€~zPgQ5[V x ^(6WawL#1Xd~6=: ҝG&`>83 6qr*mZkY8Z8l%ƟiWmIƈx4xVM7cl"\ סE`[YTʨt!wǗ)ѬEp.'V Kre,Z:5bW0RbAƧdQd 4'IG}ۜ{XrdqQVpc*z: PFV tTlTlQZHNQ$Fn`L8; ѽIHIz}+K:{7b5Na2R)MQ]^2h5&SCAKh :֛ͩZ4?>VMRVG2j qPBWå{J̌)IaUǠ o3@ν3y`)?L&f&(n\4V шu?,2VL;2ϭI;(>'H"G&(F~qً袇w΄ F?Lju E._Jz{C5΃;b|M2"CU6܉ G1:x!jBpL$ey>(|lXd%~ĸV1 !!q1Ǒ]> ![zi@c93*9Ӥ˳*/"M ND2ɲi2 M(r.h3(,Iy$FA^Jaӛ25~+-|aƫgԗٟݵY=L|.Q/G,RԻ\䞤{? GTGW$Mr+s[B|˷72^oZB,w,@rMgMS(?NOHb ρɯ:BFGB-@gF.ks{R{ry.FY4N(3ꌸxZ81/ |JWj[e 5vK25>Smޭ2!k|qFF7Re!kVzGo|1/̣{Әu8V5R;Qs^lͥ-֟VL8 -rlƛKj!>!ӊcra2Ћ>z,7}~G&yo+|c.fqťk?x!ށ!鰢ҖIR;h{ _s sN824:*4]tT.n\[m Xz{a\9`0 fFX2pEa9cx9|着?4a ߆7yVM+c >@'c9Le`E9s)@G\;0:*Z̊,gN-˕],d\Kqveo|0vg endstream endobj 12755 0 obj << /Annots [12756 0 R 12760 0 R] /BleedBox [0 0 612 792] /Contents [12761 0 R 12757 0 R 12758 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27772 12759 0 R >> >> /Type /Page >> endobj 12756 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12757 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12758 0 obj << /Length 19 >> stream q /Iabc27772 Do Q endstream endobj 12759 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27773 20690 0 R /Gabc27774 20697 0 R >> /Font << /Fabc27775 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`UЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYu_//d"Xl˜5_@KiܟBj2gԜo.9cDA ^zv,Q9 )evP(y ?`E ۾{wXd endstream endobj 12760 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1131) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12761 0 obj << /Filter /FlateDecode /Length 5162 >> stream x\I$Wِ^6vƧ6ОʬR2 }Jo/RŚ%H$迟Ο"oQpUp+IH|'t6\|Ia:!nW!YVB/BE~gsp-ia.\{c4^eNGܥ? zot޾-J@C' F.:i i3Àf!ee2&&\qpwXq@:0,Up\PЪV ]6IL h]p:Js=d)S$IY A%=W5KRYm6K lU -_9.ݚ; .5wS̝5͝%N^ <x4`M/ڹ:8Atd сFF̎dOAfC1&|ڍ}[|vZv*r˱k0Ί/]G(f>VP[΃d3H {2HldnPeE*$cU511A,Idik?_`ij<*b=QG}$ aOdSPUך4 oղ-q.f^7#8d.Y.)Ky!~3K uOeApGPܒF=(+ۋ;b}BFgBuHGwvCZV5( !'ffK|8Y?1?f7IF7kYMY:M8o̥{ qEPZ+-Y.uFAM Rޥ8;Ij3O }Ѭ~(#\n /ZtE,*UXHbqz7T9r3^:g<D},ȭ>`M'3=JBZŽqO ~W<' HV;H֏,1|/$?F_>Z4n[ ˁD=B=2#{d-Ej6p7"CŕRKFc"F.'^l;CwNt9ӓ?uY1oc0[.f.j An3+T%J#yxݚK2@ѽNi{arb1{iN əֹ7YYe,řMPj%8S=U"OJƇA~/CϡO k(Cx}g/PaJ5Y%pmMyRkdn&ϢJljD\i\ 0@p)#ڰpۂ Aғ9 WQe ۙe Ĭm^lWܰYZYroSa ith <-Ш(T7nܗ(> ʕ :}W;OyV_u갚괔agWS#BoEʡM⚢ib 6Ӝ=mVC:%}&$^ x 5"fmB\g#i/R2֥zߍ(/O[CISE0=+ŗłfz RM9'OU>TARQ,0NnV00E*<,db.dBzi)0yq x||bUg-d8r],oEW@Owat AG̝_?fW `n݆J1٘t X"x^{ )_~;=THq$<1Fpa.tB7&eCĿk ZIJŧ@}t 9 ѐ-?T[1 7fnUqXzG?܁/X;b2-8z@duJRdoC67qDW%s e@e7LLz-vco7 \ÛFnfb]kV}sNz/VYm|NAbHQj&dC  e9#2߇B}N[(j TAO Kͮ8u]`)N-!sʼmSc"NDU8U$W.&C5ppw0"_4O )K)$ojEuCРLZ]KBB&t‚ǀ%KNxF(φԟCi֢gP=\ЪuVc>%u_ V_P }NS0K`dAfE&`Ht"x3-ݱp3B>wZ7p%L "e퍅=ֵ pв BO\|hF X!VVBT> 9 X2ƹ$p(o`C8LLuLbRseKO:MG;F}`76Xm!2ǃq\,f'{ہ;2fHү360/Hy;26A|Pz ӧ.Oݶڥ;w|^J|Ofvj(,̬?I-ѥ.Y+\8d[m, d$t["쮭^ɻsלm ݛI P*o[\skv`O5qWNoicza(\ڷX .A%KL ,{fG?kYXWg\~x)IU,&y^+,")wߡ -Dq* ,Ъ=SqB9 þ׺[ݚjͲ?0!Ca$.`!C8HK|V54uFb1W/HUKgaDkCזQEL)JpN}he[ñˆҹ ϕldj)PO3-OD8S=V`opKi.;S/wV#CZ# #qOzv +ʑ:p D&j!}iIi4ąy{%QzFӭ`tؾ8J 5P*'ALؾTq՘a&hHI$R-O6d2l]-鱝gYo{-Ra"Lقǡ̑"Hc9J7> q,ۼ %rZfIp8$* ҼH1Yb,҇ƑX(hy|E><QK$g ) ` jg-^"L3VGϷ |>3Z)[Ib/d,޿@ wȽŷUj-,(ڈ=8\kt/YkNu^?|*a ZLMrwP6/#Ou+.Udy iuQ We endstream endobj 12762 0 obj << /Annots [12763 0 R 12767 0 R] /BleedBox [0 0 612 792] /Contents [12768 0 R 12764 0 R 12765 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27791 12766 0 R >> >> /Type /Page >> endobj 12763 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12764 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12765 0 obj << /Length 19 >> stream q /Iabc27791 Do Q endstream endobj 12766 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27792 20690 0 R /Gabc27793 20697 0 R >> /Font << /Fabc27794 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p&жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLޚGFxaH&E d_ )2̼d9 \?`Ni)QXI*d~{QUh^@wP".*x Pڢ_Ћm߽?^ endstream endobj 12767 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1132) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12768 0 obj << /Filter /FlateDecode /Length 5670 >> stream x,|wvP/0s;"$YzlgFSEf Z~_̢~boK3KK6إ?_}1,_c]\vkaA.hMxg{9멏w.>٧Gk[ ?z3eM[_k`9? ] ,aڋ A6-_ `y]Wֶ<.#\'Hnjo@!wN]sرΥJum\K \a:Թ}Xb:B = .4 (ƀcK?)iqBtr֬. y_C&6S۷iNc|A }0  æ;J≯DЎ^H B9PqO"ϣeqmB3SW J%3YW%BxB|Ob~u^1KN oYyx0ž?J1ۅۃRE~CVdPO5;uH\nRRY5C}T*4IZy`yb@fqӄFMֹ"ve$f@HTٱըڂ%lu*v ֓mC"4k}y|Z 5J|[u?B ߆!D-DÇQ,Dzy  s]wszuvָ82[l ݘ/z)V#F37K0AМM.vuHb]B$/!^%$JOqV7M0mvc.m>ɷ& p`2#W'wqLEmR!%I_򎄜 3# ?ɲPlxHq]xkPikA.6N`PYfP|<Zf#xz+nɰXo|`L3j)kYZ~C^tHBwmW;QY;15^E AuIq+-aZ.Rwj3 ,нq/'8 {BB8pf" yz4E,7ua! e;QB*k [`:?؋/ 5ud XYt)4 I~o.-jf}2[gyrovBi nqeMj 8<*uXw K f|׺o` ;{dJ}BjN Svcg ܢ 8f5. $M0}|E) 9A \&ɶ7&, }rhO06$r>H$";sp:Ly}dsGv-d[CF47!ʆЯ;LlV>L8.~W:۬ƏfOjZ@.{e{͎i֥*K@;{U돭'ũ~zT k:GCmdJ;aD1YϾqs䌵eD:heb,j;>cVx^:"2H:_h*1<nv 28nM(F~wea>>ݝij.9lzg/WղA..bPKτGkmS<Z(/uY'2m1i5fZ@zNADA`%R,:rpr!Z5 mP8)R/8oExpg }x@DM2Tn=oXI61mCCjk"ЎI͔8Pu\›ńF/y<8%ʒ욜'k&$/0. v"@ hLQ/΂/vq)S^ B6&=z]SXupDߖ/f8 ì\8w)P  pHJ9:"Ď 7R ]scWG"QA\M7@aTi0% x>܄rq{/=@Yn b6xz*Ęp3y? ?C#?0Ā^AB[)~E.3h=beiN D$x(ȀF8+bwCL[o= T{lj\,6hp٭:"( 9 :Ph:T7C 49 !"0 RCrH씑a%Ff0ꉕz'{ygIH\A&=H${Q\I^uƒǹbO,*z6``N#Q ;DS %(@DJpGų(j ZsSyo)#[Jx@Cn .(lǒB%XZ-4qD;~;*HJ+jipzUIJF%[U)%쩪п¿) uW-I}E#Х._UW|!Cĸ22GÙ(̘Ȇ_AٰmΊay 7b`-0?oܘ6]_շw`m m [tZA;8g`Ed9+fi)VD`8\ؑF (bq"\ |.fOVT!A}`Z,M͟5lSx6:lYt3?Q [;1C!E<бFIX7 ,ʺNU^=Xg}xg&zSexd9f{3aJ켅wD*&5. & •2s)o.@tԀ & SS̎\Gx٠FcR']|r=; Q/6Cd)Ox6CbO`\Ten`JwFL犱VFL.<Lc3̌d&vqѢNѴ0txPZ1 [K+9mʻe&uʭHN"vr@nM̭A! nGݮ <~ [&gѷOHa r|xZ{P⊖BJT6E%X<ߺKco+r).DH0v﵀ggggggggK.j:9wdOc->̶&H=vĚe5޽xE7e|#xp5@a9j6kl B ϲ94<|-+o ۊ-^ŷ)P狸 #Ga7C ٍ"!$uݓaոD] ,wH!QX:deWrF@A]oa]PcJ6Ƌ bQhU8D8Fov]ܾ "8Hy_/wS?FMGAQKrT- |9X$A-i7 [Eq[AqQ!\QwWK1ЕF>>]M׳V~( 4ErgJ7Bq4fqW͈mc\۸'%aJ0|}ŵ6q`nF˦0p3%ʫZh8FFo;o@\+FmARHad^o jۦKoq}|(l HnCJOv7{p=.{>gk[yGѽT۵wfzF!i+J R5Rm;KqR}M넡| o7= 2v61.zXK]# f[2w.z-ápB& `tł?M+o( GLDv:H1^c7.#Ba"-gs%2K f\0*r]F<;SeuK7./{iJA|eUeSnoqT0J~n;#6CgHt;uxp h-rQ0Y MqK`O#P!D6&6nI^#l?{ 1UJ0 {i6s+եdZ >!&*jpT𪻍n%ѽuc)\^~1q!y߁Ek 2dqC?ͨ$h^w.Ʒ (_iɟ(~M[IE& )U'&O@F~o>-ݔFb2;ݰ Wc г)gWMUc̫G)+Qn׫wfRW0bMAkITDE\&~_¥魩!]N d}4&Cv\~P<+*EE``5+WpR5E~-X:&EfSےVK6o: 13 `%RmjES5Z^Ѻ>I+U(]\!_\N>/&+e-W: <HlPRމ #)զ#RRYC{0Nsі@PunbGM4u`1QeeWJ F\4f#ʼ f,LrkDirU'A,X؀3C{*|RoM'(CL{!-uCIw]S S%>Q2pQ  p*?*PΚ^ZZ,¤S6BFGB-]fZk^rٿZE.Y'~ OmF\K 9do-`]:CPgCay;gB_u/y?-o=̈<_4#(=leXQ]q3&> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27810 12782 0 R >> >> /Type /Page >> endobj 12770 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12771 0 obj [12770 0 R 12772 0 R 12773 0 R 12774 0 R 12775 0 R 12776 0 R 12777 0 R 12778 0 R 12779 0 R 12783 0 R] endobj 12772 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 244.9308 161.9837 255.9308] /Subtype /Link /Type /Annot >> endobj 12773 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 228.7308 156.7257 239.7308] /Subtype /Link /Type /Annot >> endobj 12774 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20210608081201-08'00') /Rect [104.1732 212.5308 159.5252 223.5308] /Subtype /Link /Type /Annot >> endobj 12775 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 196.3308 184.2752 207.3308] /Subtype /Link /Type /Annot >> endobj 12776 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 180.1309 192.4702 191.1309] /Subtype /Link /Type /Annot >> endobj 12777 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 163.9309 183.5217 174.9309] /Subtype /Link /Type /Annot >> endobj 12778 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 147.731 166.3232 158.731] /Subtype /Link /Type /Annot >> endobj 12779 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 131.531 165.4707 142.531] /Subtype /Link /Type /Annot >> endobj 12780 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12781 0 obj << /Length 19 >> stream q /Iabc27810 Do Q endstream endobj 12782 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27811 20690 0 R /Gabc27812 20697 0 R >> /Font << /Fabc27813 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 12783 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1133) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12784 0 obj << /Filter /FlateDecode /Length 2581 >> stream xZIo#ׯyb/!r@}*In,\Eo#7e/:ZU1bۯI(k>'N=cN7n NT Sѱ1?`>2"&1SE3!`wk>Xxf9(m&. Z-.Ϭ <>/5Xى#^vy#{ V(GPaSA}_yՏ ">M+2Wj" 07> :A*\AU4e(#p+nk`*%C2,`g x2CY&@pxC8ĐF$0@up=P[iIUaM,mڞ6nD əEGzW{- |k}d\붴Ok(T n4Ǡ,"#an rOn8_>{go=ۻ>ZOg*``-8#?eayjXcbU\E4,<:WtGǮ!<~Bx|~E`q{`)3 uN(g=@=/Yey+ 69`~jVBA-Q#CQ_g~5GCo'isj fH pAMV^;iL.$;z6/W4, -m^T.c ~Q_!e ?iA.'" h[2q!%N%WWK>_ ?mWGA]YڪEZM4C΍Dez9)+%a NBMO6θ7GʔvSo mpVCFa80Îi:q}QECj^%s2S_|tl)p߸-F6Jji^/53Քo-AQ=êfB{1nZ4W9Jwy2#<^)MYi{Y괶T#,u-u[ G[uCR:ʒ'nѼtP6g[e @XYXnAE t&z"-\ozGqcGʼnD("isY`HRQ t!ƸǭiCM%LgBJ-OB.&}*U]ZBpqnM0#gzsLg,{7f'B\X9yN@9ytmLdh"&^y-l1Mԥ[Z/d;A +qY?,Sm[4bz1YԄ_z26!NS x.'~ @2fGd=)V:@0z]i:9 ${ pï]DI<,L~Q+;N{զZc/؁=? ˂mUp9=~6[MdhX䞱!y8D쳨!%8\; > endstream endobj 12785 0 obj << /Annots 12787 0 R /BleedBox [0 0 612 792] /Contents [12793 0 R 12789 0 R 12790 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27829 12791 0 R >> >> /Type /Page >> endobj 12786 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12787 0 obj [12786 0 R 12788 0 R 12792 0 R] endobj 12788 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [90 368.225 146.6555 379.225] /Subtype /Link /Type /Annot >> endobj 12789 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12790 0 obj << /Length 19 >> stream q /Iabc27829 Do Q endstream endobj 12791 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27830 20690 0 R /Gabc27831 20697 0 R >> /Font << /Fabc27832 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pQ!thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1l endstream endobj 12792 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1134) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12793 0 obj << /Filter /FlateDecode /Length 4089 >> stream xڵ\Io%W@w/ @O3$4K~j[4#C~M6Y,~U*=}̤oK*v6:3?eU]>O9ɚocWcrZ8VÝ?;bˏuouݥvT9}ezlSоzj?M_&Ē^3.՚ן'4+Mxq2hJBYQ8Y(3/Wxl'O?O&!b\"_rCW 8w'~u&bpxGe 6~iQy,KցE0@>HPp?Gı ^OdY҄e?5Szm^J%CvxW`(Sd gf03LI&Zb2D R ؾ>Nܢ2S3z>C =;׋.hYP-1Xh϶ +ɞv ) q$Ǧ1ggMk|$Hyn .NX/-ODY O\K!Zx,-enNԪeRU0ٵ~KXW̻rzekvlJ(aKQd(ԝLgu/8ӝ[P+45)qBh̍})pg1 c<>Rz-reˢ  ѓ9dxoѳUo1|ܩ14^|\vKdHM6[;0 "%`0";I\- J?Â:P <y߲oIK~7| |'!X_>Sm>v@`J[Ǵ@.0 s ! b&F}(۳->øtRKtUR>I3p Ր(W{BEPcCyx!GxAH&! `tno}J?lxQƭiw~`;~v8P;Aν';^׶ d`صysOg9vv#O Bl]R/llK,_64:ԝZ㭙PZ.9b"6k?2GU׳p&f2u\fo/ UwB7=(AWciY_2XMf4VT09]A+f[] ox7M~4-o' $x7:D2 ȁ0 ZȓmC wx~ /s&ڐR'Fo[C&aRLr8rRJB!Bwǩ kjBjUY-!aiprM:^2b!N6[Z+-}س2݄ޤQ|*55Sw/޹Y lxvt/,TtԾZ7"uoԼcjݥXV2^KS%*ңJ/Kʸw[kwU!=d`cqjpka\ 88ǁʟ0ա_=Tc[- ֣CPO?:z!?tP{nZ}?Z}juVڍ`2!J} VjB&dsV۝ 4хEDq3D1cޠƄGqΚE! ]tr4mmPW+]6hzŎ<Ѽ ||y#nS(Wz:, ]`S ޟj}t%$=ʙW;y{^:휜!X,)z\a^'I$"GRAbYv <tKw-!3A;N;G$Ms[Lg@bӲd!T;'~_A~k 5>= 9eN9 #Ǚk3XFKԩޙT:%)A~6tL%rQ@=I L-"k:Fˍmiܙ]6󳡚V]6gM9K}Che L=洗̉5ܵi,TѰdeR(*cs^/]UrQV=RӂTh~ Mqٚ2;"Y`}X)q%Epf/mZ3 hކ(dC +hTjeDm"#:0Ga+G1kZ4niT@jrfLrTwTJAkR} r.à1QF9L`r7У@F"QOZve,l7wܛ@ڇV?/ulcMUCDjӠu}% @@96@G 7mZ!Y[l]X5w?T+ ueZ7k-b杴`.6\ XAl/oFgai~(b洎 5iJ@d!MNN3Eъ8M[ YVQ68mfW.YB9A(o"MՍ4[@8t@;Ϛb-YmVvn˭FП-OCM%xj{&C{nX b`ըz?ՅѮ!(֍?^kL~* jn.DNx69M@rua<{73+p ȇiч$.;=E5H (بW2@l. #Q6yܙ_ʧޫ|}JlE \&`s%_P]T)K ̵HO|psSQ[Y4rXnOp$ <$fyYf#Rı,l0sĩas᷋UJ_-ek״4d-VS[|}tXz"Qj(uZ eBk>\Ee* + '>"΅Mn29\P} ~1 {T9(jRYQLI}Zt;l Bo&'y֩9-C_$X2lYܾ9LJ9e P^iJPYgc\V!68{H\Byה{~=>WOWԷs5K[?'m[hJ7'`VplNC~M'?yF{MJYʜ;/U}+ioKiԹ}Y!{l1Ѝ endstream endobj 12794 0 obj << /Annots 12796 0 R /BleedBox [0 0 612 792] /Contents [12803 0 R 12799 0 R 12800 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27848 12801 0 R >> >> /Type /Page >> endobj 12795 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12796 0 obj [12795 0 R 12797 0 R 12798 0 R 12802 0 R] endobj 12797 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 103.8039 159.6022 114.8039] /Subtype /Link /Type /Annot >> endobj 12798 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20210608081201-08'00') /Rect [104.1732 87.6039 161.5602 98.6039] /Subtype /Link /Type /Annot >> endobj 12799 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12800 0 obj << /Length 19 >> stream q /Iabc27848 Do Q endstream endobj 12801 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27849 20690 0 R /Gabc27850 20697 0 R >> /Font << /Fabc27851 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p.ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12803 0 obj << /Filter /FlateDecode /Length 3688 >> stream xɮίt xo捁IO?pe'ùD¨lXMjq6WYN&]~U~j/m RVj5y姯GCRzsJDR I~7@ D0ΧȟJ) <`p'>ϓE~+ !'m<M{u!דaV8y~S*\w\t0XW@SS$&V3p^9Oa$/[ GjIg4ß^47= AID\L8Ӹ5OXs,(=k$"[F!U^Ch[ɸhrIƚ`t(,C쮜'au;TtE ¢F6Y2s´d'=,;etTW((U+F t &3kDg؛#h@hI ǀ&0)Lۙ @XTuAm[IT^DQ.OO,,ܽѢN.rԈ-ۈ-=(f[m,$DzV&tt=,`/kɦSIӤI'(4 )wn-,x̲:[;ωBk Ȍ , ᏸ-I\n2pSfcQE/.UTCT<:(ȰY ^ƛezZS4Nn;L=n ZTtQtYfؔYtvBKQ_vE| H+I딪{>9NV9V }cO-J't1=T_ ZddU5/Mk& ct^yȝ=vsۢ7SP})7gH,sS= B/;5wq&I"kCbb*{mڼ.9ӓE/Yy[}9~Irs|YdwM(?vq0aj)C'Eg +YiªwX=/7.hQVB /; V~'|dlRe"{#8TTEAց=Ku)X) Q)OtO-X~%DAԿgw,#3ӬX5&2âǝ!SŰ0EGE+lqr:3EHb[*Ycck4:)z) -(}ceP!b}4$ (]oSc4p!H.5X_jҳ*[=l Ø+ f_p`GI+#Y4CsKs'D@hB l`ÔLI S~ANp>9i< b&d8l횃>6b A'C)o1f˥e{޾c=Kl[S=. GRS fKȧ8 v8PȕᔲH gym҄6OD$c< 6C`yK&]KY9f8d|;lEj2s #MvHf{EU iR YS> D_ݓϙ>L~HmLx|Hֳ <@rAd@sؿ<d ˴Hp#R*K^co7xmr;Esj'8A^ɱYPXL;]"mQe LS]6 Uz:q%@ lL s=a[j݇'})=;jX>;naowb#$(a4qxgS 0o?Jߠߏ,`мs1ȏoz+H0u'fܖ NG|;{r ՠi,w`'a2+;hV@ *%e%+/(X/wsug6X蚗y.fF̙J] pe:1zo{ܹx+n$ׯ85b(qض>2E,s6 uԃOt{tI?wwO~ Y{@}G5ʁ~+Y4P5Of9Lѣ8XR9.gb 31A#|6I^\ǠhδjjUًClVwبMwӻJ:w KR4?ZZgoz;&t+BO6ӽz&0@ cBM@ RIsC8b2$zX6"f ~ @8)Xc.Ƽ> / ϣTIuvmX G tmO@C|>f\+8Vܴ$ӵ/99C(h T5n8K7N0lގeqGɯ^0t1 @;EѽUA_S|r1 =J@Ҷ}MQhq.mPͭ4'1#AHi(ْT1J#$U[ Glq]I_AQt&1,P4M$R:¾@lYq~Dis址B~5x7S2P ZkQCF#L5[% 6=n֡kҢi"S)LD)Y sM| -ƎB0fx!mexosT[8nM^V o,P.ew"[6MS AhbO>3Ź^K" _Yc;6^3=}-4&$x$+OAnT? #`6Y);/eg>WOx "Rķb? Vߔ;I s,O1|>aQy]\?Pׇc.`g#ϣ8{ng5TBe$ t0ơLk$cEA|^gWq=~&o )& ?¦ǻqxQƜiʿ^L3_ YeI TPm>sn3\d$$.|a$yٖ9d/~'B.*gP0 endstream endobj 12804 0 obj << /Annots 12806 0 R /BleedBox [0 0 612 792] /Contents [12813 0 R 12809 0 R 12810 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27867 12811 0 R >> >> /Type /Page >> endobj 12805 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12806 0 obj [12805 0 R 12807 0 R 12808 0 R 12812 0 R] endobj 12807 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 204.4272 709.9] /Subtype /Link /Type /Annot >> endobj 12808 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 165.6192 693.7] /Subtype /Link /Type /Annot >> endobj 12809 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12810 0 obj << /Length 19 >> stream q /Iabc27867 Do Q endstream endobj 12811 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27868 20690 0 R /Gabc27869 20697 0 R >> /Font << /Fabc27870 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ZC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12813 0 obj << /Filter /FlateDecode /Length 1172 >> stream xڭWj,7+n-kY9 aR[=\L[]%ԩZ5M[M[ڐϯz謎ATI;zm>l[}2D^WScԧO wlJcS06O_o={X(C3(Pst2etп"+,/xЎ:'&e4 {&F(r zߺDm9]_~7M5«vjd%d\ "Ժxv#M:0TKB &.ۋ~ք1hWh$Db`sp aɧG?3w%y}MտF u~2^ 87ʉkzm=,q設E5`\5qL{vjǕB&Lq^ױ;T{"1UthK͙-΄+EMʎ4k?hFH*jrxłMѩ-8h cuBmwȟ41^HS>YE.]Av v\}厛\B65_P0 BF@zoG'T8}knC> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27886 12818 0 R >> >> /Type /Page >> endobj 12815 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12816 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12817 0 obj << /Length 19 >> stream q /Iabc27886 Do Q endstream endobj 12818 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27887 20690 0 R /Gabc27888 20697 0 R >> /Font << /Fabc27889 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pQUthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bI*H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12820 0 obj << /Filter /FlateDecode /Length 4749 >> stream x\K$ W@~g >9mNu!)Y=ջvoT*HQˢ ^>-N-.Qa8\~EƮ`o_tq9i wRls֥Gu>uxNJYZN8AK2uQ|qNz8iۍ⫅a7Tէ*2Z%_~zi.2NfQ#NƯR ]K0FÍ R*W pyR( \Pa^Pix</a//-m%S%"u[褢c܀pr; $?Lp^111$R*YYqe`Gպ42C<7%s&(I!2{=w^Vsg8j Ng׫ (o3@c:COɀ7YFf زSPGu߁w f`::|%I4XWSݷ۫S`H=HtD ."˲aM$XJ=V7V65 E:ZE5ם*"Uֆv3ϧ%16͕ӀatY#óGg9 x+%$%U*Ah WUYKS=Q2w:]}i\ʔeϡ^/}xq),_83DU^NāUX0Rzk\1dTaR3Ts}oŏ`M\D~bB\v{cmlcಧE*1L<3S17b#!ulD$&1YËE%7J}VNyz{C޷>|;x/W=3>|%szWuxbssYvr-&<>{"uweN`ˎXnTLsϬFϝ괮S7(k,[QȜ+ bf\pO,3d.te.6]-(]ؖy` BaWDĨlYĶeI-1V[\&G=#囑U ;d:wlb`/l$_C3Pho]Dgq/`'/7ܝy~GzwA` l8^i.ߠiW~]>q ;x |+/8 w@u]ț`9"s+Eʫ%U#P vPlA&N*]aVF_)&j/(1#ƵLyDY u{jC#u@q .]W<ł^r/_Ň{vkw8Qz9N~lqXW`dDZnF[|W#Ϩo4"ӎKL;/Qճkэ`uB0z 08r'v0C5iN n<2({5nY\i_o߈{"+(@ v+#ډ, ۲XN]`\α %m,]$9rCwQ!ڑȡm‡w|hs"0E$rZfP~?Ms MO||\t, &=Q._,m3s-1!RB36!dmH !92jdW(4ҏ ,Aa/˄>pKQ9"N1/sg-G=a'4 ? %a29?e\`N!w%(7qݧd䘒r.^Ȣ{⾲rl*f'x-bʜRүSpU1/"Tv3?ZЬ>(:4iD7L9W՜5KA @ES%yN%+yMC|yrP)> !6@BֹLXa Rw=v3WP0p`=E-!hW-|ShnV(L$Pgx?Ȕ^y ./ު.r)M$+)hëv3DkE/m&XMtfufڍj]a$̋ŤyL(k/5i>IsAw*;&2V6O@lt\[>X;5Xz&l6$ z2 >EO\2I /LLR 1ܞg 9C9P堙dj8:``6 1l=e߂2 ρ MK[!O#Kӆ`3R\-E1h[tBw41E'U|.ڭU 8 "-|qxK nb6aM4MV{9p0oFҚd ͚c\+Ec諏/ok.bxhᴓ\mI.ltGa2ԙ$"BGMfl W: qNKăYLEoi.3St yĠDAZꚉõqX{#: z7gы_wOܫ|mH+.Ŝ`CIZ|X.Wi|s*ut?@:_%xd}̉v7 ]޳|/XOjmZ]‰,Pľ#NU/8 TJ*a{WP➩jOɁ xrJO>؛/\2#-;Rt4˟jMi#[v+"UX'RkW+8K}ɄV 0зW- @9цU$nM'&tb"A ]p3_s|ØN7|S2vG!BBǐLrΜdZnx^? _ EvPalŧq| N_?TOKws>5ki$:tNul9t;' 80VLj #f|xt~|TTѿ r}_+!1b>_Qԉ#Xq]\y EYJ?}Kw+?Ts.ND *~s_+sznz;ɾ9);oh'G'4a/Ig.A endstream endobj 12821 0 obj << /Annots 12823 0 R /BleedBox [0 0 612 792] /Contents [12831 0 R 12827 0 R 12828 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27905 12829 0 R >> >> /Type /Page >> endobj 12822 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12823 0 obj [12822 0 R 12824 0 R 12825 0 R 12826 0 R 12830 0 R] endobj 12824 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 415.5346 175.4312 426.5346] /Subtype /Link /Type /Annot >> endobj 12825 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 399.3346 183.5217 410.3346] /Subtype /Link /Type /Annot >> endobj 12826 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 383.1346 187.3167 394.1346] /Subtype /Link /Type /Annot >> endobj 12827 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12828 0 obj << /Length 19 >> stream q /Iabc27905 Do Q endstream endobj 12829 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27906 20690 0 R /Gabc27907 20697 0 R >> /Font << /Fabc27908 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 S \09 `FcU$!īEWmGyݝC.;p94C-z^lMKb endstream endobj 12830 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1138) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12831 0 obj << /Filter /FlateDecode /Length 2263 >> stream xYn$W<@3 Ԣ6`̩duLf-%2"W^zٴ>gR4.˿/N'b5O_֥!gZW%| >,>I?qo~1O+T&oTAօj{;fxIgh,GLD!ѳ72_nLXuR>% "FL Q#!@ }"L'8<u`ch{;BW%T!1DhĤv07d*OkJiS|F$ ZYe 2ťy{9y+MFfFQ_س7vhmZKߤg厍6z}N"/ٚ/jX#(r9$ϋu X|sh_2@f_ AZc&+:{ܸd՗G+q2C(BR)zXn,RTq܄=EYX1unn>##7xE@|O~,]nOs(5q̤]Z nxzmzG+]kM5"QMznqp>NomC4+̮(1iu!OkTyETyݜB3" 'a0?C#NƤKK5ifPy ةCNE"A)1ၙ"G#8c$?/JJM-"hB5 ;MŷӃwm瀮"@V4v4ͭ'8~?XZi\_crʱ_^J|6/2_~23~PouW)gzPYD|$Bzb@2x Lfb0L |?z5h߷;&*ġQ7 OưKؾ6 7HVOwxp,D8B$kyKtx@sVwر▢ɩoJix fsߋE"6xVlCEЫ,Pݤ< l¼,驧x6"Rz \CsYdXdnU{"]p ӍuF|:膿[=RAvԀb.pIE02S!ӽ t;37G+Ep,|9txV9cRc~:1JF]15`莫 Es{< U#>)$]Y,jEOƞhKbhMr:xI v(c;LhԨ%QxPڰ y86,/CՍs(j`] 9C endstream endobj 12832 0 obj << /Annots 12834 0 R /BleedBox [0 0 612 792] /Contents [12840 0 R 12836 0 R 12837 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27924 12838 0 R >> >> /Type /Page >> endobj 12833 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12834 0 obj [12833 0 R 12835 0 R 12839 0 R] endobj 12835 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [90 453.425 120.844 464.425] /Subtype /Link /Type /Annot >> endobj 12836 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12837 0 obj << /Length 19 >> stream q /Iabc27924 Do Q endstream endobj 12838 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27925 20690 0 R /Gabc27926 20697 0 R >> /Font << /Fabc27927 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12840 0 obj << /Filter /FlateDecode /Length 4382 >> stream xڽI$WY@/@)Iç$60s \z cTI& ƈ & f|/oSSSTX%߷?NZM냙>Mҙit}{)o6:,N%䷮ ;|;gqz1}yY|f=NNS߿0SOrFOWӯ? =7,0~~aI3nI)&7 yJ 0I$S$?OK͜Mǫ`Y$0]NKc)BE P{p ~]v8gTnwe\|̗R(0'$!|4rY$״x~$; ’S6?'H O~0UմSb# |~Ri hpR9o _> n:[ҕV*imUE.]&r NNUUيUb& 7l XNM"K_OK^뱥0l\,CG7ėXXW)1 [Zla@/>;M:`^hc~9U 9+&.ɭx"-FrѠ W-qf3ށ~C A`e$2ЉV2 FT[ Z)\\䕤n#٠]s*@1&irl6c rusؽ#qeV0;a)\Q kh`$pdjٓIQY#,DK4bc۩#\9}]k 6N$Ė$ iڈF10)($\ҕO SjG)}9xl&7O<圷a{Lo@tlKHMq7̎AD!<| &4S; L,_qsm%K`ErQ[ӳ5kЩEQ.q?5e^dR T̅!=eA5(e7T|-<`? ͍V3%͇ߔQE:4L^ӹ5 ȢE L2m#Y|M8uT̄^Bg=NUhiD@GM'lG)e:=#?aAFاGӕU=k,*Eqi1218&&.fjEvRF9l&V7Q6'̸jHl\VmبfVW$;1=p༭3bWjq<$Y0NP*$LHKI"{64f ṳpnaV"C3dJ$<^g7optbf^HZ\tQ#G뀹8Ovb|ztM2FR QiI ʦ{Sb8`t'Ko.kFVu1[NuΖt qr?\ VKSi*ghRHZˌ6>~27kw؝UVVM5}VeCK3܃S>@:"uT=wut"Bւ 1NMx_QEy"hstvmxw0Nl>@ہ (h-3v)Wa|lls|X#?}yn%;M3B9; abT@[vbN8  \4$ʵ엀Cq4["'d-%횃t}5Y&/HLYv"&JSf@f0fPM5DYiuwvі5A< ĕ;f}Mع$&3ؕ:{[Oty\wO<*|mJ+lX XJ ]4 kj\/sS'83`h W4/K\X݉|C\H!3eqt[.A^ss{g\ 1zw]sE@(m$2EB.O3>򧫢 ~:OcwUoq+\.0#k2C)` 붨Kؔ#]-Pwoh~@WGyNMMEr^t6y 7oq6eXp; 2wQl endstream endobj 12841 0 obj << /Annots 12843 0 R /BleedBox [0 0 612 792] /Contents [12854 0 R 12850 0 R 12851 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27943 12852 0 R >> >> /Type /Page >> endobj 12842 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12843 0 obj [12842 0 R 12844 0 R 12845 0 R 12846 0 R 12847 0 R 12848 0 R 12849 0 R 12853 0 R] endobj 12844 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 415.5346 156.7257 426.5346] /Subtype /Link /Type /Annot >> endobj 12845 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 399.3346 184.2752 410.3346] /Subtype /Link /Type /Annot >> endobj 12846 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20210608081201-08'00') /Rect [104.1732 383.1346 147.1612 394.1346] /Subtype /Link /Type /Annot >> endobj 12847 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 366.9346 169.8542 377.9346] /Subtype /Link /Type /Annot >> endobj 12848 0 obj << /A << /D (unique_504) /S /GoTo >> /Border [0 0 0] /Contents (report_power_opt) /M (D:20210608081201-08'00') /Rect [104.1732 350.7346 191.1777 361.7346] /Subtype /Link /Type /Annot >> endobj 12849 0 obj << /A << /D (unique_508) /S /GoTo >> /Border [0 0 0] /Contents (set_power_opt) /M (D:20210608081201-08'00') /Rect [104.1732 334.5346 175.7172 345.5346] /Subtype /Link /Type /Annot >> endobj 12850 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12851 0 obj << /Length 19 >> stream q /Iabc27943 Do Q endstream endobj 12852 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27944 20690 0 R /Gabc27945 20697 0 R >> /Font << /Fabc27946 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?}ʜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12854 0 obj << /Filter /FlateDecode /Length 2359 >> stream xYI$W<a AKom |0s*ǘ,Ceߦ%rʪp7Q'6W.Ngu zejrZ5{_N?lemt ~տT3s,@c}1Ƹ~'(6ƲH8D|K uvYS"TbB>3\;''vlpƆ 9@ItKab0Fs(}# yԎ#Wx/Zk:eaF( Z`;^`e$K":yaՕkW=W$…j7tarFqmvtl`pl-B `0'i1=,>}J׃)g ʁ1Q -nl4 +Ɣԡo6&!)!AR0x]wd3L1 AYղõ5ahQc %H{;hh80Iҥ/iDXM͐nؚ']hlu!$jv:QۛZ?gcUEϦMGA_[`hem.#DB[{QcjUѽS=j7\gQV;G_ojn0?T{;D۪I0O HҘg rzS3 @ꄀ 0S:0$7/>JLUŀ,hDU ]Ec;Qɸ)1 ,H}"2[ w<-=gG1K <9G4dfTuEם9Z ij= (~RBJ!TEH! 9IKEmN/]Cs/HR}Lu޸oj4zO'uix;Հ=x`0WU#w: Dbٌ9Kwu wI~\L:*{~Η&5Br mUh@Y_ ^6O;G-G;0b ){,`KG>9J8۰ \^R9|}erS&`f[1; BlϔܗX,Y;WM LY!oA.ȗ 3V (8-tǭ'- 'K2y39BsvDžQ!:.L"G׫$>VQE▜ J~N*GpSb|RNC 1b㩝`xNĹJ?F zL;c/ѓoM#~@OgwōԧeU/>RINI*U~iAJHnBok 7}?9BZ*bz/=>Jt Z5bS(>"CnIVn!VjWs`Pэ\\ f6ĉ:x6 15[nγxaxaI^^x؈Q2hFZivm'n3}յaG+ե ,3Ȯ޵:K []Z q*m!΍DkKjSAgppNsK8aiHuS)!n I'0LxyqLl׳Zk ~6V*jHQwuɟdVBy;Qr H ,> |SUU`V]m&)UzxѺ MUzAJK8 c-5RT5"R3Xq놤uH¿>_^V 4SL4tid@ `l, | $z~EZoI$C:RD^m$bp$1q,ih.E˔XI8S,ۈiUVmҧ]ӇhFBrnM0#< e:53ս+3qluBq2z!-WMW."bxTeŹqn~uqu)?) XS/8* 7%շ Б >jZd_/6+ްɬ^{x\G\qK'S9zAxRV{"$:INxrmw+ꃜ?OȘyy4oS[]۬*/+iס .  Ys f:DO#oDIZ0> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27962 12861 0 R >> >> /Type /Page >> endobj 12856 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12857 0 obj [12856 0 R 12858 0 R 12862 0 R] endobj 12858 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 424.925 118.9685 435.925] /Subtype /Link /Type /Annot >> endobj 12859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12860 0 obj << /Length 19 >> stream q /Iabc27962 Do Q endstream endobj 12861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27963 20690 0 R /Gabc27964 20697 0 R >> /Font << /Fabc27965 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12863 0 obj << /Filter /FlateDecode /Length 2776 >> stream xڭZIoWl{/ @O`n30 'g ȗ&ٯ%=;VUja|U[moWN~ѭtXeWuzҿvV?}搲O/7Dg+׽uu&[%_ik֯Z@EC?+I"/N?hB67mT 4x3?~UNWh6ӿ3^ VcL-:5p OƆ;z็($r0&9g YsU4e$nua"mH6"H~9' cp"O2;aH3-:\I$2şni8ehr%yȁZlKao7mGz${8x7RYʐ" b;G(x2XQgp& 5pC"jBU3R/. ,6 h =+`/ZGZ4^u+u-s4Ztesf~4M]\BKM P_8-}Ӊ-ӜceSzzb20Tpk(Ffc$ %0JNR <]~QNt=B̓wL״Z`r{ED:IO TG8` $ƍw5@,ww9`@i ǵd5 I03dq602Yv #݆cGC @a^V:#m eigZ RL G,9?Y)F}Zسw~ܖ fwšN7ihw^z/]|BMv㞖?~ոm t4@H0Jh@FaF]$D3 ZX6DɉaMa`RJVWx)բ J84SIz(SHA@8tƳh5n`O A V'ѹal:jRn(m]֗\{1RZfAF5ZkPĴ}PSea,(+QÍ{ zcHhxْBځO^V KQFveMiETmI73SWwi&MRi۹^=h}n?E7hy}%ӵ؆&TI/cRt܇MAH5d2Vط>aq8'LsDu9fpjveOyu(WzCoMkx;JWȣ0gnwk9ʣ%ݔҐwSG.ma)l ;P{;AVUwq-㻍~Sˇ RvX*KȠFͰvÜpK=ÉLۤIx]Mu>]R([uڴs*MJ&DsR2:r &9Bn|@9:<-Í"qM2Vz8,҆Xru)Z$ @xeջeޤvCܥIxL3@q#Q5֑?yY&3lciuGRΗ FH稸hd@ ,'D/o"VOi*N$Fym͉#I-G%d,e(VaJPTLHlUs4i>r>ZBfaF} g"΅Mo2t/id;A +qSFۖ mz1ǡ-u%5!';iOcRߧWzY_ (^ruHqObXA%n_`1Ϸ3OeNӐj14f8?XPR}&x0w!ϼ̽t瑄{X}+MC,M3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27981 12870 0 R >> >> /Type /Page >> endobj 12865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12866 0 obj [12865 0 R 12867 0 R 12871 0 R] endobj 12867 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 358.925 118.9685 369.925] /Subtype /Link /Type /Annot >> endobj 12868 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12869 0 obj << /Length 19 >> stream q /Iabc27981 Do Q endstream endobj 12870 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27982 20690 0 R /Gabc27983 20697 0 R >> /Font << /Fabc27984 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12872 0 obj << /Filter /FlateDecode /Length 3076 >> stream xnί@M6 H浀=,r)}^|tOh$o y]dY,>7m yq8c":~2va!e^7D'g-۽u [Uj;r{oSЮ_Fȓ:_<< /@͜l._VN[hVGvm eKqT(iIxlLr~">oi1 Xfݲ?pO@t`k*0u O5v.%K\nHA 7E RG- Ͳ<үIs@ИDb'7" 00 C{~ DOo w{"}A7N.XԻyAmr i+^\j<v =2p+x0cʖtJ}' F5n(XhBd~|D<9\M\C4+X)@'X_@ Ald9"h70V &og0_`hM=Gt-S0z eJLPTҬ iPL!;hĤkj@3>3B#+W 0>׶v[9А#*eRk0/#5[K 2ҫcIYa!٦Z! %n‚=\\r`k /RwiKci6 "C׷+d ,Y;^GqPOThg"Bij|X΢.r\0Lxbmm9̑xVU`M]V+`ěSݿPSU`QL)4HUHF1Rՙb=ԁHI1) )g~*rO29*tJ~Am`gCB}'bE l;Sq"Q'8Uȫ}h1X8rV]г@ Q7%V|&K4X2.t&}e>D32 aִ 3n?o11 u p+'e}`Z]0MĄ!FsIS \71EC!ԋ9RwR[ e^Iq7Ƌ<. ue;n/ټEK^2)s}/u;^P37<ݭQ}/IőO5Sv aJm9&#O<#p3zxr4Jz[Ig7m q݄yXs8Qmk~y,O9i;ߏhz ~eC[zvoصkh*Uֽ|p(csG]? y }M?2\h endstream endobj 12873 0 obj << /Annots 12875 0 R /BleedBox [0 0 612 792] /Contents [12881 0 R 12877 0 R 12878 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28000 12879 0 R >> >> /Type /Page >> endobj 12874 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12875 0 obj [12874 0 R 12876 0 R 12880 0 R] endobj 12876 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 264.325 118.9685 275.325] /Subtype /Link /Type /Annot >> endobj 12877 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12878 0 obj << /Length 19 >> stream q /Iabc28000 Do Q endstream endobj 12879 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28001 20690 0 R /Gabc28002 20697 0 R >> /Font << /Fabc28003 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMoA +.؞J= P"!TqHJ Ŀdziw){Y2zY8lo0<ݏ } |;Me0O]]n>17^NT/ jc(JrtGwr AL$V-T0EH(.$c"KS¯"ɕL jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB3}}"juZՇj<4hڴ[쥭}-UaoK?>&C'<ʡ|72ْԑȅ5J.\[-: ef[X]MO"bH&} l_ EXBC3Ȱy8e8awr13pŜ|/B毗bh;j, ʄC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12881 0 obj << /Filter /FlateDecode /Length 4549 >> stream xˎyr 4 d!if.%Q1d%Mf?iӗ֙))yOL]MitߝmuK^ijw]L2=}V߈6$`Sо~  q ~Yge0 S8Vg͒I:`NAhQ e yQ.j6.Xm>fW<^%ƅ0}D|" S'_Z:s?-\Oe]ՎRK1(C6Q,0yeyms,ëCDɡ ,դ4(8?#} _H^~2Hq 5 W0\~d"6 lk0Oh[ǀb м@?#k]̜,/wJ,O&^}0g$xaum8ӀͲb@s ~b{:BUvtW+)طd4u@}Ķdk)qڰ5n>EXȅH -8KRxIV|4ćf˩|mc=/Bǽ9݆>*T_TM(^q_h4G8AP~pf2 nz;>kLZƊæyNV5 v^e ;Eekh**JMmp3Z ҤVMKi;֚5RtWD@_PTU t |㪝k\P@zT o ݺcv\sOĹu4ZT;/)zX#@qi|{!gl t 0b3k(~~`c6'b9#Yr"qOM"9E=_.un+"cI9_V/ }l[hnTgg̑H;Ǒ_S^ٍ΋71BK@uY>m@Ʊ#Ɯ~k+u.+-l$RhTʂڠT9u "+AwS[{*6m/e=Uz5v֦m1ee5# >:ܤ#7Tޡ$?j"eQ4MMH5TLj5jNӟx!ЯXѽ~/l|5k]VGְ)MfSw8yAn n>"b7֕Ex} -C}֋ߵ{w0dpU]ٸa|*M-ZLVn:R]RXc&',y t.3sx`>]_:ݰ`B'P* W+2W_sjXxUݾ V[fV]VOcMVWX=j M҃ J}VA9Ӄmj> ?z^$[4yfэܠיޠ+fv[j۸ Lú9"8nuƵ>(+T9{uUj I?/a0= ڠ.2 a;^mvZײZu:ZT")( (XE}5+Ĉ5 -{IMV^x xp^ꁠ7yk`i]}9ޢC짽4ڳ39&9s;zx?;:Z&t0c=(JZ TORX^= ,}=9y^Y3s$K B{ ӌÝ~zO>, \ wP 8550gP9*ɇ)ggpl"V.8{W'ʅo&:DEIp)~B9 vӂKbzTHx?0w#DG;>Ü <IJ+ ˝a D^fظ9u=^9aN!$o5/䵩&Q-Q= wLڻ>ײI %5etMq]o{8dQ7|MUy< !u34} 3K~MmgV9X6b\4P 3kÃq,Yt}d1'67Xb"i%9]`XWuC9 Ҧ8acv>%NgiB3U0Au]I]ۙRFI(-v~hiPdPT59cn'?6Ct]"nӜc(to)DC |<)*)p9˅|yi.=ݱϼjU#ϧu!T¡+hǖxB|lEmfELjV!&B| OӪt e!i*a)\׷q3n7(WNƜs\~);as2'?CX7n7W@,IWhQ&lVCAne*&T4hٕ.xh+ω&S=rb2ٔuBSNF;{;osHVt"鲳OR>L>Oo ҂vuZ/²|;J>Lfk%r,P"aAEpTx_͏]X{[.!*M>FDDu`v+: Yfn5}.nƠ^`!+0 eN&qVxܮY p+ u]v~jQBbzkțɚNqcI `W0nE>T7(*_R[tdę#&YS"/P 8 G0tp5w)hd},D4t3k^ܞ@(0.PP"3 P!".Pq`2 qD)RW.**f1*3Z´'i< }V4.沵#,] e/莘{"Ȩ%JVl,W;?eɥ^T YCL,z}K3 [A lH.:!&uUij_¶_MDԧEug6&-gpI֮7CAs6okO<Ǭ2w99-GÞESR-0%ν楁 +s!$^GW "qe}Y v_hG/|RNٗ 96K[??'Z~8S5YM` %U> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28019 12886 0 R >> >> /Type /Page >> endobj 12883 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12884 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12885 0 obj << /Length 19 >> stream q /Iabc28019 Do Q endstream endobj 12886 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28020 20690 0 R /Gabc28021 20697 0 R >> /Font << /Fabc28022 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7&thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMQ endstream endobj 12887 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1144) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12888 0 obj << /Filter /FlateDecode /Length 3924 >> stream x[K$ W@+z4Ǵ6 M {IQڰޞVQ)uғw>==y7o)ۯ6b'_ڣ6Hஒ^RƽL ~;*T<)5)p)mcCo/B=|98ЊYHNY| ƾ؋Q&)u4tN/|& s萗3 1?33F~#q`/X%b밦Pj9[^̿I80sf|VgE5 5gdԞk>i [,^SBZ -W[7&uǶD@<&2ןci'} 0 #xӟ7j4Yӧf+Aim%! 5~z,H}CNbCNRЀ$nR gc]„.$0)d N-2#K30>wϗq/?ĀdX)JJe?Ye=->v ¸ pZPs%{kʹuek;,~J­cIu{sg")+9?ѧr+L%fh3̔N@u6 cJөdJT̾ŸWx#535W`}mʶZ¨[JA[w|[{Г/aw; \rہ kYT@!b6s-~Ws8Ko8J[31|h6ǂ]:$@]ɥu;۰.*uQ ^^ᴿÊv=YF3Bk];7ʽ.Q/~P/Qes!Jwtso }_*n+`unxsOwAi, ٽu1Y\Gy Ss-qѬ)LPNMȦς8\渿R.U3P-Ȥ\US pa0|N`#wxCPc+S(u"P((d\c>H0ZU< 0ת6 =(Q|6[1؛IBQ7"|5t}aqytXƐƔ- [֙-raym7NT;h$EKmz4AG,t 1ש\׶6T 1v֟TZ/}c偽5xlb~z^0 nfĈO;5+-vyeLT#~Zaq 5ˍ=x`S%u}{5Q~W.]xwQG:Tح:ϯ`)-ǂ_UsPúznV(0CkBLw rμfx %X^KA5煶!<҇^nqG۱|Dm40Jxkc8j4->MlycC=uQ0C((߶uMEsU5 UZT᪹sT{[֢Ce= j{\T{U},Y^ntg T-`<lu6'4RFCɻ ;xx#Nc֌qc9eBOsM!fX~.(my+wRPmuB)SPfQeoV7=z/V/g i~v-NWkNms?/:hxW}96ݐ3 j@~K>zsoan6jm׶~R}ՇDtv2;@({fRL̪rI%V'έ]XrPz\=-x64]=^8w;ŃPb[Qe)ֹ`u.wQ&wHa-oRޯonrtoy:@x!?u2L%f 0%!%Mp~_:x2 E2+R+tS0!g0g-x#jKdV1ib>hMYrOA#v(l$Z?qZR--\Qf<ԋN]>;4Nt]ohoOǘx=v*-™HDR[ 53r+1`}OoË</|=x9[Y^(5~pn:L+t~sUa Բ+T?sݲ\*G7ʫDlfbKu`> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28038 12896 0 R >> >> /Type /Page >> endobj 12890 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12891 0 obj [12890 0 R 12892 0 R 12893 0 R 12897 0 R] endobj 12892 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 572.6038 183.5217 583.6038] /Subtype /Link /Type /Annot >> endobj 12893 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 556.4038 187.3167 567.4038] /Subtype /Link /Type /Annot >> endobj 12894 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12895 0 obj << /Length 19 >> stream q /Iabc28038 Do Q endstream endobj 12896 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28039 20690 0 R /Gabc28040 20697 0 R >> /Font << /Fabc28041 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12898 0 obj << /Filter /FlateDecode /Length 1715 >> stream xڭXIk$7Ww7uKZ3 ]GcE6x0ͩ?oGʁW`},GcHڜ.$GҎ"ޏ& ?<+i<#\d{zC\̓$~:UBϞq~ߩ$~c]ć>}~__K=j*&"8F)G=?EZN!{ juKULxJj6YA9u12R oӄJ^v&Rߪ(R+&ٍH45[LU;&+!5/fՍxQ%)03wQ  ZrY'#14 SjF/:X.2" Z6V CS::yfͭ.E6wG;1qDa yC#WZ szˈv~}8f> >;TlԮc 7;e57Sl1'_/(;k}[D0}6BT%SK(zw17p ^J1b4g1$>YEڶ -Yz;rYRkRFISy=2l3j֙zk[m8`#L]&7_ V Ү>~|z;@%˝.(9MH>*ĄT/~>rpN/C{z)P^gʪcy,Ois$s9:āց9՝1ѵr>93=>5.:fҹ4~Ɠ`8at}0|Kٚ=ɖmuA潌9`zJ_MƜǣ/k;݃^Q|rr L'~6qq=~bWJt3 endstream endobj 12899 0 obj << /Annots 12901 0 R /BleedBox [0 0 612 792] /Contents [12907 0 R 12903 0 R 12904 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28057 12905 0 R >> >> /Type /Page >> endobj 12900 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12901 0 obj [12900 0 R 12902 0 R 12906 0 R] endobj 12902 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 377.425 137.278 388.425] /Subtype /Link /Type /Annot >> endobj 12903 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12904 0 obj << /Length 19 >> stream q /Iabc28057 Do Q endstream endobj 12905 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28058 20690 0 R /Gabc28059 20697 0 R >> /Font << /Fabc28060 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3j ޚGFx|1$I d_ EC^B 0)xΰ.s@9,`sJ'o.IU1" ^_Ocj[}K'9).Q8Ρ\NZu^lM3 endstream endobj 12906 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1146) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12907 0 obj << /Filter /FlateDecode /Length 4336 >> stream x\KoW輀1^`o;& qK~vmH&)XXU|y>I¿!TfIOq2b'/U]L_^>Ḩi\}>v_Eγ5O淳j5NWo R׆詄yooӗ_/;;9m/*?-<%C/\|I.(UjgdogLjEJ+OBNG,˧ O]û?C} I(,`>M.ď {7 3(YHH;ᠼ (-xL+#$> OC-a´ӏLTPHIȈ^l"30~=T,.U-[ܘ1orTUtňlSkJHk,$dO$x < 峕bOOkgȴS#,EQĻ9H#x#k{1t711P:w5c q!rS\<Hz*UV_!Dg.\1 hvXk>اx_,(C᭣h,SC;ȷcz$xxli.]׮fh1C{[6#[ȴRTȊNM\+n1mexOQtkyVyfjMNj{Xd;MM%2eC![1UrZ3U4Ɩi>d f6GoA`߯pX MT3 -<Ђ0CG4 -EMI&8r S+M)JX_XhEJh;eELBT0 ϔC*f&*%-eHGjfX,L@bZb .\6':b%ĭ5nٷSeT("5%sbDXȵ VfI)(,N`C坱bȌAKPyMydD4L溥koi_d0&YĖ*4UqZ_w*.VBU3qh6 ~qt-T׾ u׼VcЈlRCG 7$>YD)u)jQ{m' dv2 l,~S nIG:ñ8٣ڕ#vܝLQǸxYS^A`ݛ̽jzzb#jQ> 8ǡwjMa5)dBZ0҃->Ԅ&d5{[ jpCĨsxk!:A0& p>!22✑Aw&[B@ CPmm =hF%ei4 aqKMo:>nވ.x"+(@ v3Y-ĈaY`U ޮ)n30mHh-i_q?%,Zrs?vq\C<*=o|L&>po>z;'wn'.؇A cjl>qҎ[tʇaZ?@Ul3=5)7ynHIS>^*9>3v r`K?!+pp>d?{҉mFzxtt`L3{dm`uĒ^#ѳtK'Z4QiP WL/_۾TF=Xfֲ EY0]"1Yd5u|(z%ԅf[A<3!fMN _dgل:HKf1bb k3ոǻ;Ƴ,˕dU:1R0oΠͤ#foOooZ#V;7|NQq3SE]WQjmhAbYqRX*W}eW(;c[><%WQ+n@0(aR8ysz+10"甍4mmDcf@}m:UZL4x U'SC;D4bh^g#oqG-[oX7F,bM9oK Hpŀ3{!Ay7v`ҊO;ZLZvt45pdVy΂WXe P*UcKϬ{qy da-6jn^5-zhcco =NI.Ku15p}1$gk vLr[#wM 2aŎ8/~> %!o^&7O iL oW6~0$;>nP"mA^S&m98;q8X SSJZ5^D=g}2ڒӑJ ~;}A.Ϋ|W^.[G3tY6ҽzExx"_txJ?&oثGC;AoN:҂HӱF]R׉˾Ϲr>'>0/Oo`KɎw*-Lw=w;iZoO;f%348iHk/ψ+ghߗ:'$8Ѧ-<\lWIW,Q3ma8Mԑ$[uD^iRixDfV} O ,&~-3miyMvOĠZ+wdƱscJu{u)zbU~n(WZWX tGa~EuQ9dMEY_e.y*#m{?@:2W S&dsZ둖hAךSJ|kudk8nI$^ DjdmJ|Q}ʄ=wE6adI_qN|r˝#9Ѻ*hm]WK.O|cۋ>S;xnbTң7!;uwCJrPюoA Dc˅Kwe7̗r4>zVvʞsi0}T&ԶA=} r31(ڿ<]N+Gqjڟ ? cM~>Rswxݱ]׫[ZOkyʹPVw;quM v Х3+MbiAM9 _,6IG5yWe endstream endobj 12908 0 obj << /Annots [12909 0 R 12913 0 R] /BleedBox [0 0 612 792] /Contents [12914 0 R 12910 0 R 12911 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28076 12912 0 R >> >> /Type /Page >> endobj 12909 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12910 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12911 0 obj << /Length 19 >> stream q /Iabc28076 Do Q endstream endobj 12912 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28077 20690 0 R /Gabc28078 20697 0 R >> /Font << /Fabc28079 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMKR endstream endobj 12913 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1147) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12914 0 obj << /Filter /FlateDecode /Length 5445 >> stream xi3 t_~ Lmct"o_M'l[ӛw[إ*.߷~_PRЫW~cYi򴝢JgRF?m/J)jB;)mO{wiy} um:@/ xpa),LYnip qF,gZQg+vx{agO0'9M3 ק xl 8#\U/:it{\t}nY.v~-@b }7O Co4=3o ;o1DW~>cdR最4 ^b3U|9g ܤ@m"]<vrzrSt ܨ@/=;g&E,ΌJ=h0ܦ `>߀Z}g듘1fMPv$5;H&+dT.P{dP]3W)aQTNlnENBXX&$MV^ xzۺC?F>d`(Sԏ =WzQ6*.515{HI)$U`jOTh $/YPCB`Jz ]QQ¯/E; rG^-sw 3@!xr7 ՝,R5Ux ,"b)UD#" 8rk@rֱ:PItl̼\;:yʪ!uX%="P[R;P֠+%!?I %Q9ave\,a/|o?#Ȳ3[ VLK>u)G]gq<$[WAJwb`4<81;{^CRp}\nP,4jl5AEfO4dYBAS>wK>%~Ŭˇ$z'|fN4ܛ^^Yk(Fg0T,@/dB7B:6ȝ҉GCp[b) 0:!\yyE#즌Rբg1Pm'tP<#֎dzᕸ]&΢w|ōkh'3ˊ[*dǕMºUCj|X$f% 1igv| 'K[ePXO_H>#z |" kƻjn~۸x4ԳBr0m=`vo' {b'KB="BB|B("jWD28'}db|&phbZ .OK5Ӊsk YlajI%G{GUuX\]f9-.4¦^^9|qLYP eMϒjDkA0Q4bz軨~Ha cZVټv1&GRQ-UR}(ARz:9!I@nE͔إLPR -37_y<.n7yC-FĖ`5&'L wIsKك4eξLEG>JmȬ SrMk)EL7{LwhSOPrkհ^ib8.wL.ZRǏs%ŏplIjKet@EZYum5?3>Z̔Ά=p=߳6<^)Y|\olIXl}4lɀ)Ra5)!TOg&,"zavo?5e\3aGu8bfqEycCr뎚ԹF̟%A#L5&EȘ<6Wf原2N#P[$=Skv**+; v-С'Jג<:#qȇ5lGl:|2{ +bGۡ$ f E¯Pݚh6k&jL=27r /Yɖ<k>=8$Mi^[2{nTXRsB^,C0tC7j#I,:"a8QMeC*DEj*] Ȣ:Y8lbקT~[jYFޠP/Wgp]sAs\NxX8Z x$ ͛~?zHeoaFiF>ߨ1WKLd̓FrԞZ=ҧW^Q"ȏlBG7ñ8752x$\1T3ifaDN /_=_JMWo]wsߍwQbmA E}RǦߌԞ?Q{;d67s7ogHkpZO #rj~m0:ii7U:.~+ )Hk Ni=]2^HԖR&` h}T6C i1xgEy 6c0e|@&B@; &5c$JF@q,Ť~G/9 } <Pޢl4: ԊM@<{/N0}"ih#臮S!x] x3N8By ˂X̷.a#t#+؄:aR6 C=ziY0%H҄=JC>5`j!B*b<~~l"8xϮ:EC:,_/ > SH(4Pѩy7R"E#02NM&6$=D}?&iυ’@¥X1)I}`(4EI⍔!~4a`3ܾQCrȮb`t7{^Wt޵6tE$TaZ6 vKDZGƷNHDXaѡ" Z 4/]4?oH<20=t%"-2 9WdT{.&{P Q&)`+ڃ(\Q9 Y`}ip ױ|໓bEqW!|MjXBM˚V%FS1`eOl~O Dbwh_K]k,ھvؤ2TziTӔ{4༨BVׂ)ו(kHXa_a >5ȰE%>]ː^'!\a[P9g |n^<o/'ANx| P"1@yi/@G{{jXm16yl*GEN'㙐օ }X?7Sb+ކ|,'t6d]p "p=;ZKa>cC>s*RAѴ؄,9͋G03pjīYv0>\Ѡ*֢+8l}>$m mS'?Q8*\Chſ 4H$S< ; ԑr)R놉Mι,U(MК|% ^2ܪX*C.%([X$eAy)A60/wJC: nO,%joA.oC>N>/V/ovq -sO{G}l=V u)M`("NJuat<%5e^A[6n̋a.̠.$OB1c~+CX}vXM#k(85օ䞴!~n I+!Qr|>`>YJ^E[+ 5'(W*SoNO/f1\怩cWdBr+gqO9+&K퉒6r7 ^(1 OuF\kxP ԮI^~P\[LöX*+S0՟(߆`Y i?TCyݥm<6QoǨpݛŨy1:dٖ2e|Ώ-{SSRd xot+#yѷ,'$o@&y&+^qz2'YosiE՜اKc$2D1[Y(:p$|߉r$KwP+9_Us>(LvtX{v[3GslN z=zӣ\M.GhVz<3ձ* yNC̭.B_^50 bk9Mic<Ÿ/i'?1n_xQ[?dQkӇRxM}5/rgż*-sړ|V=IN2Y9iNs:`vB @  !S,F\ endstream endobj 12915 0 obj << /Annots 12917 0 R /BleedBox [0 0 612 792] /Contents [12932 0 R 12928 0 R 12929 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28095 12930 0 R >> >> /Type /Page >> endobj 12916 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12917 0 obj [12916 0 R 12918 0 R 12919 0 R 12920 0 R 12921 0 R 12922 0 R 12923 0 R 12924 0 R 12925 0 R 12926 0 R 12927 0 R 12931 0 R] endobj 12918 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 239.1423 197.7282 250.1423] /Subtype /Link /Type /Annot >> endobj 12919 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 222.9423 202.6782 233.9423] /Subtype /Link /Type /Annot >> endobj 12920 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 206.7423 195.1267 217.7423] /Subtype /Link /Type /Annot >> endobj 12921 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 190.5424 197.7557 201.5423] /Subtype /Link /Type /Annot >> endobj 12922 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20210608081201-08'00') /Rect [104.1732 174.3424 192.6352 185.3424] /Subtype /Link /Type /Annot >> endobj 12923 0 obj << /A << /D (unique_238) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20210608081201-08'00') /Rect [104.1732 158.1424 187.8062 169.1424] /Subtype /Link /Type /Annot >> endobj 12924 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 141.9424 166.3397 152.9424] /Subtype /Link /Type /Annot >> endobj 12925 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 125.7425 211.3792 136.7425] /Subtype /Link /Type /Annot >> endobj 12926 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 109.5425 165.4707 120.5425] /Subtype /Link /Type /Annot >> endobj 12927 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 93.3426 172.9067 104.3426] /Subtype /Link /Type /Annot >> endobj 12928 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12929 0 obj << /Length 19 >> stream q /Iabc28095 Do Q endstream endobj 12930 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28096 20690 0 R /Gabc28097 20697 0 R >> /Font << /Fabc28098 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ԧC;f٩c8TRQ e!Wm EIn;|/x4S0rdd5F *c*h;ɘҔHr$SBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12932 0 obj << /Filter /FlateDecode /Length 3270 >> stream xˊ_煒 ^mLOcc;^R{H)eFD;";_uyfu zWgY[>1vy6Mz7$7 P CQLq8c{^DzKg`0q2 KujA/2gN - ~_ p|2&>{n-Ӊ,`B0v{t0JDR8 fAKWp.ZkegDH Z6E E;Q;&_DIMϊp=CZ}ֽlhΣsbz׸6;5<(uckQt S2>:@pO;0~JBPO.{uLD˔]vcYVeXfYj;R.huS 03E4E'D&fYrנ,*<0åX4+Gt(ϑ.6dn*2K=&^Y W.x~ufu؋|^"L\MM6P]lq;T Jef!n:j}UUA,J67}hUE`_WQV(iҽSͪW\zټ- v, VOLƇ T)5tSΣѽAعOʑs xMfi6Hgfעpcvе3Hr\ؑEӊٟ;:GZԀ۔\퍷Le< ]jv.1$ٚPc%t-#@tu/SJV )LGb@]Wʃ+) BWn3[U hLyM0DnRl_;{#Oi*T %vLF}M-[ .S,Mt;ސXv.E≠%7b %=sq >(Wz]?K W^[_Ɓq"ɒ&8j&f1og`1# ͘Ï=1Q Xh-3p񉕴pjikĈ{3'yze??yzt}[0+Yӟɂ~I6?Yŗg^š䭉Pccc.u}P/o~\^3OKogVP}CY[Z=C Xcfh6AGRv< *V,؎6zr&j۹VZli6hWZl?P^ii˖G4dzi"JCp .PXZ(E4YՇXJ1)o0vaǙO/ߒĻc9bVM69ŰNvsN }n x׷ qJqҫ%FX#|ځ1:[u7]^Zmec(-RqWWȣaR_["U_kƆZ}D-"Y>MkcWB|Q7wpJ nYUbz[T~bP8w2&#mn>ΨLN`/yJw8u!v1}| ~HizvC짜訧6:`@H Yl"D72Pu0L+bZ0P[ [pI&7U s.^u~yrMr_qyH2 Z8%D]FׁH؆娴]pfs=bj苾jw b~\ tӔ괐Uk)IeSm(V Q)P覃tk4;o5(#U//jp3sSȬ)/X^F4j zXj-Wh?4j Nln3HE'm1F[;SJ3yR{%%ɖQSGh5u,ؒ!*a;ڒ%Ὴn?zYyLj@SY!>ͮ<uXU` XY!pl/˚%HP"F9/NžAKE& GD|[;OxnGDĄ;$;pzM<vԗ9Iޏ= Qh̼<{jV. :0ݚ? 4g:n:N{$O! \+\lKY3Z|(~@#vjBX𠭱9q'a؉# U`R@œA^{/S 5[Px&ցyCf @}-6 ; 0xJaĜKp endstream endobj 12933 0 obj << /Annots 12935 0 R /BleedBox [0 0 612 792] /Contents [12941 0 R 12937 0 R 12938 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28114 12939 0 R >> >> /Type /Page >> endobj 12934 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12935 0 obj [12934 0 R 12936 0 R 12940 0 R] endobj 12936 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 91.125 137.278 102.125] /Subtype /Link /Type /Annot >> endobj 12937 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12938 0 obj << /Length 19 >> stream q /Iabc28114 Do Q endstream endobj 12939 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28115 20690 0 R /Gabc28116 20697 0 R >> /Font << /Fabc28117 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 12940 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1149) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12941 0 obj << /Filter /FlateDecode /Length 4247 >> stream xˎί@+|S{ >9~o5խniXKTX/ ;O~ &5|vv6\IK67kG!Jw*ݙ)0a"ޝdy㘟>u|7zS|O]?_>kAWn)72^ \3Fx$pUrrSg+l0Mv2N6R|\=0RIqgAʟp{$PgXA%G@@J>1 ȣipNiXA@(PO&c%^Bc9M'Z>$~OB"ONJHe 2=Y(TgB:мJ+9 (ΊXEOOu~ F(A293Z غ!$cWq0zVvYAkmX\wZj6}q\ޒ|V(n]ᙔz-@R%}vV!+!/B.%q$RОQhE{SkpUOm}mhDߕiPv&f!*Bz VI۟ AZd˻c4DPäo2`yH5`HO>7HDF@9PatE'6+Tʠzt{ TGb6jcB^FY\5]9Oq?!\%Gt V)X2Y[gxUBWDf;_lg#! =*ac\j11ū1K8Fjw}boU,xZY/NAߍa#.)\BHfi>+'Cod- 0Vj`hCn^`>IbmuHA^څJiUU#tq*wLTås=+(+9tr2}c[f`F&t Cg A3}:p2|VnW{p Rz p5iԳu2m:޳:x=cwm:޳.xF}c[_:c[[`u5Ba@]J4'(L8O (-3i׶Do0> ҃hzcs˶pVeRBbpM56%?IPM9c+*2 ؐ 6yc88IR$f#.s51.jҒK~I'1ȋ gS !L1ćtK؁"4\aI;h iJ\ 'cWb>p['Gq<(2E*җ̵f37įGv@\ -PZ0e`aU 7{pQiBEdTӥ/(OnK PccȾLv\,C9!KvjQW6ŮT`K2/` I \c%So ӧ"nΖ߁gDVvד]-'K V8z vVZ[a1c[$FC-jQ4DY*VD!D/ejLַ5h}c fm].;T*eidb*j6֡Z^|nGl]e;eyR.?%^e6mt(ouu8g^v׿֊ 4.JuW5Y·E!uqĖ %K%쩚n)8e_<:0s>|tSbl܅za.!])/[Fn;97uleCżd8=w\!r yk$-l]'>@1\(A@\9j8%zb\w,AY#jV5b$6ܓ~,f" XTBؕIr1U{M z-u&|_9oH3HB^/?qs|+Eh>^0|6>5c~KR#Wk"(VDh!|?UC:Wq=ޓ'vZ^l^3ݩ7VqfʖSCc7gZf 3vC W$g,03X>i6f !%MY{{M-4ax#>J\WCCn)病\}<,%֫tf.tD0;/IԄHګ:}@S 㗼Ot|K> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28133 12946 0 R >> >> /Type /Page >> endobj 12943 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12944 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12945 0 obj << /Length 19 >> stream q /Iabc28133 Do Q endstream endobj 12946 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28134 20690 0 R /Gabc28135 20697 0 R >> /Font << /Fabc28136 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫Dӡm~l1wEʚ 6G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#/ d_ ECC 0yW!ӘV;!JϡmтGŶ$Z endstream endobj 12947 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1150) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12948 0 obj << /Filter /FlateDecode /Length 3905 >> stream xɊd_gC=@%MȒ1=Emf~[ؗ|WzpKYi*v8eR)?p>V{x32baP%iҟE}>-0^}3,Gܷ3+Fw 'Il:h'+p&[錋J,z68?8L83" Aoc$,C=]30Xhp।Ē:>]tE D<Ь-'Fڠ>6G9"SHXH'/̽UG!10ҧR J+-'@X`t( MB cH9^ʯ. +V%U< 2*#!Uq9T P_at>+k1J.LAǜhtc)ѧj5b!biR|6ư+60(@!4Wa|<+r9Ѥywy⑸$%ezN?!eƧr~ zv2pF^0i C7+O%;JL8k" dcN3vaT5Vb]/r$/ic!rS,>t9'!ɓIz\ތ͔+  H.+*md}cQb~Y5 ;AJBd+ +;FSBnElbX^įjd5iVO`+6jNq AN{;OtXiQf33 }~ 0tمS[Zq&R) $=M挬Gͨ9/́IS1YIT}rkeDP-sI2`1>l)o:0wD8N ]4be)^h[CC`M5b0:TUmOF $d RtQ1Z4󾓏mqC )jZ%խ Ӭ!>DZOqkS70K5c8݌@ZΪXemnH9-77m|3T9W fIVYq`8sYް'%0#;WJ5X6fmf$EmՂNc xQZ+ 4NE1q HLYPXY%()=ѥ&SWűڐt)n`~"X;6):Zƒa"[1l!p zz˹g%P*pS҆|nafc^T,,͉[ l)Mە[*U-z\7 枮 ΂ر6*/ B"k0l.Y=˖2bf8vYojȉSah_#6Hus naz%W+ƨ- ̶={H=,[ ȕS:g q@E;d:`ƝJ Ec˜@.^e% |EiGڈL|7f  PEÅt{S.+|΍^}LFjV ;ޚ6xaLK忶M͞~wv mkzqqW[-6E~Ro,绫+]{e{#-^&=TUfHюhȮf1kkRk:KS2v+_2Zn0!(:ٛDf*Y{!hJ $%8Ouh.D<D_TMd f&M]I*݄,ޥC\.仌1.߄ #6&443K@ >pH \1wc>!/ _Fwq<]X GtmOƌ@Mx/'|G0Q,D]pmpWO> m× P69BE&ûvJ.?e!M3"px1@`  3Xav>. pP p؋Y p8#uAw8sdoxcJl-`SBn>>yCģחTmBr -KhM_ɡ&5W0tp@.pdy$鄻iz/!)炀DMg*2e8Tx61/xn8/YlSA2 ~)d9/T4A<ʰ{/E_jLF?\F{ga mD?qэ1K<Ἆ7N16;7|dʇJ^/]WeKCI?\w*?\#2>?^$Vc&?3O'Cn[(gAH}yn? UkG\cay*Tś[?,.fͮ 4:Ї3H—@GdD~ 2}vr endstream endobj 12949 0 obj << /Annots [12950 0 R 12954 0 R] /BleedBox [0 0 612 792] /Contents [12955 0 R 12951 0 R 12952 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28152 12953 0 R >> >> /Type /Page >> endobj 12950 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12951 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12952 0 obj << /Length 19 >> stream q /Iabc28152 Do Q endstream endobj 12953 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28153 20690 0 R /Gabc28154 20697 0 R >> /Font << /Fabc28155 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12955 0 obj << /Filter /FlateDecode /Length 6071 >> stream x\I8vWl 4 Q@FF>dm\DIՍq7<=Y!R6>~b ]':dUETa ܆^r%>ÚCf1.Xm})uМ [.8o-k1) ݺdwً+ڴ&׋Цח5Ec\N+5ҤRvpm n%1*RුrZ,\]VP V\i_<%O u˵ -InOandX4#ˏ_6nu~א+_ opVۿ.yu).Q -d'qaujHDꈓwTvk[` 4 L0Ȑ5ʑzܫ5 fXP/^, `^] @E`.0L '9CFԑ5ub8GvȝM_ hIטb~}&*Z :"(Hz[šJ+b/rmZ*@sz[ kp\ $)xzuی4.)fW9g, l@(,0fOsg0'hMmY,Aԭ _*46h>W%ॐM 4zKVuDb5Veg` XM:No|oS]yҵNcPLOwugD]I?a* ]|Y ?oVz-Z'_`4e*3ԥMohBoP *= N Wg/P~c9tdL }Y T$Eib|QF3xnTҨ+h z;^sRkrG 'DL"'4/"Rʉ{ :g6SidVA:UQ1E&Y'Q@IpWp$E,& ÐF 93m09l: #7 o:_ W:ā[8!o=ZF^yWZPh[rsHs%$YpFXXLxIm/b_|F $ֲ(WPߊN;dG@SmaV :ס3[͑Ly2^۴i?jmE+P_S\@ _ҧnxxg2N#6@Ae_D5 FQ/GVZO:BAgL.v<`ٲ=ufӆgl|^Gygb! 糠UǂTT4-7CpF_rLE:8{fK1WiPpuIBcZ~{5pAH @`! w9"7TmqSr B P2:WD *f09\N%5P&Y0GVEHK GD2_W37. 'mi Dex9SYFz@$,>3&}aߌN`ga<;lļMvvfjtTG5ܤǻvF@YQIaL0\$\k` Ƌidc)zrʤٌ%{,SwpLFwZ ٕV.ŗЀx,]EqW.!NN3=DM Pe%aƹ4BG9XI= Qx:m Kf$=& 'Rj+[O2Ic v:h /~܄.g"93x%82K*i[g+u]ii)*kwXmtT`@Z _?,S9vԢ{yFZl#JQsWUێwnɬ*~\õ,߸x>yU[}'sO[IMk`}s,9[AMv*5IH$]aOzVC#lg9)9sYCN">;M;p;.@nͭ41->:7xb7şvy 4\{է׽C:pðzQr-c2&K0w%`bQ'.ֈOĝboɆTIP]t,ĠS )쑾,['ּ1Űcރ25 B88epjUlHvIR4cE,дu1ÒG"nլHO+TLMw40Ƨ̡Y c/^#d & 0Nh&A\&uW&H1 MnNdW;FDω^l ߧvPe=7;\4dد S[d{ ?bUԘL,|5ٛ].ƊЯqfs|ifُaY5lɖ 7%6q5z%qef ͭY n 0el^H0PJzѲM):'L/`!QDU ۦDU yj}!0agB!5BXi¨25"DC/SXX E6AwoXNYQ^p_|ECg7rxufm/(u;He{Qo^N3JŠ9Z<n}&֭IT< dFk9/œSCg*kQNsq>0^ 7G 3mSk4=lG찤֝>)Δ5k a63s*Ş46GfP3F%ww 0{F@\} ʦk@r;MI]C1F|𴱪SYH6aIﯜ'QjBz~MЙr{G1q=j]s7;uXo0h1i>Yt#vߥ'tz ok]"> DX'^Ú&2`=NHU#zsӓ!O>g, EXe1fL##QmD Z(P45 X^zMX,&!<!} %\,(Wg ZPւr{1ʐ&D ) 1IŔ8mP7H$d=q^nF ӖK*'Ղ^AZB$B:,!F8u3]-٫`Yڪ.y5k |u DF {p?b+ Dqyb"kr s=X*|^"HCP{"P >~jBBpu/#,OЬJlY$Gn5Њt5bkph !)#"T3i EPoX`[a-#>Wx<*}#|JM_:ʩ{U\8fU|;YJ g +y*ں6ό*߷w nXFnn.}N{ް| ,3U`G;tɞ,L;ʜYnvCm!+|09?k|=JV82IǪ1*~+p_Ew(w=xLvK)*_kXP푄?|t,Ą./ )=820& N>D}¾}`kBz og=sd]BQEz~qL+M }ozw} ^l8LXsՆcx3RIJ~;>cq?2,X sz A0#l;x8 2E-&.cIk(tT-GFM8ւ p<5*;NR|J0= R}4 W5HEzx5/ޖ~3 ONSr.e tD* 6~0䷭Am2 :BPv Ϡi ]KzAB1O4r|n7+n2_M"O8T=o$!C(Şk0c‡z+7Ț5%u4~l|P·| |7C*M(MaYK3&DItjy~jSX+@\2HN{^5<P8K.\V9.Sq2d_p,? ޥUTðc\Fwth S  #%RvۖcJJo>ѽcyQj(uZuee=嗋uPl%:k'ǹMGT*loLFt4.ۍИ\+z00܋DžN/j|ǘ<|k2@CT\!xEH yӑ ĩa^Obi6F !(cDftqrO [pyjht7Q=rY6!Ê1 nŭW3}J__qV ZM_/Hypz1 <`- <|6C7TG|0}\^9sN̟/U$?T5@eiLE@pp=sPg3XSȳZoeH endstream endobj 12956 0 obj << /Annots [12957 0 R 12961 0 R] /BleedBox [0 0 612 792] /Contents [12962 0 R 12958 0 R 12959 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28171 12960 0 R >> >> /Type /Page >> endobj 12957 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12958 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12959 0 obj << /Length 19 >> stream q /Iabc28171 Do Q endstream endobj 12960 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28172 20690 0 R /Gabc28173 20697 0 R >> /Font << /Fabc28174 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1^ endstream endobj 12961 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1152) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12962 0 obj << /Filter /FlateDecode /Length 5103 >> stream x\I+Wy/P@T5@z9s*O0 }57Tπ=@'IYӯ$;WHzz>>59;EE]/mÛ35}5~LJNT>JVˋT勓[KL*)о\O߰)4'RJ|$-}柳:pO@ɧI+LP'0eJRz`㯀q6X VOL~ֵak5251IIN,oD 3w)&^G ?J@+02>c`T=)\a3i`eI :)sTfqy` μJΫ :0 _0,P]e")^I 9p`76R;JgggškD5c}'NWAo '46Nl#hqpDЌhɌOLol ͐p$=u/uyo{' h@Ը,)ɧ-ӎ:'1A\$PxX򾠳~V{ΐ,r{[u*)sREim٭+-e/n疤!5P 0:XIf'NJ5JuR]9ڸʠ94MHZeRVe1`TmT~l͚}Y+^.@ʂh2懢?:mLSڗ_+lu +i+7UDG)308(շtz0gZ˥?w4beƴ]d"Q;qVWP; L˹+f*Q ~D1eՖ"oo5”&^@K=M<|Uԕ~+8b Pk\BrbG>g&k[j(bpOz"isq1'I5Gf_mVP_w앃W<g^GEQy;AUcv= /w4HKđ.$JV<0W t5&`x#):B[k.w&㞼z\ b꧑{%%XsGs =vQBn78Yk%nWHtN۵wYZR+'к٤ 64#, C@V.e"3ʥȡk[9{SFa-?Y WаW-)+磸ɦ Z~g]NzzZFZuRO@f{UANRb pd y\.[X0>/ sxȀ!G-9/şwbϟ\u]].j,OOՓ^shz9j\Am7˧$BX(ӫ5BN6 \]dxjƦˋSwl~Iɦ18x h SXB}}⧪';?:G=Ɖ/Oxq堐׌atD})6+ Xz݆.0tٸǒ~06״ۺ!"}$FdE8Ll] y^_\6{2>w/Wݿz&ť'tWrν;w G4)3N1_nRaVjc26)iބ@ b8_xJ8B3 jVr. ė MZ(9A BX$64V!qS`08W.Եz @ۊ&7C`(0L)Y:4De v.3;(!r r 5]PY7t{jH,c;wGg1<;ƓgD4Na˵.7O$J'wx0AB\]4G\#m~hkb]Pd1 |J)C>5Vˆ(cYeye!X<'[*X4Fm2'i1'|@K9AG,e(8Z0% e4DPƺ6?XzDcEAMEKef0tQT4!I)SOLlI?߹!i9br;耛\^WW WOȓa+A\Y) `}iAQQma~ϿVT4δ"++zMi&ɚVKp 04J)-J Vߟ_{JJd^eRs}SM& g=}/(҆{^> 91Q^,3/mZ6j.^]![c 7!e7p @ؔUEEǦG-CG p7r:ǒ9]vCRV.8iQhcA*4CqGEU8Ɲ;#FRݶ6 l2ժ4DO-RfY1yfgFe`Zh؂8Y%~X4}4i6",߽W+%+lu7/!FM>4wV0Dž?qi4?)5Rå\]zhT%iVTՆ6-'ޥiE*oc_rpyCxŽ|A}Kpk˲gw&~k_ {d`ڗIZ(9^nҚkղdcEM寧h(3_׶4k{Y:x#l`Z)ZLhn,$UMig w"jμ=dFO+\\GdҁT!P$/ǭ^@nžmw-;6 xVs^Uœ%JS;jZD%%Ufkgp*WjݾU.NwVw.?r%7+9蕜x 읖; 3k yN\eop <]y{_\s4*Խ&~87wTU)Qf$c3GI7 Ѣ%TvrUi >,b[PvTx29uI| yʨ0l}rRc|Y_Rmy~|c%AwJpv@*oQZJ@>-FcN=)$[vXNKm2{\''eWzkSjM95_ʈƁR8;,уRGacPr%S-rpY*83hJM%KGS+[W+It*}V9VG[({e ^y2,#Re)jEThr4G=xKMvJIKc9eR"TVNc om?׍ ;o[v9k_[R•3L>vqX~Wd~n-i-fsYPz"hdWF/l߉C.)9\y.[&nús'0VKԓN08 :YTՒ~蝊e6)jv՛-bjt,6{%7}wN.M{:[~*pU},D%EȣئYF-yRk-ES=rCCiJNd(җ!".;L,J_1YseǪpe Q YsA-.0sIbt7#b(9.nR=ct |@T1܇ ʊgbN-+Q+aVnm?s>6T0l]` da8dk'x䬵VIڈGԺ/։1kͶ.L(]Y7LMVtE%ecG;9>8'V3v2O3h`.oԢ^,أ}.ŷmTb[!: $.> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28190 12979 0 R >> >> /Type /Page >> endobj 12964 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12965 0 obj [12964 0 R 12966 0 R 12967 0 R 12968 0 R 12969 0 R 12970 0 R 12971 0 R 12972 0 R 12973 0 R 12974 0 R 12975 0 R 12976 0 R 12980 0 R] endobj 12966 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 387.7 197.6017 398.7] /Subtype /Link /Type /Annot >> endobj 12967 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 371.5 190.1767 382.5] /Subtype /Link /Type /Annot >> endobj 12968 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 355.3 187.6852 366.3] /Subtype /Link /Type /Annot >> endobj 12969 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 339.1 195.1267 350.1] /Subtype /Link /Type /Annot >> endobj 12970 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 322.9 192.6352 333.9] /Subtype /Link /Type /Annot >> endobj 12971 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 306.7 180.2547 317.7] /Subtype /Link /Type /Annot >> endobj 12972 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 290.4999 177.7632 301.4999] /Subtype /Link /Type /Annot >> endobj 12973 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 274.2999 182.0037 285.2999] /Subtype /Link /Type /Annot >> endobj 12974 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (verify_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 258.0999 192.4097 269.0999] /Subtype /Link /Type /Annot >> endobj 12975 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 241.8999 179.7817 252.8999] /Subtype /Link /Type /Annot >> endobj 12976 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20210608081201-08'00') /Rect [104.1732 225.6999 168.2427 236.6999] /Subtype /Link /Type /Annot >> endobj 12977 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12978 0 obj << /Length 19 >> stream q /Iabc28190 Do Q endstream endobj 12979 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28191 20690 0 R /Gabc28192 20697 0 R >> /Font << /Fabc28193 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJWuA$񐝍.h@d:$ SOvue|M fp rYax}H+j[iX C-vu4KQthǬ_{9;u SYRHU]n;|NIe;Il[2591 !CJ2ECAJP ~JxTV^> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12981 0 obj << /Filter /FlateDecode /Length 2428 >> stream xZKoWp&C@n!o|UEvG=HfUX/rZN[QQ&?}ѽuud߷Q|9y6hgM%Z_MkcDi{O@$75\o47 e֣8ƹ888ݦmn3,nS6G{/RGY+I<(=?H8hy!DNe)w-rr8푒yYAo>@VC$B.>p/PP({W!Ym}p@[1Uwr29٩\D,}-f8"?PswKD>F B>BYct${\f_8z׎4.{qe^~ i%W$e6/n(p[EzHB8U?"6p:I0`j඘](+B]EV:4$4sI̱mR%}1ni-,䇥|gAF/ʪtQh &L.wdIRy7מ.٭yk°Mqa#6JI#u )կ>˪->+J7ILHQ)L":}jnw'Q|XMK9yt

    Q'K#j,|"c3csi}15Ezm=SŘHRZ֗4[hKyIE9}_82`4M(xzSZt2M0ͥ3+pN: gc1@[ӴtCkwd& 8ԩҧ{}!s%]S.<\E6nn:S,."۳ KOfN endstream endobj 12982 0 obj << /Annots 12984 0 R /BleedBox [0 0 612 792] /Contents [12990 0 R 12986 0 R 12987 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28209 12988 0 R >> >> /Type /Page >> endobj 12983 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12984 0 obj [12983 0 R 12985 0 R 12989 0 R] endobj 12985 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 439.225 140.952 450.225] /Subtype /Link /Type /Annot >> endobj 12986 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12987 0 obj << /Length 19 >> stream q /Iabc28209 Do Q endstream endobj 12988 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28210 20690 0 R /Gabc28211 20697 0 R >> /Font << /Fabc28212 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw72|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 12989 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1154) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12990 0 obj << /Filter /FlateDecode /Length 4895 >> stream xڭn6ί9 `-Isɓ=@%?q{v;ID*kcU˗,xI.ϯK3KK6إ/,_u~K>&|~]}upj5ޥv.+?.>/4;Ne #WWM㢷X2<қv%ZSY~A/YaīqWVJXBْuAP6]Zu|+k gn{|}2EH l<Xײ!ygh[>:0e;d-D~wOVxF5bjteP}>yyN+ke:t0 '$V|0x>fK _]~ӳ,eT`d7a% P'VpU@!k}|mb崱&a6TB/Ia]+"o6 L=>A͑E=E:wg[euf,ZLl1.!@ &T᷅c1}m 4[:AOu១7+ho݂`KA텄8}z:d(_eQ@ä5߉=,C9sxSpElBa 'D~I'WM۶G12h۱.hfyE<'yB@};)j|p}oح שfV#F/'k'=pj&ϒlw2^q+i~)_h1GgLi~`RL #i.>9YiЗt,{}~_#q)MK+۫7FnbGx>}%WnrMe6;O2sΒPdϗ=r!(8kV@F,\e ߯V{ba˚6T''>XC@NX9j#%\3sASWm r*13jT1lďk:7F^hf8z5D.~\,qdXlyDd^$bj.On&k=GK~  M:ƽʝ@J[+ l-T@Z\I-Rl. \rT藆#0@S4lC-#X-ne`d >&0ĂqzPhtJ6$ ,S> ˄nk@tb6dfKN m!XV‡TB" hG_ѤfJ66x`eL(w4#_(/ A8TΑC蛙.]\&2>`H\e] Cך7u@GSϽ!p9HR<#?pݬav+bb4.jk(눜5dM!H0 ѥ?_ `cց`.A J*҆iڄjq^o6e H6U Bo NjuzĆ SE Gd kd/$,%D`-Gӈ eʬ$e5 jPA /=`T %-N {(JB >*4CM+^8{gjhŵA@2 :-U)wC3;KD k"=X4(8jEerVdI^ T0BM|\IK>%T wDQEaEB9AͮN={X)OGK 2Kho ZX8C ֧A8hqGH i'P;zPq0cKy <[sh[b|h+Q5mk21+vg<#T XY [4w%+`>w0AkM7BZtcJ-3  3 cO%уk~1Z Y^$I= V5ZE,v>=N>LL >juXC0-*}~(=u ;'zphdUC]PHF@a=:`)U +" BMg:NccH]qLh)Yvg'GG)tQyzbZ.{>6m>G!:29V-., _}[`Y^JƵ"CNyز3n3:.K(ȅuMcͬ5a2A²8مfYkj>|3m$I%ONW`{LI+>]\AH;I.P֢k-]]uLqQ\` ; }/1WR,4T^)@:R8 ]:gݖZe !YTr J|nO=0t.Vc 1Zs'dQ 2(޳G$j9#Y@ 9 R>b鱕tf)lXuZ ^=rM.JإidIDi]IzዴF}Z8-)oXhbN>=Y4طE!oSON95kEЙB*L꞉m=.'.!ƏT~U=Ƶ-2RsP}ni`daW"V5놅K 7YNc]V?qA}xrk\]AE >>^]m. 2Ń]CHRFM4I26&n7HK˂ů{#pQHC'"O755)rR j J^9]!}$ z@$vQ؂(e?\$< %c7T bZ--xJЈMቻMn5{[;rvnZ4k6~S[[pj9ܝ q@@e= Sml%@%qIB;T*myG̡NM2܂d=Y1 ~YT =̵,r$D~v1_4jju5Ec롌>d'Wn6x;lISlg:~B + V[3܀/m6qUA mWVA+ Mڴ 1W/|>!/@Gwu-WWaY 'ޏZ1<eA;PzXւpJ`7rkǍNncȱm+M,"dO4AMwQ;&gMCà n! \l P27Y%=O(2b. |§USnFZ 9XyQ |Lw&^P3߬țX:j0tp@[(ȟ1- |Bi{Er{7' <̳Z!\Sb4WɾZ^ UòcTLOthIpЦp)__wi$䖶3, m%SGwtXMkdZlSYX--zSsYhfGsMG /E$o&elgQO{&'I4W$PO.L*w㜊+CaI;]/ 3xM ;I ik.c?gks7T~:>טּ4h\L.?zlG'WG}"OgC'}XW8&,s lؓ1? oZ!s禌-ܿ? '~ֿ?lռ ^+T9O'2{Z?ZGuVo j:?2wQB endstream endobj 12991 0 obj << /Annots 12993 0 R /BleedBox [0 0 612 792] /Contents [13001 0 R 12997 0 R 12998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28228 12999 0 R >> >> /Type /Page >> endobj 12992 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12993 0 obj [12992 0 R 12994 0 R 12995 0 R 12996 0 R 13000 0 R] endobj 12994 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20210608081201-08'00') /Rect [104.1732 489.8384 160.6527 500.8384] /Subtype /Link /Type /Annot >> endobj 12995 0 obj << /A << /D (unique_671) /S /GoTo >> /Border [0 0 0] /Contents (ltrace) /M (D:20210608081201-08'00') /Rect [104.1732 473.6384 131.0572 484.6384] /Subtype /Link /Type /Annot >> endobj 12996 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 457.4384 165.4707 468.4384] /Subtype /Link /Type /Annot >> endobj 12997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12998 0 obj << /Length 19 >> stream q /Iabc28228 Do Q endstream endobj 12999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28229 20690 0 R /Gabc28230 20697 0 R >> /Font << /Fabc28231 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ f endstream endobj 13000 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1155) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13001 0 obj << /Filter /FlateDecode /Length 2032 >> stream xڭXK$7WlH( m, >;^La⿿_Bʚ=6EVf(/ޡP/iBҼ~~cuu4d:?=:s6dcmΚd~z9Xu>Z{>:k@?f;߁TJ,=zz21 fw팈&B >f."PYN {zX}qJKx&{kBפ U8\X\ыR\˳/8".x 1G6 :pA$cp|{. nӍi;֭:fުqIci|m^^mekۄ!̞N죣3) _MXuȘЧړ xwIv&єOhG} !<%2#k襧-R:9g6WROmH a8<=a|*.]{+aN^LD2%0Ý$ڨRkõ4<-\nYSɛ|[QdRIay،u0>%:oJFۊp> ٚZjS9+mnT] a-ތ߈12e6QHfr'H0$Q"LV-ޛJ^4VxXJy݌#46*.GNR+F=Udq.5ч'E[brt˱l(iP25a |D skժJ-Xлvk??j^157aTрQMGJWs aºZJܻGh&ؚZ3HTʐ)j$a<ݧ%TCZ't| PU>"8d(uXګKDY\6T[w϶˾2[Gbyٺ.#\G=@%hDGLVՕO??;wK1-(ζ}NNS 4Bun&X2O ;uVmd8 ͻq7]pHrӟco"cd K A k%w«{wgIvMwiib!_w~zFoH;Kt2pLt6#ht됸ګl$e ;i:eZL]ojQ FE `8Y2)=h7HQZ_dvB g,(ޔ17ڍzd*b'.}7lztG RMU0=)/iGފTTOD#^38-K uLŏ^N9 xj1Wҿ/lw +16GGƋPPވ>^$Hַ# ǒ^ 6սN݄Z&)pf-7v?pf}7">:ZP& vFOmkXVo$f<LmYڌXyyφL#X7a;,މ7QR &LnslJIC>'m|1dUwzÕDki^'ARJ::ץj~+V'1a L+|0" GId,}yAۈ⯽q O'S [' V7"C7X)]Jrv endstream endobj 13002 0 obj << /Annots 13004 0 R /BleedBox [0 0 612 792] /Contents [13011 0 R 13007 0 R 13008 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28247 13009 0 R >> >> /Type /Page >> endobj 13003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13004 0 obj [13003 0 R 13005 0 R 13006 0 R 13010 0 R] endobj 13005 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 386.725 118.9685 397.725] /Subtype /Link /Type /Annot >> endobj 13006 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [124.2815 386.725 182.0095 397.725] /Subtype /Link /Type /Annot >> endobj 13007 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13008 0 obj << /Length 19 >> stream q /Iabc28247 Do Q endstream endobj 13009 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28248 20690 0 R /Gabc28249 20697 0 R >> /Font << /Fabc28250 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7}:$ endstream endobj 13010 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1156) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13011 0 obj << /Filter /FlateDecode /Length 4062 >> stream xڽn_Ic7;rrZUK~?ɑFrn6b NxI._^glKӿ/,/:&[^^ir|nw%{4^I_}yyy~QDdKоzjCϋ^cHjMf'e //.y].N)K(kҡ.Κ9qgh<5i:^?eAKCKkz_ O"= "0NH;9ĺVc̗ߐ˿<$Áe:/9(, $/\ ^fέS~mִ`?\0=!dƮ>~k<ï C{Mh h`/uYsO)ª~ < k}^+6zigh_"92\Ϗb <;傆g3M(Nݣ@;xenX[,nbi`E f#`Gᗯc -}xJ.YYT )!Y`y5`]ƴF-3=͖ 6Jڧ14J i <윐"h?޽] RRi1ggwŸfs|m1\hj,t}gE.a2}|52}䝼_qpr6r3=»+`{O h C  xW Mi7F34Nhq<24E^l] EfrL]QV-"uN- }/mxfr3=IĔ w-;nC+ά/\t5GelaXo$ݔ{#oܓnIy*2bKRYNR} p-c yD7N>>iI<zWspXxyJC-9/9p,f4r'3saq!B)e˖jAL\{~)FX54 =6ħTh'/xB6 31V9uvtA$ &مȃʋ 4$q6/M? FҵVyTE<"،>^ɎTsB+v^Ф7L+sbe5 O]4e65YΙN|T'5Q7 -S+{P;*Z8mXudGFնaK3'y8p鵁w{Z"v84x %CHV֜ hM +幝;!iC<6Zcfdc7t+d͡of<5x Zxĭl{j<ݎv𓃵2vDvhNlҁUWS2N< vk.9l8(ṳ.ۉ6 x"r_!hKg]z۰ec#O΂yFS6xM[ =ѺNcWX\ubm\z8Ÿ E-_ՃO>U`&ZHn%kEe6dqiBv+|7S"0[QEzwNk6%Uq(4VRN4Q-qw{#\?X'7;|x;AK1^W)Lq:QɫO(2ԳNvJ{ q[(HC[=;ON`QN OB3.5s!?HL1'Ɗ;\1_n|>!/@Gw&۲@=U aZ;rTpB.|;§>*|}IY X&*R5GX7&^P9>#ob-JDSr!#חtRƋ^ܞhCz|<%7N(3F 2'A#L \ WWiB5*dfj|!J':W C`*@ZO=A[i$䖶3, m%/}by7x:|PCc֚m*+RRo6Y.KM,Hqn|m|Ked[7wn7k僢!Y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28266 13021 0 R >> >> /Type /Page >> endobj 13013 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13014 0 obj [13013 0 R 13015 0 R 13016 0 R 13017 0 R 13018 0 R 13022 0 R] endobj 13015 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 412.6384 146.4737 423.6384] /Subtype /Link /Type /Annot >> endobj 13016 0 obj << /A << /D (unique_528) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20210608081201-08'00') /Rect [104.1732 396.4384 160.7242 407.4384] /Subtype /Link /Type /Annot >> endobj 13017 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 380.2384 182.1687 391.2384] /Subtype /Link /Type /Annot >> endobj 13018 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 364.0384 179.3802 375.0384] /Subtype /Link /Type /Annot >> endobj 13019 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13020 0 obj << /Length 19 >> stream q /Iabc28266 Do Q endstream endobj 13021 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28267 20690 0 R /Gabc28268 20697 0 R >> /Font << /Fabc28269 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7rVthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMQ endstream endobj 13022 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1157) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13023 0 obj << /Filter /FlateDecode /Length 2479 >> stream xj%_灪}-Ҁom>ddh][.U[j<=UK7m? ruU1c␡?oӳhkױ'Y~~0փ>|g~ `1E9 x`w^D% s.F@!s]Gi,Jxۃ$ƖEА "|fw>xۃr:.B1&*Ked0Gk)}#u0SLWh-k҂eԵ0IK"ya͔j8,7N ƽrvY訾qov :f>5N`vl7m\` NJn+&n1 AYtREí4Gr)J65;M=\&f$R 4\#L&fJ`wSlK ]kfBHlmw@^UA,Z6=Տ0t.z-uvQvƳ(9iuޯƩU-}no~]oZ.gX8/ j֜A \rzJȐq]!O f$Ng@+a|’sz@B(s#>SukH,[ <ҏaĹQkحErb]&a{ f5wrFrF+}R&h8'oJhg+j{)f3AˮO?UM9PaEM e9%~NkkL\\n`Xd0Wqk?7>:}pSԍ7E0+]D07UФt`?IJh6qϣvw{FV>D`qu){vB  芝[ϩmX*c2nr0XdcX#Hm>=*a!`Dm}׺>yZi!;M=>o-5Dx"λ$1ۿ_9Y[^GkBzuް{7/fYkl>RzLmS8\ 4c9˴ׯ1ndz^j+Q4DUJ[:Um%g-z_5}7*!^K$azL!$ъ?5eL BK#EߎLVbu;##Cw=I T2B( IiO“DH)"{q ('6$mH^c6EagO<vP ^6y/ t^Ⱥ!~9DۥPّl] b endstream endobj 13024 0 obj << /Annots 13026 0 R /BleedBox [0 0 612 792] /Contents [13032 0 R 13028 0 R 13029 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28285 13030 0 R >> >> /Type /Page >> endobj 13025 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13026 0 obj [13025 0 R 13027 0 R 13031 0 R] endobj 13027 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 227.825 118.9685 238.825] /Subtype /Link /Type /Annot >> endobj 13028 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13029 0 obj << /Length 19 >> stream q /Iabc28285 Do Q endstream endobj 13030 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28286 20690 0 R /Gabc28287 20697 0 R >> /Font << /Fabc28288 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nEӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13032 0 obj << /Filter /FlateDecode /Length 4164 >> stream x\K#7WjVhnmrXiEнeԋ*n,N^$(dMj߄M<-,E)vN-?M oJy('-շ<jnж7o뛩7Y|~a&#_WtT_ú@65hdoSJd_|Gi $w|bbP*@C~(e҇Taznx~h}FB9cAo 'h_yu^#؞6H%!O uVJ bf@ o!^Ǝ=A9ߟR/=a`N ,42j+uPPgHvv"uR\l${.^u_?3 y,gx.0@X}a_C@6.7L 3ICe// *&PZ{k'3J„=@X`sqP3tZ*QٗW~;-zЧ]ܹy ˕Ȍ ~,}X"f ||S>Tw B#]֞ƱuiX=e âNrņR|fm2QuL8E_VV08%G3C:L8 ǤA^ɉ5ל0>ꨬTx*~Y,k6 _l6~tTv8#,]5w 6ZlDĊtf6_srEJ|O& OUp| 9=O%:][ws(xG W=u>އ_O%xVvžY#hy&[2qtYI ڻa^Kļ04Bw~] ?hQqJw%ϓ-(*ߨ=E_2 '/u|lvwm*ۃAh"nXZ׉b{>G(|t=2,[׬.{ bPy|qm w>0my^6YOZWgln]<`t W7ֻӡЍmt}+ycN_BEhi'M~Y':UWjāDbF~$K /l<3 ZX,ToIDXi:I,)g%eI;/B(|w\8Su\CTkΒ?.CSKf4Q ]"U. X'6n+T)+JJIRJh b"V+ C0,)0ܬNtK2˨IYAr *Xk##Df[JKY-qV&q, gl*Md5AVIJ\*V3I)49mπdj?AmvLTӲH60Jn'JGBrqY}2;CF|pKb[.<(vIQe5׎d Fmq𶥼:`[3-5 ,8wXaÒN ؂ـgJ@+jͲ1q~Ƕp 7vɂ0[oیޭvK%ŗOXf xx%:#x8_cpXQ“a )mob쐡5ʡ=n6>N?F.?%JE5&M_mZ /T9%4X~BxoX$BPEKfH,D $1[K{e(MmH@l!מG-W?jWd2| ɑ;73v_7TsÃZח& PAIeWkea䃃Q0td@. {hlQٮɈ2X'qo CyY;Q}hrsRnv͋x{R^PO:8}U]F4xJH¬Xdv4ѨSïZkéS[:&_Ϋa(9 7Fo 9vw5!(E;;9,'Ѩ C&b^N&ie}TՀ` R%:|NNK7B]åEfq=D8w!VUe\YV[!S, UmsB]& Xï`A@̌ATEuJ@hELR8 \/s DNwNXrq,XrN^lWj)&8zԓĝcSÉb(Ŕ sįz")LMN&'җ?5;V kk$ک6*:RGWDnu.&6Sx"]Lнw7*KYpn$,Hk4d+Oc|׺T|M e;҉\MI_Ttn  ]2f{L:+u=+j bK-QENIM$)1% EJS# G_/i7^s*u4[/2gJ|!Z xHR"']KN1ÝTbvN9kkܺ%xaF jdeerFR9Oؒ2k+ #KOת9RdAr25f{==geafDħZsi\~D:g%ve[Ɛ/]=I?C?XS[!a6º=CvOww;z&yBm vn k0=;~Cy#Y^>;|c*?#_0'㚾.<떓`^tLرr$oPW},{ U\ku^Pnn;Jo]T7c:0g[~AD* AI8 T endstream endobj 13033 0 obj << /Annots [13034 0 R 13038 0 R] /BleedBox [0 0 612 792] /Contents [13039 0 R 13035 0 R 13036 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28304 13037 0 R >> >> /Type /Page >> endobj 13034 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13035 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13036 0 obj << /Length 19 >> stream q /Iabc28304 Do Q endstream endobj 13037 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28305 20690 0 R /Gabc28306 20697 0 R >> /Font << /Fabc28307 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7JЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}$\ endstream endobj 13038 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1159) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13039 0 obj << /Filter /FlateDecode /Length 5081 >> stream xڽ[a ]I\}' e e e =,Htv7fH˱VJckΝ#^'?a>%!F|/^ި9YeN>NWR "~&ȤtIݕ<O:JoUk]ścUW8IG{k`cvMT٣#Kw]X`Wsur`6l'd3ERgP\:kPnrF}Aw ULl 7h{y+E ꐘbܮCU^L)= RUW >n`;wWBF2X YI:T4aR V/J:\gU3v':m֪sp)ݛ*6 ṬX, =uy׸2j@c&LFy=xٯحP)͊9--9ٸ[ZzHD첇.NhkA!iѬ H쬞l-!oD@9jd1Z umMst$_⦄d+ƒL/ۿ_ 2:-3>6Ŋ!L* tW(س@dр~h!~b޶EdNpI%)+x x#K ^o61z| Py``]*iOʤφ8(uhZ[ x1@N5ƿz +w֛lIulfPW4]@K}DbE00@[ÏA8 =2NtomoKܳU)Quu[Gk4A5EkPUۯ-JU\Y>7:QF`j׹b4  ?#ae1j`I҆_OgK@!+IĠ`4Dvu,<1ihNMPHP€m$?_"%irqӛsc%`4 rUg7M.Hl24E6NjnYCU8Y=12n+bҁ@oCAvޟHe?x{ifM]za baDuN1UKIzwlBtfk&OA&i-rY ՃRTa>qkR4\UIMWIWZi1Q\U07$6U#zIJGԊz$=p۸Z+NkQU]R>9i(ù lږ|1Gnq=4ܫcZb[+Ikq5xj)`}D[ɗa[t!/d2դrİkJa-Xr}.^Qߺ*;FSP 9[%QW,O,U=u@ 8(=&Ljۭ~åeͻ׼ࣇ* [`wIǡlh\^ݍ? Zm]h.A4 LkZgfQ4"%X[-/y8NZXK~Fs5VSIgi_bʗpbUt3E sR Xo,dx2cՉb0mR3ͱBa6/Jч+6BH,DqyJ d1Y=bkTYFmP:fUiw2xӫ]ʦGlKcf1nx3jt3{qU`BWGrWW -^tTO)ގ݌I2)gTGe>_{GWcR+N'opLIolj{jR7ҫz_ڲRANG'SLH)3R43Pe0XnMi |\k;vKk7T-z)"/:{yl +t8lU+D(Nt7Ϯ8G7yym)B ߧ&Y#@kXotiU7,=9c؏351ɘ]NlHީEVkAd R3u=jMiSbb1AɫW.%UEotxI+b6C<3_OgF!q3;s;gR5mЎ/k EuoP4=*aPq' N{_kڋ⳿$fvܯGXAo0n'1BmG|كA^ưDQKnƣBtMc1a"Hk=D:±/ +9;8\K}ߗ{n=IIڅ,xj~;Y!\_/ '; zTbr݆:[9sAg9&[>K@޴Oh{9q||rGXI>1#4:TRm˲/Wt[j]".'">V?64C9_&Q\Gfb<9苩xg N /O#!/VO Owq-*0uf'-!ijYJ >Z`MQ驷fP6e1 695|1޵bl^er$cu.(]A<AHdvOL(f ã!V- "Z;2rE ;sL~,ljەH-WpuTnAYa̷}|QK:^}kKDViB#r]_N.o¿&O*/  ?iWiO{|,;JH| xJʭ{6qyx.8/QǬ T\ Bqdc6Y_b* YTUX<,6΋TfTFjmrX.Ap/|э>V,6ܟ@H/H6EIX9pV9 LDGV!7x_myWn$fRͤI3ԺW>spxIl1{4_ypGg\ЬVf ;\'!1 ^_Fȿk*}O,MYtjZx=-=k/Y`R?|st"W smhAiԞ}[sfk%*,`%(uɞ B]<# endstream endobj 13040 0 obj << /Annots [13041 0 R 13045 0 R] /BleedBox [0 0 612 792] /Contents [13046 0 R 13042 0 R 13043 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28323 13044 0 R >> >> /Type /Page >> endobj 13041 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13042 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13043 0 obj << /Length 19 >> stream q /Iabc28323 Do Q endstream endobj 13044 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28324 20690 0 R /Gabc28325 20697 0 R >> /Font << /Fabc28326 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7o}:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f5{kEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evPsh8JF[z7}* endstream endobj 13045 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1160) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13046 0 obj << /Filter /FlateDecode /Length 5657 >> stream xڭ `t3>c Я0~WD|#B~ıC$+6O;e)H u|X=yb]f+&IbZc[p:x.O.Pt+SMM"4dz˳|_ڗ:Z/Νl!ԄskIxZFvYMd'ik(έ-C4jʝ}3@ɶݛDz63 qWgz[LEUX<\jhLF]մF:xk~NUK}NgQH7l޸8 u+Ѱ{0vM AD ˄ (FJ aljE\zB4+LF^x<Нh}=z_b!H;Tdŋ<Lcg"C%u"(gWK0{+v) ]'PYzd:ֻRxDyu˿EBA}Gs4.tX GVjD9{GWc"MGXз1m4Xwiୀ8bo J=ޚmp)T ! Eܼ=;*G |= ׸Q27R_WHPwȻ E(_ FX0D$~'1#X(,hp*VI1-ët%"z3 1G?xF ! Cg)+wm"6tFxegIHan=8$?:e  )(ĝDK$O b;{ b.dT{WR& x" ^E} ۱q"OqqGοYc~ʹBz =Цavӱd7B?ObJۨʞkOQCW`|]z:^+h ޗ1=RXEކ=i8JWf_ d~S 1& B׉m0'D(g 'l4_ל N.t-M˟38 !LeVTV9 R 3ϼISҥm{wm.$uL *H]FߖRsBi!DƊ8㮥C1,pXMj)yW>A1ؔèx^168T~bl\8I!9Cs+(I#˜0:B]jqfMkj' HˉCܧ%c(!:YHYCv D# @h`nj֨ސ9Lwc $Ctns !N>[Jhhg'R7>>Oc6e'7gDVǗ] ;?? tpгS`r%+,é 2gx>0 >a {T˟=<㮘nx8;y0٤br3?Aۥ+)9^4c.vs8~JkKZ9]N#xQt%``n(h'T"9Ŝ|٬j@YtstˌI0PT/= СإQe k򯲶d1FPfj0c(nBvޓQgW0_u]? %{?A ֬KB/GIh{WZYI$tO:1<T 3(g]D"}`8`/Ӊ9φ -s| @9C}@c&lP~ o7 ^StZi _~ƒN?F4oEe"O7~lΦƃCࣸ4۹|% s-ai:^v{yCѠֶ!칟}t!.hebkjL.dy9:oLba>,`(0w뱲O˹\MGE'i?YiUj\}],!cҕűF߾z;EDG+7G^&{^qS&>=}݈ӣMkZ N#(7~>Cͩ2xtqG>r|x4&TXcSD֒8zu rrv:͞}h86ɌCaM&H=vϸۥK l<-Ν3גMI}YMwP%ppi:w?jpĢ=7>LoyCw.Nv}W kw ;tTaj")ס&Bo*G4YCf7Ki47L4t!|Q'E-?LM7= KbWudƤ\?WaL\ne絣R0TmB*- 1u1ڑJlSUQpyUwj$V!u  (f |;-ԤV?8J!'r$j-&IK5rMN56<i8(3vu O>2=3y20Pg3OБd64M5"$1:| \ǯւ5-'GM5y9q=& ph*1Y^zwlԸ#$vex׌/Uv ծ^Ku:tz:^d43;$%&]7j evoz|Wz:RN[ =Hr ugYK |:"z&ڰXʒɰD{g-Fݖ9)z,;u͔֬~7i/;/t&"Tj#.gߢg@vQ>mQɭ+w͸5s?(uq=Џ}yJ˕ 4cZ+PV"Zsk_9͛xwx*ou=Vn9x?\y"ߠ\([fe*7 XC=")h#|7g¾8dLq?q9ըfpkҋ D20Y y\ w)u+-1}|-ޔm]b ,4lٕ#}2|&vI5d:,7s[/r2ܴƸW%e;聆LK4Fmd^X͕ xTycmgoU\C_VE9i+W/^)xO5Bs[쳑 •\k/]MZ$>x|k֖o?,7\P5vuzPD/u#!R6E} cmH 0[G8Lѱcm8r K- b1WXr?53q%sMrņz' 3`3cѺ//'<6Cч 7T<Inق~j4:V2'&E 7˽UΗf`Rj)u 1{"aV*޺ܤ]\1_|>!/_@Gwu-$WaO{.~t&lP-u鈕[;tYͬ>v\X߶bd5!vҫ :tHW7hcr{#dn}.6(]#A}ɮ^L'P(łvϐUkDz_;3IԶG ;ʿu2@l0o b|AI[>`>Y.7rHV_9f-E?otHii(8>#o¿xgo *\-/ s萟V,'zuošSr$2T!\S$hx/|LnֻxyXv J235ވRG#wzhX/i$䖶3,ox>ݛɨ5:f٦AχRo6Y.KM,)}cs,6.TfTFmV400<Ǎz_8~UX}.Ϊ[ޤ#9W6 Ir]K̡MUlg`:+@,)SEPy] 2ns~9A 1{4x*q%z5-Bu+ y*!Oy!^1P >>I,s(Y]| =xNjy Eygc/<͉g!3o&# /Mد9=wXRȼ&5 6: K!ήuUm[ȻR  endstream endobj 13047 0 obj << /Annots 13049 0 R /BleedBox [0 0 612 792] /Contents [13063 0 R 13059 0 R 13060 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28342 13061 0 R >> >> /Type /Page >> endobj 13048 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13049 0 obj [13048 0 R 13050 0 R 13051 0 R 13052 0 R 13053 0 R 13054 0 R 13055 0 R 13056 0 R 13057 0 R 13058 0 R 13062 0 R] endobj 13050 0 obj << /A << /D (unique_737) /S /GoTo >> /Border [0 0 0] /Contents (all_dsps) /M (D:20210608081201-08'00') /Rect [104.1732 331.0308 141.7327 342.0308] /Subtype /Link /Type /Annot >> endobj 13051 0 obj << /A << /D (unique_78) /S /GoTo >> /Border [0 0 0] /Contents (config_implementation) /M (D:20210608081201-08'00') /Rect [104.1732 314.8308 214.4152 325.8308] /Subtype /Link /Type /Annot >> endobj 13052 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 298.6307 175.4312 309.6307] /Subtype /Link /Type /Annot >> endobj 13053 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 282.4307 178.0272 293.4307] /Subtype /Link /Type /Annot >> endobj 13054 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 266.2307 145.4837 277.2307] /Subtype /Link /Type /Annot >> endobj 13055 0 obj << /A << /D (unique_707) /S /GoTo >> /Border [0 0 0] /Contents (link_design) /M (D:20210608081201-08'00') /Rect [104.1732 250.0307 157.4132 261.0307] /Subtype /Link /Type /Annot >> endobj 13056 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 233.8307 186.6567 244.8307] /Subtype /Link /Type /Annot >> endobj 13057 0 obj << /A << /D (unique_584) /S /GoTo >> /Border [0 0 0] /Contents (report_config_implementation) /M (D:20210608081201-08'00') /Rect [104.1732 217.6307 249.4007 228.6307] /Subtype /Link /Type /Annot >> endobj 13058 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 201.4307 187.3167 212.4307] /Subtype /Link /Type /Annot >> endobj 13059 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13060 0 obj << /Length 19 >> stream q /Iabc28342 Do Q endstream endobj 13061 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28343 20690 0 R /Gabc28344 20697 0 R >> /Font << /Fabc28345 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1` endstream endobj 13062 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1161) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13063 0 obj << /Filter /FlateDecode /Length 3666 >> stream x[Io,9@@ $9C0F.lgFKnnbm~SV]鑫SOϪY* ryZX6FG1.\g|0&9cr2ļk_nмc΄hLx8{%4 (81+8›ԐIwK|õrWGkd&IcaI I;5Էmk'h= QlDeYaFG׵]}^S4A{#)ގ5t0oim7l^CH!痟!Y5mR_~$O'ɓTZHًeѵF*T p ќ[8;N o8ͽtHΡ7,É MUo*SxcIJw;'C,1ཌྷ{$ks`#KL~ƖNP?0Cuq. Q^Q^od)jC-Mˤ~ih>i;D\]VFְ720mǼtd9w7VY L1Kl@tRW'בuJ'W_ɯa+!i)[G`%y7>N!5 5l338tۺ&E_8&Z$Vˆl=GۚXu%/yb{v MnÐYt2?`= ' G*\st.jgsH_ ՈҀ+gxܺ'y֢-a6m=cֵ ֨M!8y2KJ>/q pƳ=1h@OVTfrFؐ:d|B̩S]"SCx-#"<)7bGBօӛOhUXl mI%P%,ġ7LnZXAS!Ae_j6D˞΄;**Zh`ܳY+1СVMN 7`BJLI_tBPM63EU(E8=< ٍBn^$vRƎ3b=o%'m"fnhZėmw)_ `'# 2"(`(qGuut)Bbl>vi~].`BW]sЛ#(໓q<"%p!ض'-> ood=R$3Ƃ ͆-z@ & ␶OC&x3xj3nኳ|Pϟj-O N31@F55V{8rE yb̐qj Z >M%ηrLpoOLm54Ip1ɝPF۪*ShKBI^>TsS[vN_;susB3LfQ(ܩkfq N]d7qB蝪vd{͡cu \ "d\Tj0x+##R{-*˙=z63#j7[ޙhUo ˛iW7y 'k%@󒻼u2'nrZWDnͭ!s*H1#>}hC/x͋91E$W(d(ɟp JNUg5*A)w*[0ŭFߵ-])Z$]`تif'Ū4m%Y/H<{o>q垙l l_Eѷ?u^#@3XsIFi>{2BT˕*au6.?@^jg*zJAP:y(̲g3(AZ(uY^>rsMdjEϑwybP|6}>$$AyVAE]YƜJ G\% W\ ?"2[c&N&[]%]֐wq2:(I>+ 0Y;uOR*F τg;AG\K z͚D/acލ |r2L/R] r>1 3DN]Ii5J;%IE,M2:a=H}[Fp5-ơ/Z5Aάd/t=_#NPeD >.ג?ͲCzR/d 7ԶeʞK;뙸J}d[G6}esxҺtţ g1,AJE璤.{IU. UθnKJw'!n*$/kﳲuR04m@@,ӸJ0Xi-BFCfIcmC5CѮDkC#/4VϜjckS/[xbJmlVhGc{S/aQygoxן7t퐔E-0bk`\8OW48E3:NExhoo:-XQ=P)>sY> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28361 13070 0 R >> >> /Type /Page >> endobj 13065 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13066 0 obj [13065 0 R 13067 0 R 13071 0 R] endobj 13067 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 415.825 118.9685 426.825] /Subtype /Link /Type /Annot >> endobj 13068 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13069 0 obj << /Length 19 >> stream q /Iabc28361 Do Q endstream endobj 13070 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28362 20690 0 R /Gabc28363 20697 0 R >> /Font << /Fabc28364 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13072 0 obj << /Filter /FlateDecode /Length 4299 >> stream xڭq+xa_.[!+5F`7gZ\&E0Qί܇'A'mT7 BD%q}0x|l?/Ff[_ N !aO:n $@WmPlX@F;m6B@Z50~%|Ycy @ρ'$L?{0"؏!16S?u>( {lWH_Y*VM.əU-$єqwa:J~k;NlOQnusP ;]gAmq>w3NR{IQKz5OMAi^LVoF5'A#_xE6>R=q20&ܚ,e0\XQUtn0GEGsx)_b*`i}]Қ/ #jfv60v`Kؐu9~Cax$^ 2F'L|_T4LL32qDA< ȫeGn8PG>_ ~!:U?Q@}QcELaG&x/|-E*Sv, ?wa8g]nbidj]^r5vfv++:wo{{ן|[JUn}i&%p70\|/a]vrPNfK8[_ɨ|^ *(|Ait,CS)8!,S@+RLMt80Dk?CKF(DS@ZK9a`BbnY]@h耕ޫĉw3~*eRR{X^Zc-긥;+enV'0ly5t&VK0ֶ.Pn RǦ!e֮Ԓw =*tiwzfUFAWuUjZCo 8HE;})Ҿ^=wItbdoaܮhHcmC$q8ү9 L6mP^ F f ت yPP%jvV0~( "JDЍjbpdᰗbM'pT$JiY:ԀjuA E5mW~Tt$'nl.&mZ8,V!f|` ,u[[7qRw>VVm ҇y9@wwR6c7+6 ȵ]NҴKv[Dz&Z0^(hsc 3ܘ Ȕ@  $ =9r#axȸˆA|O 2憏͎ 5=Ɏ{#0jB;NUF\d}[5}v"?ԔHM)Qf%^')k;b IQL3 jBhgˏN/*̊{{pNF02#UC^*_1NJ.DAļ?+6WS4'A9I]fV^sǪT>}ڙ%DyF(,ZVKBIVM1%0DrSNk xO&]֮89UſϥuXBʃ6CbPE` KJy w.H!;1 ž+[Z|l:Gtks)Շ*L(&RQFzbȅBK-"' m~bHcB6M Y}3be[ fHێB|r "սܯs%hD=5- tEϡ*kM ,M::(oȴ* C .ۺE\uNaTxsWVzBŇX 큟(2Jr4Y~Hh+Js 1evŇ[(Myz< r2)ߜd[u+—'Yu^iHm1Ŝ !]@J$؝E+Γ[*j"Dj o>EjۭA֜qnRӱɗZD4/ |w|@0E[Qمa(:cRjrq'i! U] S^ QZDEm(D_X)Ԉ}eW'vNzl. k>w8;8f),ILԛǒQCGjoF۱ӯ߉j3ڳq/[>/<;IhtK:/ dĴ0;$\^fO>z*XgI"}IzKnü?y]f)gK]|hiFB;䑋`,.Q컇me,WL\Zäʞ|;t |N-b!\TJ\Yˈm>tL.{́ƥ2n>H{KbWӁVvvǺ H` n/ @ zl>CJO>lF>ԫ|Halw8emxJ:&哐xWȧ9`XO hEl^ 1jr7=y5#|y;M3 =>`x YO :tO<1LHkR2<6kP@V޶8#ttLwݚ,f*o&Zfn"D_J3fpA} 00#e?bV%OxR$UfQ`ZMscO8g #^&Q<1~\wO}TID XF O;:Z'WT#$kR7gM<=m @)p@!p}|nVMyW,'zu8R<%7N(z2'A#L ƕ7i1|/udopIfWT{C}pRHFi(얶#,<;M,o&/Qk5jNeCN:jyoؚN֞ ) qn|rHpA5RYe@rubM+Zh`{7myG9ѯ$N>a d8E܂n'rJϵzxׁ k9o,]X|xi_]~e7^[wRPӲ%;vnf+-կ-y}\ )C5}-510 F r}P?/+!j~(W a\w~A ?]@L".ށ~>*?[syV*Ypˤʜ(]*5l"׃:eXVv:$]uY endstream endobj 13073 0 obj << /Annots 13075 0 R /BleedBox [0 0 612 792] /Contents [13085 0 R 13081 0 R 13082 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28380 13083 0 R >> >> /Type /Page >> endobj 13074 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13075 0 obj [13074 0 R 13076 0 R 13077 0 R 13078 0 R 13079 0 R 13080 0 R 13084 0 R] endobj 13076 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 298.0384 173.0772 309.0384] /Subtype /Link /Type /Annot >> endobj 13077 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (infer_diff_pairs) /M (D:20210608081201-08'00') /Rect [104.1732 281.8384 175.5742 292.8384] /Subtype /Link /Type /Annot >> endobj 13078 0 obj << /A << /D (unique_539) /S /GoTo >> /Border [0 0 0] /Contents (open_io_design) /M (D:20210608081201-08'00') /Rect [104.1732 265.6384 178.7257 276.6384] /Subtype /Link /Type /Annot >> endobj 13079 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 249.4384 165.4707 260.4384] /Subtype /Link /Type /Annot >> endobj 13080 0 obj << /A << /D (unique_148) /S /GoTo >> /Border [0 0 0] /Contents (write_csv) /M (D:20210608081201-08'00') /Rect [104.1732 233.2384 149.8397 244.2384] /Subtype /Link /Type /Annot >> endobj 13081 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13082 0 obj << /Length 19 >> stream q /Iabc28380 Do Q endstream endobj 13083 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28381 20690 0 R /Gabc28382 20697 0 R >> /Font << /Fabc28383 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw72|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\gNΌl iVQ`^_ DQl˜K(sh^2 'LsB.&s.^u#UR,Wm'@eݝCr\vhwe™ph#bwo>d endstream endobj 13084 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1163) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13085 0 obj << /Filter /FlateDecode /Length 3133 >> stream xڭˎίyi&4 m@=M2=f.e73PKzWh][m8囮﬎A :/E[_>o'cr6C|<a(ʙ9.O?0 H_  '#P:hg/ GԩOJgۃ^dNI - @8dLwnVė; llx6!;yw^pY|9SH<;Ĕ;x'ᢵ!)XV OAY  أh>R=Jl}U5EhDkzC+z"a#:Ƶ|6^vdܖc91(k\_],%vl-A aN&ѧbGX|x% AE?_3I-svFttaEz2M۱lrACSك+.+:& 1&؍,eѨJaeclѢė#[`}(TuF]ߣn] ="Z9ՍIԥ/iy3qI67Cubk2%[Uv7PljbQiW>Q%WL4 6/ 4EA1WI~6NusEy[}Tg(Xu>;?v3Puoj%N\rzJHwgؖM~6/ d% ^EcZ(ЮQuŁŕ.QСGҒbjGPl ⇃۠/v 1B0LacoTMCwb!VqU.ҞRC|: l+fz<5ȬX+po4u։dͦϵkSܑ6` $I QR'Mc.[LXΩLm:+!EbRUC/A]!||ɜgʩ niݠ; m,PNfJߠtnOyhK~JO7=ڭaro'GmNH>RONc.J.l\7LeB?rwՖz|%99B^SJZI]25唨2Z[ɵ㻟 VLoUMcZO·90T|d|h \GxǮjU+9-l_f-$XC?n3f|QOOdiFݮmNS#4$!5^E;9it ct=]n5k1lvRn{U̴eI9{ 10rсd_u6cur$?B眗 Z `S4)HX˼gi@ɫ0(Ζ  '~{LVoP)AmG2F7]v-0RC@+6p6r0#jK< Rgj!bބ(~ܩR3ٹhu.oiAoZQ?ГMqvX?C؟8v6! '3a:˰1lfdz\՚h.Gl)p@W@ [l)jxXVDA4jE R X:H:m;f(mz3h-W` .wE&dQG4;ބ]R\U)Ħ?)- ^q*<׾ATf8dW) î^2G[aYtGm/iۜ2c Kǐr,94ސ9x(yLu;! >GsOMJzIC/Xn+X-t2@{6PY #\ W&XD0E \Q+N ku ecQ|nSx㑿MUbs}V݋RmM~ P?l^2a79,,vU W[ endstream endobj 13086 0 obj << /Annots 13088 0 R /BleedBox [0 0 612 792] /Contents [13094 0 R 13090 0 R 13091 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28399 13092 0 R >> >> /Type /Page >> endobj 13087 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13088 0 obj [13087 0 R 13089 0 R 13093 0 R] endobj 13089 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 386.725 118.9685 397.725] /Subtype /Link /Type /Annot >> endobj 13090 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13091 0 obj << /Length 19 >> stream q /Iabc28399 Do Q endstream endobj 13092 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28400 20690 0 R /Gabc28401 20697 0 R >> /Font << /Fabc28402 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13094 0 obj << /Filter /FlateDecode /Length 4503 >> stream xˎί@|?|@AN؁`7~ŗv{$RTX*R˗,]>,,/`_SWX|u|5eǃ!<.'v]'cn\jwO<.\><T_7DdKоzj@^cHjMfe?f'^[̼VRb)K(kҡ.ؚYqgh\4hpm Oy4^3 ?DH5VdCXu(|瀪|ȣOm8б1Z5c4BBuZ|0x>h&._]Z뙨pπP*+0gm•p g׀r4emZTB/Ҙa`[}^+6 L k6Gve|:wkݙUp)0^p2ʰUkv)!506Z =UA{PN.\r*iMV#K3<1"y*TQ%P,BUk;ո F>VGbQe+R,llXYFcX᷆1y-T;@fw:t;C;>b!CDbMM]h6h_ Jj<:3$(adsO@8^,2۹lUD%ډ{Yh& f(OZWŃ'_Q0m˷1̖D}R{cNt.$X:Y ;]I,yO7paSWÃfKϷ 7JHN5 ߷R< j  <*©h?h}#q†0ӈc1 ㊗iv`*Cf{JanY`l(L-b-LMj˖n_^0>9r3=»|Y9hJ^`hW 'xu0 Cu@Aym #sEa*CM0h+:^ \Lr8wEN=dB4T',x̴z8jB"S׆v;ZD⁄i 5Bs] Fw(4ZoQB& j/ NfwOvrr.[VюGovFvY]Fy\ daLBG>rԤx[i,I!J$5l Wy%r"],BUN@.Zqz@Tҏ7dXjXRU[Kȏ=LZ\;՗B]/ [:qI4:Z8./aX8h8+d@. \Bmd4ioW.!w+y[`Hn ʑTk 9]h;{I縢FwzY200PK-ic[A[PW =Uzubb\Xm+ք:YՔDƫ8 X} J8p Nޯs楬!p걜2L)[0@9T8Cp6?͆8(JjR=hX231u=dMe^sIk@L9]qhKvo9!_p}k@N).eC$j!&.cw fH6钑6ǩ24pq` (-ztaGElyF#aUzT!,UmpΗ}FVsn,ݯfMo6xT {v#VqXy `LġI)abATsm[S%"|nvTC7\`pBA&*kGȃ P'[) dbFKv!?rS8Zahf 6&?#iVl;L<xP!ˎ[n<˄3 y? hUQJjT7UB^Q7Go]Eck`*1`c]<ĸWHmoטEI l&0jMf c媺 XnϣQp]Akw MQ_v>rcq|Xy?i.0` O㞓q) 0Q̊u6j<*].YM mpf힏dm u=եVxC.'7yMm~ś4.ag/fp '{&eqQ 1!IƳ1հu{F=.n6x;lq-hp5SVxR\n&j57@8ݜL+o0ں $c"y]3݄rS(<ˠNe:eSҭ)Җm}峱m{{l1+pP]ҦU8&߼AB te&^P'9>#o9?U:UZ^ 8%W[ UòcT(՟^+?l⋴\rK Qz'{ɨ5:f٦!g>\M&e)ih#2&#՗"n`hoEC óx$+G1Oy&ef8pZ,'\? ×X5c )x_{{"Ԡ|O91~C8 ~N2I1YxC:|Գ!` 'Ԫ$grI}>s \KrȋM҇_='ceiJHu@L$|h?[eQ^&> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28418 13101 0 R >> >> /Type /Page >> endobj 13096 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13097 0 obj [13096 0 R 13098 0 R 13102 0 R] endobj 13098 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 529.0039 152.6942 540.0039] /Subtype /Link /Type /Annot >> endobj 13099 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13100 0 obj << /Length 19 >> stream q /Iabc28418 Do Q endstream endobj 13101 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28419 20690 0 R /Gabc28420 20697 0 R >> /Font << /Fabc28421 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 13102 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1165) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13103 0 obj << /Filter /FlateDecode /Length 1895 >> stream xڭXKo#W@sfH@n!lƗleywg-vQϏboiR~}ӽ:9u X&٤_֭K)[{Gp Dl[k.MԸlm֮ َWYjE'4xe1xr/Vk1~H;g<~ ,=V&1 Rbg; UZ~BGEPӡkʤ0y$)":$h%Z|4:@Wth~a/񱍏GaoֹP*959nc#LՃ́0=J4+' /f* ku~V'ߩ? /G8L0 ez](x /x?'z#hāv ֕`pd5}wtaJŶޛi|Cu(4MN'U)pt>,z\{{AfFS}2axWVW=X0: /bWcrXF+hL%M}8Pia2]auG0<|6 vkXo0*4޹T9_8/X 9~۪C&nyx.a-@cA40=_ACp:bb/T,1dA7ʇB'JW ^3=@+әG$wOL4k"T>0wX&.C~PDOL0W#&fKDא^ jZHgRAM%S5W:T+Ѷ`s oUr_އΚ)G Z1kx]1S>\}\ig;սYv]E[OyG;]yd,FᥒyX I}8$ޞj7e 2 f[ כ[,{h~kGD0;Ue2G-Z.e4ZS)cWH)3#E_\г=ST!ma8vtG=[)c3"AK8s#=RjFmdp4Q-k sD__ ^u3 xU^d%®> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28437 13110 0 R >> >> /Type /Page >> endobj 13105 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13106 0 obj [13105 0 R 13107 0 R 13111 0 R] endobj 13107 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 13108 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13109 0 obj << /Length 19 >> stream q /Iabc28437 Do Q endstream endobj 13110 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28438 20690 0 R /Gabc28439 20697 0 R >> /Font << /Fabc28440 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ@ endstream endobj 13111 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1166) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13112 0 obj << /Filter /FlateDecode /Length 3662 >> stream xڽ[I% W@/@^N>9cAwK~iW2~S(HQzE+>R痥֙%%׿/,O:%[^ovY7ۛooIURw_[Zxho'|3#R6[rH_~^>=/4Cj%h_<=<5˿Eodhқv%xZSY~I?B K-f [)%-Pf,{(<3ix9­IaC.Ki 7/2~@Iu" 'SEa O8㐷ӿoڀ u?qzA?glS~kq6[Zl˟?TA vY2N0{`Hf`AAdک }鑟 N4_c˥*ID8sOja<2MtyVz ;'("յ12<d-qcՙM0Q>3 [hYv.Ũ |@ Y[Ek6B'D{{d;TPZfaڸ=T[T/ĩEF#?;"kr~[+ֳ\z^:g5`(wS<+{(jkS [7q#O͋Ԭz q}\6DzIlf<"܉wtf& "OZpd޲!}/yc!p̰mY("*R>I{uCZTľ5{y ܈DEc>{j{%KF$ۉdON-DmX5vPø|fq:oSxa\^a^UM|몰Hh7#C5BuIԛ܅NCA #KԄoIa ,c>ן i] }2< z Q؆a-CCŶ!x(} / k6I)q_Ip$x7ZǕz*Il QߗtƳh&)YU(`*pZRժ~PmHv{>P8MG&֥հ<ڳXZjXh2QYK|j dHYq5\\S`륎MC,#^n%[A<笌~ԋp\7Rz[ s3)lz/PY舾Z7OF_KwDw-+ФYÝ*e,VU*}YUɩ] T??X~Wn- G]~@qZO(ա \?  R Ԏe:)Dz|]o[MW_;On3ȳSG.J)a`!o%̧ЀaAca)l8܊Ώv>:2 vF~UЗA!-0eaLBG1"uOĴ[lI$;gfھ x&0UE> #=OJV^v5=[r蝛|R$~Ҹ 5kxCy\r3og5`%#Sq~Sn\NmC\<ńN|nΊ-8Hy$’yq: B4!PH(@TI]ЎF-#,x ݪ|{1Vk25&jM4&=ӥQZMR) DH6 nys0LP$d>N2^騵 Iht~pnE_ dw&Lyou(#ϣGa@EYuϷ!ؠ# ~6f kL}r{c E{1F8Xzwaahu.lXZs`|:ZݻX >x9R;DK>6 =tB1npyVxVR7I ܋ ;aǑc61 v| 泉MV2ƥs}RLl|YO5/\C'cNcLf4]N$1w\t1pxx^^=/8eV864Nd:/yG 2˟ZY5G. p7Mjwѥ|k &1 2Xq[AѸl&*z|h9k/V${TG~tT# 3v,6@lԩdMP4yY֋YlҰ=8La}rh;k=дJ@(X쁎Ypv{^vE'fm/FFa䈁cmT|4F΀/i ^sKƛ:ae?jEꕅiY5Sh-!sU!=Y-GǺu=ApT;A Jz{H\zXW۫+%D~yCL_^< 3Ej&yËi!&* !v5 py-5.pb|Id^T,-l^SaL7V C4r'9B uv–Vlxh 17uʘ3jJnSv3\XRZ2ijcJZE:cڵtI1͜'՞s/=J-EZv1M`1{j-8 `J\tV[ .PR;֯Љh*^o~f2|l6±8DeMvbaҍwDV ;\>cf0ʜłw!VsIxKH뺃P߮F 1 m{[fcM8&#w;zP>Ox=jDF񎇣K?x|F&]SWg~Yk/7JHJWGůͦ;/֢7#'Cra: "52 ΅kYJ|՗RrI-ekgZ@PIs=7,_"Qj(uZ eB|>\E2[@sӛLT*C$_ݠ&߱doEC C[<.|G1/n< %1!\s* GGABbX&`s֯?ݠz$}V,SHA]'^y_I>ʍa.r ~A 0XaS\0})@?ñ)I.?c~Ok 7:7t7۵8\cƁή&ȪNB.Z6W endstream endobj 13113 0 obj << /Annots 13115 0 R /BleedBox [0 0 612 792] /Contents [13127 0 R 13123 0 R 13124 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28456 13125 0 R >> >> /Type /Page >> endobj 13114 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13115 0 obj [13114 0 R 13116 0 R 13117 0 R 13118 0 R 13119 0 R 13120 0 R 13121 0 R 13122 0 R 13126 0 R] endobj 13116 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 335.9462 174.7052 346.9462] /Subtype /Link /Type /Annot >> endobj 13117 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 319.7462 200.7917 330.7462] /Subtype /Link /Type /Annot >> endobj 13118 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 303.5461 199.2737 314.5461] /Subtype /Link /Type /Annot >> endobj 13119 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 287.3461 159.8332 298.3461] /Subtype /Link /Type /Annot >> endobj 13120 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [104.1732 271.1461 185.9197 282.1461] /Subtype /Link /Type /Annot >> endobj 13121 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 254.9461 155.9997 265.9461] /Subtype /Link /Type /Annot >> endobj 13122 0 obj << /A << /D (unique_325) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 238.7461 190.8202 249.7461] /Subtype /Link /Type /Annot >> endobj 13123 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13124 0 obj << /Length 19 >> stream q /Iabc28456 Do Q endstream endobj 13125 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28457 20690 0 R /Gabc28458 20697 0 R >> /Font << /Fabc28459 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ƤЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13127 0 obj << /Filter /FlateDecode /Length 2932 >> stream xڭZKoW@+| r@=y3v|UŗԲv,"z|UE;--V=gT*1k⬵SF9&ZpR3 +AB4K1?DLkL gir˼D4kCkj{xX,Ö9E@%2u,}\q%CZԑ4h*D:O(L vt &.:i\wwV"&ڬvQZ:-b,{(Vr9cRz`<[Kb2tac.1Ug1= %a+ภq ߀WFq*-@66&kwty&¤覌[Gy-kZ5O+V֜Z4jj5`@=k350xz79^}ɳCK NfYmgof ASM3Rvnp[*⸔“0z)}}|)Y򵤾3L96,NNZbv}pl&c.Cµ9d@j79aO #| 5]O`H34f` %vi8j^0d}K '?o4֒u0[Ϛƍ1pL[`ƲAb`r͜ѭDc=S$rY-%z4Aw$@ᰀ\'eD1"쮉A3k6Wp#H$׾F22c7 :r8ޕ-˶:̀Gh.Ƅi̲rOmf,VPWLh>x@1;5)q$ٛKUq}P#V'Ǵ}Yj(- %]b-X/ˆ249_I[䂯CD^->ʦE0%Š|!9I1fy/gLq{Y{frqeG{gZR3 Sގx޴N9<6ޓUބ(`Հ<8 -@GSf+RGHJ l[oPz<8~&HrH`#2_+03&9 .dMCoCSS!F8\6@_:bLQ}+(Y}E9@ap>d:Wg: 6vw/ %$U(I!WwWsmAP0q"}_Ia*D>83HčfEfE47܆txc'h<ܙ8'/ӧxQ^Y< 1bS-[[lCGRØ}|T&ӡ5,qj!ѽ$`RR~TE@ʗSucV^>~poRl L/r7yԪ1;!!NUS s⏶b*=f)FπԔI4EyJ<=+cg# +.elK!+ 4ĤG@vmNلƐW9n9W|$b~2>ӛRnؾJ v?rO9#|M/4aቚa$M6(ϡvC`Yh5sxг_nVhvY{Z(h&#RiE.f>C`gRṮbVL-5#q7u"EOV=Vزm <6Uv]HyNسgRϰijd04bO뚹vaO?>yfC P̤6* N77ueG0Grb3˥EvIUo(iʫl GRD†0ǜA%mϜ\ZkMEJeen-VM[ĆV؄iœH\Moez& 27ɏ]vXw+2!#IR}Y9/Yo¶FJ(4 :Tb9%*PkdÕyF3GHKT)WL-'dB/:5ru>}(<&q> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28475 13134 0 R >> >> /Type /Page >> endobj 13129 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13130 0 obj [13129 0 R 13131 0 R 13135 0 R] endobj 13131 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 358.225 137.278 369.225] /Subtype /Link /Type /Annot >> endobj 13132 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13133 0 obj << /Length 19 >> stream q /Iabc28475 Do Q endstream endobj 13134 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28476 20690 0 R /Gabc28477 20697 0 R >> /Font << /Fabc28478 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokW mw/+R/ jcȅdr~ALF r$bE0)D,1g$a$s"ѕ jxyL q-lb@r2dv"`(ξ϶gh^$jQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG`ݝCvhw%TKh8r}NZu^lM^ endstream endobj 13135 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1168) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13136 0 obj << /Filter /FlateDecode /Length 3789 >> stream xˎίl`0H-7o !ɉ7<K~?⫻5f[",ֻ,j:Iÿ>bO/S3SS6إ߷?OL?SM_>ht\-ɷJmxޖXj5 G(Dv2#OOMi7t:9.^Y;|D3N؂ǷL*l@ [dlSփ@Pxzz/)h[)DK², kgѫ}*oHU k}Uz DTW!0̞Ȏ~dcNxYC^u/#}7ՖXX=V]1ʥ!8 e*,9ys6$ >Py +OЂMԭf:QBg}A[U`S@5#XC/.t*A7V+$kyt?,K{5!79X'6v,)ywO$^Tx#^mlxKC{Nk΃WZ +k"kbx-yNQEtv,w AdVE&^S^}%x懲Ear P 00^8eJQ DT 2U8`IG`챐# +tXe<2M1D(S* ,/`+`+Rb[1ZS#$fXLAxay^VEiB'̥>C1.= +3yHt0σeSea%X׫aRe.GZ X_fg`TOԔ_{3#k}&*i7;]醰۶Oy`o_~˨|xQ5% _ 7l@\}^ѫ-z6 >w%{Sیm:֙2QE}@rh\30J`vT*h'[Qj׻7:,!"C緿/kgn%gHCdr|5={ȱ\n5o17/7,Pl%g6K Siue^'x΁_1^6*۱7_z5!HJlȏcҘkŇ}툝ZUę<\hVWa @šN"ـ7yTS}f54K:mC@F@i:x s̋]<^1O$ )y"0agÍyg+Hx2X7Z0G*/2ҺܤY9>jt3=yF~z :eNFqV`YcIGDZ_m'o{T'1XcA ԕf}O8g v;ޭGՃ.图|^k$opD-`n3^_qt \n5 e/gd&MqCN BGGs_g,f4wEr}/ɍ,@ĵA`Sili}uܑCᒬLOĩF:p#H,T lut~H%aai^vJڟjOmaݚ,F>0ͺ)k޷\jMUk[ˢa1pKG *h_]'&tEwqIlՁrX)/#tnLZFtrյ1V]q ^E<ᆱ? Lz+/yK\ ]\qr5<}$*_K{ڹZ3 ):Nb`F r@>읏|  <)'$y]`[Y |Tɸ箸NYw)R|pm:˯ʯb(P-U|%9tזs˃M[9 |ήXt IJ;& endstream endobj 13137 0 obj << /Annots 13139 0 R /BleedBox [0 0 612 792] /Contents [13153 0 R 13149 0 R 13150 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28494 13151 0 R >> >> /Type /Page >> endobj 13138 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13139 0 obj [13138 0 R 13140 0 R 13141 0 R 13142 0 R 13143 0 R 13144 0 R 13145 0 R 13146 0 R 13147 0 R 13148 0 R 13152 0 R] endobj 13140 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 359.1462 199.3617 370.1462] /Subtype /Link /Type /Annot >> endobj 13141 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 342.9461 195.1267 353.9461] /Subtype /Link /Type /Annot >> endobj 13142 0 obj << /A << /D (unique_233) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 326.7461 202.7937 337.7461] /Subtype /Link /Type /Annot >> endobj 13143 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 310.5461 189.4397 321.5461] /Subtype /Link /Type /Annot >> endobj 13144 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 294.3461 205.3787 305.3461] /Subtype /Link /Type /Annot >> endobj 13145 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 278.1461 185.6062 289.1461] /Subtype /Link /Type /Annot >> endobj 13146 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 261.9461 190.4132 272.9461] /Subtype /Link /Type /Annot >> endobj 13147 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 245.7461 207.3807 256.7461] /Subtype /Link /Type /Annot >> endobj 13148 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 229.546 194.3402 240.546] /Subtype /Link /Type /Annot >> endobj 13149 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13150 0 obj << /Length 19 >> stream q /Iabc28494 Do Q endstream endobj 13151 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28495 20690 0 R /Gabc28496 20697 0 R >> /Font << /Fabc28497 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRvyxzyVp8ج_ax} -C |뻒0NA<vuDжYzr"i,䪍A 柫=0'31H[&##((BBq˜Ti, ?$WJ2'ī+OSQk9dP!5:Y#rU (QIwĘ (R%4 ~TW<;!fZ5Y}'ܱYI tM^RuTkQ7^U}ɖDVSrm.\gy<~l~od"ٟ>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13153 0 obj << /Filter /FlateDecode /Length 2933 >> stream xZK$ ǯB{1 >==kLaz#zKMfdFH BR6\UgVǠG:<m~2OV_A7n MYc:/<1= /|"%3s0(GDC߃v@ydƤt}UԲL 'c3'A91MNaBT&h{R">a;|'f!-X6 f eLأX^ҬF^lX}3d5Eljz>k7$NmkrAGnωE]7ckf95ȠOX ~ >΀T^@l I¨م76eQ`j}vl7m\` NJn+&p7Р, 6xxk>6-JsCIf4 ]m#!z9O fdtx||&dj~3Cl .Zk45a@oԫĢe~FBo:`h6 gQsk{W5yEd'5g(Xu>3?v3HuwF%9oPQWjUBO۲ :׵&~ <\!÷@@.Qx*/;sX ԽG "\L.$Kݠ\H%5-w{TLf@Wqb oԝwGȟ/CiYffSLP mb3s>tYU"]sBLVC%ظk4G+L {??<#Oېˑ0#mAu8KpN.ca ]I<ӄFnUk>~[6{s&oGqa{&Y]:r`1^?Q_û BdzF{W{)0wljAݴCZCےOFMjq9[ɉ:ؕ,)\L(^y42B>#9rGN*O6AWsɩ v1*trȡ٦EN-#da]x:r( ?PȱwČ;qy#x'$PJw*GA )8یf;2R9Dzv7/!S3g+wX̠uk)e`\1_9c>CoF{StY)п[lEy&TEm,gcUO\m=QeMZco+$mЂ)nPaItC`SQ`u>{ N9usM5AA޶/0#zdwƚ`o,Ԧ Ra9f AӬ ^]1mw-E}hBckߕ`Z|f/ kmwCPFkW=c`^_/5~B=Ptmtǧ{ݛ`B5kD wi臦ك"颯cB jIZ\!-zvx U فCEqߚ1 Å+9q`f4 )fwMv^jvt=/siEpdԏ$ۤmߤۜ㊼g(+ xAujOlms㡵;Tb ~jNsQM?I)x')4ԻFW4?rڍ`$9Jܟ7D83PR&黵^ȽĠ=}v~~`t/Hosxx_8G=d5B6*D{!CfWw8RA>P}f,ЯUlV`Y '~< LVں@jlSbU#"edjªCŀvҊH5&6N%oW{Yf]ujGq&e!DE}^/9bc'LqZ3~kBImSc( I5L_~-ivl3HU'c1Fvrq+ FXm@JK8 KHU[ꟁT5#uv2XqꆤhK*`@Sfi,K3웆F  fIr -j=+2B{{.qI,,8h\E6'ZD $`/mJAW-Ӱ-S%LϤ)ߘN.5EȘS)7!o-wCE@1 џYL-Ɠ?߶3D1:'hiz^۵I4T9 J; αoh$1H3~p=¯]dX)QL~QOG"e|?ͭޓ(|߅n^qyԨ*?hsz~64Y7$o4m7}-Nl&d3q endstream endobj 13154 0 obj << /Annots 13156 0 R /BleedBox [0 0 612 792] /Contents [13162 0 R 13158 0 R 13159 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28513 13160 0 R >> >> /Type /Page >> endobj 13155 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13156 0 obj [13155 0 R 13157 0 R 13161 0 R] endobj 13157 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 358.225 137.278 369.225] /Subtype /Link /Type /Annot >> endobj 13158 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13159 0 obj << /Length 19 >> stream q /Iabc28513 Do Q endstream endobj 13160 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28514 20690 0 R /Gabc28515 20697 0 R >> /Font << /Fabc28516 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1b endstream endobj 13161 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1170) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13162 0 obj << /Filter /FlateDecode /Length 3935 >> stream xɎ#ί4hI#3@ANA0`۸URUNu2|N_RglJӿo狟>u~N>&7}zujxd쳒ci /]kuQ fluߧON>V\N_}{1?M_'=%C3.Ӛ%_#0 Lvn2#\%/~ ˜t(yr^ gx& /)<+Z6yOa#3~RN$郿d ?'|n] BEȠ`V OڸvQğEw. ljbQ㶼>yztVR ux]LR"U xF|'\d!nl4^rNt P YPj9F@~G` <$T;xէϋѧ"?5 zhb甁 0P>o^>h=Yml wYkh >\H,L2Lm&-J牻SiZL8 k}^`%bhaPWȎ@dƒ#Qx̃0H$Agfc" FgQb4O;gb,«Q6Cߥ]-^ˉ,̽H}qh ւ"V7Ⱙ1y^,ש֫U֎ ͺW B619l U6˘Yg#PW-(j*Z cC `O!ES3Q?n뼈0(T?r835[RXqWqf/n.4@8m|&{eh<:#sE_`*CU40J)%+U!;Dq9~R%M IGhfNP}7 \ ^ Rן[#D5H~ʣeRRk_ZcfSKu 2ʁ' 35Kcpbp^ 2bVz(X{}͈ pu+ՒB}V -*ty4**8m;URW+VMa30lߺ]IlID{+u)^zk#&эˊeh K*6l0jcUHwH$1]1nWm:0̞]ώ~$#NӸvx]C^u/nR-###Hv=VP^. ~9l~F[/u0PGLn+ȣl[A ^8KXY&lU^ЈwEU9lUeOL[`e =;S]FtF[ޮCQ‘@IbMΦ9-Iݾ"m{y>Oxx*r"r{klӳxݚ۹[+Zupĭ~u[BSo\5TcSMW,OtepF>|\ 됎enupLk;4Y Lv#>?,"Ǚ4;wtF ۸V ҃ѫ3=#,sv|oخl= 8}ЙFS)A'S{S$3x^]!aV E&Bn#a\N*L-[*xIG3fF#iS/伎|ʤufʺa+x԰XFf\, s^XQmj`SoEpwZ8I/Y[X˗VsQhu?%u(x^xoQXlQ-6,2) 70~f/*^x7s_dD2#qjcu512C$` >)we~H7NXgvl*b9A 7Ȣ6шI|~68V2>ҸZ4*3~`|uZz ő񘍨Ls :j$E%`]$&c]($6j,%J+:4G^ "Rd8#x/ 1``g'2GtkR ;)Cu▼2llI\[ʆzzte_b&KhĜlU3wf]:,iv6AW 7OQbEI7/*t 0fۜ>o~s} S}ySnVe)xw\vIMVjaE]ZCJ}ŧ}u Ze_9yHOVM|AY٤0H6`66l^C?Hˬ3&7+>dGRPз!D̋]TwG-x=kDV( /g#k\/Rgd$^f ::Z E9_gY8/ڢgg}8xJ.)3zZ K鋔x|eP$3S3QPN ,EX][)$䖲#,RIs)=1M,[ɨՕfXR!Z%ZQZ,4AEsMG -E$^]&d̅:_,bx\t:8Ywe_r]p4c=Xyn<rmHoK(^R]wQZA"}O;Dž8#d fS,ԾW}rBx-#~IM E~_Dx(4tbpn:X%]7mA1{yΩK'ϫcl9V5}(A],j$C6h$:!XE-/^@ endstream endobj 13163 0 obj << /Annots 13165 0 R /BleedBox [0 0 612 792] /Contents [13179 0 R 13175 0 R 13176 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28532 13177 0 R >> >> /Type /Page >> endobj 13164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13165 0 obj [13164 0 R 13166 0 R 13167 0 R 13168 0 R 13169 0 R 13170 0 R 13171 0 R 13172 0 R 13173 0 R 13174 0 R 13178 0 R] endobj 13166 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 388.3385 208.8492 399.3385] /Subtype /Link /Type /Annot >> endobj 13167 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 372.1385 195.1267 383.1385] /Subtype /Link /Type /Annot >> endobj 13168 0 obj << /A << /D (unique_233) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 355.9384 202.7937 366.9384] /Subtype /Link /Type /Annot >> endobj 13169 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 339.7384 198.9272 350.7384] /Subtype /Link /Type /Annot >> endobj 13170 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 323.5384 214.8662 334.5384] /Subtype /Link /Type /Annot >> endobj 13171 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 307.3384 195.0937 318.3384] /Subtype /Link /Type /Annot >> endobj 13172 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 291.1384 199.9007 302.1384] /Subtype /Link /Type /Annot >> endobj 13173 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 274.9384 216.8682 285.9384] /Subtype /Link /Type /Annot >> endobj 13174 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 258.7384 203.8277 269.7384] /Subtype /Link /Type /Annot >> endobj 13175 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13176 0 obj << /Length 19 >> stream q /Iabc28532 Do Q endstream endobj 13177 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28533 20690 0 R /Gabc28534 20697 0 R >> /Font << /Fabc28535 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQЎYzrv"eYHUC.$G7]Nx41?-LB.FPQEq¨9 }' L_EԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶f}#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo7 endstream endobj 13178 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1171) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13179 0 obj << /Filter /FlateDecode /Length 2736 >> stream xڵnίyR/Q@-u` `NNz2qr36dO_~x/Y. ~]_F?[}/z6Cz"|ԿP3KjqGXOxz`匋(`x3#4+QWYSCBh|fs=Get]c66LN.;Z#@a0q|-3^IhpH #BbP<{-KX.6,rҚ"45=k ƐHȡq/>#2O>e{kES6 a<$,D6Tu :0~J87! ҁ5|& dhD]GvS0mzvyIVOD("`0E*@=Uf6%CI֦4흍pcie#넠YlD]jb!&k$5٤Ll׽O=Ķ@}@`t~5Tv7P;bbQaW>^%7M4 ZllBG(9hu/ƩU~Fa6D?4< v]/2'Sa7UgxwV"-ayt04łQ9<%'TrgGVd&߳w0 "oq(T Ֆj(-{ĭk%ثE u,ܗEXz\8eb2id%RJ-kCϥ:0m]SZn*~ :TiK&l!:ӅvsH1b{ }h=^47 ;-@? !-;ʅupnLB.rl!vжϩf{9cٚ^9cQdfdFh8yf,*ec6Ý{%[;z[m\'1x}TQq~&79KꊥsϤٷTBG{#lnMZyGp q=>"5D{Eyl\\PEm%OZN=*yy~N?ؙく_*przD`h1Թ)Y`BB& 4 Şzm- ` #Z{rQ4Xw{: {[8&>mJěd0yLdıOjMpGtaɢ( e{(_9/ NSPsXX>aڠRJ"ǹWr|[(TFdT*AplZz7c7Q::~)ܕ"'%>RnP`0-8xZs HpV2#n -EM ˊhג?F^ -G,95 GPX3VY]Om3HUG'* '3 z6Rڲ0YZ[X-u[ WiIW i2Z6gy'uD` |@@,XxDхLP>^Ń*N( "o &(m{zm&;RX]5~RSm[8`. %5"x%;駑 wJO#|VU$*nQ6WYk+sW Fi{{>Y]\T {X!Q' Mf> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28551 13187 0 R >> >> /Type /Page >> endobj 13181 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13182 0 obj [13181 0 R 13183 0 R 13184 0 R 13188 0 R] endobj 13183 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 386.725 118.9685 397.725] /Subtype /Link /Type /Annot >> endobj 13184 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [124.2815 386.725 157.4795 397.725] /Subtype /Link /Type /Annot >> endobj 13185 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13186 0 obj << /Length 19 >> stream q /Iabc28551 Do Q endstream endobj 13187 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28552 20690 0 R /Gabc28553 20697 0 R >> /Font << /Fabc28554 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ϪzkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ>f endstream endobj 13188 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1172) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13189 0 obj << /Filter /FlateDecode /Length 5227 >> stream xڽn$ί賁+@nv!IRK~?⫻g4zM6Y,֋*R˷,]^ߗZglJӿ→.~f5}˃!<.'[gc=ׯ=.]qy.oKоyzxjZ\KOzθOkJ4˯?+[`VZ~yrqږ&y\3<~gM62~/.%VDYi,_$r@9=S|\adu; rOԙ >Ow=!,|OVhgb嵔P2cWC p9>_^ @g <&LY<ۀn~Z1.gyrlc /O<9Jul/(ؑA&}iH7R2ti$+$R//lyXʫ=F]Y*NmNV5[huJG8T v\u{P<7G妪(hKD%̗>b <z&QKjQQ|#8XF+KYZEP؈RXd턲N-D۰x {Ta ;v)4☃G} 3o,i~`(sB” 6KdӅwxC۱Sɷ;z'+G^bOz7lyAwhZ @򑣍/F7`}>`"/ EF< e*` gf0F)%U3" p /zW$-)zՠtƳ`&pAYUH@*pZRժ?>x !q*JIa~iyε^L-5PK(HJ+:`Ԭa>2X&C̊ [+u 'Xr-J/&2[}أ2nLSRlzP UaҢa֣|" %֍HF%SwDw.+3;TX,=T:+{ɨsT%? ߓ l,j@G~qZOHա@\?R Ўio!oHz|MokWКМ'n3ȳQG&J)A$KC_KبO?QPS;9P[9X>plhǣKƧC];d%d`#ZH ۼe 2R'l5rn QL-$u;q}} M-54[ O z-VW^,j䫷 O@֙640Q&/a1zdSGc_ߜqqȫa>)g{p #}&Bag2"࠺38xoƞepeJ E Q'FF@1%S|u Ia=){2xWۑzPsr3@lsfMjC{aU bq'oN&׀|SL>s֠Y" GHFE<- G|ZÎ/wқſM+sٴKݡV`pP^!`3*K<@`"13bVȷayt[*hјR^B) `:ƒ#}:;':aOi‚yym^M*moqPv_][2~gZ5T&ǝ6*>]Eu_ć 1.qΑ{wp\43B2쨚flX4q 5:r~bR Lx/,GϜ %Eo/]yw@LICO\|#hlS(K[>kr Xr7kPGF ^pj֒3Y&0DWd (xظ,Z/M,ÃևTBP" (c6ѤbJ6 $q{ЩР7j/q' A"q,ɮyrjMc_ fyAuN9 w}) U k-4@FS!u 09x*8A`aOYP{CR.A `8Ry%r"-* a@QZҿ::q([p14^ [%R`"UC xeފmp)lHɫ.0G/^Sr Sg.`σNψ{?' I,K:~E.epX *kv(dLl3w TĂmCfiUNfʒaE bFR@%AJV`Ρ&DK.z řأ7RAp(iPpKqYQk, )Ux&-`<(ꄌ8iaСVpw]Ϯd _P 6E ]6[۫1Z-ʔ%]6GXH D{}Hפc'uՃTUcqtmfaA2vG9-IT-wHU 7>B)xҪI{"خX:1&gѭ8|#d3xvep6lnXP|-qt*f{0ǎә-s?Q e|:_צ`ashlnDÍ96])n>>Gx+Lx+F'p݇Y-`6ZKMY,SQ?T{ @Un):5XKp &vx OFV8Jw?=!7Lqcɤq |!'n<"?:av\NDki0m;&U6[c{Цl\Syκ;,>N`8jzʻHoaqajdᝑo{yH깟‰8oBh0|7&+9ۃa ӎj\~a0l^1v%emh 6S0n=O3P9%hlhmt+xj;D$h_`hleu=M'5OXQ`pZZmK#sm0G;c^A+`&AKׁmc܍|B^m_~ynM3e-WaO{BH(_J x*U 4_'.:V+g0e5&&^d(}AA j옜5ifn3}.(]#A}x9^x\B9(a``3?E0VS/oSa*WȚ5:H?P(;} ·| |7ƛՌUY`n(.FԔ/Zg'H:U:UZ:8<-C~]ljM+P!pOy6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28570 13197 0 R >> >> /Type /Page >> endobj 13191 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13192 0 obj [13191 0 R 13193 0 R 13194 0 R 13198 0 R] endobj 13193 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 346.2423 146.4737 357.2423] /Subtype /Link /Type /Annot >> endobj 13194 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 330.0423 150.0652 341.0423] /Subtype /Link /Type /Annot >> endobj 13195 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13196 0 obj << /Length 19 >> stream q /Iabc28570 Do Q endstream endobj 13197 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28571 20690 0 R /Gabc28572 20697 0 R >> /Font << /Fabc28573 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13199 0 obj << /Filter /FlateDecode /Length 2900 >> stream xڭZK#ـ:| f : *>[Ҍwc z.`K;-mV}QlhJߖWWƨ}N昜S_G: xOxθ4np%\^Fi]Iz&b^cg`x_N?X*[tXLK6bdh4A5X`Oj=ٓGm/Z+ p\O椈إٶvZ'`vp8О#1Y0!k;sZ ,vg*\*kx%Հia P+a{P\NK>y +SgSÖVgz0~ [4z x( tq$!mHq`4_dpIL"K{'{& zEHI+WIĄ;;qMȇ(Vr90[= 0[Kb2";>rn6ȷ5ET0b azLVV@qmL  _u{ŭRqQSU5ϛ»fr<!RtS&VZ}˞CrZZ DP@f=Kml`5{H7.?ɤ7:kA9ܳ>N5ئ/p(KÇK6W4Ro2רCK/'J\Ok9q.6#Ij::5ϝ1wz3gN V!)&5$WEX V@'@Q~ lJ#!Swǿ|,/KL]>cPE?5֐+zբ9V]VC~?P,: 5 GY Wf!%ႋY7Y۹RR:~m)*oතʧ8.U$\?eq z>*RJJWkJ}9,rlv=Y:9ykE/]Q>ђ-S@uXNHDycNy- CAշel>VC+;D I5sR͘Zy?"( GqcRAqScZR'@%~C_O"ݙ{-pŒ@ Y>O XrvL+s6 aXpSp%w)/VC# l>"b$HV(jw[Mc@-\KݱWmߤx 13WFL`Ώ=zۧJRJ恆X7n #gP 0#tI*YcϺLG)#CsĘݭw>Jo" ?ƥ#bQjX ~[V9eڼ[vWdm*x:8" zK Cm:/t x8 ^k9 bj8VGy~}/4`Vq?~Thbƪ?rAI>HB ˕V?O_݁$xnwg4Zj$A,G:V޷^ԧ UV Z(3 cVȐE.!ËqĸmNQ _B>)Ԍ#^nЂU |AvVdٖ3|W}ՏErI> ߏ`ÄV^0.Ȥ dY?P:4[@vvmh*Lz ى,`il',t(rFS񸚨S& }FM&:Y~S(ʫl EbDBǔJm➌0 sH̃O,)-ї2f~67:?3,7 fKFO孯}㲺 y݌'|G2*yض= |>#m'faC+dŴ|$[[aFod;B+ jm ^Hax*)NSOwJ&u4rI¾@! sB7_kC<9B͠ͅ9cJ^Dc;9\KεziNu )jXϥ/\N$xǰctTZ+iTڽ}OsP8|tJ^?_ϸ^+`W?Jn ;.ӚyxqBpBv|՚t00CWxj-'"sسMassX~+[_6o|7aή~"#5hRþ ELni endstream endobj 13200 0 obj << /Annots [13201 0 R 13205 0 R] /BleedBox [0 0 612 792] /Contents [13206 0 R 13202 0 R 13203 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28589 13204 0 R >> >> /Type /Page >> endobj 13201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13202 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13203 0 obj << /Length 19 >> stream q /Iabc28589 Do Q endstream endobj 13204 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28590 20690 0 R /Gabc28591 20697 0 R >> /Font << /Fabc28592 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x77 fc}Lh < ӄ9pZ [n`MFжYzr"T,䪍(?WG?{`f ZnnEQʘJ)N2&4%(\)ɔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13206 0 obj << /Filter /FlateDecode /Length 4490 >> stream xˎ69zX  $dbzeI=i\WdF-SID+\_7('DN.Wުui;2:#`;We8{'@ c8(j: L.@ ~*v@^T'c 9({! 3 Wy%[1wΥ@[hVϵ֩e!u*vbY;5n-#&cc$U 7h-[-Aʩ5=!|LqhA*k]^G /x^+}1R(XGn:PaXrH%@HI;}KBsp *Jpˤt@Ɏ9EzZRDp ̺DSL8hs`v%`HIxBs4 .=x∳JqLkBe?Zx`hjo2VMfZ2ЗԩyMjM=^=!hi_3äD-Q2$^+']Vֻ{mBꑸ{D؛uf]lQBOPOo:HCqY|Q@0'׉u2%̳C--"J%+vX,%$ `dY'ͣN} Q_f{^Vë6$&9ķ$6gv6oIl&6$&M;l$&ķ$6cf6oIn6ib5$Fmn/Q1q潹GAQxPZADqNݵå(?uk~@6bܘݝ Wmaܥ, .KR\s>t`S:52 s?^h1<xceۯYM"3NNVQ3tҔ6aC=\$e8xψ..!K9((½PGyv'<7دD@n8D遫1 =UW#뎊qaA6 E >xXtzs*bⵄkΧu7h˨+F>uk5Cu( y9YӎUd"X"I߳4IJ 26|:~L)/V)orاbZЇFY(5KdefBR%1Cҷž& W/>2^Žfadw*4ү{Gqe=h,u*ت>ySŽ"lH"<\-3M_oiI'1t 7,;A EhoE@"Ԍo*7҆|I}+"E a y#~7[FtՁbT5Q0,c &8])h5%LGP9X ؽmjծT*n՞W{Ȉ3ٺΎev0 _;pv8oIҁr4M+ɴlL2t c138 9; N`dwy\I4tK{w:%N@y/i4)gg9ߝNnZ[5k ]悵~[kFtvsIVmwhC6j0i*tRvg!,@ Pܭ01IWe m T_kɭ8_71oh{(~jr:7Zm@08PQ+WCuM,\yTȴ xO 7B>ה*P `߅߽+<8MAKGmZTG.]+BTX]SSNgSC-Ahg7!< Ȯ IqB@ErOjlА EU9-~)L0F3ܭbE7Äܫ^ܡ: WH6£tDR>Hv.|iw]sh5nɅd(4RdF6 #E*HF S*}x+RhxII\-gϩrE| Xa`OLO<bIXVjK*>?gH#JRD1 #BY.TYiIRdr=vE%2adyρ%-v-| t5lAMF9DL]{&90_8Czs%ȫDI>hG}ѓwö q=ķW{z9 |妮omRVx=q}_˯4SхN}w_6KPٗ#1gO#`KZ ]aaZN= ty߫'tEt|onc|=_Mx/^M,pg'x1 }(Ӡ|D7ʈ؉%^_>k=/nL]X^jf8Q~-h!aYӗ@A8( endstream endobj 13207 0 obj << /Annots 13209 0 R /BleedBox [0 0 612 792] /Contents [13215 0 R 13211 0 R 13212 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28608 13213 0 R >> >> /Type /Page >> endobj 13208 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13209 0 obj [13208 0 R 13210 0 R 13214 0 R] endobj 13210 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 675.15 114.9865 686.15] /Subtype /Link /Type /Annot >> endobj 13211 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13212 0 obj << /Length 19 >> stream q /Iabc28608 Do Q endstream endobj 13213 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28609 20690 0 R /Gabc28610 20697 0 R >> /Font << /Fabc28611 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7f ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?n endstream endobj 13214 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1175) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13215 0 obj << /Filter /FlateDecode /Length 3548 >> stream xKk4_s`z? 3Cn ž _HUꞧmB0nuJzWI߹vx Io>SY>t\)[jp%EIJVJ ~{2lS`\E4+;{; >=D Ix_Dw\)x jN mt|Þ#r]~V2W)c }gqSi+,br*˞'"x /0b$ zS2x 0)Ϧ"2(/ iFJ[}Ol`ƴg F}!G0* B;HI";m)( ܈DȄ#ė>;v1eX|R@Rր˯NPLRƸD4*J=)%00BGk'a 1&Բ:'ѣk&po2BJ}"Yc0ƒOz_cVSZ e~,CO DWFcm:Uw*z`*#S#o-4!z"8tFMYSkL8ѷ~SB*9Cۑ)XiEx)M6!mBjL+-̃BT64bnd- -6Iyf .5@6kTWKznahGH y|.U}> ;dgQ#)v`ی%f-bS5ɦ,LxKHDT}M5Q`F4O3ʄ:)DF)Ù1A$i%+d;A%*<o_ 6??sO(3lɓQ-$9[vֽnq ^]B;Ȇ*(wV,zUkpCxXi34@0ؘCj"$AZv$tkt@9yrʕ{銾0ifՇƒt8/{H|/D[hpz?R2AxFs2|0eSWjH'Hr  V\U6A`o4b}bZVpyh,e}Q>Sr{V!B :kZ`ŶBAE:qg)PED+]PM ]ՖU0F[FD#wTkgکjA XrZB!,b нT28Y&gM8V CbA$ @T8[ii%ҒϻȮEg EmZXiTdeSýlשBߌ/r=27?O4iLV _'< \ ;V!Myaig ukkWoXR5ݢ4{fv@l^ڮ"]׺iaFZ}0Wqؘ@(R\e. _aSN"uK8ro.TՓ|jc =hE"ՋZ256p Bۅ@kkEl;•wGYv(#up7#L)>n=LRBo^HճC7]ָޭTz2p&" m={fs{ҢE٭e.0֗՜/_^["Y,˂eϫeDb2*꫑m>sh9׃^@& (n;CcwrX53"0 ҧٰs}F&IP>oxze/I6(ܚof߹!$#}h vw8ÑV[GK5XxmGA\gvD }^Gx"q+>f4Q t@w?oCXg11jd(Qay |rsƜߌ|< "3I@*03hv %5 rӐ(@*ka޺4A2l2{IaAM ;dr~4̈́ \'"Ք`6(]=́d Y ,(& g_Hy+X=a`G #k6>;l lJ_r7g1_c _R ,9W(.<&^ӧfWkӎC%.+~86m$U+zFlcX;ǎG8@<()Z)Ub LpZgSd,  f* endstream endobj 13216 0 obj << /Annots 13218 0 R /BleedBox [0 0 612 792] /Contents [13227 0 R 13223 0 R 13224 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28627 13225 0 R >> >> /Type /Page >> endobj 13217 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13218 0 obj [13217 0 R 13219 0 R 13220 0 R 13221 0 R 13222 0 R 13226 0 R] endobj 13219 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (iphys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 155.2579 186.9152 166.2579] /Subtype /Link /Type /Annot >> endobj 13220 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 139.0579 184.2752 150.0579] /Subtype /Link /Type /Annot >> endobj 13221 0 obj << /A << /D (unique_594) /S /GoTo >> /Border [0 0 0] /Contents (report_phys_opt) /M (D:20210608081201-08'00') /Rect [104.1732 122.858 182.9827 133.858] /Subtype /Link /Type /Annot >> endobj 13222 0 obj << /A << /D (unique_719) /S /GoTo >> /Border [0 0 0] /Contents (write_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 106.658 197.5082 117.658] /Subtype /Link /Type /Annot >> endobj 13223 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13224 0 obj << /Length 19 >> stream q /Iabc28627 Do Q endstream endobj 13225 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28628 20690 0 R /Gabc28629 20697 0 R >> /Font << /Fabc28630 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`lЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?G, endstream endobj 13226 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1176) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13227 0 obj << /Filter /FlateDecode /Length 3811 >> stream xjlq:'z?M0dLC>mw`ڧ#JZ&TwGF~&kɤK_EY;-_~smؔR+/_=(ROQgT>)u>)ퟹ}YvϙcϏ? 꼥.pO|42y(wƫGi4LG|0#<(`8rh(!_2#4=B;`~yNvsÛ00=2DNX 7MN9wf3[NF(=|w6^DڛhĝX 'NMun9<@:BsbQ0EO)Ӷݣ<b"` pqQ5=80py0n?ɰO$?@LؼsWT L,u(Y؉RPW7zvAhυ[('lG.Vi4P6]'ͦ| EU4*\Hzp.l!e.if t_-ܡ{r=(׻N^][u@w{Je]E˰Q(Nn؉E=R&cјǐPmQ4>%M>N$ݠn菊KsM*26ezy YA95laq_ Ն1 X'zj|8yep#b5VN+2 TAɶǙ;"?]qbaQfj!RUE8l 0.dCa5c8-8-cG;Wq.r^&wYRA=r6M[_ƐM ߰WB編Zقrk0h H(ɔ@sG U5%V:t d.G$a U`.`7=dָ0#zu$Xce~pyw`k{U;%rd0CB*aC<Οf\쒨bVX QhJQ7QV_RqQ@ԥ-@ӷ{~92IH1V')6Grv 8֝/Hi(Ϫٚ9H;gliPh6h"I h.W4a@OQ`p-)W|YX8ڞ… aJk^#𖋎fv(U&3@lߴG鸯 ^{@e..TkP CT:Cl- ĭѤ5k`$آ]Ӓ-Lc£!f _|s׀X4иGώYE2=":}ork0ti),d|ScdCC\"@@C5H=yJL"05ĝsEPJSvW ;}xp֨]a̱J4$-'0S67jH@{R?jk>g5 <e@s㴆p^B&U6F1 4IQ~mlYJj0:WG{Li:K,3DlHgr+.]yӱ֔` 019Qc`<w?D}ɑwL3ߚE{"?daNn>j%ӉMKqSg Z_<*RJt2qlG3{Mf!I2LZ0yh㻘W!4WJM"q];SCݳaĶ0uW};&?rU5GkNC MuwVJ0llɖ7Mjdmx%Kcb9+h דU) d,=Ò+,rT3xwmzٟbO>},b&>q"$b=y;.AxQeA^Br>;،gLܤZKQ1tV~yAʘ._AC ys]/˟xoU\t![ r3`(mן/ߡ&S!y:ۊt'Ɩ{/ߕ) )Ymx 5FàƘucn;fwQj尰PXDשun={wd۝υ/sߡcM^Æ޾4\ lnH`/Nv:vwiKt&@ׂB9(Pg="*MVe7\sAl;Fo:a_}JN' J-)C~|S8KN*xI?\8x'Ӆ ƎJ:o܁[^4FO`c&Ѩ1; *`=($>K 0d!ilGo~ue1Ĭ>#8t0+Hߦ!_eL,Uc2 hQA !®u \ތ|B^ ϣԀxmk@*0'>:  g[x}ȸ+Z{<5-tkFf JCH0ek3lގeyG^o/\. '4pb.{]eB5; Tr\H !|Ƃq>Xl&>'`cgʸ(sG}pmD N?;V23UX$29.WY+?evaH6/ GٮqnG(d# 2wQ& endstream endobj 13228 0 obj << /Annots 13230 0 R /BleedBox [0 0 612 792] /Contents [13236 0 R 13232 0 R 13233 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28646 13234 0 R >> >> /Type /Page >> endobj 13229 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13230 0 obj [13229 0 R 13231 0 R 13235 0 R] endobj 13231 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 386.725 118.9685 397.725] /Subtype /Link /Type /Annot >> endobj 13232 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13233 0 obj << /Length 19 >> stream q /Iabc28646 Do Q endstream endobj 13234 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28647 20690 0 R /Gabc28648 20697 0 R >> /Font << /Fabc28649 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM^ endstream endobj 13235 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1177) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13236 0 obj << /Filter /FlateDecode /Length 3835 >> stream xڭn6ί9@+|?À{ d!ib1^`沿KRDHQbY^,fTe}f ~4:\~|5ǿO_-_q9Y O>'ߞ<]}T cB!*'|^]~X?/z%+jg\5%ן]+0+, b:K(k,Қ9xpgh\4NJK@H* #G#e ``_k_D,o}2Nƙ5 1Zx:\>!!~~\sZ|0x>׿ L&._C~1y-%La-g _/܇wVcz ;B/MK`Wnyomp=>@9R_#|Q'22:k0r9t! *3+= ZP[f"OR/YYệ*W;vv V=(ra4YU+F,Ĉg{*Q%:PeRtB_nTiy{DDEvĖ%ZTnkk05]rzglNG nqFO}G:=vohCSSWgZU1ڮb J2}=y2W&`~.D#Q"kGvbR٢x[<24\2 UT^EQvp[HfK>1'^ls12R#Bу.{dYE<'y_aC؃fKϷ 7Jk$$}^-ewࡰʱ eE8w{oأBca8PN#9輍afm|fZVmo .-ZX}I^Raol ̓oЖ松__0?9y^SRsӂQbȩ3X&C̊ (u 'Xv[-JoF8[}أ2NnLS/lz ]a7D;6&vLEVK":J_O7J^bOiݹX&P/cS\o9k< [Gy>˺XdN6p}޽P}{H5aS[e}VMO19vC+Β"zǮ6 .Vs\5Fd8s{Uc8%x΄›򃜧éi9g.?f 1_:yu&0mЖ$coBdTf .N/GЃpk?%s4&"O. I\osg5qm4E_9{#A30ZyU99fe/}(11!^ErD)15ĤLv̾B=X3(YM9CR;|M#% F@dVp' (hQu*;#Q}v0WБE?m`76FlhR&$kϼZj@JMJQ$q( Gv ޡN #(94.к`dߣ/ʽ7 `Edv$N+hQًwrW#`)97;7xc Gzj6h:ǓtH.)kc*7MPNjS@ܦihu8=:ؐFn<=9wjQd\>Ƅd7fYkSԣ^5#ǡU3Z/VQ{m^we3,^RKC\5Ht+4&hCgK$2&Nk!N3~cyTGL%#J4Lh>lr2-uF08a> LNbIAR<~H̛sjKbg\Y#1:md\BdCpt/Q(,d8損+TCA >H廒ږohؚߖ#m"mIMq۱ԑ CCx fO' ᱪL*ۼM:08pj_%Ӆ}Tܢ |"TQce\uwNg穂[h֎@%Y:47rk5a͇d~mhcJ6c zpP[f˰yy/ifn}.6(]!*@NvazI<(eN7H+(%i=z@orI-mkgX'267O,_&QjhuZMeCj"|>\M&e) 3)΍ύo8b\P}, 6.ۍf|P400ǍO(&E2V_硶5lcա7Ω2DS}<:;xo0v?hvMwvq[9URb,Zi?Z',c/7Je 0^ n`NB^9 cEcUJX3\D|J`BZ5 Ҟ`'\!Q-`> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28665 13244 0 R >> >> /Type /Page >> endobj 13238 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13239 0 obj [13238 0 R 13240 0 R 13241 0 R 13245 0 R] endobj 13240 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (generate_mem_files) /M (D:20210608081201-08'00') /Rect [104.1732 529.0039 200.1317 540.0039] /Subtype /Link /Type /Annot >> endobj 13241 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (write_bmm) /M (D:20210608081201-08'00') /Rect [104.1732 512.8038 158.4857 523.8038] /Subtype /Link /Type /Annot >> endobj 13242 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13243 0 obj << /Length 19 >> stream q /Iabc28665 Do Q endstream endobj 13244 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28666 20690 0 R /Gabc28667 20697 0 R >> /Font << /Fabc28668 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n§C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fh^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/}e` endstream endobj 13245 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1178) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13246 0 obj << /Filter /FlateDecode /Length 1911 >> stream xڭXKo#W@sfX@n!lƗleywgmvɏ$i-~jJMw):9u X&٤_֭sfQ(A@T&8/?XkuID;N֖h ڻ F;e}<t> <Xm7hW/GsO8CaYx3տP2緭s2@rnD'3^_/2kK. OZ2-fL8I ( !T0C?em 83 CS.4=0IRsD~-c㢜hv Zⲡ=^@ RދGaI$PEPzoAH7> ߄\I,>Q'_y0W#&%V80$Z"V":sLk4Bmwc`.VMYl]ɶd'`!OBt1йu_quEG  KtN}OK>W^FIvVi8!%|~IF7䛥qX87:s&x}WlMp47 Į7o(Y{~k`8U2)#h#NhZszWaHg# cf_\~YGU,V,Ķ'njp)Q4ANyjj{h#[hǙDRx0t6UtL6O bm^!^(+/w[EE dKZ}h.z{-M %u+Am5jȵSaB'˄=]xYӕ Oo$]]fͥ+p+}+\6Pz=`gY7/nkWvD, C_=֎?hn<]6,/GS7"JdK` ŻLs endstream endobj 13247 0 obj << /Annots 13249 0 R /BleedBox [0 0 612 792] /Contents [13257 0 R 13253 0 R 13254 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28684 13255 0 R >> >> /Type /Page >> endobj 13248 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13249 0 obj [13248 0 R 13250 0 R 13251 0 R 13252 0 R 13256 0 R] endobj 13250 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 430.025 118.9685 441.025] /Subtype /Link /Type /Annot >> endobj 13251 0 obj << /A << /D (unique_56_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20210608081201-08'00') /Rect [124.2815 430.025 172.3515 441.025] /Subtype /Link /Type /Annot >> endobj 13252 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [177.6645 430.025 210.3125 441.025] /Subtype /Link /Type /Annot >> endobj 13253 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13254 0 obj << /Length 19 >> stream q /Iabc28684 Do Q endstream endobj 13255 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28685 20690 0 R /Gabc28686 20697 0 R >> /Font << /Fabc28687 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMk endstream endobj 13256 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1179) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13257 0 obj << /Filter /FlateDecode /Length 4089 >> stream xڭˎί@+|?|s@FNA`}^$KjlJbU[,R_>/-).a3/_qn~5ĵ\y哵)=,'o.q]' 4qԸޗ݄xpWFOfsx,_~Z>1߉H_-yjZ[GvWZv_4~0̔ R6Z |Im-6uz@5 gh\Z`K|}0.H| 5ɭ`/d0!j\U)ٶA#P;کf q 88IAk2tl'Zu.ʴ8JZkkTRHHyո@C.p((p嗙k%2<B,vy_˯?t:ݲ C_c+ H<~)ϑq;[=_^)˅l.:hKuBBiXcO&D4{c]ch`;&,4Sߘ_YN6Z`QVT0CIC恘6" X5m;N7=sfNG@pQu~;3"v-v'"дJVgbHiMG=!ГNC|e@oh@H7b'avx{<2 \AU dGp'((&==&ۓhN̉($?|Pڱ 63_"+^4S[==&(m4u>VKYrM"x(lD(E8~yvBثkq.̎}#/S~1z1:oc`2#Y E^wH 1Q1kC#Ŕ8abhBlU2'p<(Y&/4 ÈJg1EVckRy.ٍH)²`Q1tQx}ƈ &Wzw`:g)z^pEJ|i,GSŏ)رPi~o362⬾S3Drc=\ 8xi7-aM5_irB-4^Yü.$iވPz0pD=HH=QrPi =/+m[L-e VUU KajbX`s l:+eqĬhw(/1p2Ǫ!lՒ$ՃNv [Ttu4,!8s]2̼. >0l>${ ?Q-}O˟!Ӳ%oqK$vrٰ mxJ|ңNcsx1j/,,ޒdIC7nt Ɵ0LMU5R7n{ic{;ROwڎ{ibY2<Ѓ$~ y@eXAuyddm n="iY<M,[YPӸ=Yio`yU;j4S2+4SY=dL؈er7k)y1T^;8"L|Va=HrH Oof^e?QutT9];Kk WF.^w0>cQeZu=d.bYOd[=]jg-3^ՃzղԖ^\{guuUraP1^EσbAEjI(Rg/sz׸vA"Kwcq,{ X: $7'H_O~B~?p#0)m>Hzi]Q0sPr*J1eL#q4 %u2s'Շ<.Y;^ AXJWEoY2wڸd$N7-͊ ;b)y0A[0N`V0ncZ\LČWUs6vp|WQ74eq缱 m-ʖdNr7t@^SjѬ-G -1U^@ ̫JVA wYB4ŇDƉȄcj nș1A(_q/S+T`& 2=_o5 X -4/(.7v)/|rR в1@4t\|n/jR\hۘފZF$vv 5 Ɣ)H@v2!pU69O /Zak4TV:1kZH]iPR^&^TrwFmDw^pC# Fy9i.+sq#U  h2-Hz͑fᱪlx}o,#ɟЗ?f_%.0x>*_I$HE?] puԊB&9L읧w'+8Elg ]O7׳(`[5 p۪'_ĸ|9ꂕR;k^@ n~͞ynK;dfv6n_6ثN\Xp~"Ύfouuj X~$ zi;R]s֤ 9N.O':5eB2*33|]a|L+ UO- [Ȼ3l )) W"߽[uɉ&7!(3w3se\pkUˀ?r2s)LM݀<-{'VLM, |9udDV~Љ yɪ)cV#,$"]Ug^;#hb`>BQmXՑ*7#50N$^evHb8 xKZ+hƻL~hQӵZMcdFROva"g8Uw-N}FYx,"mSyy ҤY{3A"&%}nU[INѵ&:J6ͨC*T'mJToudW[4+yj>8]HY8Vt7S}'AVU7n0Θ$mq.{ $} k)-J6 Sj+;0r7v9x#Cf ތk M,X`[*aK] 1zmV@ѯyAmtZ36`ؽu88Nhǘ[̗7co7{ ϣݼHuƶ.,tOc1k|`-Ꭵ95=aRgA9HG5<xsKw 6:˧pPnXgؼ243Y[ T k0rk,, p9OJYF9LP[cIpW7yq{}Q9Y331Ni2G Jmn>t \RGL>lN7ķ_Q\ti(s+ߜz7J՟: ]:\+/ E*߮X{Q ]CQ|e4xJnQeiRO 7iB 5ZvNJ235+` m1@Z˜<"P~ DCH#wXޞM'jMFsLCN6Yy]BM3&e) 3)΍oo8b\2s, yA{v>JRqȼ ~}|ُWy_g;/dcF5Q 6ǟ է c3?_Xtԡb꫅Cfx9]@ACr `_! e endstream endobj 13258 0 obj << /Annots 13260 0 R /BleedBox [0 0 612 792] /Contents [13268 0 R 13264 0 R 13265 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28703 13266 0 R >> >> /Type /Page >> endobj 13259 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13260 0 obj [13259 0 R 13261 0 R 13262 0 R 13263 0 R 13267 0 R] endobj 13261 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 572.9 202.7277 583.9] /Subtype /Link /Type /Annot >> endobj 13262 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 556.6999 217.3192 567.6999] /Subtype /Link /Type /Annot >> endobj 13263 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 540.5 212.3912 551.5] /Subtype /Link /Type /Annot >> endobj 13264 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13265 0 obj << /Length 19 >> stream q /Iabc28703 Do Q endstream endobj 13266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28704 20690 0 R /Gabc28705 20697 0 R >> /Font << /Fabc28706 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pAӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13268 0 obj << /Filter /FlateDecode /Length 1723 >> stream xXjd7+nxLl7dEIw@{ӿsӳˉ@l^IWѹFY;mPRz9,?}R{][אA;kj.!+k}6GTPM %}ǃnHX]FaD?芲ڐG|N7}|x7@K:hﮤ+O{ LYM &6PWk&fx%i탢[֊ٗ|P/tMq P1ާKb|VurKČ1t _pTj3sz7h۽s`bz'oVmD/It_57F="~U.WMվXmi؊8~IB;(^}ִ%9-}3U~U|?6ekOjZKM`{JA[H7u"#v=sC ~V'=a/BwP(gD%PfAHΌn: .Sdo3gq.oʁ- -rǓDkr]W H{?1l,GL]TnȲk4|%\2W_B9XcZW<%]%GmPoXeaY-K'8R3 ^^i'W>'i;͂"\`ug)^$H*>R;V`JiWn&%6oH^/Ś]?la1 ZnĒ)|03ͷH늳žT25|ѴUMQg,Ɖn]?b\k)N3nV L%^voU%sf3zN^yie'/.lib!W]˘1g1AGP4L;ÈE18s&x ͣWر1-3\Ss̗o0 Įgk}M#peR[obHQS~#ZWvwp Vtx }Q:Lmƣ%W1X!゘ficfi3J~z6x1R(;я~#8:ML1q"Wuuqt!VׄMqa[K%Muys !-e~6 GБ|0f_jw_5ؕDki//\>So__FQ^5t6b 7: a<]6̗tg7 7JӴd endstream endobj 13269 0 obj << /Annots 13271 0 R /BleedBox [0 0 612 792] /Contents [13279 0 R 13275 0 R 13276 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28722 13277 0 R >> >> /Type /Page >> endobj 13270 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13271 0 obj [13270 0 R 13272 0 R 13273 0 R 13274 0 R 13278 0 R] endobj 13272 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 368.225 118.9685 379.225] /Subtype /Link /Type /Annot >> endobj 13273 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [124.2815 368.225 155.1255 379.225] /Subtype /Link /Type /Annot >> endobj 13274 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [160.4385 368.225 211.3905 379.225] /Subtype /Link /Type /Annot >> endobj 13275 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13276 0 obj << /Length 19 >> stream q /Iabc28722 Do Q endstream endobj 13277 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28723 20690 0 R /Gabc28724 20697 0 R >> /Font << /Fabc28725 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0yh endstream endobj 13278 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1181) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13279 0 obj << /Filter /FlateDecode /Length 5360 >> stream x\b湺KYBْu/ Qd P֖WyX0:,wϓ.O˗xԗ:DhD|bwI&utcrmsaj-Fcp~_BD3G?8 6[Zlo?UI_6n>Ì3xM>=R &6m>u>E&wLTۭ؛' q2"E[ M82@lxc A> s4@j>l!d4{0M%+M5 1mdp-Y@jSUl ܾn= i g0Q֣6sqJuiIn#r;imYn&F7WTmAi hQ(@EvF}$+\mC feRGOa!d 8v.V UljGN7;zB:tC #0Q[9tXӼHg:~a(p}?E߉4$:H8Q?>)}vZ\FFUF:30tq֐goynmRlL譑ܤ95pknny]@D%foIa lp痟ܣ=7hZ˯g x7=D|0 a0v XȋmCpD;B0Q4 CXX$h#0+UdHBRLr8f\P.*䄤343m56TƵ0UIKいiJȯ1Z*˭RnfVwInHj^02ZdԦ4 J&w-o: 3B0w%B^yU4~+aһ}aP}. C \VUvXma;xTDFa%;Dzp[` A:tzi8R:v&]-*7<8@CXpSij`x_gP͏@%w3q ~Rt?4o:,5 Os= \8eŝ0C=jg,,4w領N &~qN)/8Ȇ?31h+^lSOtfH4ȹ]$Ed0@B#[LB^ei@Pʼ;whN0Fxn`3X[]iNB$`%H b|'wʹWL;=< +RoeYEj a5 TXBJQzd௮EP",RB஺]ևQYdzHswQD :z Û 84X@(" !=kl걵 XRjz|sRMFXG,LnYY!.a5nR9͆vr`ƀo@iF#K?Xia`ftFGHA< \cjt?l-m&82KÖӟh^9u& [6G‡Sg'% E泯탧rk:֊-Z LC ?2M{FlG\,\X=QEzsc,8:6RҵO.D;)}65K,2]vvV o'k|Y-,& YsHEpsm>w!yo ȶ֍f@p ,- !O'v=V"V 5!O jHT}&?pxQ7OYv74-ꗟ#>kd/$,%zD`-G;̖))".KE`)`ېYiJ&@)o63,TPp(V6M7(}X+CMH—@ZI= 4Z NՔ ŻV-P;VU$,&5$$?ZqaaСVp'-SO|㯓LƓ%( Dׄj((H(a3(թּA =+!h)A`*RCpKrhuqۛkǑ;҃V͆T; Ov `2":xtr3i)Q%)Z~׾~@W4"95yfY٭VYe^}>s1e @`д= )I}*-22th|(>HCfg9-݋qhx}vcɉY\6|y+lCck((xzY.7b>1-nV!]v .A/; S1pr$q :&T/Kڂ&zvV*-8`z=ʘn*ȈG [Sf2Z+f%`cRp4j i qs%8)ѥ1D8zS.po1&CVo;Xc1OxRA}_Y8{l.+YŴlSYy,HN|h%G~uޡ=3@ [-\ܩE | p>ܴmͲ 8SXtj. !I/a`Xj*~/VM N[ PCjN$(RCp7s nX(C3\+9 'mph\A+ei.c1_n|>!/߁<*[0DaV a@t^e|EGXC|JoylZ5u=45:!o;&guSn7}.(]PM}^"}.Y90 epq+c ^6=ɾ\8^UTôcTB_th]5É}>+!KBn)[;<%VS[>,{>URǬPV*$YKR/6X.SM,tHq.6qAvd2l7ڛAQÐ-i"|J{5:7d"f 8's_'1ut_Yz"Ox拭v{qH͸C?M:rqzYְ`t+q%{ Ww 2] mV1!A?jOϏ?=} <&0{ ?_d ZAK4\_?i '/\lJ7^+Tܽs,-S5XI[ |+!Nʆ erv} endstream endobj 13280 0 obj << /Annots 13282 0 R /BleedBox [0 0 612 792] /Contents [13289 0 R 13285 0 R 13286 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28741 13287 0 R >> >> /Type /Page >> endobj 13281 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13282 0 obj [13281 0 R 13283 0 R 13284 0 R 13288 0 R] endobj 13283 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 295.95 192.4702 306.95] /Subtype /Link /Type /Annot >> endobj 13284 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 279.75 169.8542 290.75] /Subtype /Link /Type /Annot >> endobj 13285 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13286 0 obj << /Length 19 >> stream q /Iabc28741 Do Q endstream endobj 13287 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28742 20690 0 R /Gabc28743 20697 0 R >> /Font << /Fabc28744 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}D endstream endobj 13288 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1182) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13289 0 obj << /Filter /FlateDecode /Length 3044 >> stream xɊ#9йj CN7̭94}a[;I3La)o4RRlsF/)M!/狁gF|{]TZ;i :ȗ61kr&\>>~[xNa]o8CJQERगj~0*G䯀@i l0ǃ(pO!u)ZG&YXsɗ bx 7}K>8Q}E9$-.\3,IeA?vm(Ćmc A}o""M)IR{"U6́) 5c3;X(!pqㅩjB`PAonLA&TLj#3W޴OlTN;xLxhC" N@:UJhR{vrp9TW84yW"tN,lsL~~LDɈ*UЉ+f!︍?~)oyG^TU\ZKӵcCV~k@?7Q alVaü&K.j$YTOUP֬AZB;qbMg}X:$\xuADOmΐl61T7AC$U8M=kFr[]`W͎Vy1d Bı`:%t ]0ݽW6[q'NlŤp@uH8-gLqhi"W3ךGAyK r|JDRɪT|ШTGyYIUvU2|mUz";K :h2ƟQais85M%. 4LYW"c š>|*w93c Dђ[r9V!90Y![rڍ+N7rB8e"HRIvc|n{e"kB`jĖkJt̅sk (d `]m#pIm~vy=.Non1iX2,җy$zJTXZ"uH7A ~Q뫤ڣA675WkBW<6PJi(M7^kԚ߼z_r> ):eeAbyՖFnW_pK2\uFy1Vh^5׭)O+3c!;%K۟쯗Mߦ1k4h4 $li~/61W-ʏn|V%|oӼ]9 lƜTc&X٪9K⑮xks# X7:7Ԏ+4t?䨆 ,` {La|\yP~OebC`IDkA3O'볧nRmE|Joѧ~w`;ɗ%Ι(S~I~*¿z7$X}/oֿ"+>=s @iD/hR?֟kgtu"tz0pexvx0$0(0繆UX7Å;݂v&rge \iY*=+].XlNpϴ:vQ}c&V*3Gv|y,umn ]r0 _4X7Nh<$02ـ6%Ll* eKh`zXOk;bA%B[0+`[)#pwXBy LP'cp|7!k~( C:h6p1טc>k'Uz]:c a&,У6L#fopo]_42P`B{ ny}XajJj?,{:-frUKM6)oե]' \Db>mgO)bN{cĄ<惷hWi9 y%dy=3H+6^EtmQIJ7yR#0bRR2g4U̚* Mik-kȑ, iRZ ڜ&bUMC]7PdO@~" x&`P.1ã^Ń]}mŨ5xѮBM^h7 s6QTOW\ 2mLJF:=307惌_3ົx;&|G3-p9([0\mAVJ"J. n6ɭE4nuRX-g !+HR}V\V5  T\o\ՄP&TPxn-zYab^J28DJ9ݧ4֛OTAO$\pO*yu=m$ zICn@f`;6}g!S' >^Np= G Tqu/>xq1j?SB٘wz섧³ p ;"Z̶zAGeN]/MWxzyCrTr=k_vv51pj!Ks/ endstream endobj 13290 0 obj << /Annots 13292 0 R /BleedBox [0 0 612 792] /Contents [13298 0 R 13294 0 R 13295 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28760 13296 0 R >> >> /Type /Page >> endobj 13291 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13292 0 obj [13291 0 R 13293 0 R 13297 0 R] endobj 13293 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 372.525 118.9685 383.525] /Subtype /Link /Type /Annot >> endobj 13294 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13295 0 obj << /Length 19 >> stream q /Iabc28760 Do Q endstream endobj 13296 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28761 20690 0 R /Gabc28762 20697 0 R >> /Font << /Fabc28763 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x~?va,6}{`B V&́2hނ׮nwwk mw/g+RIE\1%9wr @L$V-T0EH(.$c"KS"ɕL jE3D,ƵaPdDξ@lIHBJ.\[-: ufg[XLۏ"ÐL$3j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.QTfpF[z7}Kl endstream endobj 13297 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1183) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13298 0 obj << /Filter /FlateDecode /Length 3745 >> stream xڭˎίـdIw fgֱ`'%z,==FX'YŞLnoWYujunJq,9}~~w?s ֦8W+"_?K%d/Ӈ 1lNޖPdVh .x{f7f7Nܖ:!/ֆLi]MD=NyKp!0d`0(?ux,cg.9 #p '4[֘r,/X[ʄe]uY׼J+ 4^`'xV@u@Z,mgn9C]6 8He5/6BMXbi3E8 A&‰P0I6Yu]jƼsvK v> Lx"ǵy6o L,Jp9UF@YHMĚϠ)K %`a_'HHIXQh-I=48(M8Xwن,ah Kʢ5n*(" P ObqMKYRHغ5 ]"R pgIۊƁy3רj}|T ". ؓ. U˘޳ s2,\YSř-(Zjt,1F0nq]2J4d푸tPnOVWP˹|}Gͬ2o6Lq'o븂[S]Q(Nx=wL 'Ϙ_]vH9 $'!Ym̀Mz2!luUy~aʖ$-rcrC {/E3@r(w|L)@Ϥfr{HKEBR>}I_hя,DQuPplJ}㍄/"vjj+^5FyT00qNWtw&hiA`zb[L\{~igpR>GԄ_` `@~e^JCOJg:U1؆i' XS, S9k @;8/T |p /0x\i7%aM5s%䄤[hjv>[B"wn(U'!h R#RO?Cj/[/W6~%7~E[/u0PG,+[9*/xe\ִT+M8dܫvZЈpE U9lMu f6`g LʅTa5؈钷;$4kyg.s툎赐a`ĕt2*POqt2"pl3.|ڣupp'9uYYLϡwĊdnbu;#±cHH8"xfjZaam\RFFz H3 - 0jjoa[v1l#1ahMuX #}*Q'%f8 `"I%PPC "@)qP_jY.+LJ 0 UL(-;QxVE?,Z$&T@ya'e\SM "ƙ0LF0k!l.0z%KVM}^|aZDxD0"k5JNcY2 LKg]Y(b[PpD\ f8u1kֆ ~O/D[Oz4R׵e>'УVҤl2KzU9%i;ba2jnt$n_4^Y}t8&56]Ib{&~ We7^ý5bt9C6a" tP' 8 @h\<2&1G|R߉y)g\&1b4)HJlmtf[ rE-}#{+5/ md\J%v~U03h1 _KD*+ qvAp8Kl AKJWmrM釒ٖ+jbn 6UNCᛧoH~a܇W椹hUN8RqInۘ߳OH'Iᱪlxuo0#0-+&ڶ~lvRăvB7n3[,r]LɫQp;P8PV{xsŇ)q]A1fWTڔonl͎\s;ux 5W]/a׎p̰@-ynKfv; M{g#T{NC;uqU9«HUKK6_ "oN%[-I t}G9ꤌWTq<Im;o<,u\7WYƇݥ r6ֹ&8)F̗)Fkzm :+[1>wj]|IΛ~E8be[*F8}L:c/ ,p O i@u]Ƭ6:-c w*]\b>ݍA쑟ye&[8]r߁0<W,(̼Ϸ .^K9$6Kl强]jƆzͫLӿy!]3%p+p=:̰)O@f 0(e-ߍ  UQ7x5(JVY3]30Ni[2G Jމ}q XR{ .@q0A>8&^SW'[+}V+ȅ"|g?Lbi\oCjoK(t?OɅY*LDK2 SYJP{Vdf*|"J…~i=+%z2[oaYHSi=>1vL,Gɨ"&J^Sy(>h&h'8>wqɌd2gPlC;`c*I'͑<f~f::c ȕ7e Jm$F_onlLկd0nLA.hI2jE׬Rrx. QF.}s}?= L-~ GWuitGg'8=MqW'l=> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28779 13305 0 R >> >> /Type /Page >> endobj 13300 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13301 0 obj [13300 0 R 13302 0 R 13306 0 R] endobj 13302 0 obj << /A << /D (unique_156) /S /GoTo >> /Border [0 0 0] /Contents (write_schematic) /M (D:20210608081201-08'00') /Rect [104.1732 514.7039 182.7352 525.7039] /Subtype /Link /Type /Annot >> endobj 13303 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13304 0 obj << /Length 19 >> stream q /Iabc28779 Do Q endstream endobj 13305 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28780 20690 0 R /Gabc28781 20697 0 R >> /Font << /Fabc28782 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7%thǬ_{9;u SJ* ,䪍K査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Q endstream endobj 13306 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1184) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13307 0 obj << /Filter /FlateDecode /Length 2036 >> stream xڭXKo$ W@ 6`|ٿTRΠ]EJHQh /WyVǠ%oӓj1f֧Y`lƜƜ1wg5?E iM@?glxg=j0O`Li9mg̶ʸpTx1 Ļ #EGh0K>:)0uc%mj}$&٫C]S*r!Mpkpn@fqPg& ȴFLO~R1#Yyքװjmrm=*_$ $&l[_'}զX vys䈟FdoÚ\'#+ㆢIQ /k-ֽYt^XFx, ݄ѽ |Ȱ;/.7i9~6Q- K0PE訰j tRG{? NɇR>Je۞VԖ嗟]$~n}~rC?cs!$maI'1<̮r+jO+ͣ||1#%o&^>:w#J7?huy~[0^gp]%Kmh,tCNq,|ţǸ4(X$y)26\|#RpÀ[o,uN-!i j)|=v5٨_/5k¿|5}X[`֜ϧڿ{?I#Ya#rSi`ํ]2~HPFFQ{?~V,wnԘs8d#JQ6AՁhŢ#ިN},`ʇ|S^*Bye&W.ڢp}( 8J?5/3չYh=xWZ1T<옅NHxpvjM6հH0MGLkZsE[-ݵHD8Y%2g-n YNik?)W@G9Flc3 xY^γ̮2 uJH efu0,/wVEY dK*i4.]"$Zkj*6=^Ld/SKmg&Sz1<*z iJ2H+yeȺ~娗ƌA3B(i+Q q|ޑ/wC@kj"ŨDžxmKe%nSŒfasRWBfJ~䝖nll%]:^0lazW0mYz^rn͖zv;^@c8de}8~}!6<{nw޼ko;i\[FVdw.ˡ .3#+R_<ןtHXRcJ[KWbw2(N8Ӂ۵aF|~| :7ex]tH~6<[BX%4]7oVln/e= VBB(ѥdKxB endstream endobj 13308 0 obj << /Annots 13310 0 R /BleedBox [0 0 612 792] /Contents [13316 0 R 13312 0 R 13313 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28798 13314 0 R >> >> /Type /Page >> endobj 13309 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13310 0 obj [13309 0 R 13311 0 R 13315 0 R] endobj 13311 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 378.225 118.9685 389.225] /Subtype /Link /Type /Annot >> endobj 13312 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13313 0 obj << /Length 19 >> stream q /Iabc28798 Do Q endstream endobj 13314 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28799 20690 0 R /Gabc28800 20697 0 R >> /Font << /Fabc28801 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7jЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽? endstream endobj 13315 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1185) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13316 0 obj << /Filter /FlateDecode /Length 4275 >> stream x\I$WA/4tuw|{Ƨ3f0sw,ZBYY[ᓙRbQH9,+|XZY_.M~_ߝbyk1'Cx^V//g}._QI"`oK~0÷/âX2\ҫv%D.4?-? bKYBYm:=_=cI%e:G3 B0`4V*̖U ~E_i&.?SSY?eڔgT`G]8ic|^h& VQ`-߫v:WԮdfce L%f)I37E}_jxe>{h+Msy"u87+_C۵+۵*S7P[+y98\- -ӿpblA-;>k;g=&rFg^gP J bp{rfB `YGr'<^xQ7?yWKAHZ4uE@K@--XWq5L zϼHgXo0ee17L=..@*@[9bb$dy~|Y3 0Sp\A!X`A6)B)3“=1HH׹ it\9 4!?A^(F 9шac)2/Vˡ7֧35}Gbd }V;Q[;g^tLA+F]kя-ݷTi7]GMʎR{X_~Ͻgw1ZJOM&V4[K(GWSgfLF(3b!J=}+W-yW%q.dJS guS]KNi&MR˛^=  }Y=[qD-+IǓU kTשe-9nڵmj9dMZmu%ptqtGAqZODU\N1>7PX7Qj` `+`p9-8O^n-XQM%ƴV[۫ `[`/n<1m˾ sAog~8\zqÔO \RFXiL<waR]L!ϩKt0U83Я%LB owwj A}" i&r|:"{aPA*UdG 5beAp] 솵H^vspjg!ɶh nq:3qzDřixvk1,{u5rA;¶;@i%Zq Tl7x}U*c+dT%A0WTIh[%oTI4\OZ+PE3lJ(N9*xC=Rn购i!7ە K+WtsBV)Ҋ@k\;v,_Q6K/HQol+i'RэۅYblk. Uub^cCFZFXƀ#VXe dy~sF'@/!Qg1T=lO6q8 Tkqr1ո<I(P 1&ES TT¤/w6Q25[NLF:YH$,XְZ=B2ECroP-yvRBk"AUbln\_/$@5^]C;f &oҐQXaĊ-=e|YJ!#yxɃ9vP; kjx߾*dB{y endstream endobj 13317 0 obj << /Annots 13319 0 R /BleedBox [0 0 612 792] /Contents [13325 0 R 13321 0 R 13322 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28817 13323 0 R >> >> /Type /Page >> endobj 13318 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13319 0 obj [13318 0 R 13320 0 R 13324 0 R] endobj 13320 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 387.773 169.4802 398.773] /Subtype /Link /Type /Annot >> endobj 13321 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13322 0 obj << /Length 19 >> stream q /Iabc28817 Do Q endstream endobj 13323 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28818 20690 0 R /Gabc28819 20697 0 R >> /Font << /Fabc28820 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`U2thǬ_{8u SJ* ,䪍(査=01H[&#`6P\PS)4GCID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fѯG&DS384hwm-־0Z|fOr;L$u$r!o V΃sV7Ӭrl?7‹!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dz)rݝCr<3/*_'ŶM endstream endobj 13324 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1186) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13325 0 obj << /Filter /FlateDecode /Length 2617 >> stream xڭZI[W( $uQ'QC_H,ct湃(36Lr!w9V.}%1si\;\tj}3R U(Ħw)|tt 4`.:Ud%dJ<قqJC3 aθ2U.A {nc^22$`eo廒N?c;ãt.Cl*oTHoTp9//Mr}/ ǎbQi*>`; >oS0eӫSMMP&i%>vR'.3T*Me&p؉JJI]:7:t !ATɪSwL5!2\aVLF jdZ nܡs>8"@g0sLQ[BNuK\%wNZNbf@a4ssғ` ;)n2i;2Q4-Ƭea؅Sap:u* M&I' ;M JSQd ô\<ˮaqN0ctܔ) 4ˀC^`xaV7+Pfa;4 <dҨqFMà;<*S("@+ ? ykp)j-v89˒cAѮ82o12e݇.GYS"-, 2eX"b4OEv}z+ mLؓ|y[7i7_O|S7R}"kj6J'D4E&2+ԇT 2&vU[M3=%p W_c#LvT mV[168& 74eZTLX_bQD[M AY#ELߍ"4kN?F,B/p=<(*ZþP MdU`V.6^a׺p[.O0Hz)-Yq{H+RbF~o-3qꚤHC 2`@Sck}W6 `ue bt\?٤HDϷo"k +p0+ns+_s7ʘxhN!ݵJt`ڽꃿ^By+t=LŸxP}Im&U1+<GNN v$pL(Oͷ窰3 '`WS֨*4|iX Ndz' K~6Tp%' ٺLu5 endstream endobj 13326 0 obj << /Annots 13328 0 R /BleedBox [0 0 612 792] /Contents [13334 0 R 13330 0 R 13331 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28836 13332 0 R >> >> /Type /Page >> endobj 13327 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13328 0 obj [13327 0 R 13329 0 R 13333 0 R] endobj 13329 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 349.125 118.9685 360.125] /Subtype /Link /Type /Annot >> endobj 13330 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13331 0 obj << /Length 19 >> stream q /Iabc28836 Do Q endstream endobj 13332 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28837 20690 0 R /Gabc28838 20697 0 R >> /Font << /Fabc28839 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}eb endstream endobj 13333 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1187) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13334 0 obj << /Filter /FlateDecode /Length 4637 >> stream x}]ujsۯ$^}VkuiOm{y^cF#'|Yoߚo@ƒ^3.5%Uv_Yac)+Sʚtyq~34;iuk;ZϊYY P ҁz ep/X~-.vZ}7{'>/ˣO<<u\|EP > g/3S'g1ڬi\y-%g \g@]pр*W }H M Lce  ҂e zX$~I< NƞyZNk2<%9ݞ>DZLF[%AZ0VB{ϫw$O7L@*!yks ίI4>2BMiE<9CQ h-X ~*U?5#H>akվgx+kԮ siMVU#+3<1"72P.*5E^f@}mKCϧ5M=kCEV`vPXkmp>kvv=rzgQgHkA:FƆqwkAF ZQ7ڮ@:W1 @D w&en?Mb6P# ģoz9qGj 1 W0y_}X򚭇 |a!I23`[raRXy -a6;_"૞Ve@BsE_*%zgÎW>_dle8{̓_ȂQTV+Qd'$=4$KF UFAPrg1 O LbϊEDE,4k]Cc#taӯ^ʗjfЫ Uc <&Av0?.wCSLE ^ޒN?FBZ4U"-J<ʲg,Djv"ՈXx,  1JӀ6 v$'rM(ߣb׷prHcᯤbqwCu0 C w xӖ0 a46"' XȋmSp;B0Y42 Xÿ_Q QJI:BZ" Q1<@=?ԛP/Mn ٠aVc4xpmxi j?Svv3HqƣeRz_ZZjP*n(Ԭa>93XAfeprm:V ьX{t7⪳w =*tywc*&8}] VzhWRca1Y@Dt7ʟ"eo=fݹX6D( cL\L]F@%s&XC5t9!AN1.k 4(K ʍҀ>@E^XK$c+*pqK Cl{?d`Þʂ}r[Ց\;ȓÓ话zt߹X''u6[:Y65QM>OCQޞ<xj 3gIyC> )n=ֹuXl;ZHm̉/R.;;!9GI8Pq Hcve=O[ t _Oȇz2.PИo9Ed@?QAVn&8`!N>֌Z ; lFe)DZV.&ȷaJZ4%]DZ)_Gx~SwN٭ XVٵ_Asp8FX,5OW3|cvcC U˜d]EJcʓJcLjK ک ^JE%x g5Q5$tyiNI$@8Ʉ*έfu P[_/3'HJCmܩ%<5`3AR($|N&|L-S.Ci)y5oP ՍodCM)ej}~.a hiN$WGxxPŮMv D]KɁ^)?릢6.E].Ǥeu֔JzK 5OhRf:.j7c5`Ѩ+/Sꍩˮ˗#|2 'AڕXVЊ@5R=%îMZ5WRc/c> f@Gwu-8@=U av(*<+4>7rk5aq:D TVXNɗk.cpP]t,U8&x!M3!+p1@a @NL R( ǽgH| :yߣ.WȚ=-u~^&F&Q(z-wO}T78Lt]33n5 egM,[LSSr!#_+ͪ=/ D3\)qGy6|(}O~OzSMx<>'H{^dܨo)]+\$x8 /sp.Ig~喝\=Ua|? gh`7eĹed<*|.jT.- endstream endobj 13335 0 obj << /Annots 13337 0 R /BleedBox [0 0 612 792] /Contents [13345 0 R 13341 0 R 13342 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28855 13343 0 R >> >> /Type /Page >> endobj 13336 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13337 0 obj [13336 0 R 13338 0 R 13339 0 R 13340 0 R 13344 0 R] endobj 13338 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 159.2809 146.4737 170.2809] /Subtype /Link /Type /Annot >> endobj 13339 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (read_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 143.0809 151.0057 154.0809] /Subtype /Link /Type /Annot >> endobj 13340 0 obj << /A << /D (unique_544) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20210608081201-08'00') /Rect [104.1732 126.881 164.7337 137.881] /Subtype /Link /Type /Annot >> endobj 13341 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13342 0 obj << /Length 19 >> stream q /Iabc28855 Do Q endstream endobj 13343 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28856 20690 0 R /Gabc28857 20697 0 R >> /Font << /Fabc28858 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7j*ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfZ+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶk endstream endobj 13344 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1188) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13345 0 obj << /Filter /FlateDecode /Length 3724 >> stream xnkuϯ:X`%;@w) ttڗ+ dIz"Jk`y: !Ky: @'QVz>6$n 9 'ztHlu9:5La|cr783TcpXx{hb[a) ȱ.M7EҤ$0T {)+{<@ i#ke%T!l$[8D+[a=a|Ώ}#wa;qj?|F]y(E MrN#Sc8A0 Xuh;Qx詛 ,AnXTIqq7L ـ=,6JV1 ʿƕK 0<3ꘕ[Z}n{" \ NV'aiA,>|shFK;!O0kp3Yv%+'>aδ|˙tcL &E}z*p`\B`J3I67ow`jM8F#S#M^!gѷ|wĜjMl؆2[_RzdhB\8\٨YAQmDͦ *CT @⇓N\*R: A 3}Fmܕ> \\ثA#Uvp1r4? T3(xMmJB _s)R!G5.j]fhI ѬWيI'38ô Ei`MX m,;W _ijY+-cXJb[NV` >)xjV4T'U5%5A&mOOs6{66{O;\K5vkryFјXf*N+g!;Ay[[;l_G_kl#Wo[Iyym]8%+ӫ^f )S1V{GB3̗i0KΧ3<3OM({BkEw46;鸇u1u:s @|_"`_ޛ>e, |*QiEoTbO\v+ע5TG&1 M7;*\v?{6s "tȽ<ӨEmy%#!p[t69;'Q!<_  ~E_`΂ eߖQZXCS<>M.1uKF]j#J;RKdtG@ } <kb2tozGw0ӣJV@>擯kHaRvwA۹ ϔ%뭗a{lzs=EQl@Ξ*&np)B&9rBn[0qqFuCF`%KIg!m>~P b>>z#4gwXWp'I$wb?N`oFH{pL%/v;^yc[ar}2w J`NILo)& mM~ DN4mtI 똋Kݘy yp7Bp ˒CpPY@|(ir lt#؆vzk*;[ ]4nuRXuB oq!3Hr'i4RgDsYO¶Z4=9WTĄXuhT \]`bTpѶ6rVXͷ#͘HWT=ԦLFVu}BӇcu_GsO;tҒZo3dq3n\?XȔ2QO/'<O/xZ >>~J%P #8'x4+*Sxܛ;ʰS'wءA02]/M_q*C_I\U2|Z f2.K endstream endobj 13346 0 obj << /Annots 13348 0 R /BleedBox [0 0 612 792] /Contents [13354 0 R 13350 0 R 13351 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28874 13352 0 R >> >> /Type /Page >> endobj 13347 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13348 0 obj [13347 0 R 13349 0 R 13353 0 R] endobj 13349 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 358.325 118.9685 369.325] /Subtype /Link /Type /Annot >> endobj 13350 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13351 0 obj << /Length 19 >> stream q /Iabc28874 Do Q endstream endobj 13352 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28875 20690 0 R /Gabc28876 20697 0 R >> /Font << /Fabc28877 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7jЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMrf endstream endobj 13353 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1189) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13354 0 obj << /Filter /FlateDecode /Length 4207 >> stream xɎί.s_jC;4K~?oRK/3(=UdU=񑞾NfTu}f ~4:~~ɚӟOߟy:X w>;ܝ}VJ{zܼy'pCv24KGzθWkJ4o(;W`V>2n2KZ]6LIJ5ϓsp85i:^wNAOpX%VMɀԧ?ABӧ+{N9F"p~ e#~./ѧ~a2i¶ӷNTfzɶl%FvpH|b/X@dπv VF=n ;fSp$ jMvOжzТg|hwMq@;l6Ns(6} c->?N̼оDk 'Lfu!}A1 r58 ;g"dthѢT>@]qk~7#(74X5^$=F4gx`D=h09P.*m ]<t5!ӌf^1cֿeۭ>=sMoˎæXS^o}NP@8t#iq39@ĩա4`;E=2&".8p~C6ً.  dpOϪWKN53CUĹinWwf]Y]HݸDjGQŦvx9¯kUGV<4wCM4Q*K<<<<,0>d(#횣:ҏX(1SdGǞX/ONn!! E_千~Lwg.ex#&,S>EF|b|pႬ&fzwIa=&C˄i2ejO Oxlq0 0r!dPi~ WkbaWJ& Rp`iԋM?.14{؅L ~64`Խd8NQŲqzX^ZW-5pK(WWn.%(ܬA`>$Oj +N+[lo}ˈ`8d+!O`.Ee.݄^Ӻ ]a;6 -!:^-}o?E7ިy-w.e:R*elVu*}]US22eX~Wnm6b>a#W7%ssw:m5;["fڲz>V=VO?jjP VjìVkVo껬Vk{ObL̘q3NC4i$ S?ssobD !O?0hYhwQp2zQwkZL[WY[jXb; (uV ]8[@8LUɹᰁս\ kߪ/2lź k0Yk% bN)5V+Zȭp~U,je HQa&`[Ya 7-\zƶϰX(56E1%W+ъ/ >,*,X<'.Ժѩz:>P" *TO fIbSuI5V4wVVŦʒ;T:WӈnY Ⱥdmޛ99*!䣪*t~UyV2ˀ J%+ #cCScD% ld׊W&b"p"Z-%NK>̳+MpA6w->:JLWf'5(pf٠6"ZzꯍEY*^IOV|av9YݔW%@6WrWrӹ{˞^Z5qQS9 1ֺ1W djrcT-(s3oCk*7u_D̖ԿKy.Îew$QSUմ"*==C3UĻ ;nԔه,{;`@Z64<}EUֹ.0ͨ.2Ȃ8#tԓ!,G(NANby딱K&]il5Eb:?HydTUs'c`%0c0TtUo ODǭ&f\V|AJ&瘜vH,qgfWv$۞2\H4VKRg6OMI|_x=&ya 8}Y` aWJMHHӦRA)}`*; ʎA30 #:UVuOIWqXJ652&5$]O &IjO|4+F); _H bFc)8EZCmc N,T U3Fb1|Ҋg h&uG2k:'bkhѐb>{.S"[݋\,n[sHym*.-gY ʜl_A#GX' K6ȅrfiDȿ܍Nw/yDsz2OS ]& {3SUN8Q %`C,Mj>ؗS9;瘯AսGQ;m,mЃq:M;AOlwLp۷v`]o4`$kΦ&eэm5ؖƫ ^q9i;]OԃݵлlBEMۚwRquu=;|uz2E~0:LA\gc%ۭl [a@/OIrj}+C iG]IQ",2RzĐIcP$1:ny=ٟȂw kyyaEY0['2#Ϩ.-֙(ےO˵=#- Y-Q+aoj RqT൑Pp9 \wt~#zO ˋX`= &̊ x3JZ4ƺ/~C@cezZjխˋw;baNtm.xg4NN蔱P›*vXngÍ˔ gRmkrLaOV:̑60ں$@cOc>_ O@&[=o@P1܇l76®0P#Unm0>v2X}|l:𭛳eLcv~ń4 WbcҍEPorOpwFwsepe!¦q5H Ơcs ԕfcO8eK2`Wphw+Q&Yӫ,|s}F ?T:UZ>)p}|;cH7+'Cr 2lxq)cq49԰AZxoIm}c\8՟>/h=uzp_咰[.aiBSҿ}`7x:|PCc֚m(+SHc˥Tm\HM,)g874 q$: ~1ۍfrPbHax6t!k 8'\A;\.Sa9篇SĘ2 MXCpv/c"혉#U}#<AG[O?]8XE;V3-Buxcۏ ,d"N g ggjȳ,v_V`N)HށJK:&s=m[mE:TX9=ǽ};:'˲R'`,;O%2Io1.Z^Ɉ endstream endobj 13355 0 obj << /Annots 13357 0 R /BleedBox [0 0 612 792] /Contents [13365 0 R 13361 0 R 13362 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28893 13363 0 R >> >> /Type /Page >> endobj 13356 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13357 0 obj [13356 0 R 13358 0 R 13359 0 R 13360 0 R 13364 0 R] endobj 13358 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 376.6384 146.4737 387.6384] /Subtype /Link /Type /Annot >> endobj 13359 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (read_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 360.4384 162.9352 371.4384] /Subtype /Link /Type /Annot >> endobj 13360 0 obj << /A << /D (unique_544) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20210608081201-08'00') /Rect [104.1732 344.2384 164.7337 355.2384] /Subtype /Link /Type /Annot >> endobj 13361 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13362 0 obj << /Length 19 >> stream q /Iabc28893 Do Q endstream endobj 13363 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28894 20690 0 R /Gabc28895 20697 0 R >> /Font << /Fabc28896 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`UKЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2RhHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]h߄}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13365 0 obj << /Filter /FlateDecode /Length 2664 >> stream xڽj$_ʉ}QPj6̜1F2t_X2+G1nʌkWmrunsVǠ)C?~Shk˗q'Y~y2֣> > ||Q_P CQ,<1˿aeggES6 a<$,D6Tu o𜀀g g@*e/tm I.م76eQh i_P &E)*jb Āz7!.f *JO%Ŋ3%|!f6Stu.MҨ~ Q;`ohZm\ N`?uC^n^'BqQp>b`mЕ*v,m a95 ׻&F 0g M Fw;H'T=%w94ulIp&:wDrșr={bɥy"Ԝ|=]C&|Q'l#$]o?zktvCS| _LV.RųdADg_,`2q ^-f y<Я:/.}Qc2h&> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28912 13372 0 R >> >> /Type /Page >> endobj 13367 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13368 0 obj [13367 0 R 13369 0 R 13373 0 R] endobj 13369 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 273.125 118.9685 284.125] /Subtype /Link /Type /Annot >> endobj 13370 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13371 0 obj << /Length 19 >> stream q /Iabc28912 Do Q endstream endobj 13372 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28913 20690 0 R /Gabc28914 20697 0 R >> /Font << /Fabc28915 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&aE0UK c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13374 0 obj << /Filter /FlateDecode /Length 4715 >> stream x<ˎ#w~E4P@I2[{SF=7^|d*JՀ;=*%dPӏL~j*M]L:ɚo//ߧ?i4[ O>'ߞ<}V\g鿦/>}Q?#Deӷ)h|{oLLz%C^3.5%Vv0~>3n2k ]XJɥL,IJf2 Swھw 8ḣgUw:B3'ϝ^tDKOOȀsř 1'!5%$8)=I[w_"J *0@y7ȝO$*2;f4{yJ/T6je:ǯ::)2h)>>?~p\%MXq~*a@UZ'ʂD.> ?/ 'm = ;m+`^` FuO?@A W7ҠE/6hp썁]/ob>x+q8HuGPݧ }cʷ! ?yL8 4`A@9*t B!6#G^_)DEȡŋW+J| ⷃ x/Ż[a&2$p~D De^"cw#c\Dkcbdll`~18QXfa96Mm5;l pv v& k ވkd_xufX|0&Zk=|jL^:{*A P:&06lqKQεzC.8zX"8`ι9^ڕԮGv5_^j K]>yL_'5 TA1lmb<䠠I^33z04 tv3Mb(D63'>l1xat֘+,yt^ ':W2lY@#aeIWMu]pN\/ ܁c?.UCj;E0Xϔ?b/X[ḻ.)("%a rEl8bUB4 H);OŝP(@N2A ?BºN# bґ<|8g;fc;UwE쾮mbp?[ס2Wi@`J /V87>Bҵ3Bys@a3vrӈ1oFv5 u1jIKj3yo feY;jWz3r$V>xz*{|G= pp҃wJ10Np&D<>ּ֛YSYwo*Ro=-|5X0s58lh֡y[lmMkl Y(#wHʦS$g qowmG'6B=7T+/-߭\Q)'vM Ey))z; O;t-ʯg xtnC`~:`"O {/#gǣh e课X,.d?U|ifH?Eg_W%M_Ǣ1̴x -ҎXs-x) j~Qox #q*KKayi^מR􀂼UyRZ#18VaVF W(z!3#^n\酸laNW sTV>0w)6T5HCoXfsVXwIbIEGu)ҾQFosw5RVC+%ܡ\b^җ\e_[k̟ l,~W n-lG~q0̑Cρ~\ܝJ5@q} yֽAd58~V9`X=xMVC<+W*{Y=U}gf[ff]fO1[]f.f+^CQdFz032[}ԃfdv6r6m{N[rU~lm-1o1\={ W+. a_o9SX~´DM\=s3FGR.}0LnTu+'Y4~)aAaq3]Pv[XyLb;%M< 0BJAo1bfp]kykf1gmv8L2X'y6?{˴۹,cTs~}%J303 |3(wdU rN{3[:}<SA?2#J;{W1U %wڃLI6]Yiu8ITIKf$LU%ZtAl0Th #z`y*htrnQ0WvOF`[I8"xy|"-&zճd{2ڨ,zkǜ 6pOR%!͊}}bjoƓv4e?2& )؏fЁձ*GnanrT^20܇|2@92ޫ}Udk E2L Du?mcVJ? ^Ip1". 3o_vߘ XLqYYTI<Ҫeە%F 6!tHgL-.Ѐr@xs8pfd7do\WV5 ߰VjÀN!WaJ4ƹND6t]B=؝ n|ctik{XQ(ڳU1Lv1ur͕?^ xn PR<5/6[ zu\SC _:0L0kBBgV)07xi M۠fʋ1LT7;,! 4gR.W,dW!Nk~Rn~pi8[\p@wtAJxSV=w%E>UsTP"/[ ^(͂mG+ E󙎇IjF 0E<0 #o:>;s-E` Z+).a7~ $ =0whhtw.A\pyE ҚEtzdݿAKBljM=7f|\|:W%&eNX K?ʌ"ZepI65jQSueaV1a]R{`ǿ۲u!zAU;ozCԽI6Ltm_"J;RM,0:#ouB @ W #Ϗ 1{^˭ECq(\)Fsc ,h0rax-}ʩ)y ;% _SE Xa'H O\vK5,Hk=wtXE^ P꘵bJ7hy},ԋ ۅ[ф%۳_\&đꤲvd2n7ޛAQǐ _enxi'>JW3tnƄIjDùA]?Cpڝve%"1K'-K==?^]7D_2gZ[\jц:J2噂x!Ϸ |S_3";\fb~[R^ 59>acuy+Qx^>86nnͨf-( {e7pYz_nS-k!קa׵ `EdDKYkw endstream endobj 13375 0 obj << /Annots [13376 0 R 13380 0 R] /BleedBox [0 0 612 792] /Contents [13381 0 R 13377 0 R 13378 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28931 13379 0 R >> >> /Type /Page >> endobj 13376 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13377 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13378 0 obj << /Length 19 >> stream q /Iabc28931 Do Q endstream endobj 13379 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28932 20690 0 R /Gabc28933 20697 0 R >> /Font << /Fabc28934 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&{!(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//3|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}Kn endstream endobj 13380 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1192) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13381 0 obj << /Filter /FlateDecode /Length 5543 >> stream x> ˋ̬blٲF쪈[b #XZY_.M?-,j z :,,~ڞaou`{6'sgngGU<.[P;@-K\ᎌU  M8o fh}eukeT)'v*O[MDU{u>A1m{B8cccVgfjPH\`CfdbTaTkÐR@L:怨YM.DwFr1|hsW ۴-pdذ}wYg'x ꗋ[8ה#5F:[`Q@'JI6>uN0"K17jgKF%.% S!d2$>D |X5b6 -$8V5[!Z P̞Fd)fY=f  V<_Ѷ d:Mr EPG$Ž/Rί>XעAxTXi6+6뚫k&kYt,R1l^`bUPE-Eɢ5(:^fi'@œ~.v`10C!# U~@*ik~Eћ)J~jz8BN#]Ǹ$!fPUj%)MlXDLj#l^)[-ămFѷ1ގ'Jw"#TNEfZ2Tz@džL73f %-. HH}v6PNW A9zأb__q9$WpYCW-U`Hޭګ:Β`# C-&EWN" 'uo`D:n?O4/XbDt wQEaFB: 5;72ҽ]ͣpҊꢽX\ȡKŁnqGY&3*<zӱհKF%[iв'V(D֍^^ѶHGKO4X"ư_ qe2cJ}pbp*iw[#_߶Q@uİxܣpR5ѵC8A9r[qag`l6+f )a,8 3 1o~^khT ך~^'n2/c "\/S--ANs݅hW(C'i258&aB AأG{\,=8u@yl7WVpTzu_ƕE#yO8W`"A7Џ;c=b>M$ a0u$6@aLY9~2. w3!sݘSeUlNYln!zw>3 }tv H Ђ0u-SU t-Zg@+"۫);JZH+i_tY9 `f|X]`ӷLB Q0]F(n \K%eTAK8V!㠜 )Hgz*jlHimz>3\ tg2E|95m7%yvI=;fOVTɨ·{>Hn<ɂFEU"$ۓ=Nnmm3#|Mc 3ٟY0XtCV'v +gӳB8_ck`߃ 6GtV@>{YI߉aop<cA9τ7:Aq2՛{*2=IA2 Vl:Ӏd8woSFavu$ީ4CAnլ Q^qSفCMT'ڒm'1 ۦ/ġT=O;tI7(l<3TeMo ̓"2{+e&]xٙvT:H0'xd\ڟ'oнᇧ"c!qAF,A\YuXn eO,aXd*aI,a&{ezSE,jKWR+L1+Z@lMԨ _ٛχ^4ྜVi7`aR5O\YZ0Uy|`_\5!  :m( Q02LQ)zW gPBxf Joa4Lafy0iҜ'E+1fbÒ`6q U=LL.u$%/|Wod)cFc$+"F\aWbME-w=Yo5aP`,MlTzlcIz CpkAcw2ld6KR6]cP۫7 eSNS`ҕd%[}ֹV>_N5tJ1وyGݤl='+~Lp&ӚU/x5iԐ0M4%m!ꃧ"z?Ku#W<`EWc 70Z!MTr3y\F4}jESȖKby=mҺM%s8*옐D{6<(Rq]NG6g2_n2Ds*P}%<h*я+ZڄA5Lmvs,-mpЕ8Er&67y&O?Tǀ!럩ׇ\OGq4:nY&xkh!B>y4^8_m|1T:{}>_9r.tE>XQ O$z;w:1wͳYsWKmE:Y//G=r92DE0 e6 endstream endobj 13382 0 obj << /Annots 13384 0 R /BleedBox [0 0 612 792] /Contents [13393 0 R 13389 0 R 13390 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28950 13391 0 R >> >> /Type /Page >> endobj 13383 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13384 0 obj [13383 0 R 13385 0 R 13386 0 R 13387 0 R 13388 0 R 13392 0 R] endobj 13385 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 310.9039 146.4737 321.9039] /Subtype /Link /Type /Annot >> endobj 13386 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 294.7039 184.2532 305.7039] /Subtype /Link /Type /Annot >> endobj 13387 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (infer_diff_pairs) /M (D:20210608081201-08'00') /Rect [104.1732 278.5039 175.5742 289.5039] /Subtype /Link /Type /Annot >> endobj 13388 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20210608081201-08'00') /Rect [104.1732 262.3039 150.9012 273.3039] /Subtype /Link /Type /Annot >> endobj 13389 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13390 0 obj << /Length 19 >> stream q /Iabc28950 Do Q endstream endobj 13391 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28951 20690 0 R /Gabc28952 20697 0 R >> /Font << /Fabc28953 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p1ethǬ_{9;u S,!.p' J<je""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛQ endstream endobj 13392 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1193) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13393 0 obj << /Filter /FlateDecode /Length 2658 >> stream xZI[_5@n4C0'' `_=b[~"Yc(EhwGF|zO Dԥߖg;-?6HJzNdz8*uJ=|7J^<Tl! NOR(JETjy2n$SyX4TΓ>\Pg#4<X 9lcN{%fKG< 񉕡eÐ2G}PY]? IY$L4}<^Iwu`GZe5I\j4YЖ][ Ab:%U)DU8m3`iBIZW귔IZ29[z/ iMֆ.`}`MN8cϷtr_KoxaOҞy™jop|>S`4aC|njE»⻭ YdpGwo7ʅUWKxNڦtb0=cRRn@ʥtX5Zܖ /;MN537A <8D;qEm"Q1q=-$S|RC@(z8%GMl qVƦ"Lwa`v)iqC1FF3^5C42ղYUۜN@9=-2sٵ0fpL۟&>#i?p{o0=FkzoHM 'ԇM/Ȁ?,Q䆐r#mݐܿ,e_cdJ! IiCb0QgRWWHq_]&QL 1} :̹z-ؤhpG^#:rˑ]s~LFLT-vuhpl輕Lf庆#m+kKQ!*\uʦeiM#lc8~3xŽU^YK yvNR]x%7>=QU~1(GLSXl8B;s-; )c7cÔw˼Ȧ mPL@X1a6  X`S@g'#-c%9!1ONQC=>2r@! ؾ)3wsdY9LbwsRn=v ;o~V۰RnTdv8#qAj!P6(oޭĴutb%$_цR.'sՕ'82g\JH&Ő[ܬ'wRm{ X* Uh]YNK]].0۠Yy)UE0w5cecEՄn^ܕۓ-gZ]zkGgJlk6LCXRv"r6ݴ6u(]ܗt.'O@~+c$iLV O"@$z'[TSK;CRw2LWR+J<$8&R |NԽb"4/"b!z ]$Gyi4¤Cvk&Trsq73 9̗Qj} G쮍4D[ᱼlk_jaX}sHkZـެ9\tp4d "-z}^yJ$^+_.@ &'>/rH,ʆik\f0!%G-l#]f[}q@O=UXv q!gk T2BIyOsS粵AӈkVW%#_'r/] xhqOtIȪj4@:Bnn:w 0[}u\JchkߙX-EAFUk}Lh\EZmAV6 s̩.Yط J륶֩Z-UKuen|bMFuxd`Zɜ| y/Ry8icTYHgysXM".ٗ2=+Tz-7MӦŽVDqOaK[ [/eAMc'3ٷXG? H2/cR9ᣛYn^9Rv+eq"szb*Y鼭j[ŸrOGWu$\PCwz8dWcq<8{{^۵I3i Qh譤"ZUajs~o\n_tr>0U\w?*#32?yLXK?3&Ut endstream endobj 13394 0 obj << /Annots 13396 0 R /BleedBox [0 0 612 792] /Contents [13402 0 R 13398 0 R 13399 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28969 13400 0 R >> >> /Type /Page >> endobj 13395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13396 0 obj [13395 0 R 13397 0 R 13401 0 R] endobj 13397 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 311.425 137.278 322.425] /Subtype /Link /Type /Annot >> endobj 13398 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13399 0 obj << /Length 19 >> stream q /Iabc28969 Do Q endstream endobj 13400 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28970 20690 0 R /Gabc28971 20697 0 R >> /Font << /Fabc28972 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}Xr endstream endobj 13401 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1194) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13402 0 obj << /Filter /FlateDecode /Length 4284 >> stream x\IW<@  tW &[7`H4_,ܒɪ@$ XJZ*w¯,yZz',E]|yshuKt!ZR?ɓQR}r)'$voC}Լ'o[)k|rzjK~U^cwOF~^oE/dM% ^EYm#|-Wae?FJEцE)z%*_4IZ V*$Lp>3Scjxϙ_`!D1ߠ(V]&l_G^-2cx~%w\7v{lbod,^Ĵ Rڢu fqIo |V`3|b~_(mыJ3F.e% gی.GD+2kAg;f>.~Gˬ#gn9NYۢ9tHEjhp:ҝ tbR|}L|qXLʙXb3;*bwU'k8)0yWIEY%^!G5Z;B0b/ ̝Im3h, mŅa8: lCJM8PDW^mYa%w(k(GB[oiFvψg,;M9]q.cr/>#`yhܖ:˧=_/94R[#[9J948GBΔB_vl+4j<&{Wϝu P4{DQ}A(U%?g@VX[~WSER,GuCgU93)G-Ӊu2,Ukyetq}|P 1:{F}4Ni<>hf20D8fD-]h~Q}?ws>pY]79Ǐ}8&KГN$#i.dMx}WNr楋KvCp 8 pS6"jeQu uÈ -/O^sø27 |BS݃B+z#{&b|ϒރDv22 fqwU1O"j1ŗ,lqT-q;&^Zr"-K<̉`:ޢt"z""V""##㢞,LLsy3̈́% $<̜<)}5#m&]~cN22)_45xǃf%Gx­-8w||@Ds V0(@ ZO2EAWg{L.)@ytťd94=a/jl96jxҴr^iKn߻x,ƀ;iW=}ʧx3}/5T*Z":SseE*W[L;j_* *.\K.oL^ӝ^AG؝˜%Ȥ0Joց" 8T:$%y-u /)< 1M:8bt)KYɮ #kq뵻E4#UFGvlU21A oxhOᩊ*/#g:CQkzǀRIϓ%k0~%*0%zu) rFi@%8SVxvjq"`Lb T7 3FbCpNbzH1_:07~ u7Gy@&tzWhwKsMwmw<#9hחqt*\ =U9|cz'eca<N?_ ;c#wmѷĶb1'KRoU#yv*Kށ) b #{aNr"B?A6JDܼ/&~Farcsbsun-Lq,T3WwRw$MhpE,xdo_*U3li]n/E$T i8@WV!/ ~s'l% sчsũppIL}OWd]<9o>\uXtx \?]b\ITj(ݺBO AZQ '˫9!jMwYլ0^` 4[V]]"ny\OƄ.qBrTz P ~ tډie^*Ls.Ü7̋y湔xWQgn/= `T8t02=Q>1pi彄V5`{/&69=Dy$`&]] A0^X_HLu.&:*͘Ay(Sp9 w63#-Ε#:A+jYLܓ7soSb~TpfwOP>^k]*>^c&Z9?P]t&YSQ7~8ecKX{b V' *9 h;1{9*ޅy[Ͷ;/ip]O!SK/ F+0+T[DSI9+Wa=ssU+5SLg277eϓ &M@{+kr籞C/og|W'5_d~Ou\߿8;K(u݊"]9ۭt/]GhTm4ZX7vs]3n |W,Iwnb endstream endobj 13403 0 obj << /Annots [13404 0 R 13408 0 R] /BleedBox [0 0 612 792] /Contents [13409 0 R 13405 0 R 13406 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28988 13407 0 R >> >> /Type /Page >> endobj 13404 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13405 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13406 0 obj << /Length 19 >> stream q /Iabc28988 Do Q endstream endobj 13407 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28989 20690 0 R /Gabc28990 20697 0 R >> /Font << /Fabc28991 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4՚Gp#?$_l˜!E_@9?ɜ F0P|sLѨ\U$x2X4/s(RҥC ( 'C9Pma#bwo^ endstream endobj 13408 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1195) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13409 0 obj << /Filter /FlateDecode /Length 4990 >> stream x<ˎ#9rw~E J-s[o>,Tx60{;|gnu&d0/F~ 1Vݖ4V)ۿ4|_{_RvJz?JMoNQew` eVRFi,fYFm7l@{ e!Uߔ 7]U蠱~ݾ2 ^ J]_6 !zBAj^U)[-7Sjn/F)=Td~S0u:bK`z6 &NZ c@qs l)DžMȔRN[cԋu-Qe&O2Rf)>%O8麯+Ҁ|$:rLN9>*8l|eoqIJP =хt"CkBdpf#u&*邁.dV2LhK=Q?J+ P#FW:3p Ph&e#)[#M $TT%ҹwR`Cb1S1 Njs]xMJb!sd#O(I7E(qoE6l&%3^Ǣe՞\[\)Pٸtǿli (@wCZDdu0hi' F٫dPuJR#fP)É^Ր:y"I!?R@3#3f=joUF0X GQj.I"gY`8bR6dbX;CƂ`*;t2!J{ԪByފm8I6U,tzip >dz+4j4[|Nel}R@Q.IJwZ "E#22dD]6P# O\  jI %  TPaPiE+EM≔.K]H=;⚁ N f㊓ŻVއQwd*𒐶v8ꄌKi  7Plqh:y)ސzdτj(ȬH(^jZTk>-&{X xQfR TJ׵QrQ{a98dтfnkcIoؑ!gxgZz7,M˖V % P쯍ҧ*{?\eJAb@0GK勵W~͓ߖKoN6cCqIƲ96KKDz;V FQ^AF"e54qm0 }oDKA0 59w=76 7tfrs<7!&POeEN =:\D \Zw{ H4يHoI\BK!g Ե+q2 -r_gzV)AS2? $jր&ßD]چ!( r6SƬ# QuDT6]F4`W}aa0AJ3FBI\ \&)&PAw |į2;x.>x̤Cit'$?9 s 8[p'$T+MM&(a~M1Gi@O9Ź`wJ#^OHhrNCK*ʳJKIHZ׾` g'8zC.w+*1cÈ ?1!6F < 3T/ ]P6K:$$`1ȳgsKkլ1?/)9h`ˍVjAD$&)a=y˜fh6OZ̐l'PgV~ aڧȽ\(ed jqQe/s_šKg@G~F투\y[KvNN7ʺLkslEuypE.&T0UrU, hC(3/|iV;-Ʉ Ҷ\?"<#cs.řT,3āVD4>ޖm[æM Qn8cjq@vF79FQa~E,Ff0«9κ+nRQp#daQԻ>1-0mkL roh&gEFlpuy2jjȍ]k/xfE$ա/{qNbhvgVK밋9\u7e`0 xsb&ɜn[:bMO7ł. ٚc9np몾hj MScyI[ {C`Zݐw9d@N6{ɜȽ e '*KZ(Vg>yeJΛnݽg5m_ƣ{Ԯk VVUЛAní^Kw?^Qχ/,SŹ݉s}=uz t@Ʉ+Y,^b'P X̓:ս|Ι.!vm@2z*Z wi9{xdGpOfa ҇ϑ1غ"o ڴ 395[7dL$ȼyc Z*'PlMT0<:w4,iOޚS1 \M!-:=g%>q| zK2' k'%Iq)ӽ()? /tUL rІl.Y}a=j%PRe4;3rdupJ8I͚W]sUM9Q<2秽og>:X 6u~8EjC=܇k5:`3{a_H/\WAE?d xtK rB}:JKws|{X~ynŧTglwa/{+)(Nxqʒ2hI[9HiGFc0)E(MPb'{ĭ9ҍIwB-^z<"pDXte0 '#]34OLw ޒXywtq DNպ;IwH1p La^=Yc\GJmroΧ  SaP("(yRxMMru!^+0tpU@KXH[^1+d$_SϕwARإxH.ʧ<*DkX \/~&sAk飔xivJed*[ILhfdc!w)3R<9[Mm>p݋y1:f؆2e <ǒ(`{hf)-͂G[1΋>ULF\F{-+5qF |?э>Vt\s1 \S6u 9ޗgxc6Kp` );dQ\C7)X+8:LJ1}wVtWQ۷g\ЬĘ/y?I3d̫^]noF_nD^n+by2I R!L*} ~'/g`f>_VRW 0bx@ T<l~ѭ+rUF+ц%(u _' A]Բ>z endstream endobj 13410 0 obj << /Annots 13412 0 R /BleedBox [0 0 612 792] /Contents [13424 0 R 13420 0 R 13421 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29007 13422 0 R >> >> /Type /Page >> endobj 13411 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13412 0 obj [13411 0 R 13413 0 R 13414 0 R 13415 0 R 13416 0 R 13417 0 R 13418 0 R 13419 0 R 13423 0 R] endobj 13413 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 181.3077 197.7282 192.3077] /Subtype /Link /Type /Annot >> endobj 13414 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 165.1078 202.6782 176.1078] /Subtype /Link /Type /Annot >> endobj 13415 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 148.9078 197.7557 159.9078] /Subtype /Link /Type /Annot >> endobj 13416 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20210608081201-08'00') /Rect [104.1732 132.7079 192.6352 143.7079] /Subtype /Link /Type /Annot >> endobj 13417 0 obj << /A << /D (unique_238) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20210608081201-08'00') /Rect [104.1732 116.5079 187.8062 127.5079] /Subtype /Link /Type /Annot >> endobj 13418 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 100.308 166.3397 111.308] /Subtype /Link /Type /Annot >> endobj 13419 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 84.108 208.0022 95.108] /Subtype /Link /Type /Annot >> endobj 13420 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13421 0 obj << /Length 19 >> stream q /Iabc29007 Do Q endstream endobj 13422 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29008 20690 0 R /Gabc29009 20697 0 R >> /Font << /Fabc29010 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13424 0 obj << /Filter /FlateDecode /Length 3301 >> stream xn$^_p_u@n4804<~ƥJՋ4J0pfP*E>l2JRUی ^eMu:<2F=C]v9zZ[|'o kRL;@0iLx>yzWr2t't#T-N5^Y1A)rG+@y?9@O8|c@1Fn &LJ&Bp*.qJ$G[EFΧ9\5LsO .?>wcc@cH u: Ʊw>X PUxW_8Yd5KH%Q0I%"4rgBxd? Js@ok/LoS&SʀaaĊXȊ<~k,)v,Š`L&v*` jxHIgR m b4kRd4leVcBP6C2C2wZF<l(sDR8הm%?2F*kqF$DVFEօv:%H: -DJKM:CxU`X[YͲb*238ǘDݘv>Iqq6T}  mmnV5p#\Ԥn$XY(R5׫Ui) %N]~i*YҁK[6I%iaxCgPMiþGoۏ蚞q=2Kkьw`}ﯿB f[ ی?O Q^Ay.<Lb~TV=൸uxS:ՏhR_a?ZJsn.}GD 1<&LwT*LǬ>0+y>!?$z.k,Mkf"Oh6& j)F&qh6L pM_cҶYD9gj(t{`#,.h@qOh@ҸZ$x0-|pGR~e ܬw%Hy}2DYF6&<}_oߧd\iŎ"iE'Ky\>ئhAs EB+P  ,kO@kIZp$(AoyPO9rDv![аB5u9}b64gʗĵerF_71nzÁiT9z+O oļ3+fe+J<2i(!fi!jod@=En{w5J5&VmqHn+꒳ Nmt ]*.QŲΠy/e= q« = PՑQ?VQ}F8 ,[I<3Lچٛb} { ldm 35&=1$PZF YB'sɗ۹hyޖLaIBd+ok9S@D7dMz:kr&)fccIJ E <@#냋ZZ\fz2x3t+c$~xAגg-_VY4dW޸61+#8;8`\H×9 E[pg! &;_N܍z{P/+)AFk Ab(Qdxr#r& W jl6-,2W;8S]Nw٧W;On,Nvn %s-6}cSorlPo/iKj8_}NTixa|uk7y-xy~ vCCGN[!)#2K1Ś1'ɼ_x f2"ޠDI ⭺v oٜDC%m}p }M3G]x(%4[Xr:mkZl,*ɮ;zD*zZaݡsUs% _4%%)&2wG Đ%͝iVjnĻ ^pܡA+7$%#ـkP5)`DY|*-3O*Sȋn Vx\1 fꔑ30~l:{Qaބ)!9e!fP5 Dv]nҬȨט1/ȫw ϽtmEX!GwW1܆lz4/8]_08~0c#n8{ggBzԫY;=;02l&1__Կ%EAM z8yZH{Ak4, ^sz4\^H2LZk|!u2H&+&mLªE ^"<^]() Y[4uZjMFM]VW= K G$;QfR fx$XUatSUZYY(" &x X%fxT½O FBĹjD;A#L {%t^h$\8$<:Sm`!xYy"u>U^'Z SMe;1 <ƚKmGf"E40GsM%KUJhqBko "=H FHӢHF]VCcU= ^N &mekszyPq0qHZV~De>òle~"cq4~pƥEh!f]308"; r><3 #> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29026 13431 0 R >> >> /Type /Page >> endobj 13426 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13427 0 obj [13426 0 R 13428 0 R 13432 0 R] endobj 13428 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 172.9067 709.9] /Subtype /Link /Type /Annot >> endobj 13429 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13430 0 obj << /Length 19 >> stream q /Iabc29026 Do Q endstream endobj 13431 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29027 20690 0 R /Gabc29028 20697 0 R >> /Font << /Fabc29029 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)>t\gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13433 0 obj << /Filter /FlateDecode /Length 1125 >> stream xڭWMo$'+8Gjj@F=YiQNxh<WVdaxa7./묎Ag[UoVץ~6D^Sbԧ;qOwmstS~G QDC)czy>CǓ 'Pǁthn6MQ;g/7zޤTl)CGD&VBF!fB%Z7+hWRɵ)j WMRmM'ѳ~Ȗ=Se.Њݥm2O375y ͊QolQb_;u1C4΍rj^jԝ/8{Tcj^٠5O{ vjgD& 8/ZokzGG7g3A U} iל~q!+R(|9b $Sk~qd^3d #.jլ~x%G+2 z,R^0jnUV?*Uz[lubpG[>IṖ58u]Pu @'"@Vjc,Cb E|֫Ь0Tvh:bkh3_wIA]eJ$;pHeteo H *flhu> BF Z.Z7իXfqVfT/膭s8J>mDחB8bq-5M,yw9qt%g%.CX⡀-yMR',!}Z/O|),AWMVϩYr3Q3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29045 13440 0 R >> >> /Type /Page >> endobj 13435 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13436 0 obj [13435 0 R 13437 0 R 13441 0 R] endobj 13437 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 319.925 137.278 330.925] /Subtype /Link /Type /Annot >> endobj 13438 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13439 0 obj << /Length 19 >> stream q /Iabc29045 Do Q endstream endobj 13440 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29046 20690 0 R /Gabc29047 20697 0 R >> /Font << /Fabc29048 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 13441 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1198) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13442 0 obj << /Filter /FlateDecode /Length 4127 >> stream x\IݸW< `91C p0?q_۝[,"ůUEb Vb/K3KK6XoO~f-yCVÝηGuxݣ..ܝ9&k}޼+FHg3xzv cD sDbG-;nGL</+ BVSp^f,t³:% ?oĵ݊1Òq4;IhZ%iVKgw~ӬC?s^ګ)a.1dY$ = Քm[v)Fd0 SY&seRg3@yaYl[|6Nρ!Ԕz\jLފ:[|׭g&@ql Ye[BZ54Ey_*ȼĵq?Cq_Y_4Uȭ@ithB0! 9U ,ȓ"U"`lޛvc$id1pQ=8 u4^9Xû%ozQms>=aK`sm }*4[HH'k;h޶P^џrHsujVW 4TbVH -{]k߫@8F&m2Hhx<EEM>˲˚8}Hdf~|GDn?,Q/KfZ0WaMP8zesr?A[о5O\~R8*:r,{ {!Uk_ H1}e? t! A5@!<n5PXFUQ]F;dBF-;;U@:FOaTUxx=l껰kjZes`m5֑] og<"]ur3=»Ly'<ghZ˟O@Ht8Hxd>a@ ۆEF ,+/('tA M _]2KFd]c+߽A+i"DAYg'IkH^޻eLY9^֨+s[0Agᄂ9 ~Xfjqmr%|c `B gt0== 6rETG4 pph.9M1Ԛb9)*)gqBa$>|p !qN"~l!afhR^VЁGh/35]M8')^/c$w Fh v%#6 qZ46Gc9cY Iea`YG]SF!d$PPXor(&zJŔAY lNN;e"3tPxb V`Bp"h5 f?55i(=Ypt O)d j }mж{!1⯾9,vz%؍zpݴT&k `,d !lj}?1)"^bJl5!+ז!">C0ha G] 氏ːóT%})8U=)V{\ $Rm }G!"otB-0Z^#Ӿ.e}D?yBJiU"*%DLЂ}dKyp@ L[PChBhv AqP;5 fFҤўs"aÜ?PVIVna?sBM`E䶽r}/D@N|X[˫kkRh` ؐ"pЎjȘmLH{|MӠz @$OLPc.s7BT #] շm)`3 y ƂTn5[Cu}Nu.xj;D[☴1&_ꂘS7ui{ 78b|`2K<L7Bث#͜'՞;Vz]:s *-Уv9M6 "Leߘ`{$.7mR vSR} ѦDCsX&C_h,u'OLp~8}.*}1GzR}bu'g2f!ߞKuIu]v~hoW*PW&6fqsIMgpu)zcUw(*_RFRDec0Q__hS,$kjR7k3_ %ZiTj@.x}<! rXnOp$ <$VyYf#Rı*l0sĩasWNUJMȐ}0[wyї'|qz_I>ִ\g~ qڻC`<|M2|{R ?9u'\+Ug+sz^Ʀ|>~heO_ή~j!C1X>IH j endstream endobj 13443 0 obj << /Annots 13445 0 R /BleedBox [0 0 612 792] /Contents [13454 0 R 13450 0 R 13451 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29064 13452 0 R >> >> /Type /Page >> endobj 13444 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13445 0 obj [13444 0 R 13446 0 R 13447 0 R 13448 0 R 13449 0 R 13453 0 R] endobj 13446 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 126.2001 197.6017 137.2001] /Subtype /Link /Type /Annot >> endobj 13447 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 110.0002 197.7282 121.0002] /Subtype /Link /Type /Annot >> endobj 13448 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 93.8002 195.1267 104.8002] /Subtype /Link /Type /Annot >> endobj 13449 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 77.6003 166.3397 88.6003] /Subtype /Link /Type /Annot >> endobj 13450 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13451 0 obj << /Length 19 >> stream q /Iabc29064 Do Q endstream endobj 13452 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29065 20690 0 R /Gabc29066 20697 0 R >> /Font << /Fabc29067 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>Z endstream endobj 13453 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1199) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13454 0 obj << /Filter /FlateDecode /Length 4484 >> stream xɮίsa/of X@Ox `O-\{,'G=dbU6hmы?|lO_ڧKџ/>]^㗣!) |VËR/b: 4*Gev,CY1hZx  V/KV߷@=؜]+@ (t56pOr:ݔ ,P? *sP3+ªDamsMKk_ig [k l6Ǖcf~*ŪTZ6eȦS[dHɣ_25b(ɽɝp2u҅3Y݀ 2)AYMo7/+`6lФ/ VF0GWPD]< SƋESo9,7L1zT`PWsjӌ函jm)^K: zLYo$SNw=|~{ߋ2BN [#-[ YKCҦm8RQ޻ʹ2CJysmoogxaҍ@{W&Qo`1 ,!/yx0A6w.M@ -iA[lTk_.+}ox+<ʉ]Wn}M GսڕJ;a7۝,?5C;wsӦ ܣwVK٘(Esj ƒp{0h^O8QWUԖv;6ғ n%g ~E?HLS=f|.oti'86ه1cQĉ5ԏ'='rRˤh&e\e6jRzQlhJ&qB' MKdZD3r -&l1ңZLm\p*(5`j:A]M( ªu|tԩ|BaѵbWLޒy)1`TCbewU[/h)mÆkm%XjN=5KlPb+WkOZgƄ{+FͨnpJSo)RFg畫jt 58T 4ʉpyMM {ix8V]^ӓ{GlԀ뻑{!Wt`R@Ϙ#?$ⲟ]ft 4|L^20%ǽRv3'i ,XUI7 t8,O,P1U:puiE.%a&u6’k"/[I8?ss6+_~궰3%i7nTFzW.BCz搦m_aK6gknܾ~폵z,/Xuq 9/|A.W?Ks,֟xb*b8 _XӃ\-ߡ}~o?Nש>x cY(!žj*,ѥjv*5 -xI)l@DL^c=~mAWYIkpI~%?쯐+])rS\O+׎~FS}FMZXٶ_ÜdxKLxݻikO2UqL\dX~r_?14v.kw ϣԀIu@=T a:GC| rl|a*VrU{i.֓ uY`Á탒nek%Upk]c˿ļ#/l[> \ͼHYR2! 57.#&'p~U#qwOt X@'*zO߇Nny#G!)gOR,˷T1Jzo2˔ a Y4$[O[Mb@CY >C<6HUa*EfĒ X*-䷍LơJ`*D\P`SZ jk뵴C3MJeej|"J-j#6'Sx -ƁB0fx!kӺG9/\f&QccublKe <ǖS##H p0ckӯ:VKk* Xc6Q =.t&U$x$^bR0=ÇEwR1ӿ9a UO1L j1ȱ%* E1 D=ޯË qD1R免_Sc0s.TYϱx:_p<+hw#ݨ4kO|Vn\.wȘg:?} > XlÕT\|_'Yx_8 ꇻ'>6'΅`g^ןk k!C*^?»J0L7rr@[b'$X_1E endstream endobj 13455 0 obj << /Annots 13457 0 R /BleedBox [0 0 612 792] /Contents [13466 0 R 13462 0 R 13463 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29083 13464 0 R >> >> /Type /Page >> endobj 13456 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13457 0 obj [13456 0 R 13458 0 R 13459 0 R 13460 0 R 13461 0 R 13465 0 R] endobj 13458 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 182.0037 709.9] /Subtype /Link /Type /Annot >> endobj 13459 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 205.2137 693.7] /Subtype /Link /Type /Annot >> endobj 13460 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (verify_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 192.4097 677.5] /Subtype /Link /Type /Annot >> endobj 13461 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 179.7817 661.3] /Subtype /Link /Type /Annot >> endobj 13462 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13463 0 obj << /Length 19 >> stream q /Iabc29083 Do Q endstream endobj 13464 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29084 20690 0 R /Gabc29085 20697 0 R >> /Font << /Fabc29086 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 13465 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1200) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13466 0 obj << /Filter /FlateDecode /Length 1245 >> stream xڭWMk#9W}$08{ )epWRqLRիuKE;m7ѐ׏ϺNQG*᤿vN֥a6]MMIw>}oEUv>Z=|;kqoȫK䛕U.hSgx3&wLJ~171pO/l0NΙԧV{kdM̱_߰[8Sۈei; g&obneC_t67pYwFl1TStjo4jYݪ !*\K3Zr"}KzF#1܌cjY9∜(qf63@?#amMJEpc?#Ylp,/1"c13&:'vl$-2)屻9[=og#M>e$]Z$Z?D`r|pyX $tȷMI\Ocť`E݈:*69_pef9> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29102 13473 0 R >> >> /Type /Page >> endobj 13468 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13469 0 obj [13468 0 R 13470 0 R 13474 0 R] endobj 13470 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 386.725 145.297 397.725] /Subtype /Link /Type /Annot >> endobj 13471 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13472 0 obj << /Length 19 >> stream q /Iabc29102 Do Q endstream endobj 13473 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29103 20690 0 R /Gabc29104 20697 0 R >> /Font << /Fabc29105 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷE4 <~UW<7!fZ5Y}GܱYZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nYu_//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 13474 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1201) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13475 0 obj << /Filter /FlateDecode /Length 4283 >> stream xڭˎίـ) 99cN_gl{$RTX/JӷLxIN_^g`S.~fkK1Cxfί.`M^>o4N1o7FӤWxKp&G31w1wq yI:ĭyw?5i/h+<*7 #,Z#< 3B 55ۼhx528}]ȷd ^O7\fIM{B $#-2 `s|WXZN{z&Nh c0..fKy\fqOV0\/gv@BA!`vX5l x} <xj3, aNTbkFRr'PX$QD}A8eloјx)vgy [TGu!4-t5Z5r^<{<`Y.f)[1z̺d}ju ,훏:AVbAO5{_לCSSW1Z0.D"q {)}z dke Td(N%[T3s],#LM:jcNӺÖ zd di+>-;ě-nCIgz5|y"T>};8jWm:a?a=UqH\D܃NA;q𺅼!u#둫m4i0&.Q, ?>A@kau}wMlEf$gCrv#m0{tcSSM%6_VW)=p('/p ,OG#a.Mɧyԧʵ8[00j#T%yzd6DAnsF>! =UzqpF*d1Ғ )br".hg LK8]icC@r{ӼȔtSglӈүdv+5A@HɅ@+p˨p+C<=F K: b#HO3ZDoNaqkY60*\#du.п"m>0 ƒo ~#zrFE3Ϝ8ia jA*FkMm9,Srh\5Ys[k䳥]&91Aa8U_K -hp}1#^ ;14Mº$Y7ō(5OOQ=CS2 ht$#%4OS@eFY's{^dA (qzBu`ts1[_HG%xʄwPğC{Z#F-ʗM, Wjfa^+݈e ;KW|{ݯέ̽A \YȍE4CbJ-e蔈0,Fr  bQ*/bWkeNϵxk -8yCK =7*y5wZTV2(]:8,U-up;&D!Ę |řc z.IaiS^. Ʊ3;#JXMU4tbF1_kX,ӶzFkBmSqa eϔi?ny7]DT7ʹ E(T\coF m-N~E 6[VK]ԇl՟V;$H$n?m9 8vźl](A eS3#w[dqK.ͬuu }#|f :,ƀs:Ú)c^ KLC[k" RN*T1oȮ^GV¹[Ue_h~@m7@:cEXVd.V63߃meS˨ԩ9ȼ!4h0`25LƁ:MfJoܒ&$28NoI=nG҈ k|DQ ^Q*ϓT^`VO9JCw"ѢWK5'6!Gaj.d5%5 r~iFȃ\3%=ڴ>-ћQH-aw:;Vt˨8_/}%3 ~nops3>/~4TnoPZ]5ޥ塮 jPr X3Y7za2轃)To1 آ8cM%,(=c$8EGs\W+%yH %KX־i ״5\]1\znM iX ա0BN4l)QQЩjW]M(a$\qm8ՎT>lCCY!'0Qk>L;}D7wٹ mD^c<20-YV#NsHC'U\F@s/*)SQ^<_%PS9܋qk\&H@4G2+ڔ?P) =v|T' &l0$)mrKJ9ؕF >ٖa)Ɩd>N2bPu0K->f_0-&괶%zgihn`JfkR4#]JtX8QðK:p(Its|"?}y;M39\r߁\0^kK*6Z2XO#k\7s3&4%\\`TjyQ䑋v4=-,@WlOp&~6xJnhe2 ˥J뫴xk dfj|!J':W 'L/rI-mkGX'°QR{ʈ&[ɨյfY!oZ^[.[͢a1pk,T[*lH&ݺMvY  )tqfKDԧ_c b_x2xMl$[oa9" >j ]0 S[]|,^ء~,aoʧAƩT—3.2Y.ęx'F!`p i!!OΧ3^c'\,,FS.i0(> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29121 13484 0 R >> >> /Type /Page >> endobj 13477 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13478 0 obj [13477 0 R 13479 0 R 13480 0 R 13481 0 R 13485 0 R] endobj 13479 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (undo) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 128.8407 501.1346] /Subtype /Link /Type /Annot >> endobj 13480 0 obj << /A << /D (unique_192) /S /GoTo >> /Border [0 0 0] /Contents (startgroup) /M (D:20210608081201-08'00') /Rect [104.1732 473.9346 154.3277 484.9346] /Subtype /Link /Type /Annot >> endobj 13481 0 obj << /A << /D (unique_177) /S /GoTo >> /Border [0 0 0] /Contents (endgroup) /M (D:20210608081201-08'00') /Rect [104.1732 457.7346 150.3127 468.7346] /Subtype /Link /Type /Annot >> endobj 13482 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13483 0 obj << /Length 19 >> stream q /Iabc29121 Do Q endstream endobj 13484 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29122 20690 0 R /Gabc29123 20697 0 R >> /Font << /Fabc29124 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13486 0 obj << /Filter /FlateDecode /Length 1992 >> stream xڭXK ׯy0h3 `=M = x.!cب$E~6_3gz˫icΤhVGC>ߞVym #7ϯ_ֺz0.#?⣜#7wpevI|`]Z0`EP9g z3b=bb 21E;k,܊3eDD(€6.gkӓ3sw\J,ţ%:vYZx2o!Z eo2U,ڍ[Ӧ HBe;1'r+M,FfFXgnhKt~;6c9dk[y8a#xil 04^H3Yե%>*ؑl%5W&%GBH՛d7Gvä -f&GZW;<|>qMؓ8ޔCY<2=]@xwݴ2h/4RL͐ؖg]RkZOn6HԋuS#懻1u};>;DJJ!*:bV?\S=i?_g=knIh])}\%/ꄹsô3RA4fT7uȩJ$'FJLx`Ƒ>X /k(tc)`(cFcͼc'WQ$dhĽKi\ sO>Ǯƴ{i+Z/jL^9;WW I !_'e:m"䴄\BڸToo" Ik~%a_4Ƽ˳ʕf/Y0M09|^$x0Ȑ|x*IQ0n.C:גi)> )_'rB^I̺tvy27X{r-aJpj2͇dRtYӭV@,P0X"P˕d`?ՀT_yՎ[CB)91A np#T_#AYlJF&.tebtE8!kfJd 'f K4ލz+VO_kn>|t etiuѶ.]^Qu}1-ޏL[\5鹒+B:H,BtRަCn?mF`Zc#^aYwڟ(YfwDU`vc0?mK,inRvJ#^b;vVTDǐ}+f?7ul #zd*-봍lH醺wݣl!0z)o4#:jHFޞ Ve-q8ğ// ^*x9I}aS5`]pn&n*f EI6@bffRPlb֩իFùq$Y}Ѭjr0) uUjȵQmR+d&^RZSSaI e^yY@܎Lm$M4Rfg2EygCyH#}7e&2^Oeu 1䴒k8b[ORI\ޜԔ NIig䂏vIY^{mCjV_K TNMP*E&5uo^jطL.f.@Tr{́͂1E<]kZtҮѸb@ o 臘莛 P:{z:ydOOoxU ^“8Џ%O5ihMo-?`gN2ôl}O*<6 Ql28pEw) ': endstream endobj 13487 0 obj << /Annots 13489 0 R /BleedBox [0 0 612 792] /Contents [13495 0 R 13491 0 R 13492 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29140 13493 0 R >> >> /Type /Page >> endobj 13488 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13489 0 obj [13488 0 R 13490 0 R 13494 0 R] endobj 13490 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 439.225 124.1605 450.225] /Subtype /Link /Type /Annot >> endobj 13491 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13492 0 obj << /Length 19 >> stream q /Iabc29140 Do Q endstream endobj 13493 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29141 20690 0 R /Gabc29142 20697 0 R >> /Font << /Fabc29143 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 13494 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1203) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13495 0 obj << /Filter /FlateDecode /Length 3555 >> stream xڵ[Ɏ+<@/@tӸ9>ɞ1nſظRՒ3:n2Kt\KJS(sҡBd,8oYXCP0~wDz3Z1x~wPgnCؠ.Y 3LcΩ Iy'ov.>|D۟fNMs  (@; P d>/ j<=uwvD@P`g]sƦi4n~}E^`v BDwYʠo}֠ hmgom{->{H f ֥ٻ f23q?/!ԈJi.dJ8j=氬8lj :[Y*%8T~t\~tV:3M顨ٌsPl<~r6*zO$zKfwִe1T(0Iwˁ|%\qHRdG Q-FjeElcSHDh [mH) _ PH$|^"mzQ RS'.ؠfVc/ ܸb_{ n-9i:R6ZV\nz1Zj@K"HO34(Gi182RVF W*Z}ψh8hWz!Bj'UBb+.ݔޤ uQ|*53Sw-2ѻ4 -I^-h}n?E7hyݵ؆:^jS%ŪҽJkw[[ 2ZBjw5oi-p\Nc)J_7-sx[XV3xM^seP{!J) SCKXO!قSLAVUVq-F~è!V 2#mr kE [p6jwi7A?J& 9Ț,gIS*9$ⶥY7E܇;\5FY2{H܅?lgʷq8sKvsBl= 2.~p\(nΠ7c3X8*H"T.$* ;{a;8-V!N㎫!XI|LnU#&]P(D}jjU&3cQw*확 A9 ͯih1W >ӕgEGTf 2[6>a7Z&h.幛;`lڙJ>"iE S} }kq& ]ćn5@uv/W+Q0}̡CWg ``}I" zU6/(]I; s5w<^w,<+8ێd-dC Ћ?sσPZXD[a~~r70 neZ;4{n]\t?ov}0D:4C|:n5WPr쓏 cp`Ǧ5Oȱf܍%{H3M&#KHzw,Kl3o{/(ce_zYGl)Kkc~~@gMz$\t(w3ݙ` Hܡй1U< }amzrI@ul~#{E䲜'%/MۦA2Su&iŔ,LK+;aOuV#OV4ꖓ@Pٶ% ߚiyG-4}&|'zsA՞S2E6fLTߦ\e;k b mc*P*3IvH8NCI3jP'dT9@}WRevP=g+[=LN^trjzm%T)Jۚb=_4y f zթs;@v^{f[Ӯ젗1obYlj̾q%e,p~DCv\6';g(ۏkFFnV mYXfn$t{OR'^׽z_2~N؞yqj[^6gd^ÍIursJ%LqїYg֥4j;.LSm?h'|2+IV%t,bZN$p]r|[Bx~ṕdW7QgiaiwQ*>Mq;ա C<%.:2Vs; ko+E%kJk>\E՘2[„sMG M_ݠ&maoE C ÷_"ُrrZ_\y,Jp6Ω2S^> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29159 13502 0 R >> >> /Type /Page >> endobj 13497 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13498 0 obj [13497 0 R 13499 0 R 13503 0 R] endobj 13499 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 431.1 165.4707 442.1] /Subtype /Link /Type /Annot >> endobj 13500 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13501 0 obj << /Length 19 >> stream q /Iabc29159 Do Q endstream endobj 13502 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29160 20690 0 R /Gabc29161 20697 0 R >> /Font << /Fabc29162 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( C͠h#bwo$f endstream endobj 13503 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1204) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13504 0 obj << /Filter /FlateDecode /Length 2253 >> stream xڽYI#ǯc_@JRi6>jc}ŒR\&+3"#%RruM9c┡?~Wb,%eWGcB.OO 2-o?t{=AbBtA+aQ:ælUyI'ܙkr*BWi)++ì5p$;5|ӯRq릷ۗ]J'F'law\+j5dӻ MV>@T0Wk`P}3R] P' ޒcrPX΋W J&e;PT%KK4m͋%%WK>_ n?!<2]i9*w$ܦ ߹hpSo]EW,Tzt'(0k^HnPhCz0-x8xUC5mj$w3Ԋ\Be~-!3Rܸx-lJ V X-$z/0SU`VSm&)]՘zx3BeS#<د@J[8 RT5#VV,!)Am)Wi-e@îYމ]&S5@:44*+%#h3+2BOz xv8h5ȫmA1X8rV]ۘxtn6mJ$iJV3dL`i'}fdjo>OskZY7_ogu1C$ޘDhHfE7r ^еnh"&|8o.9 ]'7ۢwi8 +9HR}]cJ*RW38cª^rU~M>KZC\S%cWS?-}|k$9C3#~֞97J&؀ր/x$QLȚ0pBF/'I,G ,w'JaaW4=cC;h8~ӓ|߅09bK5ʟ9Pϡ M' Ku;~Rq?Mw1 *6 endstream endobj 13505 0 obj << /Annots 13507 0 R /BleedBox [0 0 612 792] /Contents [13513 0 R 13509 0 R 13510 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29178 13511 0 R >> >> /Type /Page >> endobj 13506 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13507 0 obj [13506 0 R 13508 0 R 13512 0 R] endobj 13508 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 13509 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13510 0 obj << /Length 19 >> stream q /Iabc29178 Do Q endstream endobj 13511 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29179 20690 0 R /Gabc29180 20697 0 R >> /Font << /Fabc29181 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LW" ^_/jj; Jt١Pϡh#bwo* endstream endobj 13512 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1205) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13513 0 obj << /Filter /FlateDecode /Length 3737 >> stream x[Io6WI [ga0'$=@eZt#%.z|JNfm*uf ~4:~>dQcrӗwZp?;RV%uر`V_)kJi:ۍ4 MzθWkh_Vv,46}ftdFt\o ˜t(Ys?9 7xx}:24MwB 4t,!ixWړvpy.Um8!Hu=(tb1Z'\3腄`1|@?/>DfNMkx21v(Lv6^m@h0 YnsY4=LSrOj^G>Mwϳw 6 M=KϞudw~d!_tW0൛ E eؘYE C(XqԘuR`9OoH-~T Cv&fj»'~y47#gq QD,m|&P?24Dl EF<+ e>KX7)H)_ $2 }18/{W$=)C-@:RYw4mP}7 Aw./X'umqAح@ q ڥְ\S T&AhR'0*3e2$8.T. R!V%[iɯV -+py-*4&Ū8m;URW+Wi*޸6pݧ+=X5WzS}מآ;  Q*ɥ/U*}^E]JT@淐Ewº]u88ǎOfj׳׏=pw*UGmmMyuu/:48{(܍#XMН'nsѩ=%ݔ0pАz%gBoSh]Lq+ZV~4Jvv_C/ίVZĈl9H5ut#]^obMϰveed9MkF G+wnCy>AjmD(K)9hyk|҆0;e;߫io3ؠ))h:b nxˤ.|7B=-_&ٰO"rzqfU3ne%td &KeҸ<6Yo1$5=zUDfπ1 1Wp#P?$t(:d6 7;I]Oӎ2G)AU#Nw 'bgهpQyicfEA"֊m2EYz'$o;Qw(z{!Üu;b|k7@BMLEe&Lx2|F`@R3(e:Pۚ`@d%%ېcó 6l!Ż*Eއ1vpaj,tAw1R էLhkqU!tE8kWɨD.057{.$<5j4Z8/i'u$ ߑݿd;Sݦӷhǰ:fYM+T}OrKULgض\WAi᜻2V3[%Jl0m:Xd\gXΘ#C,%8dEeuap鼶4` z (ْ֥ېi-nX!I#5:Ws6Por`/w;uXl45,\\b7eLͶK})Y3)=!3 C;[0XijTr@7uS*}]8M@\i}oK<rPck1#L cNZ1% hK G3wn=}W/+^SM~< ijrڶ63ɲً{WƤJ0)R{&hA|;H̜ AU57L=48X7>>q@5-!.* 73ӛ1z5XXwzdBSb%gY4o^yŖ- :头MU#[fFڹpGmPcH%'٤0AlcG}ܜl^Ck!FF$RPз1D.moN_zvΆ fq2BZ0G:KMfk{|,[EuXp@|YJ\tʵa፦6-ibyJ߀m2G'`&ݳnΖi,:'R-7ygbҌA`gXyO2C0`-5y[:BoJ+qcIMgu)zbUo|צT|Me3x D|^P]T+Koȓx$m^iQO-08u30{ErmѳÑZ!p2  Eke.3G/8>RJR**n1dd*RExaHCL!Hm>Y_-ekGZJ/xm6<݊H F>8: 9}R+:Xk&XcyaĹZ&#զ*ho]7s!-^6!<2:wK1 8-ݹrDܵ3? 0-Gu_mn_7yb2 z;P}QcpNpuF=,EǙ*轱9;_O "Act=+6 L-@,]G endstream endobj 13514 0 obj << /Annots 13516 0 R /BleedBox [0 0 612 792] /Contents [13526 0 R 13522 0 R 13523 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29197 13524 0 R >> >> /Type /Page >> endobj 13515 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13516 0 obj [13515 0 R 13517 0 R 13518 0 R 13519 0 R 13520 0 R 13521 0 R 13525 0 R] endobj 13517 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 528.7346 193.2457 539.7346] /Subtype /Link /Type /Annot >> endobj 13518 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 512.5346 193.2732 523.5346] /Subtype /Link /Type /Annot >> endobj 13519 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 496.3346 162.7152 507.3346] /Subtype /Link /Type /Annot >> endobj 13520 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20210608081201-08'00') /Rect [104.1732 480.1346 183.3237 491.1346] /Subtype /Link /Type /Annot >> endobj 13521 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 463.9345 166.6697 474.9345] /Subtype /Link /Type /Annot >> endobj 13522 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13523 0 obj << /Length 19 >> stream q /Iabc29197 Do Q endstream endobj 13524 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29198 20690 0 R /Gabc29199 20697 0 R >> /Font << /Fabc29200 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy8f^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶIm endstream endobj 13525 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1206) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13526 0 obj << /Filter /FlateDecode /Length 1942 >> stream xڭYKo$ ׯyR~Fr@ANNfE{e~>R6uk$^<"}0Gcl1p’XZr^Z_ŘG~ƖHcitN!h*Z2?nͮxm5͠K.4t$=6]96$̑ò(H/{' QJj "m̶>T4NBұٽ`'ߔasci*oQ1wQ-".80N> ׹!%٭WK)uW ݤv " NӅ[fNw]%9Y5jb-(}Oڥ/Ah`f"< fWu%T"1wrssJ=pe8 s0BKpرI'5}ʶ¿f8$K2,T筣-dj}0N1TXp8&=#bTi!Zz7Ό6F*.jӏzF9T'M~]CHURsH6Qݮǯ UQ$۔(5wh~%Mkw!ԓ>WkX]CS"b򉼅JMVE$7GE$mxrP6M27y"v킢;ilDe:y 6i2+>ŗ,IXa}qɒ<-V(<_+gu\}r=0`>hrTO_gY.ڛH=H䟿?ιFWx֎01MޖqNrO}xa #Z"^D0xn@SߧD|tb)ӦwفL;;vZ tx!ϘafS۞ͩbm ȡ:!G7=I*wE.fHyNö瞥N{KUN[KgK3aGY$;q˶n6*,YYI]fUu`!7- fYǭ hpc~YiϢ!Pq `8w:ڝhn0# 6=7d/L<:bVxU8,OȐyFVFCx9ed#wg5flV͸#-5.&׭\gE/-t}`&6.FE>i\znM\>v 9hֶ*^q3!u2SHwTv3 #_㡄SlmF1(\}E ex!P,EUR`+:RǢS nt 1)ʸk8LU\챴­}Q,x6._ﵫvWۛtv4Gn=W葿5*{s,x AzOnoׄ4Ŕ/xbw<NH7UƂ9GȾ$ca=js*x7ʺ. c8B9]r\: endstream endobj 13527 0 obj << /Annots 13529 0 R /BleedBox [0 0 612 792] /Contents [13535 0 R 13531 0 R 13532 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29216 13533 0 R >> >> /Type /Page >> endobj 13528 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13529 0 obj [13528 0 R 13530 0 R 13534 0 R] endobj 13530 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.225 137.278 383.225] /Subtype /Link /Type /Annot >> endobj 13531 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13532 0 obj << /Length 19 >> stream q /Iabc29216 Do Q endstream endobj 13533 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29217 20690 0 R /Gabc29218 20697 0 R >> /Font << /Fabc29219 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw71th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYGFxaH&E d_ )2̼d9 M \09 `5ǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM7 endstream endobj 13534 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1207) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13535 0 obj << /Filter /FlateDecode /Length 4028 >> stream xn$ί/0=m!i;K~?oZU*i&1nUE䣗^;#f|yYj^[*E]<}^~ocWdt!7[oWQB{*ogm:wMg!JUg8DNfy^rEa7T(rOJ*m9嗟YS`V.XbvѳlJeTb_ϋRE'(`誠)\p .:[]:Qab'd tڇޛq;u iߵf ;ByR=12&@A" _!H6 JgN .:nC\& [fD`쿓Bz%6f:(&CD2 fe I7C,U#< +W9@U+vYA'USCRχ"@ŀ9;0CĀK|P4P9v@Ƀ/y0_Y-5=v7M;s{chRk5 O i-c  /em3X+n[]#Nm,C0 ҳŮ(a9 ?nyb;8E[aل,P]Wfd|vZ4[ D>ǏvhhK۳H< YbڈS0Wlo!N;4J3Wa\^'smP9j=.Iu#s\=d>+P;H áQ&Bosff$Pf+O°uG{k0֜80w:U65pzek^ם*Avql ~q<[DByQ;!~/G9+酢v;z<$ΐR;DnV ԌXi!KY:_2ҫݽ-1l4F:皎W ٦40$q#xyb76k1u^75<9eC2]FEjw-r BcF]Vk?p{>Wu{1U꞊*U@B(Fzc#BW45zp3Zj3^Q]+ w;` u㞸e؈[4 0q2VlRa:van0dex"AKV1;nBygdw%zp&;"Q+#7KVog`SUsrj!ʛ5wo }**iy;WCoz(WU&*2T2u?9t7߹3)3|JmUvg:߈4N˽LXρxu(|_ZvF]kAFZ<G^6LTjEH`(Zg B862QjF Tl9df{ESc'!G{}?MyIrhҤTjIMݰdb@TOw'iT0+Sǽ\OiA{!Rb7P>4VvSG'/w**p)Vc:V%pTx ycRWwgR.|Ua8\{tE]Ukx]qLpoY[IrnfH[jJ^*r4M{ӊP=hZު484GLh hptL%=z 1u)&qOMwV`3o64`wo{JջicvnaL%4eN3q O!s7>J2ߞw3rL Ufc t0{ zMRktэ30G稒'ST j}BNMbb'Nlhk!NdcF#Θ_rFz+}·ǽYq'Ev92Sdee vƸ0w#Z#IVt?Rj~=nuJ!tYӝpY—Ka( M^oHs3ΦqIanuZiIyP@>d ~dtu\8*(Z#oS0TNk zuYϩS;m, O1,qͲ5^#"5#hT/KIep0f7t޶ٌiq mMp6D02'wfִAZ"zNj\Թ,n2qarJn+JuSΫ:H&Nj+.VƘIj*{30Ak|J|KZ 5v¼tOfi1*&jDi?Ac<3Rsay^4Y~0;92A7îud)[ʗÔ'Śs+5.J2߄v@RVDƌ@9Ya8eDE ?EK"0 &6AfQ2J9 0l²82#mdzEVp0@9 @NF0OK.  lM%?[,;X9 5bدW2pOl{?`98݊|4=ۍoy[T}]@eHe)O4]IԔ_NNxS;"*h =r#!k+EIK+0J.ʧ:*D5|e*N &(_>RbFvUJ3[K @'E㇯l,.ecfXQ(/x}TG\z"Ȩy1:ePRQT>lEѐS^fs0G&vPdeՃ>[/|)cDħMT.*,0)fSm9Hz1\eq!˛)L=van'U>J/tRj=\W,SH!WҐaP.BuYit=#tb~> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29235 13545 0 R >> >> /Type /Page >> endobj 13537 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13538 0 obj [13537 0 R 13539 0 R 13540 0 R 13541 0 R 13542 0 R 13546 0 R] endobj 13539 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 321.6144 195.1267 332.6144] /Subtype /Link /Type /Annot >> endobj 13540 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 305.4143 192.6352 316.4143] /Subtype /Link /Type /Annot >> endobj 13541 0 obj << /A << /D (unique_239) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ddrmcs) /M (D:20210608081201-08'00') /Rect [104.1732 289.2143 179.7542 300.2143] /Subtype /Link /Type /Annot >> endobj 13542 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_ddrmc) /M (D:20210608081201-08'00') /Rect [104.1732 273.0143 189.5827 284.0143] /Subtype /Link /Type /Annot >> endobj 13543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13544 0 obj << /Length 19 >> stream q /Iabc29235 Do Q endstream endobj 13545 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29236 20690 0 R /Gabc29237 20697 0 R >> /Font << /Fabc29238 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`cQthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y\ endstream endobj 13546 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1208) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13547 0 obj << /Filter /FlateDecode /Length 4005 >> stream xڭI$W, ) PhzZnmYj H}߱qɬm2$`ѿi-Q/_us:E]vYó7sC6I6'r˓O<='i;+@ 㳵'k0;,b2λ4 e|}6֊%h7@vc19)ZၰG3Nzbi%'Z<0]ћZkjN'tpǸ$F2![Ĝh)@+[PiLV-'8 't&268G7|F* VBi&񷚉EQg&"M+NG؝C`]?wn2ٴXVf4$;2G14wywxhJ."z" [6Ebշ18]?DlԲGI!mʲ,`Y i!dK۩n)){PRr aR",*~ 64EV9՗ͯ*BmO ^,dK |yVS0muZI{Mk?S >6`d HSտG;9*n 5&y1mlRh؍akKTMSĒ= p&@3.Gu` #EGNU諦BmB! Xg b\P+_ƜLC /Sk!It@;ǎ&5K!䬓 x[`rAu0hPs3鄚.G"xW0`w[Ҹ6_= x9^48tm8l=z]-[ZS"<<8WaH :}H SM=?`ޏu'AF˜N_K?GHS.:"cjF]P}i`IBFl86UZn_Hz@>T+BaAK`lF&T Jt aG %7"SMGtjX\ٵA@*2:mU8F.㴗3t]RA&(V:jÃiYeѥWpp N!4/NS)ɓx4`j(gX!A)NoX)xGM <[HoAsga;.PͥE ;FDw~GzfY^0\ޙV(Bu48@XZ<()SnWT"`wi{K}.DΨ/JwP*X,w 8qTgx[@F0 <$~xIكC'.>]J:Q YZD 4#*:Sm z<,Y9v(K`d+lE$Ÿqw'"<&+@ 5VG kր\AuF2'Jz4`J!9Xi:ĤWbL(0ҒZhh;3x. .]fe)5c8ez$ Z[\ʊUË6waK'!m~bK.!a֔Nxj%KVje ,t.IGA|IgqY}'sNaD%:qj6V "O)ewvɛ]2S v.Όo($ WXOGF<gq?M~bFRlEߓsbT.DʥbH̨am? )2s˞#6ii.Rx!94 Esr0b?kdcP6'_hneEDVH6>)+"σ/6,cX?>VZp=xE' CH{$9M4')=(t1 r'ч}!&rr7p%,5 ЖxC%Orou PRP >To妈)r)7 XlKw2iXz7EQqC_t1.*\u2x {BĄtA+@fusaW;y (ޤ:cL{Q[6̘:vp WFGf l"ÚpM k ͚ yYCeQ(YwsGT6īv2n[mD)r})$U@^k2j\1_HgD#4O;KdzSŪ x'R}5{>R:nDVѥI#X4=8U9UVN=['S%l LĒ^_tPf~zϗK: J dYY"7=,Uiyz6x76T`4n"5v!(w~ϤW*}EZҬEӔPIV QJ/v:Zi?ZG( ic9v.,guĴ&fQR^imk>Od1 "fܰ!s2MK7Zβ 4&,Wޖ T-CHݼip˥i7HSTVG*т Ѫ(7=8,W"F/ۭrk-cw qDAdѬnw,@̬%> Ϙh=Gcүε>zාHuIBy|tB k {yz$FUSe.~_&K2b#!o y`%Yvu$> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29254 13554 0 R >> >> /Type /Page >> endobj 13549 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13550 0 obj [13549 0 R 13551 0 R 13555 0 R] endobj 13551 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.625 137.278 397.625] /Subtype /Link /Type /Annot >> endobj 13552 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13553 0 obj << /Length 19 >> stream q /Iabc29254 Do Q endstream endobj 13554 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29255 20690 0 R /Gabc29256 20697 0 R >> /Font << /Fabc29257 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMD endstream endobj 13555 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1209) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13556 0 obj << /Filter /FlateDecode /Length 4207 >> stream xڵ\K6WI91C'gbfXEVh -ů,REO'3isKZyuf ~t_u'kWM_t|nwK*w'c:77`R4V*ן_2VNOSоzo?L'=%#=kg\5K4/?);iVN_;7;eK2'*|#x=k¾;B! /3]l*(uC{)0X+]O"R (_B X0?^"Ce]]\=}])`hT[T 8)*@!{CTƵ.vqstKu``s ~@{f] ^O_@ӄe9C+GʠXiY;  \#NL6?x?'1S{k[6la.5U.ܹ'~ ɂ̜5 L<029Cދ&(K;NsLsd,GN*ޟW9fP -̈ LyC/󻧣]4k,;v?;a$肭`G\A`@\#e{+ |%J‚ %~-0}&z.[V=,7+AG0B=Ka7㣃+{*:s "z]d |Yt`CˠYwn[E J5cu%-D, ق9WR7\%RBHo= e}5,t=RoF̾/½p Go•ͽFyč" ~>DόkN?1 ǽb߄|ev4 pwC>_K kJXSӋu֩A {8Zd7fu+d_<32O7[g.g;i|sywIa ,^ 4_}Ky sپ)xd>nB62<-}WXK/}LW$g"ڐR|/ϰT|1a? vJB!LԷX`!Ѩ4{XifVcj\ X~on)i2\6ZVC|k"@K =AZWCe&L+NR•=Vj42Rh8溗hBu`UL]^ M=s)NFU$|*55S!wn \]K{aEE:h=yktNt"doiM#'QSoP- =s112[qȀ:p|d%t6{q& MP6-kYcw7V *TdEpYI"q#YeKX++|[pphk:!n2:joO[\K+K;`j=.2-Do(zpuM`+$¸DJN˔0 †YXך3]K8~j^ gv`лCv\M)?bUsJI(m xgcp19Rqi# gL-QqC E=^Q$,3jhdq9*zrϰ,T"!'ꖤ`y'4c75DP󩆔TQVɮ҅JZ&mgog?Ww\D11 *[r׬X 4BY&vAyD* B b_F±̜u:\}˜, 7㦣yBBꊍù 3?oY6fqJ3R}WVz` ֪{NWiiWнYӄxPe%;16P|fSV;^(5(}'OceVG uEJlGU{v0W:DI[جs֔NZ)'}KT.ҁڀ =U.f+0_Dh'm!{FjfcݦS &'.?sy-0Sjf`39K~*5 ~C􆁅to,1|ڟzW\最Q'sK֐Ͼ5dIjV񡩆%¡cpnKx0Hz95ԈbΘ]2_]f@w!6Qi=[m-s8l5|W͞7е]uNtH@6f0̢W־ɸf&9ՙ#MEA6CagFCWXB[1݉Gx%A݌ ytRaN{5l!Lg+m"1t (& 4Zujom=8x}kc֮r2w}ADuz~X <.MD/FW2:W0.! 9el9\b, |wy5a/5/LnSq0XǍX̩pș9mJ*6"5RnMC0[[hԗUyo_hHqur8gxkU<̱Y t\jP$LZ"u[%@a#& y bGiq~؆|wݢ|,"QcU `Ņ1w0)]Pc*є>#P1çl[ឰ>9%\Z A 7>[YȎ6RZmg frs1̔bJ2RZNي?@cjgCiJ-_,!6('J*VtcI; ڵtI]m5n%yf~zJ ]ԉ[Xݤz_rMXIӯ:,!~M:JTtE[9B@ωcJhۖUh_)_I^43:6Oӿh 2.V7bAPa Dvasf Kfq(r°UP0@iZ瑢ԻzPޠ| ʧ^|}HlE \r \sσ7TE֥)Ij7s* *]/)xd}\TFnf]| ܞh|A>uI?$3IP)b_)qq`6©!cڵ%*,CE{.oD x`}(Qꜵbr'h~]\E:y(  S.>TRN~넘|톽TiRvf}Q_Ɯ?Y$‡x qN[ćt0k}=<,3#c@}='t [?'[>S\.qdssi0$ X<;턢}'gOsdNj)y~W˃> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29273 13565 0 R >> >> /Type /Page >> endobj 13558 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13559 0 obj [13558 0 R 13560 0 R 13561 0 R 13562 0 R 13566 0 R] endobj 13560 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 330.25 195.1267 341.25] /Subtype /Link /Type /Annot >> endobj 13561 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 314.05 180.2547 325.05] /Subtype /Link /Type /Annot >> endobj 13562 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 297.85 205.2137 308.85] /Subtype /Link /Type /Annot >> endobj 13563 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13564 0 obj << /Length 19 >> stream q /Iabc29273 Do Q endstream endobj 13565 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29274 20690 0 R /Gabc29275 20697 0 R >> /Font << /Fabc29276 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7qVthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛK` endstream endobj 13566 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1210) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13567 0 obj << /Filter /FlateDecode /Length 3039 >> stream xɊ_ɱ/$Tfv֦aNmSe-Y M!RKlgYN&S!N/W ϴ|ڰ*eVW^>>I/JRנT>8*uQ_sa b߀V&9qPJNףkԖV߀"hؠOGaC8a.EQ.: vq 1cG1eAQċ"0ձHʜ} ޣyQSHN7=D-h IbL9.&,:5nsAr$ETա,L; S@U.-^;kbF`6CQo؁,P4.`Ep]!D q~Dzx\hv'Qj@$m "[WnDiA%uN^IJbSsvu.PPhb=گ-j(.StԨpz@8Tyq:Mag[fDB+0b=sg[S(lWst`S܆s?e321W/Iwo$|ܧO̢khF;LC^;ly#Pt8S"rft:%qUBTUi{O-%2gv ''=Qp2:':, (э3C::f{r%r uR J'*0X,4]|_*ARs[q1kq߬ nqK9fuM-٪QQYL^tywƌUK&GWCq2}BU .о=J6\ڐ`Ya:H,"`U= 5>BNUTmu5, *>(%ʔRbR߇EM_(/~}C -:D:u8| 7/b.A /:<ۯ1Cse=;g!WW > l0֩b\W@"miwHhtAH|6^\P5ZgE&}`}xn87?xxKh<"!B!_e0@ ) o '2,Ok;a~7.Za}RU1"pt5'k'WI~ \[HH u-_H®5$GXrrrnGbKJ Rgj3]*02fZ]3$?l ECV[OQ0Fa ^EnX2k2 ٱ,'/1sH>.&p Ŭ.bMhD.f[IGbwEeNtBJuA,S` S{}f .+Ɍvƙ=Kʟab-o53h\uET;R'_Aмt&3lfsw_*(fI[h W X*#Է, W`))uKDK!#J5G%lhh(R*i$%%GyLdX:?3 ŘbDTx{TG\v!hq)þ )IPs~8 Mn:1 .+%΃.)vV|SF.`=,t%U"x%;מ wJ >~8d21D)fLeè-?~~B͠ >0H< 4"\.;Tq*x4~H] #sj ~x>w<|1>WsiBIi*4T04[cw,9b̃_g}!OW|z_>/)Lb`Rًϯe<8x> j`~x]qϹxҲזB5xYs[r5芰rUahyX6D/Gaׁ9XX""D`B.:jP(X endstream endobj 13568 0 obj << /Annots 13570 0 R /BleedBox [0 0 612 792] /Contents [13576 0 R 13572 0 R 13573 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29292 13574 0 R >> >> /Type /Page >> endobj 13569 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13570 0 obj [13569 0 R 13571 0 R 13575 0 R] endobj 13571 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.225 137.278 383.225] /Subtype /Link /Type /Annot >> endobj 13572 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13573 0 obj << /Length 19 >> stream q /Iabc29292 Do Q endstream endobj 13574 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29293 20690 0 R /Gabc29294 20697 0 R >> /Font << /Fabc29295 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMQ endstream endobj 13575 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1211) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13576 0 obj << /Filter /FlateDecode /Length 3980 >> stream xɎί@I 6>9MbAw%qSݓd5|m2|N__RglJ?P/ӏ?Y3}|Ln2As}[Ъ.ֺ {JorЏӗO_78y ڷ#OOM/ys\24Y;D5>*'c\MQsԸ٧Zi,XΧ u?\Up|NBcqed{cA;)Zb=߃.YED:>8 22X@a5~,2[[QŐŪ3&l$:RƊU[\ZVY;f]wت+]e nU8')ԪkWn'3 ⹗+迻2TUiX0g4cf1.'K Uez#20~,/w ˢ /ƏztN;cLeh&t 5B Id: 1`#)EqA*fIvM>Z&,HZS;>[k7};KT?A RZ5=DN\Q4@;}:\g!LM,} v?l1Ȧ3&peD2A.=ez|ܱ9ݹhÂMW3%* IkK;{jxQy?ʸV%8*YudnZ]rgYݷ  [H-/1S%5{ӄgyt]FB;S:2 _:F@ ȓ(88xM}a0*+%7xVvH$bIqۓvJC! jИf f5VKKV~AvK[ӁT*jZkFT'-ݑ N;E)GXtcp^ 2RVz (X{!3#. խ\ u&G]^X QU!uUR]25m^-ho}?E^oymĵt$iY :5ܠ^bQVҷ\t܆ NC kٽͮ8RXN}'Ⱎ0^]N~Fm˸vxYC^u+յZmzVaDZnQV_Vn~- d= As$nuƅՀDaJv;'!*.A>*:kP3B[XBgukx%a(Ԋ1ht3ʌCj;z>T`؂ʢW~ iS9W lukxp+Nvy 3&RX'dV6!ugmyM[EF.Y'S"7c>z}s)-߾.oz֛-/߯[ô&+JI踪R;mߖP=-Q-*:ƴ!-!^CC5p/{ز TFN%gF2I %aHW"ZOԞli_kY?5qȽ:/W7Uj)jR֠JRAu h{&oic7J4*}Ϩ,#I]Su|gNI'p-hHm"nO*?Jm- LM A131Wrs=!H [vK GIG[ONÓ+ޕ S8E|g/1x9`eV4#I/&o1K gJd=N:J8ί>G0TSD7kv'nڑrFvi0xU-0UV&bF ~2Hcà4nȢ7\Mm5/&e ͦaЬ.AeKK_O/5K?Fro@ri V?\ɥfٲdWLSF[kc+{76]#nzދxR[m 67tۂx#E=tݏۦPDwf\clR oSZk(g31i/liT>O {vuWL2/7a7{(nÄ}=PNJ x "K̀(qё)r8jJ3,ɣ1`9EϾӤl6sX?:3&p+F X蠴 ;0%g&eN&qQx<] 𖄐Vt񴧏5XSseY_(a,-Mhz_cx=jD6`’ 6e&]SWW~9:##6!A \-h 9ː_xEͬiwErmѳCL<%ҔYg=CV d0qDap)=KUT1) f*|%I % RrI-ekGXQBZSz<1!ѭ 2AuFY-VTV*_R+ +i1bs՛0G &hSo]eoEC ][.|.bx]ƭ\Og@紸.ĮR/nh ^i`77C 9n|aog|K-(e]t.;ܗt=m6LCH!W :M96x9Vq~$O6NK>~~_'&>/}<~]19\~N"]okJ%  T{c߫sjw&>Չ?"v=+'MdEO{L ,ʔ# endstream endobj 13577 0 obj << /Annots 13579 0 R /BleedBox [0 0 612 792] /Contents [13594 0 R 13590 0 R 13591 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29311 13592 0 R >> >> /Type /Page >> endobj 13578 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13579 0 obj [13578 0 R 13580 0 R 13581 0 R 13582 0 R 13583 0 R 13584 0 R 13585 0 R 13586 0 R 13587 0 R 13588 0 R 13589 0 R 13593 0 R] endobj 13580 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 257.0144 184.6217 268.0144] /Subtype /Link /Type /Annot >> endobj 13581 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 240.8144 186.4367 251.8144] /Subtype /Link /Type /Annot >> endobj 13582 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 224.6144 195.1267 235.6144] /Subtype /Link /Type /Annot >> endobj 13583 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 208.4143 192.6352 219.4143] /Subtype /Link /Type /Annot >> endobj 13584 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [104.1732 192.2144 170.4757 203.2143] /Subtype /Link /Type /Annot >> endobj 13585 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 176.0144 210.6422 187.0144] /Subtype /Link /Type /Annot >> endobj 13586 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 159.8144 202.8817 170.8144] /Subtype /Link /Type /Annot >> endobj 13587 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 143.6145 217.6437 154.6145] /Subtype /Link /Type /Annot >> endobj 13588 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 127.4146 198.5807 138.4146] /Subtype /Link /Type /Annot >> endobj 13589 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 111.2146 203.3877 122.2146] /Subtype /Link /Type /Annot >> endobj 13590 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13591 0 obj << /Length 19 >> stream q /Iabc29311 Do Q endstream endobj 13592 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29312 20690 0 R /Gabc29313 20697 0 R >> /Font << /Fabc29314 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13594 0 obj << /Filter /FlateDecode /Length 4319 >> stream xn,ίsf/ @I|s" '%/ƥ{zF#=#ynbX;-,v1wGny>İ]/745:~36c_yq d)J;ݫT/@._}|L~:#6|xߗ_@ Q[gkl4>(/;S1 LOVF!N4zD؈O򏄭/<: X蚽,qS!`pR 1ˑ)LH1Z )2v~ *ѓ7!uQ~N I |/ItUS :dS3iG$2 |񷒈5Ag&"D ~ +hn,2MIא&< 37)W9ywd=_s."z" [֮1r;sM5WᏚv~4q .Lge)ȼaA")M=RMf,#Oǂ$~LOKRj˷VVȸs܃݄/-ل҃<_XU5;nĹmdci4'w*  u&Y_lbv{<QD6qIAiXaXMS~kŸp&@G` #m :%Z} -lBHhcΰ:!Ī+exs[ @OL)443!gL<32*F *\P]xYm0w9 }!2>]v46ӎ`vs֢-b6YN)w)wgx sJ7C ;BDM,4S]w@P)MmRfFX`l ;-0@f]| Ѭǣ{/=XܤE15iq@E PA 2U՟'~`0xP27RohRE BgeWxؑP+$=TvaؠC¥X&!*% :#Thz)`,@S5 .Z N#.]p]ioSg(;KD*KUklܣj VbE^ D01XLԿDI)ёxT`kL5pOų,H CoX)xGM <[HoFsfa;XOegLk-Ń9hQs S.Nb'tair(כQw4bH{s/pu9K}ܤ /JwP֤"XL7t8qTgxT#ƂZv =OS!J~{&g®ĩp>DmgHVIrShqݫ:Ist-jO,A)M ټm EY.WoaWnn}"c"_RLൢFd~>Yw r@lt# :m`q .eÆ%OP4%6zf?2쏑^u$RVLVkڛ-Pۆ4v8\cOcMwwB(mk[ ^ œ.rxSUO܋9<}>"tiqIPXǓxO.b"o톣IZoisM%U ݁*߼ݸY\wNko/ ݊ݨųztpf6pjww=\,cM0V1.7K:vG6GL̔ik'#:98yrK/WжuA. WW.1UA6QV!,`@1ӎd0NSC-ǍdѨg@Iد۸Cgmf%^/\F_zޟVעiSW{v9L 6;>{eG8a}pT"$5ojdt7ɡA5uo噕7k{R%S…^|cZUgIx3 nT/gee%)]E6q+I{uIWLs1`~;v΢ mhgO,>]X?H˚OH_oitשZQ3)GkLXZp T<'8r!6 6yp)BC .|E+uvUWCrKXj&D)J+\#{P{űܠ8 Ė8GX |(4E;n.P3,^XpUI,@INt?{v@2 WP-wj+JIb)@+ Q;AB.h#P  J>_Qk~+pr׆gxZ>?&ss9QpBS;Ht QnnZ(osI* jBaK#?;\.!nF0ΪزA}?3I+!5axj|v#Ŝ9BSu J4@-$Vg삸]"̐N6(w;[饮c5"L":Mk $8|K w 晪K-R\Q)>eFZK!/u\P}fT2Tgri0̠+鬨Trcy_vT+H X `F5D "Q''e@v.YS%9͘7ȫ=Qf]]:c[u (}ݵax 3D*@C}X~V\Kͨ3sOvTjx?c9N5!=a2TKQ43]ߗvGQؐZ/p3_:MMSEXO.6HoU" h9MS|x᳞To#w5z>bܵvr!FT93iϙOsĩj˩jޚeRwvꆰ`.D,*؀2C,;2m0_idY @Vxh,rɧ!x"-' kkMVA#H^z:5Kk4%TFZXmmO%z`FVD!x8ex!W[mߣ3٣X:B[3(i)'߉PN8|4]2M3FJmx&ۥƲ ؏Ofޖ -CjHĩ~T?nFᳪ"oT>/+@D^rl2(hP3(t0t풷Ht /(!Qp-#@P2%#x 9N6q 3ϣd=_?;ҝJzI<ݞ 0$B  ~τ +Ux>¯=d!L~Qx#֫lB)ƂǴ~kIvu# zšQ0}黗  i\=0m_bnvvbo'#Ea/s endstream endobj 13595 0 obj << /Annots 13597 0 R /BleedBox [0 0 612 792] /Contents [13603 0 R 13599 0 R 13600 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29330 13601 0 R >> >> /Type /Page >> endobj 13596 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13597 0 obj [13596 0 R 13598 0 R 13602 0 R] endobj 13598 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.225 137.278 383.225] /Subtype /Link /Type /Annot >> endobj 13599 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13600 0 obj << /Length 19 >> stream q /Iabc29330 Do Q endstream endobj 13601 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29331 20690 0 R /Gabc29332 20697 0 R >> /Font << /Fabc29333 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13603 0 obj << /Filter /FlateDecode /Length 3965 >> stream x\KWle`m@ANA0`}OZݣunG"E"YKMfTmuf ~4wu2x5ӗƮ/o_y:X w>ROVw:^ڝ˳A5vzU߿0KGzθWkJ4/?);GhVN7%:.̥PCȚYq[YȐ]44c=#3_s:|/<' e7Jڃޛy=u  h[eH8Hz Q˕!t($U!gnsaI[Æ`ao$"V'd|BnN3*>wɔ[0Ňb190,8m`2Y;ìaE4:0qP툿SP' *W mNLDK;+J?5iX>mt5<[ă}.'΢(2,3՛lb,X^e686{}EX5{I-ju$a4 *EB+#̨1*"l胛 "1dq1;ḉFc/aPVpUc-שުWY*twEql ŭ焘ByOֹStq w9(MU5ns|*kMzH󠗼8\fXYë013uob ˵Als^HjaO$0/ߛ'uY|qxDŽ -A! ."EzuؗM_ƼQi5$waG1\jYi!Ҟfm}Õ"tWW(xǾk s!N`g@^c -ܲClD;,WƯ 3㺊8˜PB?:7 Wn ds*|N2(pY^-s綾_l5;װ=M@^ l:#{2p sT VSk9 ЧR`2.}|7xĄqiĻmʸ6%LT@_z]rgY9Bo nz-%59l ҫ7hZ˯g $L$0AM׍ !yG #Ar⢙+2W$ۇ6[ߨ 6[١ac߮ԋP(Y Kj@356QƵ0UA[ˁ@| ֤հ\W-5W3QЬ`>¢jQ-!ciprπ22b!N-^0&ĵ(#f4&8]׍FiML:{ޥYl!տ+" %֍F=FwDw++T.ctRV6ڽ} 5dM Հp(.9:Kpjqk𶦼ú7V[POjZ}?ջVz?A~j۫ ȇUO 9ʊ [qa`5E#Q`E [KӠ y̙~`W,V|bҊ2rsΈCiyX>4` ؃jW~ iӶ 97(|ukxp뮳"gL̩X'dV6! m,󦛶߇]<O9ENYGK晢壗Aߕ:SvʾJА`afz B; gV2@E<]7XJ Ss+ [=zl'^уG 9ahd!;K-6s?Z%$ Z܀]@wlc lу\017P+Eh1ZdțR]TR~h$E! h\(7+UIIU*I%.X{{*Aݞ5FgńU%/d7rCN~ؕl/rRsmcHHTO=hm+siLhɅfތLRKƢ1Gwf%6Lȭ~6ؒu)vU{Cc&+e; OqHLDoЯ֩{jKJmmG3'?+(+NuP)\͇LDs+Q ф<p.;y)F L23c3|Du'qڷ.nVK繚9uleulBy8n฾r޶:@'g]իھ( g\,[xC pu&(C5d 2|АC @Bj-6lņ1~wt\Wm_kϑ dFom>5<̠vnV筜4NɼUxzW[oծ?!1=Y Dž.wAu)y^O1rpsOrE'ۘU#]\_tvqvֈ!8]Ϋ:5lkXF=ά~:k0nhįoۘ8&pd&8mrsJ%L%Lgr8$=h,=CvJ 5^킅9Zscb6Q^1pazlgF/27(êkPVD:̑N v-&nҬMZi#j-ṕdWwQgi\n?{nav$Y,Oԡ C|10J\tʭ!ҀZ3cmIDVQͩ zCs&C_7g˴Y pNdE ȭ7basDvaq .0(sn @ZJ]Az]¹u,<(ULHW)yP;pWB?ѡuY8A$} }}"%n)[e@*)m5k;c݋H2 P꒵bceBtK.jsQV1|PY \xmvpAuUe;A2o7\aHax _el˭VM|q9)uH'5"mOi#`Ϛ j!dAם ~H7G \c CM_oXqÁ0EQ? 瑘M,?M_p[#? N*F؏ڜ0|WPm4N䐺կ;d:[Ⱥ8 R endstream endobj 13604 0 obj << /Annots 13606 0 R /BleedBox [0 0 612 792] /Contents [13618 0 R 13614 0 R 13615 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29349 13616 0 R >> >> /Type /Page >> endobj 13605 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13606 0 obj [13605 0 R 13607 0 R 13608 0 R 13609 0 R 13610 0 R 13611 0 R 13612 0 R 13613 0 R 13617 0 R] endobj 13607 0 obj << /A << /D (unique_204) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 282.4759 182.4987 293.4759] /Subtype /Link /Type /Annot >> endobj 13608 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 266.2759 197.6017 277.2759] /Subtype /Link /Type /Annot >> endobj 13609 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 250.0759 195.1267 261.0759] /Subtype /Link /Type /Annot >> endobj 13610 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20210608081201-08'00') /Rect [104.1732 233.8759 166.5377 244.8759] /Subtype /Link /Type /Annot >> endobj 13611 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20210608081201-08'00') /Rect [104.1732 217.6759 208.5357 228.6759] /Subtype /Link /Type /Annot >> endobj 13612 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 201.4759 176.3662 212.4759] /Subtype /Link /Type /Annot >> endobj 13613 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 185.2759 165.4707 196.2759] /Subtype /Link /Type /Annot >> endobj 13614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13615 0 obj << /Length 19 >> stream q /Iabc29349 Do Q endstream endobj 13616 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29350 20690 0 R /Gabc29351 20697 0 R >> /Font << /Fabc29352 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵ CZNNj~ۣfiW!g3ɅQQMwĘ d?R4 <~UW<7!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13618 0 obj << /Filter /FlateDecode /Length 4186 >> stream xڭn$ί/@CԒ D@ANJ 6.U]e<3nWE>>>o?#W~YZ]bX.CW=,?>[f_\1~FG/'cS1٘gϏƜӝzzv'c30-ǻ:H{|-Z^~V?>'ڡhg}P^2LK'S1 LVF!N4zD؈O<:w X蚽,qpoCx^n08]JEmw&$-hj; *ѓ7!uQ~N ݮI |/Ƥ3!> KtƩkቨLJ ?"$üSJ" ia,}/n +hn,=2MIא&< 37)W9wywd=_s."z" [֮ocuE~7ոo ԴckpQGdZ?3,KM MLi j2;$gf!x>LD$Q$ç!()Ь|h)me;'=ٜ&D~i&tA :O/<%u%͸8m#K=SL .T`3,a_d-ؒfmf4Dy l㒂9,FSi9#=qQL kc GtKԵ@_lZAZa:!Ī+exs[ @OL)443!gL<32*F eI@N.6jgsF.;|@ CPiG0G;9N]k1z,^GWGjƻdó,- .3t8@wP4stGa b"MG:Ф8TjJSہb"N LY<ix4+nhf ` V7ibpL0;:~]~`8Px5Tt>z|LUy?*q,s:~E,e ? UtȻpꈌ. -tFYvXXh}!\+ .\6 P(aЁ܇B{$ވL4cBLЏ^_ap9d q*F^9pcN{:LGY2 RY$XcEWsGxp7M+7-: n *ĝf%L OģTDQ<ʂ| 2Dkqj=|˅+J x6 \xxΒb7)uO&}s:FC%B"V\O"xԿ5Sz"b:^'ocAU [ L)ZRx%?E=aHs8j"6sZ$@ٟFUDZ\@wNa0]ZD> #ByJlB6/bۂ,bexK[X?GT9míODxL@ Vș.9g@H NQf:=$)g0 %,nC4xb31 d&i&1 d)Kz<8oazaFIN< VgYXY"Z{exxr+f ,$2 NLBcE0-ҭbS؜o[^+JPP3C D%Y%(q,.dxܩ#AFT=fcՀY.X.jp Lx,($XKl2N 5z&Ud:3ܔ.d=@ >`4->s¾JoOp߾Q݁,;]R9L6A:W6qr2a'(Vm[i+Mɍ9ze3^u$RVLVk[桶 ]ipF4Zc5 зmp6tz-OFn ~V\3_s$)NU=q/Own[6ҥYg}a)?yNj0Hk*/Xn_<]Fڔ]ա߻btO]s?6&&}8&Ә[W _5c|u$;{NҼҢo\"lR8v`t&Ql>(صSWGA{_)t}%{V:nNqqlW.[rvxBݷN$8P yqyb뽬YlRu-d-m%Aˤr&c>|hs)=MLiV-FxFkn,y6Y]iČO1B"@ `GpO0 Pvw$s*̕}`JQθ{8(4Lx~l!omf+]Xwƃ(P%~`$Q"09C!jdr$OK]TnD ވHW?Ǎ%ʹ. vt/xO1ٗm+>U`o'-U*Þ^VTG y<"N;҂}6 i ]uWgo.湘K^^.xGԡOw9Q֩O+&n7pIց:omcb"tj-()@AG$>c8`ybHdoMCs5xJRXFT[nikZWr'֑:A4G)Wd=7az;7PLz8܁f*)kuK5:҉|; Aeؓu̥n>x3v_YJ\̝g#\FX tVT7`\O]v1d?W>#fT@+urR`G6p5՝\]c|yG~ypWoRބ|>0<"Ux M~X V\u/Tg鉧{;i*OxϟlFS`p5:LA^ X{eMmw ;U/ )> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29368 13625 0 R >> >> /Type /Page >> endobj 13620 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13621 0 obj [13620 0 R 13622 0 R 13626 0 R] endobj 13622 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 13623 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13624 0 obj << /Length 19 >> stream q /Iabc29368 Do Q endstream endobj 13625 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29369 20690 0 R /Gabc29370 20697 0 R >> /Font << /Fabc29371 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13627 0 obj << /Filter /FlateDecode /Length 4085 >> stream xڭˎί@+|l99cN%z,ݳkcG"Ef|]b /e緥%ť:˧/x|UZb.aヵ)=-'o.~驑^p #\>|dҺpA'|Y5߿Z욷 j m-3),nSH붥,i[MX=-MU&Z[F X g~lp-puOƥAez!_.h, aUz}鐤T}+e%ࡰXd턲N+BYMN=0GєT4☃{gé^?̼x/BHG ϢK҇ȝWEdEAmAo՞JǨc\q7+pQC3!6Mpxh> @?9by/0&` ߘ[ Sʈ)JoԀH\ 8wxi0/䄤34^ aOF`cv{G az]q-G1mRքF<←t f[=xݒk^ ,lo==-yCK;M 7. PZXtWFIiN^YݒMt4}3ru Vv'dm*gG1de|A*?H3yҜR޵?*;y{ ƛՄ"ʰiM1nx̃M]4Œ0,[BVV}mjsKY:8 K`Aq\s|ds6L,b8ނ=2(2lOlľR%6EVuHt06{gO߉W;B*O~}hB;n52[6 i|"6s'I ᱢL#&|OZCT#.H,~}T -%xbtswʯV8TV.x:}:Y==[θKR72iylvy[ͿCSMsK3)?q*w3u.Mo@햹 _.HKu*)vh|uBb|ќ@Z+ B_[TTg'_XXrTgI؁XZ }n~C\!Bmx(ƅMt"zx^WǍR`YYd {_@L nwc5˻1';QVnR&, 0cZ@/9m8![| nk08$&V*lRG_vj8z73l^E`r~Y 隙,-ހ e(3d|_caq'RJYFqRḎypW7xa=Fq pqe4@yR(zeCgUƒw8b,q* I72?ͩ9y+ `Zy\(ռ| -.ݭt~M%txoXOp&~7xJnQeiRO Z담xU-;F%RM-c==_[ϰ,ODFڟ{Oech"6udTk`֛}*/R%fu e4Ml<&hFX|87tqɌd2mPlC;`h`*I=ǍϢ(&<$[U ײM7*0ꜰt7HN}y>}_ j(^̢'YYg)O<>P_aYEƞ> hYxE}#`„J y-ٗ~ n7]Wemu~~_?~b!ME$C~8M/B.&]L/jS r?ŭɚxoBK&-ڷd+ e4 endstream endobj 13628 0 obj << /Annots 13630 0 R /BleedBox [0 0 612 792] /Contents [13638 0 R 13634 0 R 13635 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29387 13636 0 R >> >> /Type /Page >> endobj 13629 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13630 0 obj [13629 0 R 13631 0 R 13632 0 R 13633 0 R 13637 0 R] endobj 13631 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20210608081201-08'00') /Rect [104.1732 543.0346 168.9962 554.0346] /Subtype /Link /Type /Annot >> endobj 13632 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 526.8346 178.8247 537.8346] /Subtype /Link /Type /Annot >> endobj 13633 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 510.6346 172.9507 521.6345] /Subtype /Link /Type /Annot >> endobj 13634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13635 0 obj << /Length 19 >> stream q /Iabc29387 Do Q endstream endobj 13636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29388 20690 0 R /Gabc29389 20697 0 R >> /Font << /Fabc29390 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`5thǬ_{8u SJ* ,䪍(査=01H[&#`6P\PS)4GCID_E+%̕')gXk9ZNN,ۣfiW!g3 $MwĘ R%4 ~UW<7!fZ5Y}Ɖwl@+0knT-=&CO4{rCod%# yk|\Zu8>϶fՂf^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|5ʄivP(y Ph'-:A/}a* endstream endobj 13637 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1216) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13638 0 obj << /Filter /FlateDecode /Length 1784 >> stream xڭXI\7Wxvi60Ci;vsW1MTZJ_R=>c,ؒm4Gszo.o>[Sňa>PЩt<:t:⅋ޕ|xC`Ɗ]YVvq|,m;ZdO}Pڏ}=w<+D 0ͩ- )9>xEF_1Acsp~"my8#D΅fϞ]VD+;TkUK:"`&4Ş\Gt-,P9{Tp }cdbefX?@|P)C^U`cx;ADmi > X,κ- dIzJ.%J1o.٪NTWzv̀N0iabt=Z>b_]&V#GNsG. 2>GUNɤcDދw4;]nmǕ& bxC_4VgݜK涶/%5W+r j_~)}aֶImKԭmv] {yg^,e/Qbo)F)HAǩ(^pƗ%ٻNJfhKh8œYn>T9Q3]AܳI{CI3m])wJ!ƋO*1Kl!|bobѽF:.MZ_|ca b)J,1qxᢣIYO:J/+!1#|6*ӍF8 73bi;mgЊ [,dA'\"02B;mQj5DIJen / 79S?wjo4M ?ٌLQ]3W?!6]W9.gXsE 5F@aUhbCsK^EnFnoZj_?4癋qtv'LO3|EyZ}zC;~t*J*ogwyٛpeo'^/xfwW"K`vٱ$̏pp6Svc -ezƐm+&VkY]H_l|[N}WrrCݓrW5ٍ2:)oiގTTxzlf=86p$_grTY: ƪ\Ԋy&γeK#W0Ma"Ĩƅ.vSqp u.2RӤַUBeWZH }^wTw+ #?ӈCS-RG{CJb* F]ڢ4 (27w8tW~r8rq!Z{=ܸ5Tǟ1/TҊ3dxCzi}{x<;*&=t9O}ўmT!2誘{i_Qz E=&7 rCYvsIb0-GM4"?hsOj<_;R=n/;Ωʆ/ 0X_cdP endstream endobj 13639 0 obj << /Annots 13641 0 R /BleedBox [0 0 612 792] /Contents [13647 0 R 13643 0 R 13644 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29406 13645 0 R >> >> /Type /Page >> endobj 13640 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13641 0 obj [13640 0 R 13642 0 R 13646 0 R] endobj 13642 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 425.025 137.278 436.025] /Subtype /Link /Type /Annot >> endobj 13643 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13644 0 obj << /Length 19 >> stream q /Iabc29406 Do Q endstream endobj 13645 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29407 20690 0 R /Gabc29408 20697 0 R >> /Font << /Fabc29409 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN^ endstream endobj 13646 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1217) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13647 0 obj << /Filter /FlateDecode /Length 3911 >> stream xڭɊG_gCc_U0I{02?o-3%^XrEÿ>R֙%%_ikOC_->h»d5J}ZVwGc:[yB.S)O.>D+y˗%h_<=<5PX24U;<),?YacȼsyZJ.q eM:TZnq^Pu d\4tO|/Q&iM63}:a`|sF o[ŀ[st@8kztXǂ]KZgB|h ) M:DNF51Zj@<w"`}o-8Pӂeo^̀(,jmZπ> )g= hA~zj:ށ m%aj[6Ԭ(-ܥbVj+"3Ӥ.\g6aʠws 0!O`h]'OB3oCb4NpjJeC@əU(UF߳a^'*,k=0Wv5&rzelNG]8TѮa# cy 2אߔ5UըW4a x GrB'=Ofa\V"q.~(?ԣt}~snS4\d󄪅]򚭇״Cgl+x``uZ%[0@ Bb ˅ m5@D(1+9tzC 1׏j^`c#3ha2e 'iϬTCkկaUՌi.%8b쨩Ĩqp}Y؋8-ORiK:Cc;EY5䪆mw^>(7 ?^\[ }d,J}%fv}?`eKԄoIa 6ょco,U3t-47@ϔH* _6 -Nhmt<24E^l4œY42W_3K6N) }_I${!W$=)tT0mPc7 O\ ^ ZOE-n2rɨbٸԺ\R􀂌r`p VqZ#ćWSefLQ-^42b!NF-J/DXX}أ2NnBSRli=j*߰JM]%bI`zJVK*:j_O7j^4RVCg w$XEzTu)WaS]22gX~Wn-lG~q' ss`돘{Ph xA Twm#M< 0BA 1Y@8eAr ]67Nan99LG=ú=iبa |ded뇖ڶxH_rXHpRm/p,Ѐn{O_?uO:qGg"LO%<zpB VޟQvPRY?ל,9JdQfdx?1GffH<`&yGAm7TY#nq9)$O|tWϕ#$HkVih  ѶO3QR!F"S$, .7zm7@,Vi`ԑ KXoM|jaW5/4vf9ф?ʕ5״-2gֻdIHin]|Zc0[OAxdCw$>qQM;v. $폄Q]ҠT/*B1Q"4f{O(hIG ̍G~NR1[~ 'kL #2/PxlDnPsM~ #+HKZl79dI)vn}fx-P.)y9/MڦHNEB/$ KOč+NaUwjdC]}:6R*& >қiyG$˦_8IsX=x!ePG&ħ$ $f߃jjxl*찮m ܡ |~Mo2Cx˼%xBia>'PƖj@)Z%ø'Uo6tVA>&^Mj^&%(VMRX)Jߚ0mSCN2sUWC/r^Ǽޠ`~Jf/y5ÚGZ7Q[1jOlV.o0^Wk'O|(uRƛ toP45nfP(K~''oSu g/hʖn,`y8ۄ#;dnV⮎܎&xvf{5y}o0Ps-nxG)=&^5)xT};e]VW=6A܎!4@iw'rol6]fvcUk۴XUm+kv(ÚqcД%ĭͦN@ P5!5ވrwz k%ro{̦+miXiiv\}MnW\.!o8g4m\Qd!uia'j Oƺ5>)դmx@.c 6S0=x!d07T<툅5!+D9LWqx5QG|΅NO0!&ra$ '@ ZF)k:p 1W{̗1Oȫ-^z]:c[rQ|1L֎@YZu*8!mjl=~.:RVk^H"k h+E<%dLn͖a3e,3h!D_J74W9'V}.a(sn c ^e)}$sBKZyc\RG3ZwQ< WSUNR{th&Y5bdM]YT댌 ?U:UZPE("U8/֢W3V)p2*Dkhe,N#G/H ߮/RbB HȎrIfgToѡ /(%`Dwz~H%aai2%ϭ>E^' P꘵bJܕ2|,ԋMۅ[Ҽ9΅/MnB .N*lH.ALvYu ) mYl_8ʉ>?}{p?iW ~t 17ti3mAsp]Gm#v.ߜ*T{c*sjw"??|aо砎çRVN _2f1t~O"d颕Cf endstream endobj 13648 0 obj << /Annots 13650 0 R /BleedBox [0 0 612 792] /Contents [13659 0 R 13655 0 R 13656 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29425 13657 0 R >> >> /Type /Page >> endobj 13649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13650 0 obj [13649 0 R 13651 0 R 13652 0 R 13653 0 R 13654 0 R 13658 0 R] endobj 13651 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 532.6 197.6017 543.6] /Subtype /Link /Type /Annot >> endobj 13652 0 obj << /A << /D (unique_223) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 516.4 193.9112 527.4] /Subtype /Link /Type /Annot >> endobj 13653 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 500.2 211.1647 511.2] /Subtype /Link /Type /Annot >> endobj 13654 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 483.9999 179.0062 494.9999] /Subtype /Link /Type /Annot >> endobj 13655 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13656 0 obj << /Length 19 >> stream q /Iabc29425 Do Q endstream endobj 13657 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29426 20690 0 R /Gabc29427 20697 0 R >> /Font << /Fabc29428 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMD endstream endobj 13658 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1218) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13659 0 obj << /Filter /FlateDecode /Length 1853 >> stream xXK7WlhYoaؙ1氐Ci;ـ}WURz<;CviU_ROi^eY>SQQ=E~. X~|Wkx'X[LKixN>N{'J^aPW.9HɴƑt.4ibȌ..-.;~E?Iyboo;<%ZP)pHM>⣏cdԯ#Cr%2ˑ!c#C w#2mA ! dOSx"߄`!v_&܆BhOp¾SnF. $\!-^XLͷSCwS(&uWdJc~e~KO36" :peHt0髲9ֺ>IoJِsmB]{q}uћPDXG[7ӱ.ΥPDdɚ$Qx inx\#=oJ <T^ s<`KddсR*ngL0&$qQtػ׸5Yof=ƺ [6^aL15Iͩ[CJ(EClTh*$LJB+ۻz~,)Ѝ2hUMQgdg]&8r.oA( [X+fL%:Nc1Ek7%xeV_8ʯ;uyƄtd󏶊gZ0<$T4cz3Y:pH1ګlb+t˰ޢNjQFH@Ԇ]&e~M,)jhw)Z^)Fm@oͰR%#1!cfSQm{BؼBl [Y=)]P4A\UeMyH %8cϵHUH?#Rьk^hǙDO^usT 'iLJMyuLڶO m16nMAlffQPYbjC7D+és$YhOpɮ]8iܨi kxjVjxbKe. igsчy&V`!"N?]Ʈ$]w4R+2KQ(PiBِ/+Ł`"Ŭ\RB5uq u!2m%- MlQ/4I̔$}WJБ >mY/ VpS9*} P $M ɍP=7\k:ژ$w)CA ߯d}=V],8cjcym=c!XiSZ_V14 nq5h^FO< ~i{MI.f Oj|GU_r<$[>"7e,.[=r?\<c ! p0"Y݈pU\M&&+px?XZ endstream endobj 13660 0 obj << /Annots 13662 0 R /BleedBox [0 0 612 792] /Contents [13668 0 R 13664 0 R 13665 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29444 13666 0 R >> >> /Type /Page >> endobj 13661 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13662 0 obj [13661 0 R 13663 0 R 13667 0 R] endobj 13663 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.225 137.278 383.225] /Subtype /Link /Type /Annot >> endobj 13664 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13665 0 obj << /Length 19 >> stream q /Iabc29444 Do Q endstream endobj 13666 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29445 20690 0 R /Gabc29446 20697 0 R >> /Font << /Fabc29447 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoKb endstream endobj 13667 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1219) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13668 0 obj << /Filter /FlateDecode /Length 4047 >> stream xڭI$W,( 0hKn# ؒat]}-zLLFJ~FjwK*V~} fd2iןE|QU19]!<Ƀps+n+*,vرޝ] {NϞ:>//ql|AqcU߿$ _VdJ;\)~Vl8~Y:Nt\Pd(*Dd͓tnul5 #ڑa92 IS1n~$ϱQjɖ ~秊xg!{WI|ħ*#0qSt1p  F5mcb$1$2<_gy#O- ȓIԁA>66>> 7AtUZBD`,Mq;>K'D-P$x@5(T'hX +ϙHhpʘg`T Jh- kXkޔK75ߵUC1 ݰ&bIEXmPнkMde!을iJymQ5:0LDLS'n+nAEfnF(>DKg-ėv"eZRӦ CdE M}})xLfr#Xs*MQ]uQ|E,z*D^T%4)i$mKS[ PzIH?GH:a;'8Փ_h['+ Y~%.&Z,5OBWcL^π]B s xOh\,M/7^M]&ik}V߅X?8{N-ru$ aQӐŏ0k :tQDYp,Jo7miŐy1;cϜ U-LL5~*, c cY{@`}`*l0ST e P1 YjyϳxS@*&PۍhQh )ȸQ㶆"Z!A/'kboƏ=xlɐ'tk:nB IU1H(k%62k1Y6@NveC2 CFUhrϰ8Nߖ,! (G>` MDAΥ>Z9,HZRhW蝋_[" 7xTvG]((P߻I a0oXW<9"!V=,{<ƯQʪ _>'bm|Y ~ÇM mLTT9NZ[a`ܻ }|v=m-;ױ{$w%zOݒٔɹuN5nR=[R]iTqK;uQ\?x]BN*y ˕g-YvF$u. QN~EPz C = ONTz7F`4MUY>@#rEo0"b YAJԜA!ƝO@hɬC3Hؘ gR1A( kcB@IzN[Ӂ:jTv)!nbiIZz">dT)*֚#18/f )+Y 5Qb5gB8\z;+am'bK<]^+Mf7c@SUj [P=o/d5lo[.&C˂mh㥆Vl* }YMEPMd~Gd~+2XI$ b=aTpR~O;@1aۗqƼ^>ݢ[QQ'ZkwZoZT`8=`SjĕAV "Uaye{;Ń쯝:rw] utHC;˼"Oן*֠X'St\OoL#H赪tݏ-<*TA!h?a_qAڊ 1m^8> mku\TԚqW"IՐW|ǡ`tReD=gAe*}.L+oGR^pAaKNv݃5V&_>@nobݪ̇ߜY1 !ƷWX֍7TJ%LLg08$B4.l!;~sT^7`؂ƪ`Du㡄UM&eAw0'mJܜ6ԂPqe&)/)[ݔċ5(=.J-\v ( )< t0Q#SmX¨кa80N~&KnJJ4W h&ӳNe˸ |fFgMV x1aU>9,68eNMbQxٯuK, y `̕f3dP²%gvsZ݊|4= 'oxK-1T T&DGFx" &]PW94O)~ & N 4V{0Un|,sOɍCʬaĹ^P`Ry3z-fTP3OlФTgWѡ?Q8a_?>|-k%.{ Q_;x1q}z4y>5(>z"s˥}_YLS<,nuUHqA VdB Mjöjz400p/',>/;:iGaIFagN6|$qtG^LW]}5}[3ɐZ\ۋ}WU|uT`\ө׷s{)Cm‘xC=ή}E}W!sM>i^7G3R/ 5FY?/' `M}~Pb)9f BùcyR}a7:~!CGmƜhb׃97xOE/?` endstream endobj 13669 0 obj << /Annots 13671 0 R /BleedBox [0 0 612 792] /Contents [13687 0 R 13683 0 R 13684 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29463 13685 0 R >> >> /Type /Page >> endobj 13670 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13671 0 obj [13670 0 R 13672 0 R 13673 0 R 13674 0 R 13675 0 R 13676 0 R 13677 0 R 13678 0 R 13679 0 R 13680 0 R 13681 0 R 13682 0 R 13686 0 R] endobj 13672 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 256.7182 195.1267 267.7182] /Subtype /Link /Type /Annot >> endobj 13673 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 240.5182 180.2547 251.5182] /Subtype /Link /Type /Annot >> endobj 13674 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 224.3182 179.0062 235.3182] /Subtype /Link /Type /Annot >> endobj 13675 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [104.1732 208.1182 209.6797 219.1182] /Subtype /Link /Type /Annot >> endobj 13676 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [104.1732 191.9182 177.4827 202.9182] /Subtype /Link /Type /Annot >> endobj 13677 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 175.7182 190.3747 186.7182] /Subtype /Link /Type /Annot >> endobj 13678 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 159.5182 184.7812 170.5182] /Subtype /Link /Type /Annot >> endobj 13679 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [104.1732 143.3183 179.1767 154.3183] /Subtype /Link /Type /Annot >> endobj 13680 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 127.1184 177.2407 138.1184] /Subtype /Link /Type /Annot >> endobj 13681 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 110.9184 177.7632 121.9184] /Subtype /Link /Type /Annot >> endobj 13682 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 94.7184 180.9312 105.7184] /Subtype /Link /Type /Annot >> endobj 13683 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13684 0 obj << /Length 19 >> stream q /Iabc29463 Do Q endstream endobj 13685 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29464 20690 0 R /Gabc29465 20697 0 R >> /Font << /Fabc29466 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13687 0 obj << /Filter /FlateDecode /Length 4236 >> stream x[Io,W9t/@9C/[,8/nbX;K/Y4葊]޾-,/PK=.|1fן\WbtX^Y_,^_~ Uɭ6jM_$&r0a&˂0d-4&gK]-]o vRy5{rmtuaYΉ9Oϋ71¡kQKANW&耛GGaxCVM`je|7&@8-L3.X0!NN3&dZ3Omb<;823czo*& Q H <>ċIAӺ *"# ~XtF]D ?"*kvĻ8uBf͑,t"YYYA#O,=POyY`dlkR"٭:D>𘊦s$I:x 3'qz}ㆦ] q[ w]8F`i1dgH&aM{s\I~u˴iEiѩ8wIW6W1'g&uD2\?O ^ن7ASƠGs'{KzxְR`ļo֨Ѩ5kQ"a6OP*RҒotyfG  p7|;DjDBwKa8x`JD6 fk @vi*Iv -C;U0~2]Z|xF viJlB6WŶYUY6AVoa,S > l)!FNl2Xi<;fY,&+t݆i'V"c–lV ^lNV3)o%(֘!q" Ykұ"m뾓9jq. Z753ih6Q Gt̞UYg˂9;N&tAڡb}~Lon(; g8YOGE<؇FӐnLܢDOg-e>߾ Q݄,]RٓL6!:W6ax.g%O*[+6oǭ4:6mZg#3IBj״Cmk6~ط:ߒ:x зmp6tz'#FۻYq|-8U}~2.d~>MDpЬWY X==ltAQT%0弮nzϯ ]}OʕFnudP"rʏAʩo@4S:&@$Sq 51oWBo,nC1<^㱏NkJ'`{z  { G_?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29482 13694 0 R >> >> /Type /Page >> endobj 13689 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13690 0 obj [13689 0 R 13691 0 R 13695 0 R] endobj 13691 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 376.225 137.278 387.225] /Subtype /Link /Type /Annot >> endobj 13692 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13693 0 obj << /Length 19 >> stream q /Iabc29482 Do Q endstream endobj 13694 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29483 20690 0 R /Gabc29484 20697 0 R >> /Font << /Fabc29485 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v`%C;f٩c8I ko ZHj;Jx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] Qv?f1oH!6},L>U|"j@͠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13696 0 obj << /Filter /FlateDecode /Length 4446 >> stream xڽboS3SS6Xϟ^~]M/oӿ=h·d5ޖ6|U.sy{2v3q VV!UߧM_/kowB.c=#g~u-^)c ĽΔˈ۔6NR(AOr|hzo5FáqGz[x?~gч6xbm4TYK,pAey3~S@BWRs!-CA?3#?F ,2I/N..dKE3B3E_qc8f;P<8ڕnoe?pnQ{ #^XA,߫n8ꁣt)Tʦi (%A Hw0/Rb0;00jS0;"lTmoxخIcZ !9q1(u TòUxy!JPYIarB؟жwX׺/Ò,C)î>dPrثϋѧɁ|32Y;U?m~:6z/o<奔_' O8 LDK6#[y0^a1 2kC♞Ƃ~PwwsX~s|' -S:8Pʉg7@dM4ڠ.aovb'<-Ncbj3af;`)nR#t \9f)䈊ui%&CV7⴩1y^,שުWuim 0{jh*?L!~͒{4Q<7y2Fwg4Α4 w9Z'z`a|ks3E^= PC$1x5kڨwSs/rqlelquI`29^M^0ogp_ "}X'R_'fK *=Sk 9<"m,II`KtYK{=8 b-`1wk;BF8 1?A*. p`Y5;sj؆d[ `6~bV4\GPkjan VQb\QHm4_]ܲƾwe &yGwz$4c73"iUlN~<.$t9ء{R{P\ULgiq;&wË;$Qx?+J@a%KpTeҩ,C<+\lN9Um}nLk~K4M W^bOrCuEh} u>$`~ÈamG@ 'ۆw"`Nh2 Xo,@xJD*@!-&9 BWTZ(D- KGhLfoͬ*d?q-Rj?S#x #8MFƥ֤հty^-% W啛a obf- r Ϋ2Z&CŠprK7bq!`ˍZ^lr[U@lQ|[)6T5H7RS3ulzf؀n" %W)>{Rz-wAtbdjuVjuXߋE|!1qe[qbu0Ф(LI h2XQro1 "p*!OQ A\h8 8c_յÚɣb[䵌@w,60~( ؃*Qň3ô+[Ց+][IFLBuLiX-:oYn$m_N֒<ܟsdOۉaY t~ZI "i1;woIYnT32d[|*O8DKPs;|=2 #Hvzނ8'tVIj#2*8:I9'{4s`%>[tn6t,mD 3N-aiCxKVcFe UKk- zVy765I劮TvFe)rKSjjVxd v jz%ɗrWA&[%g!<J8e'l4&Iq3nPvpYtBZ2$TM>WV6ħ:&Jp85 \&J|n_6 sC'2ڜ@ه1++ BPzF1֬?}EI2Pv7 "8cI8y]d,[2VTGӊ >n 9x>oמt3_HMdD\u"b^IE w2xUp TR&[&t\L#lc6:[PTE/TUfS5;G\q$A̎K8O -v!WSZryefp8j ʰ+&/cIZHq↠3iWLScD3l'±uV Ut_r ܒsl?<.bu_ .>%y5<Ζ 4#!Ӱ7# #}gf6?a%5mfkkew+/[t b=1:TMݦZK_ݴ]}r;#b3ԎڊPczM.+9 Ru=pǘ|`ul"ٴTwWEnvy0>8qkg!ggz![ u@/Y{,I*ayQ2bROX]U3f4'|9.Gwcמk.#nW:e}!;*>nkӭAJ-Zۓ29>,>uYnGS\2?p 5֭9U; Ia-ـ-YܜlVCA(z)M lQm)xh s`^16Q罜J'hY@v6\^4ӡdm x"amǰk:pfY!-a%yF~J M30/]z@T1܇ nZ 3f<ӂbƊ0P#Un8'p݋F>(Q꘵bʖKwYrU/؊)57 Mn8\PTV\FuBLv㽙5 )oqfۋ~c.,bAxeGWoc‡Yqm\ Ʈ{l[$vgkvnuiSxMn<鮗[mKis2gBu qm@Lz r@>jXk ~ 7ExWKY.uΘK_~_([Y0'.Ph xpl8!]3[&eT*T\0ڏʜq=9S/zOՅwgٹh$] endstream endobj 13697 0 obj << /Annots 13699 0 R /BleedBox [0 0 612 792] /Contents [13708 0 R 13704 0 R 13705 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29501 13706 0 R >> >> /Type /Page >> endobj 13698 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13699 0 obj [13698 0 R 13700 0 R 13701 0 R 13702 0 R 13703 0 R 13707 0 R] endobj 13700 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 391.9461 195.1267 402.9461] /Subtype /Link /Type /Annot >> endobj 13701 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 371.7461 192.6352 382.7461] /Subtype /Link /Type /Annot >> endobj 13702 0 obj << /A << /D (unique_259) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_softmc) /M (D:20210608081201-08'00') /Rect [104.1732 351.546 177.0757 362.546] /Subtype /Link /Type /Annot >> endobj 13703 0 obj << /A << /D (unique_297) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_softmc) /M (D:20210608081201-08'00') /Rect [104.1732 331.346 191.6672 342.346] /Subtype /Link /Type /Annot >> endobj 13704 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13705 0 obj << /Length 19 >> stream q /Iabc29501 Do Q endstream endobj 13706 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29502 20690 0 R /Gabc29503 20697 0 R >> /Font << /Fabc29504 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$j endstream endobj 13707 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1222) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13708 0 obj << /Filter /FlateDecode /Length 2733 >> stream xڭZIW<*@h%ur3@<A;6n%ǰU"򽍲6瀷\]wVǠW:=m~6o6_ߏm YASb:/`}"}eVH NrO܎6/CS@@9szETo>t dTY 1gğ/ D}jMY7ɴem4 E[zٲ֧ncTGft6-=HIaTYma*zNgkJ!Y}Alr83(<,N|9q'/;O`j޼+(lׅ |VmbDb HEvGc႘+LzxhY`Yva0ZXd9Vw ##$N 3wP̱}-f?0ۘ= j[1nJKYV/w .wD'ON{|L uyF$G-೼ʞ5S"H tM~pq(:x0xOf-؏qn6{!]_ o?ܔ8%MA7*cB} G` @ *͕iY9q78[&FYj0aŮ8kg(J1pmQd|( fS~/NIe\.ƚXSXXtZ~?%8"6Bη`=j:\'ך5zkҺN#A#ϱjiV gjN-s#T6oزm6w4ogfs5n촧NSMOWAVFipUۓ#<4XN$6'A-[k,4B %Z ()N ;z/^fh=z[cjQp6tzVI$ @3&CL*EgR us`z|D%2KLMP8B SBEhB]\ա| R׿>&LJ*+.AQK#;)3FU@E[4%X(t0}C1 ThѷBG7{P 6կdhulspfO*^>OJ뺗5UΛwn.0u1 ~7=6{&-&-14?^E 2k &bxC(Ya4B,5('/scq#V]  %te -fy_u\T|<18AvlQS[ m^C/ZǴYz}VD67B.0?Cඐ)jzn,LmBZ<сA8XSީa߰@՛ɪY]_xƛ!G-IUn2\` RZ4on!UHտjF^,θeuC\8ڒ'Ὴ"&TW~8wW. AnY@̒Gԁp 홈}f'=<Tp4EܫmNt69rTYx*4,mD˔hIvWҔ_L'(J$OeC4#S+|yܜ[iވj6^36cf"y48óH|'E9y&Avid0c[$b]- #5&x&;闑 oT_ #|x!H_J_MKf:-P;)f9{$ Bk\;.W;MCeHFQK娕.#@2Y<Ͽv𝿵>C3d=(z_IC/Xn1 a%f{bߜp=gUW?•DHl(Z WB$p ۵P5~{Yv}㉿n>r רX6^j4 4zr<@7'N"' ٺL endstream endobj 13709 0 obj << /Annots 13711 0 R /BleedBox [0 0 612 792] /Contents [13717 0 R 13713 0 R 13714 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29520 13715 0 R >> >> /Type /Page >> endobj 13710 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13711 0 obj [13710 0 R 13712 0 R 13716 0 R] endobj 13712 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 372.225 137.278 383.225] /Subtype /Link /Type /Annot >> endobj 13713 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13714 0 obj << /Length 19 >> stream q /Iabc29520 Do Q endstream endobj 13715 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29521 20690 0 R /Gabc29522 20697 0 R >> /Font << /Fabc29523 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)3|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13717 0 obj << /Filter /FlateDecode /Length 4927 >> stream xڵ<Ɏ;w}EP@e> T5Uؗ%*{`#Đ($<}̤όT6UA??To_.~f%mCxfVXl] {myU̺z 8oӗo;9u #OOMI/dKD3NW杛̚w..ZPCe#|:߈|wBa\4T3#ʑa92 ˣ2F05vFHqJ+b#d)SR >7k îqO!bc+d;XG!qUƅ EmO0 Jh|eP偋ɕӪy <,DP~AJ@ڿAa[B3OcyTeRdWcyn>ܦ on/V乞}R wdl r h-b%m& ?r2GK07M#>mR.K Un,@aBaa"-Sdл:ۿ[%%8xnRٻ R&G-D钟(F+34LG$ rYtl ~Z?Av]H bD wYb)Ö8 dz)/S8 ӏNVr ^y)%+Yta1.0D68$|7ߟ &Qv[6aHh_#->կgz :X5/>{ ;9Qc_,RzݙuhJ,Ż}_C{'=[2ՆsKǻPYCl{A^ji'iփO|k%FF̖Mc{_t%`΄ssa"aa_m3,V6mm?diaX@#]=^ZOpC/;dIpJ ֟[_ ֻiQy!@UaPʯK?q: W ΰq7h]*ׇY:]z?<߯tUs]_wJc+m;{jzӌ53&ܪ90ajf-L2'Z m8?+}>k^?azQB% dSU6X\nl<[v^MSjy>[RS} 4NVhT-+ @4v(&y2El낍C ^4 ]W_E7#mRbp}'8\!` f ԫPHzq563-5VA Uʵ0  &t #~*KJ<]+U啃iLn 0aӍyfVdHXq%\`̌X{J/D-=HPI[nBSoRlzjoTf 蝚VTut/,TtԾ O7j^oݥXV2^Kc%*#ץ\eܛ[,,{͚f n-l6j?a#Ws@5`;qżaug1ӬV{VO?juWZgXo^~Z.ZA>'82bL\q3n v4)%hx6 0ɓF/% ڠ`,'ָQp2`zk{ŧ5A6JȫN-5P8v CQ9V .F-C٪Nhaꑣ8;ꑃ %̊3;Yf\EǟÁ/&sX'S"vuYPr9eIϤ~oڏC^pnx{3dZGQ9KDʶ18sfiΠ5D3Ag`z KJޑqD9 ^&{i0L(5uAIkh66L]D[|H/DL@9J&S"0O @o{hPU( (H*Syx06ɏ ؐ\&6tG5<9XA/إ0f0A;A9tDytXr f(qH8RMQ4 #{"PjAK8" UPS)a*ZUAyhފ r T |Nx2<31Zu=̩u#VC{5FAB˚N_P 2~8CQwbLڄsfnfa!VPĂuIW=Z?N ZOQI3 }LHBMYI=a 4vZ!02*:-,@g9b9Ν)!g^ AzXQq%֌˔:"/ 6t:@6N-q>_(DjXqeQx"ŠBbN6EmvqHfoUr,i ֻVL5HoTb.yO6X21M+-@K@pK[HNWW *k[=+Q%QQYšQÝk{z=Pcs]ܑKc^ }K*\koˌ3)[ `q=A^:L~'9TΛ\vAI{LMKn0U% o(2qw)kG'V? ?l-ª\ƝFI\N8ȕ#[#bl֠v ϛb܊K#faj`q@$ Nʉkh2"0JI}0)k֕wii>\E:e(inj1pMG U#%ALvYU ) qt&F-{p7Ω2%Ջ$'atc_2}[dѵÌz#^ mqzTZ߰JB'czO"uwZ*sIl0gW3eƜѧ~_] ~Ir)E,m~A+~^;nic0Wx+H~7]K%]K'/j\4+V> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29539 13729 0 R >> >> /Type /Page >> endobj 13719 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13720 0 obj [13719 0 R 13721 0 R 13722 0 R 13723 0 R 13724 0 R 13725 0 R 13726 0 R 13730 0 R] endobj 13721 0 obj << /A << /D (unique_206) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sysmon) /M (D:20210608081201-08'00') /Rect [104.1732 253.876 201.5122 264.876] /Subtype /Link /Type /Annot >> endobj 13722 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 237.6759 197.6017 248.6759] /Subtype /Link /Type /Annot >> endobj 13723 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 221.4759 195.1267 232.4759] /Subtype /Link /Type /Annot >> endobj 13724 0 obj << /A << /D (unique_261) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20210608081201-08'00') /Rect [104.1732 205.2759 185.5512 216.2759] /Subtype /Link /Type /Annot >> endobj 13725 0 obj << /A << /D (unique_314) /S /GoTo >> /Border [0 0 0] /Contents (set_hw_sysmon_reg) /M (D:20210608081201-08'00') /Rect [104.1732 189.0759 200.2747 200.0759] /Subtype /Link /Type /Annot >> endobj 13726 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 172.876 165.4707 183.876] /Subtype /Link /Type /Annot >> endobj 13727 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13728 0 obj << /Length 19 >> stream q /Iabc29539 Do Q endstream endobj 13729 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29540 20690 0 R /Gabc29541 20697 0 R >> /Font << /Fabc29542 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1n endstream endobj 13730 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1224) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13731 0 obj << /Filter /FlateDecode /Length 4342 >> stream xڭn,ί賁/@4 D@ANJ `_Kh_&dbv?#W~YZ]bX.CzxY~|./>icb&./_NƦbӳ19Gi;;@4{1?Og=<`Zwu[~|N-DmC- dN'cidC0d!h -[yt>'. 5{YSݲ!`pR 1ˑ)LH1Z )2vT'oC8V&3mI$W.,tɦG'gܩm\4&^"nKχL\Ե>M~5o$}dXI\eM=ܦ˲H;Hz6iW7ʞױA &kP&R\=\%d7Cx1X:)0F$&T@Ji!;j˷U8 ,v%zZ jv6 a÷Q8vst.cԵmrutu֨MaK&N ;<Ń3`PxAҥ|s&rh:`AU ::[=M@4H!]``-r*u&G+VeL;`p)+CN GOp_0g`B%eN'Яѕ#.yN%A(ˮ4t*0bűrTjsѮ0,tZ p$dR@%Arv MQx#2 h 1q@qz}]+ĩ y]ZcN{:LGY2 RY$^EWsGxp7M+7-: n *ĝfeGi7</: LSM:E,* 999ĩ[.N!V ^QSV\YN5 y`ciGxw~GzjXa93PjGipvӳ5%p p#SzS*O&+]D.cv? ,c28Fp-'J0 Gl8FgeaU.` r~3䌵[AkZGZT栤;Ŵ ]l&zz\=(;& , rZ<Oy)LY""y ݩrچ[0|e{H1׊9ܥi\`;8|ІM̜h4 "-k<0ҒlZk۟3xN .MIXpdzI?Vg m6wYrѝ dPwu&o>ݺ9 N%˪n@B":{sW*Y.N*vc{smdPDX#9m~Z$$쳅'ڌ"I‰>)P"`7 s* ǘ*A>G0s=2} 'kO2 19kߝT~j?ln *ޮ],-'e'jSvbN>@fcSHn,zSp*Ʒ,NnO*̕JK,X%xUa!4lC'/[7xbL˛g5|8g C u'tY~B'W^lLG+Hـ= -nCMV9FK.%ae}Oa{D5ku_wޱ3 w1"]zk!|*o!|Msr~Tv`MQusrkYPT O4֐r ,Ł=)&\@?7(r+^C7ԇzR'vخw㛪7SA5O A9?=rεQcfR?kG}t]\>e^m!G?QTĈE`R + ktն$(>gu4ԥ/n>x3v4Y:]~ ;Qt=UXn,oK֎ vuldp+QQtImT!z suag+Qf]KuƶzQkfT56YjwWQEh'b UjM jC0oa2TLeU43]ߖvGQؐ1p3_mu:HާB kɟ\FmހX|FuWP rҧbs%fLU.mI3uí '6ʙI׉|#NU[N]S{kJ)ũuW* nb /k<qqjw dYY"ʕN}fe4x7VT`4 "տ6!Z 5gJXJZMZ,EӔPIV+Qj_Lb9{4i?|Z(h}zsXXfsc1qn 覥|'B9ytm(b4AD%s㭟lVb??Sy[v(bӷ4!QxR{$Ϫ߷}*@D^r )Zsa(b !xB7$)9RzFց'd9y f}gcyGSiZ=i{u0b+ !Ӫ}|!5Nk{ey:$/ESd.~_^WΛ/\C?\Sg!ؙ׍-!B *fמ9}r~6^h=ӆ͜`gsA~{8Oj endstream endobj 13732 0 obj << /Annots 13734 0 R /BleedBox [0 0 612 792] /Contents [13740 0 R 13736 0 R 13737 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29558 13738 0 R >> >> /Type /Page >> endobj 13733 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13734 0 obj [13733 0 R 13735 0 R 13739 0 R] endobj 13735 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 425.025 137.278 436.025] /Subtype /Link /Type /Annot >> endobj 13736 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13737 0 obj << /Length 19 >> stream q /Iabc29558 Do Q endstream endobj 13738 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29559 20690 0 R /Gabc29560 20697 0 R >> /Font << /Fabc29561 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7i ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13740 0 obj << /Filter /FlateDecode /Length 4133 >> stream xڽn^_fj" V>9Mb(%F%JqY _f6Iß>b/S3SS6X>|LnM'UI]ugy;m ?nq/>}izHN_}{1?L&=%C3.Ӛ%+0 N?3dF޹8k8eN:Zir^Py:}wB2nW~brGjC_Kk09s4eO]1' 3e:? Fa|y^|0>߁ fNMs2#,q K; 7 茿K{R 6 ^JE6:miږM1/sOx 1pH?ݽSm8<%TB^bHK"&C 6Ɇ0%j;u!Re Lmx)TTUƊӦƀ*YSWÙui619l U621 u O҂u(nh%ؼc"7.'z`W ,`L.Љ wt̆G\qPTTb;yC@BDBc~g L|3k5D<0`kd[%q(*G7h7q~'/з0/<Q?rݼPBzW5F/_pŒ8d(/T1f]}3g7K;*1c{i0&.A˓Tڒ..зVunN #Y4Z\0 E̯mV(xƙ ߒwp( g4z }  xgʧi2/Fa_ 4E`"Ow"`Nh+ eo}Y< rJIF IIHmz(Ih Q@NX:Bc;i6XLw./XܒxُSeT\]j Ks|Rt|Ux``2,N`>By5TfVdHXq%\$K훆XF\[RK 0Qlr[% |Գ4Zw*WRU3uhB a3l$OTIlIE{+u)Ҿ^zk_l;  Q *ɥ/U*}_Ed22e-u_A G=T>k5[ZmY=}jjZ|em `;L+lWQ2$[VyS^[2f%cmɘՖرphKc0 .u@:[FQ 3.<"va ʶL! ZfqR 1e]aV2Ƣ  0OͻfccE~`W>VWYBA(YAWfbʥ %賝HVy`Wqŵ]%QI7@l"Im̛xDke޸Jcq~4c쿊3eV3طhG>K++++uK$ Am EE(&Yt~9!Ө 4ّZvT ~ %`G2AQ{ ș/%1{T4&!Uޠ: !+/!Kd ;z q+ ؛*eGR'5C|sy] 6MͻWe|7fǺm6/sXhb7H[M>JC> Ef%S~LLPPcSk{BU!aI=yxHۯ־Vi%^ׇ }UKؓ|a3(!EnLVvg'! RBǎ()rK˒LDuIIu_scssA%ӎ5g:eɽ)3|&Z: QEEꓜuD*W*̈́ڠ͊ưvf[(x^Ck;Stu5xYYm5 uvf@KꔸLQ :<ćvw6! 綞4 "yO@Og\&t;.Y-Z^)K6i{G][;P :PʎW˨%bBy M~v>eejM,SS<)ve$pFmMWkeyh>hl틢CgōjGcK?i0e~i],H$n\-^-ҽcnKSb}fpw kC/sXrL`cDzp͇M-t|6t̋OڪlWӬbe@_o(fgpV^įo[UZr=qӶ+ְm]_YU{&w!]CuS|N{gdlk`鯾Mn#LBYg<{JzuF=CvS.U;}b >΋]Jg-NOpa:%|7:1 ay"ata}&͚9cO1ȫ5;^]uv@= aѴ'nCӂq!0P#U8'Vk$oG-`bvt__P]T+K J/LaBON(|%_xEͬ;/ڢg#'Cr$Me<8VX F05_>XJ_ĄܑCᒌL/ĩ֢C0$$z\RCJ. lK@k*)5n׭`TJ ZCYZ>K.v!4hi[sM#HemeW׉7ݮ7s!-mc{9 ] &-qN[k'(P"α kCWe]\˔ݮ .:_.ʥP{\mgM؝tV. ?ӇvNw2 pa4 ڊ.`Ծ)ϴXSW}]Dȟoo |_"r9+n~_= 0Z`=(iQG'?uqv1]oe@@72v'K5ƬW8[ȸ!|_"'r=+ƲA,].+ endstream endobj 13741 0 obj << /Annots 13743 0 R /BleedBox [0 0 612 792] /Contents [13755 0 R 13751 0 R 13752 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29577 13753 0 R >> >> /Type /Page >> endobj 13742 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13743 0 obj [13742 0 R 13744 0 R 13745 0 R 13746 0 R 13747 0 R 13748 0 R 13749 0 R 13750 0 R 13754 0 R] endobj 13744 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 359.95 197.6017 370.95] /Subtype /Link /Type /Annot >> endobj 13745 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 343.75 195.1267 354.75] /Subtype /Link /Type /Annot >> endobj 13746 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 327.55 192.6352 338.55] /Subtype /Link /Type /Annot >> endobj 13747 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 311.35 180.2547 322.35] /Subtype /Link /Type /Annot >> endobj 13748 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 295.1499 177.7632 306.1499] /Subtype /Link /Type /Annot >> endobj 13749 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 278.9499 179.0062 289.9499] /Subtype /Link /Type /Annot >> endobj 13750 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 262.7499 182.0037 273.7499] /Subtype /Link /Type /Annot >> endobj 13751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13752 0 obj << /Length 19 >> stream q /Iabc29577 Do Q endstream endobj 13753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29578 20690 0 R /Gabc29579 20697 0 R >> /Font << /Fabc29580 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`Kӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{wg endstream endobj 13754 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1226) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13755 0 obj << /Filter /FlateDecode /Length 2753 >> stream xڵZIoW<@U/@u{a0'M3g1ehQC'/+~G4,9DsС84va㤝*!.jp h dr,X2LR8: .tZJwb&#mgHA3!fޛ(V)ĎzT`8~%4]-LAY]sV:2JAtYaL d`8.Q@L@AuuQ򧪻ƖD lj&>eTyF$ۦ5i-H(\(0 å6ƓH7dUP۱c*:3^)|fns @)n ʷ1R8k9q oW NMI욯;3'Zmlm!@J H  wՏBHt"~Z%B YpiȨI\m ي Xcj9hhpU|hIViiHpb֎!IVv$7Uo Er]mU?qOYs+~NR%_J[Jnqvw$j3VW| 4UI܊!ntKM՚u|Զp7]]bǥm{%C\ j˟OCWէ`6+hFߚyk֣Jh8lRSn. {|wO2aKby η#Y3|b513.4-gKZ3zC\` ,3+c~WC;lODZTi֐~9noO&1!tP.{i+zuB;PA& AӀ ~B,J[{c 5lR7'Yn)5T|Mo$6O6k`}mii<=I+(kqcwuLwXn-p5|(Q;q{uӣ ZЩ\oJKA~gkq8?mU^?@MPGgɰ1 mC\2YaѢf EI1dDp#fX _cΑxGTϦ##q**@HŸoOƎJ::;u bK4? maI4jj !Y|OUU v+ٚMƽ uJ[P1"qtA5gϪ!e\MSgR.e(rnDΠiA@vm'`]-͜'ŖyyxjR.-QxKp&ƌDMl +?*+FnZtk,, 5a}m=ePgћ68"a80JfôYz}D^]K `/b"PP["#E ui߹eВ3{F4bb'}l3u3Xs`{ }Ϣt9ߴ$WTZhoYAR^%S8Jjo- f8@6=n=24Sm4QTViJ7[շI;&L-ACp3fx! ӶG<9/\f&Qcs_-ٖ2=)zΏ-MgF bt?ƹV%õsl'Ha7Lnvkw2#I?SR}FT' Y$H~(bVO1[s Xϐ<jtÖݫbҊ> (xuvo9Qe؎9S5K.ym;#ϣ8{.nZJm% E> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29596 13762 0 R >> >> /Type /Page >> endobj 13757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13758 0 obj [13757 0 R 13759 0 R 13763 0 R] endobj 13759 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 401.525 137.278 412.525] /Subtype /Link /Type /Annot >> endobj 13760 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13761 0 obj << /Length 19 >> stream q /Iabc29596 Do Q endstream endobj 13762 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29597 20690 0 R /Gabc29598 20697 0 R >> /Font << /Fabc29599 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7%SthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'Rg5kDN 9]%io#Ƭ.PBl(Y}ɾ 5Ժ@AC5ypf Rwm-־0ZOt⩔L$u$r!o VNsV7Ӭ#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ` endstream endobj 13763 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1227) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13764 0 obj << /Filter /FlateDecode /Length 4006 >> stream xn^_gӮ͎A .^8CR (Z߫ӗL;}zJ*M/~fgs1!O'UI]ugy{4v3a*dXGA2Nv<ۋ0 MzθOkh_Rv,06qħ),sҡ&KZO;sg @Eý2w@n |Шs[1[LJ bNSo_|K+>X& T e{ DeN9Fd`?Kr[gAޣȺq/s0УAB3#@AavX GŶ{Z v6)'g)x"/wE;);s?-MР%2Y{5FP;'Pidu`  9 o`hy`G8߫3 >O/Pr',??(aPhy^lSt(` wc{J(z7hADKhpV[YP'n.ظ'i5Ȍ0(Ԃ?4( @X}{E?EMtGH6܄Zd䧗 <{6Cb"2@vF3' S.i ! *dѯ?pp`*!(ْvB)Xq+ujw[ם*CbWY;&3'd ~5#n$eu+)ӭ+࿮x(9(K*~z b@ߎ|6s Έ;{jsB'=f ،!Ʊ,ft)cQT\h3c{;9[I" ^~{ a`foC9DÒ6] ,uP60߫WJoFH9#ahu?jl[$RreWȄof5@|!BrQD * @ 6[TZ>`UhYUq;URW+ViO a3l'{ Hz޻/Mʊuh *XDWl NdT @fvͲZױֹlvº]uy8sٙO/U>k5ZmY=jӷ`{X~yM:esi&αf#dRRиH_aLn41m p8P% lڠn5^Ѝw F׍MlUՄePoP%jvV0~( ";JDjbpdᰕ 94ZA^iaJҭ͖E.=/agxHV_nnYHrRu;"gv/E6Y2+[nX^~ߋx޴WO鬷ۧٓ-=&~w_~ͼ+7 \JvVP פ卵dץPwu>]U1ֻzIh&)<>WIz~iSM}ऽIr\୥S|:܌h[ ИuBqhE]eq%FW8yF 2[TsQ NvPn^ӆq(F},ʚkH5w$ĶnmSc`?y,`ʪ:WUCў, C> ]I~I]w#vM w>,ETt4⑮NC !%\ޮ/k "t<+NKY207Wרڣ]'~+ӎ_#~5QA1]عG#+z3*/z|ԩ`.y L:O*9 ί:A%˳ʧ>0y暘׶MSo%r @#i%Qyt8bm ]@/j_pBoEm|m7| [NJcI}-L*-/OV9֡CX!LEӅ*.lϊ;ϊssksV3}&KmQ4JS=Q&35>/i0($GʔmB]H -t1КO;\j8i+),~cNX'3s 3?p%/s2 J4#5i邥TL=eiF[%+G->nK-lR%*lKUwHuI8_憐JAK=}0%Qn_._ `˭Hw;,"ЏQ}FfވMm'^(Id<1WOExU FfPytUUgD\l CDpa'U;3\neq_?589~u?=^/9eR2-uaz}f 0~AˑmI+6 ٻ~2QjYfrكf5㭓Ȓl4/M ח?rU);Pcjӝ%x1L x7ԗ)-f5#xfzчx_F vv[skib'Fg 3P2ny(;Ag܇yؓLןC9!nЊ@y]JM5ocO7cWk7 Ͻtz2/@+= aD :pZp@ܞ %.:RZssfCcJ 6y`&ݷnΖa3y'R-3h"DBs+>#M`9ȆT7(tEkT|Me5xS&YSW'~9:#_ԟ \-PE0"Yw^˵EGOjI2ˬx*q8 ajؿ -|B>K c<#;% SE x'4 ѳJ_⇔\vKhiVIS)=>otXnE^ TWjbJwy_rU )  9΅UnB .F*lH.NLv彙u )tmqIlˉ~Q t.-\8-9r:x2sh7q:tOO7Ì 8=>/$wlLr];7 s!%^g0unXF %7#>|7k~W#)(pŚ,b~A (@LisTށ}:qv&]ԩ@ţ-2[$"?ƺVv"".(A]Z,;:/D17.Z} endstream endobj 13765 0 obj << /Annots 13767 0 R /BleedBox [0 0 612 792] /Contents [13781 0 R 13777 0 R 13778 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29615 13779 0 R >> >> /Type /Page >> endobj 13766 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13767 0 obj [13766 0 R 13768 0 R 13769 0 R 13770 0 R 13771 0 R 13772 0 R 13773 0 R 13774 0 R 13775 0 R 13776 0 R 13780 0 R] endobj 13768 0 obj << /A << /D (unique_207) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20210608081201-08'00') /Rect [104.1732 354.1731 179.6442 365.1731] /Subtype /Link /Type /Annot >> endobj 13769 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 337.9731 197.6017 348.9731] /Subtype /Link /Type /Annot >> endobj 13770 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 321.7731 195.1267 332.7731] /Subtype /Link /Type /Annot >> endobj 13771 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 305.5731 177.2792 316.5731] /Subtype /Link /Type /Annot >> endobj 13772 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 289.373 163.6832 300.373] /Subtype /Link /Type /Annot >> endobj 13773 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 273.173 205.2137 284.173] /Subtype /Link /Type /Annot >> endobj 13774 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20210608081201-08'00') /Rect [104.1732 256.973 208.1122 267.973] /Subtype /Link /Type /Annot >> endobj 13775 0 obj << /A << /D (unique_303) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20210608081201-08'00') /Rect [104.1732 240.773 210.0042 251.773] /Subtype /Link /Type /Annot >> endobj 13776 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 224.573 165.4707 235.573] /Subtype /Link /Type /Annot >> endobj 13777 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13778 0 obj << /Length 19 >> stream q /Iabc29615 Do Q endstream endobj 13779 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29616 20690 0 R /Gabc29617 20697 0 R >> /Font << /Fabc29618 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ˤЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwoKd endstream endobj 13780 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1228) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13781 0 obj << /Filter /FlateDecode /Length 3887 >> stream xڭ[n$7Wlr/@AJ%|0$^DpT]d$ NfS@TzzQ fT*5xH jЫ@S421)[舌YW&lЫ>ԇkBPѯ>%R^ дU_)/>g-tYA P`VԬ6.@zz<)ƠZ2`ỳYl=k|\X&?A#l KZn! !Z}#)km_)d|`SyE0Cd 욜G`jMe gfÀawR+50yP9Z9@O ;v`jCrԈR,tĚBӼߔs`ٵ^I@8R2+`!4 @Vi'ee]OpN`g!VC04;͘pZ3ɧu, g2]jZ 6ic3|z~Q_ԟK7$^|57oJ$#GOTQh4/75DcMgOEL#f1ʚ.n)Qa ,,̈B YVl=WK--)UGRR #Ttc!J3 XҼ#f\״#}_MAg{Pzbi'+D]\&ԷS?_]N_x/#z|Q9jm=wQW47gf:¡[gݨgxКj4kNwޝj?`r$o (۔<| gL\géV KHd!u@u Ǧw Z?!w7 1w,7|xtgL 8sw'?E\+ruFϧp<)aa1%ǂDJ#tP\َ(8H`4HdՅfy'ER{82Мem<c )p]Xh /2]̌e{`q`oュZok9 MeƼPk;l1cDR!_uyIQ! Mo  󕒩zyeIՓ2I1gγ_ k;YjCNP,ȗJtlHbFߊXW*k2 MjfNbs Qr?!*޾3J0FfWUg-ߩVxe\b7g8.Nݟ.TdP+yê o򸛥L'xɒHmePLQk 96,"O!㆏Ң  8i[ҞgI֞y1t Z$mXL[$lx+XKgX5n}JlH\϶D"V?/.w|vOR߆0#+t݈i2' 1V/(xPlսefGfy ccujbГ^]tm!r {,-uM^mbLmdj9PT9m5,ft⚙liFYw֏~j6=.^rS 7q[b|Lt~:#`rjʁ$C$.p$h#r|f9,dL 1`1^ C!^}/5;ullB3)Rÿ|5 rj6c'O5,wm.[sVE[_mvZMmG8Mk<^dֶ79^)bw;kt#4kj%s;GToJi;Πt&eX*:\Ϥ.(( ƥ9 QN±/)ФpsFy&[Hۤ٫ӑIi&[RKz㪫+vҨRVרMo bE:n ؗ x1IHk}At;3ߎbv4jƂwՕ΍9h T?.WO ߸͞_ݕ5Ts!|qLd_EZo>ˈe=+Stˍ 84JkM Ju[%̣6G]wJVpgբ,}f ,B*uʣ#ڪp݄zVi\>,A0Q"]8daD04 k&Ìu&\ww5Ô7/{7/D&ׅZyO{h^Ɖ6WCuX^h6B ,u?\gX{?\g.pln cLA~{:.b섯eqS o HlZzLg{,7 p,#/eaː/UV=d*bmAmA=/2®wi'0[&julzϐKl5U̚[ Xf*-$D%7,Y x(ē4 fd&kj宮8ت!hJf|Y=KFGFIP_e[) #6#:T2|d^WB.zi;Lzp"28`pinqiY,c ٣kX>̽Ar/e{f|{.mM Ӻ=2ǥV˂禲!E&1Y+.!5"T@Nޞ>}ջzP݂tPiL2׫x݅ΥMjϤ.g`AH{&@ ~y_O[7I\\z_ }>ӈwMohmҾ uI.~PJ[e]/Mj1o<ҭMrPgnN(I B.M endstream endobj 13782 0 obj << /Annots 13784 0 R /BleedBox [0 0 612 792] /Contents [13791 0 R 13787 0 R 13788 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29634 13789 0 R >> >> /Type /Page >> endobj 13783 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13784 0 obj [13783 0 R 13785 0 R 13786 0 R 13790 0 R] endobj 13785 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 453.425 118.9685 464.425] /Subtype /Link /Type /Annot >> endobj 13786 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [124.2815 453.425 158.442 464.425] /Subtype /Link /Type /Annot >> endobj 13787 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13788 0 obj << /Length 19 >> stream q /Iabc29634 Do Q endstream endobj 13789 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29635 20690 0 R /Gabc29636 20697 0 R >> /Font << /Fabc29637 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuؒ$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwoQ endstream endobj 13790 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1229) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13791 0 obj << /Filter /FlateDecode /Length 2467 >> stream xڭYKo$7W<@UV 0pw@f3YKj,<=UIIKj -/]yVǠ7,CTg9hgqk){|ѿ?g8oakoMJxv0xx,*rOZ~фbi>鿁6k*4x3<-_~TNW0+ƯgvO1$˚Mr0u%;h8; 0NngE3DFMxD!on m@[H1ey`FZ6+.27ZjTQV Bjbnْ=\Qr`TË֝n l-K nUmݜ.Bsa5Vz׭Ozfrؤ?)(D諼1E{c֝e14xpG%duS^>æM\ɦ O;Mkp9WF{Lv :oNә{JE+Uɖ|ZH0|=*qݮGc GqѦÎ՝<<_%ol&w=}wZ 6pH8,]&``t7uplLuG5Bۻq~~[p)G]HAyw`p,n98 2xMN\URrZ2|KޕGiq-e,\!ϧUY>^R,s6q~^Gm,fu`o+<9653O̥ +5YwnB<{_:O}b"kI k ^68@g\nL@ AfN(R gSA5aؕX\j߭>)ߡ<2Mł8JPwc*es.8CRP2n̤ntZ35fNuRa2B4t216Odz]_t2^#đ<D9i;i;D:ue K s1v>Z7oK_\71e֦sRwRU{"^J/lrOG,%[. iARiiL%[Z%|oIX3\ g.y%VRuܚt^JX?r,qEEG)Z=K߽DYiJ3b#p M3eܣ9k#HK#> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29653 13798 0 R >> >> /Type /Page >> endobj 13793 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13794 0 obj [13793 0 R 13795 0 R 13799 0 R] endobj 13795 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 391.625 147.728 402.625] /Subtype /Link /Type /Annot >> endobj 13796 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13797 0 obj << /Length 19 >> stream q /Iabc29653 Do Q endstream endobj 13798 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29654 20690 0 R /Gabc29655 20697 0 R >> /Font << /Fabc29656 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7%UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛXh endstream endobj 13799 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1230) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13800 0 obj << /Filter /FlateDecode /Length 4023 >> stream x[Io$WldZm!i;3kUu[3 >n4Rÿ>b7Y ^fY}S?˿F~cy|LN~~{:Gy|noOۼ`&[^|ll_`=p)P6wޡ.?"_>BpU)OOM7d"K"3.Ӛ%o#뤙uA-_aQI 5YxqG(sy'~(0B:A_]) 򜟏x(gHD{*]G133EhGBD!T+<G?)]F$m!v(z%#VS?O 0v б'`Oʍ$^vDa+) ~>:#Vxa <2QVv `2EwՒ%]壕^$p|f2fQ]P3HtD?qCK])Lș t fpM,w+>+gbg.g1>33&\M萳~zk[Ŕ@3BdO،FI-9?&ǀXVlua/3$6V1۰$檜g7$L:Z/ݪV=1{ɭ:S|S=n;Ey]eqܨʏ 4qLqeLwŠls;PiMyYeaFFI/۫Gۀf֐u&pwȐdgyy( 9/EN?Q ޣ C z~~~Pwm7{, [U4"xy@֑M+u¹˯W<$JIi0'"}Wи(HEYt'w  ɏWFK2JutyAF`. P4ǖo^>f]p ǒj*[xO7#pow(~gƐcg 3i4 h&\&^nL.E?bbbwDdyȺ@hECuw(RF-UdUT}\G.ɰKkE NDYߒn\qPן%/ТT]' $72eA!Lʼn& F}G,Ԋv_)CEj0ц(Wxo1a;/dT!Br0W qIyjVcO \$b5?V5NQ岡Ԫ.9w%BbԲ$ 55 &༘23%<V+klľiˈ pn%[z 6*$|[I6}j,߸JMM+;7&ǩy^PTtԾ7 uoԼݩݥ,X&TI.ct/SR2MNڬIȬS~ Y íuY='x4GT=shƌ1Y}[5ֽiN]QeuPw";*)z tOVl >eWY`Ƙb3q3C4l$ T[y WĐ7Q 2p(AO0hPr6e ~Fkm2kj ޱ9v`CQ=ˬ"2qpIxP^vnzp=ї{.^dܕ@ >I"Roi!LеϿlϼUQF[[ ,VjYFs*)o ˁca0j\Ee>g(uwڥ@ A`h/٩r 8QW"7{)>g3Xv@ml'F^;Go!s85 hۺŠ^T`5lGzs͈NѤX 3Gx(Cxޙif¾Vƻ ؓAs.:Ep1L+C#;Yix0Qᄇ⺶ }5w= [+btT'-]cwLРU9u_,/EOM=L["-7aBanTC%#e#5\>4\V23i.+IńD3n@uA0LjAwTݰ DJ #0C~ߩKhFI|Ý_u>bLSO[Lk:.0춾DUv+_AAN1iT/X\)\ Pbbu`?сcp*rjIvlQ*j̞x[1ׂk2~兀:50MN8R+(jp]h#.FTlz|KKn{a*q#QG(&ʿ_qwA闏|0kYgp[!\{5XL2yfa4T0;VYlfIl5QzdRuJՔlRÒYng]TO {6-Ņi*c ~~gN-+S*|5)W#m7k[>@A:1xI%6>eSAuOGKaO\"Oe :%,+Lx(PK d#H54nߕف?Y>Эqh;Se1#z+}>2Z6z0N=S+eA{2f'@hjo*8.ٴ mwq?߅y5׶üeQHirP:Lr2fq1x),mauyĠ+,PW&ț9h?`Wp`һz+|GĽ׻T}]e/xѡC?_P]L&YSU~95 _s:G~I 8-Ác9TܚǨzmpY.˰о^: r{y;n;tu> pzh')$^GGgWGӃB90c6x~ 1<r<WOKYnzɴ#\~_(1נi8AQwaMwm%vo^m?rYNбC zoGeN/&NCc`61u׃:zACv,"XW5IR endstream endobj 13801 0 obj << /Annots 13803 0 R /BleedBox [0 0 612 792] /Contents [13812 0 R 13808 0 R 13809 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29672 13810 0 R >> >> /Type /Page >> endobj 13802 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13803 0 obj [13802 0 R 13804 0 R 13805 0 R 13806 0 R 13807 0 R 13811 0 R] endobj 13804 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 478.4346 192.8002 489.4346] /Subtype /Link /Type /Annot >> endobj 13805 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 462.2346 182.1687 473.2346] /Subtype /Link /Type /Annot >> endobj 13806 0 obj << /A << /D (unique_191) /S /GoTo >> /Border [0 0 0] /Contents (start_gui) /M (D:20210608081201-08'00') /Rect [104.1732 446.0346 145.8357 457.0346] /Subtype /Link /Type /Annot >> endobj 13807 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_layout) /M (D:20210608081201-08'00') /Rect [104.1732 429.8346 181.4922 440.8346] /Subtype /Link /Type /Annot >> endobj 13808 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13809 0 obj << /Length 19 >> stream q /Iabc29672 Do Q endstream endobj 13810 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29673 20690 0 R /Gabc29674 20697 0 R >> /Font << /Fabc29675 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13812 0 obj << /Filter /FlateDecode /Length 2082 >> stream xYKoW@~ 6=M2|D7IkC,ֻX,|5X4WITGS~/Okμ|XKɼ:={k񜊵O3˿@)LB(ŗ`Zo]<ѨY9Xo`x |wdm~s%/XŲVZ gQ!Vq&wy&lYvg@U_a* Eϕ;NX$.)CHz4ndYqi,݈ #IdPAkV&"ʄ?"DgEbL*]VCi qI-6gPŅqRU8\7[\1KI%Z}qKҰBcئAB.(!<57ưVrouqI t4 14?6oa~2ֶ C=Nc[R=y1^Mu§*I~Tg]Ik\J٧ߵkXBʛ9Ň!D:M0SX7r~䂝 CO~LBQnM /6k'B׉rL%*衳4i'Ec`I E9CR0wcI贎Ӻ5?oZʰ3eejbr4XW# xDg)w S!@KQuƛ7xAj*հv'X42; mˡooZJ8#fspḦkHY}DR:ΈԄ:wŹW)c9ZֺFHq75s(/EU| Gmk"LHD?mbλt}d`90 eLDdyMWПv<+M":d*D9U4d*4hF4ryjT7cU=}ixū^ ?4X&kv+wU^2|̕;GXqٮ]wbQC6ߡۜ~WGkINzTg]ۨ},Y&{} J2_2pwjW9Րp5*f}R;TIiEn&q4ߨWZ# ą.@nH `nK@/8RU[ƈ$VNK%:OʻOJn>,H|'t/Oku-]Z]4cZ0R8 bF!Z*m$e 2 KB[ bכ(Y&P#· `r˴#I6%ix\vB#_kN6N+E*d1ƌM6cogYO!x6m3)A4Ad'Mit Zj̲lӋUߡ`֥U)u'Ѭbp,S plӹ'MykxۤVR |fKuŮ04\*\YƗMZ!BӲ|?3ݶ=vc7wf<mY*FҋiBفo0IOMeǭЭF& nclJlU/4I]$WO; >S=q4P^K [O}Hkj*җ$ Qo߿Յ-7~_<@ژf^{/l}?}QIe,)^i/ҫvW4ZL}.q3h^D_a(4g<}<):zU֟$w4$wtkƷii}|⼨.7e-:UO=׃/klj?n<4' [S,Ρ?D\(ޥd-m endstream endobj 13813 0 obj << /Annots 13815 0 R /BleedBox [0 0 612 792] /Contents [13821 0 R 13817 0 R 13818 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29691 13819 0 R >> >> /Type /Page >> endobj 13814 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13815 0 obj [13814 0 R 13816 0 R 13820 0 R] endobj 13816 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 363.325 114.9865 374.325] /Subtype /Link /Type /Annot >> endobj 13817 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13818 0 obj << /Length 19 >> stream q /Iabc29691 Do Q endstream endobj 13819 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29692 20690 0 R /Gabc29693 20697 0 R >> /Font << /Fabc29694 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7%th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lMel endstream endobj 13820 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1232) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13821 0 obj << /Filter /FlateDecode /Length 3754 >> stream xڽɎ^_Qg^Հ0͎A ]y[-CW]묎AU~C?]vV}ÔC^~dLO |Ҿש~+oG4('ZߴZCzҿ? ;AfJs&3o}s gv)&culbO{GlLrI}z¿ÓZ 9pmd,4 5RC P\Dµ8aL~Rj@SJ΃NC@cDu*IzCJ!?@;eer O\9uS( p',rr~/@3pl=) P9A5@'Hbc O!VENuPy uo3Ӎ|A]Q/3~nG9'{8BcUyGv2%":}߈(ND8n*>'odvJdOzԍ/CV5 AZxVf 4TgˊæƂ9SWl!ua71)n UvlGPaC]a-( 1HXXB e'p !"3=%207|:x hbo h^"$~; rĹNBhR& DJQ"kl_Hv-]󜏮t'Z k1s^#PُyHB6"A5djweF(ZZk\,F$/*uygmC2;5V5uQe*4G4yuqI,~eAAqG>4Huv.Uf08KYى7| ;zt>+}lҤAR_u)_[$=E*T8'xVHe K8Zp^gU|nȞ`;^l(D;\w2nߪIvaQ>R& k'/~QVv֯u!~v`W4%Ec@'rbW@es__h57xw*lG< 7a,JC!{z ĉHИfj VE;BVnM rtTlRj]Z {YR@IϰgiҠ0XO6E-* eG W(z}H3Bu/7nWz!>؁OnĖ]Y)MJNuAB*73SwZwj [P=nDDGu)F#] 1]ˊmh㥆;TX*ݫT準EPPUdaGda+2I]5º] r 9 : {ݩT}k+Qw>,j՞_!j+Z|Z}2żTl~X_P"™3s;H8(sNPh"`D`h @%Խn7T;[޶Jb;`nF}tkFz>T`8`;JT0jqS솵 rd<{y흃)(g{f?ᮅdt1y\ p0Sqr=j iB;PjJ i(>Ga*ITF* fk̓.vX$v>fo6f:uJ)L2pIO[,GtJ4y#5*q_}ƄhAq]qBd&PώJX(`c'pBErJ'f*DU@"3Teg5*g?IlmKrp^(C'K*:<#(w yk냅FEN:Ys?jy#"Rל2+U]XI2`>#$\L h;}{)a~\AKq.u%Ae']$POiema+ft S5A(t9;ߠtK D0-x\Jqune@;d$m1gE|ѵ ^j@uԂr*5t$X15 .RI@16T6*,}ThTmr!#UG@ʏU#սt_HC[>@,ܤmA2ő ~bK~A[.1C$ȕ'ܣ[QI{V97%pO(BJbiUxzԪv@z<lmmᣒ EqV]hS=""Ez`zs0*D<˥"҂bE">$8  ^\S.ᅮQvw1Ls^XڊlZv^߬e9p{[Hz.CueʰhlK즔vA1){((иZP+4R*oibsl0-].ZFcY,̇akj-!s7ͭKSIKԣA}OsY04&P[6bi!r(X/?`u"#XIRN1]G1eE#uu5p>gƫ{E|ͩika&ܔ] &QO9ϑ|, c ]~!%+7b̌T S[f S\P"K)c11W'>t9;MV:Nr L-^\m)wS>_wϽ@)uv櫰|r}wnM"ka26EOix@hqRf8N!th,&X?ǰ ͌4ό1Wbaҝ9 7^t88e&)󼦒 𕅐^u1jʶF 3vU` t2xEF!)kņIdˍ K>jz*Y 1-E.|mzHqQuVd;@ j ݶTcqhK/=&YLLL3ٸC8ܪ]yCs[u;/\1Orv]mz<, _iQ.HΝ*XWٍWC!s!x92\}62BWmx0G0'O8i`+<>3Kܥ_AGn?qX*T;|yz&8RՌ;;}b5vE=w' dCm endstream endobj 13822 0 obj << /Annots [13823 0 R 13827 0 R] /BleedBox [0 0 612 792] /Contents [13828 0 R 13824 0 R 13825 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29710 13826 0 R >> >> /Type /Page >> endobj 13823 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13824 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13825 0 obj << /Length 19 >> stream q /Iabc29710 Do Q endstream endobj 13826 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29711 20690 0 R /Gabc29712 20697 0 R >> /Font << /Fabc29713 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`SbthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM1p endstream endobj 13827 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1233) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13828 0 obj << /Filter /FlateDecode /Length 3166 >> stream xڵn#ίyqfX@n!lx\S*>=d/._)[M9#)O[]kq.8_/KٮEz3K˗,eRi)ʗoG{c9rsu{*O }~S!HSl Fb1&$a^~zʯ7'*6"9t8'9w3@ e0/gH0.'4R㓇| K8\8y\7eKo$.k,|pV;Sd:ȗO"$ 0I]%tZݺMVtw^H +ȸD;"@+H^eT6V`i: ZH5}9@l&f;w{$%|QI-Àj +7V} uE-1󺈴gY$t2yEDK]ùc}Jry~X$Ș[6:žTh#;̘ކ@I Y9c"q4(0gZ]9HK" @I7X ABp ZQx43}*k2P; ϟoJxo4c_74^y'aZG-`c VzɡnIw+Yv򚙩~P&$ !lgÃ6]CEeRc,%oׅ?̡ 4 D,64A3i5}r!DwO*zL4-@WkZ{({%7+yXϖ̱7:HqZgyEwyrRΤ!C&܃Pk<;y PxEH#Dg\5[B˷ZU?B펃8d(:26 TRsSE#뼛hI82sPǗ*3F Gd5 {y(+`}^V>Щ-f85}ոuSc{Y.d8f:NoW9xn c@Nຝd7eMb-)Rg..T~;w)bzF V*Bx5-d%T)qqnjh;yS~8x)P2pvlzӼ;18T*iGW{3j_lހ{Y4k\Z"vW;ȡWÁm<[4sNfGψ6uic+G ߶n:)Yfv%L|+Q!T$-u-W]<~ kg ψ=EKeW2pbJ62移v`Љt Y݂X`P}2 Te}bY*ݭ7%f1ߎ xJm+i8dl.7Cl ԁVEљzX01RZx0|(3+v 5Ӹv4b7Xlrr -]#bnϿ#vڭF!w9|Y:ͺ5:̷$K^E5T9/H,{N'ۗe# &nV}q+.nɇ 'ph,st dH;‡K n[bci *>rE8L Y7Nh4a2)Hk!/t*TVJO.Xal}Fʻ D[<[ *VfM_PDa-IAb24o2)0]z_uȐF-4Т*'*:nҰdsq| yD^~yZŻ\'lʛ@=T a |CDCWTJJ.M](mXw[BtA l?,3:5YMT8b7,3nAހJ749X `Si3cgf)3?>,s]vt?#)PW7g:!Gb~`ULO(Q>Q$UopE-`tuYJR:gx' ?T:U78>O@~"F6[`S{ÓhKБ48d6 ^ )ЃI)}%f7t0<~m3u[ߣx=L QǬ Q' ,aԇ֟3) U^Ѵ,51qEpAtRIe;2O7wn`[!Y\m~n%'"@s.>,ꩆh<2][HK=de]/8ss-/KSekjRX۫at.+x5k^_yy $R|xN|Upx8&Wuec\#g)21.}g!Q' u>.y.ȟox ߖ=)^|~O daoDdQށڠNS¸xS3e[ ʼ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29729 13835 0 R >> >> /Type /Page >> endobj 13830 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13831 0 obj [13830 0 R 13832 0 R 13836 0 R] endobj 13832 0 obj << /A << /D (unique_716) /S /GoTo >> /Border [0 0 0] /Contents (unregister_proc) /M (D:20210608081201-08'00') /Rect [104.1732 582.9 179.3637 593.9] /Subtype /Link /Type /Annot >> endobj 13833 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13834 0 obj << /Length 19 >> stream q /Iabc29729 Do Q endstream endobj 13835 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29730 20690 0 R /Gabc29731 20697 0 R >> /Font << /Fabc29732 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`S!thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM7 endstream endobj 13836 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1234) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13837 0 obj << /Filter /FlateDecode /Length 1517 >> stream xڭXKk$7WЊRBnr990/UԭKv,Ujvw^?>s:E],|Vs. X>?X뫵`m-ZVw7`){SCE_пڸ3 џve0a:c |¢S[p){壵˺ZN{ ZH %1$O|Z*Y ,C“㥋 3\K|&yx#1-El'MTyEvܱI)8v"Cf1m(a` 1ۈ&|+B][|(^+@y^mg3<ߡ5~:Lv$szA?9gR7^dFZp&⨗am c9AzE2]s݀OjɘAE2E Fik-߹(H{#Eh<8U QfGMՎ^Q,A5e-yNHcmƑs/S6Sj׶/#{Q%ю+*>Rz4`QIGLd%]1]`7-/m9>nC(!_sƋ}`QPYH 1F+ieXS'lܦsO[ey#{J/3v@7Ӆl|@IЪt BFkkq[7cFL#jfco(bP6Dkj.' q[-ԭ JZB01 l1/45H] "~ \>m$`#_vd> endobj 13840 0 obj [13839 0 R 13841 0 R 13845 0 R] endobj 13841 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 354.125 124.1605 365.125] /Subtype /Link /Type /Annot >> endobj 13842 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13843 0 obj << /Length 19 >> stream q /Iabc29748 Do Q endstream endobj 13844 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29749 20690 0 R /Gabc29750 20697 0 R >> /Font << /Fabc29751 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7e ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aNt endstream endobj 13845 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1235) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13846 0 obj << /Filter /FlateDecode /Length 3869 >> stream xڵɎ[_gl &{A0@SKoo!Q0뭺^*wG*V~ye6X_$zi|sJ>&'^>hQ7۹Q7ؐV_,vWI|%j2h?^L}zxjWU,θOkJ4_0g掓fֺ$J:4Ys;i-Z'|^em+?_c2$;g0)ӿg$zҜ̹i/\SqQ)^$Z.Gi4G7:iG,Hdh QV:}Eȁ Y`6! >@8Z >O/4ڨ$lOOMn䩗+ 8FaU>wAv< B"Omsm OlD ?Uv\ lw܇ RTiA&* q6dh ytu #Z@ K@E+*c36SlH-Fʕ:B{ZQ NSA O̖,(Rύ{fud}Zh+7-=.[^[5ʬ 5-~*,+U\'FQ;zܺW Se3ذ_͈I"%E>JxwWj*@7 6%e CH* se Ջ1Q>U!;LmGQpoVc7ץ^c&Gd2[dXF<%Ot#M^zVdx5ǻ` xmCLZpc]&OBLLjVۧv<]c`N90 FL׃60צ/xqpLMրWt] ]~'x.q ؆[ߦ ts?24EOA@dzh2 X/l` J-WxW1<`*~^jhVPcи?@t `&ms7 / ܹ|-LZ?׮wk<<]F Υޥװt}.k7[b▞P*%XJ|pT΋EefAaE9KA'KFXXrleقMn*-*ty-.4R]q;UT;Vxf!iAuYi߬{4b$zHY-d\l"ݫN@Tenb-6p[a.& `8ͼXOթd>c*RؘW)&h<^֐Wl;1bj>V=VjZ|~~^4-jmi60CoP µ3q;hȋt.QhRք ЄCģ7;0L16tаݣ 5j saPaആT*#.G[ٮTa( -9.bqS ƽ蝓XWC@6.N|SbǹOIt}k4h=_2 kN#|Kϻ= K$$L2[MOI~ "\ Xtx1 DFks܆UjԄP1T^g'`xGlň؆]֚qE.U^S@wAȮahSEYsc%0k 6kJaKf,/Of݈u$0 VnAQUXЁS<n+d\,b91 .p+1/M C7P6Bg+ra@p?`S.ljg=3hl6tQ6Gl5ų9'N^=<ڃ(5n{(81A#l4]F h3 '^1N{cR@acqXjc}sM}d!eTkA8 ezdz;YP8{S޶zRȫU;V07#`m. NXr.y,Bm FĠz?L\\ч:Na6ft"NɚTw4T)5y6I3N:2ح,"7೓PMbQ3y:d =3@5{}2i1sUbrׂ!Y8vd\d>nCwtj%d{[*DZZߊ9$o e[mz~Mg,mzy /Qch[2ĝSU8őWNo,lo_;f=]ę0<3QЎque;oپeXY;M]#~ز˧f7hv.qp^_mn.nH>f;l8<\ J ΋gfeR>e^n^ɊSvڏ6un7*ٯ8rrpS]-r 8vU ܜm#p^\x]E!D`i˕dF㭛*Jע0_t,CՉ~J>dǷV zE^%@[)L)`Tb'L&rIW;q`qX-tP` n7 *\l1c>_ /@{ wq-n=o@0܇郲vI=·'J\tʽUl0] 6"&6d͑sdT pg/&[&l 7ba2A*X 5 FӻQ, l%-UDFݐWjFL9 vU <7k!,Kb~`W˻+|E[o|CekLpq~Au1dM]Y_LA \]8V}(~Ux$ҍ4wAroL<xJ.jSf "52#G/H [鹖PkTB-:!*@Pj%*k%, ZkZ1FQDm2ALY/l`]%FQF{%64(PsV#1HeeW77APǐ _m8ޞJpX|֟jSqe \)idj~zmpݾ>^3o۷5N2iږ~m5RU+~qy|9c̑-z G)w'(8CI?XȔ2>kO3>򧫡oN#%&ҹe}:ۯ=Ou5x6&j{`N;as\4弶/'O6{ˇ9|Tg8}|bk> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29767 13854 0 R >> >> /Type /Page >> endobj 13848 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13849 0 obj [13848 0 R 13850 0 R 13851 0 R 13855 0 R] endobj 13850 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 425.5077 146.4737 436.5077] /Subtype /Link /Type /Annot >> endobj 13851 0 obj << /A << /D (unique_528) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20210608081201-08'00') /Rect [104.1732 409.3077 160.7242 420.3077] /Subtype /Link /Type /Annot >> endobj 13852 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13853 0 obj << /Length 19 >> stream q /Iabc29767 Do Q endstream endobj 13854 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29768 20690 0 R /Gabc29769 20697 0 R >> /Font << /Fabc29770 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13856 0 obj << /Filter /FlateDecode /Length 2393 >> stream xYIo#W<@U/!r@ ve~VIjAC.E>{%I-zllsZz')oY㝖O_^Vj˧;UxRzn:̛|Tl!"\XN;Qӳ. Fx{uFc>p.Dw*GyP.:|8V՝$MtU3x*s(P(_i;'*{$"[QΫ y# J8leA̖] |g]( 6q +q>SN[NLNU!b:vr7'єVptGwG;s͊.tXM}*X9n UqJs0Bj4 !mkT,í>ڙ]nDSA;BginMsD!eeRޫIvבuh1-%9w7P]Uuc!^ pO]t69Md&5xbW-\A'D!\p3S|lKtV#,65`y/5@M\H&!k ,@P':s4 ۬w[UM. 9QR}ե=OQEnev^z#3.q.tZrF bنc0&@3;ГR\QXA#`ubJv-~?ujZMt 抨cTUW\g{_RZěJ֥ম,¸{z+z/k跆Sxc,׶BkiSZ+iT( 4{zR;Hf̽BE>}#>yOOST^z ~`?mZ @y0Cg{NUar?wl -*sa 5 /a~U\G\*;VLXK6 endstream endobj 13857 0 obj << /Annots 13859 0 R /BleedBox [0 0 612 792] /Contents [13865 0 R 13861 0 R 13862 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29786 13863 0 R >> >> /Type /Page >> endobj 13858 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13859 0 obj [13858 0 R 13860 0 R 13864 0 R] endobj 13860 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 400.925 140.952 411.925] /Subtype /Link /Type /Annot >> endobj 13861 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13862 0 obj << /Length 19 >> stream q /Iabc29786 Do Q endstream endobj 13863 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29787 20690 0 R /Gabc29788 20697 0 R >> /Font << /Fabc29789 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7UthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bI*H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13865 0 obj << /Filter /FlateDecode /Length 4236 >> stream xڽˎ$~ >9mbLCϪޞTEQ$EkE1Rݒ4V)7qzYqu2A)(xs]ڛo>=NckhNY6>:}yq">j+_ty:x*w˿Eɐ4)F砗~f0~^>2%gJ R9.>˨|%Y /?(dx=+ xTtO_xUZh;vChyc օ+l9,GnHٵ}R#eo" )pE=g JBåOb$:{N$[*IL*LJoR 6XQ)BKNUq۠]9{a:u^,TWUJ7pW )[^B&|kvJnɄy OF6`DuOKi1m s%W˳(W]mEw|7dI)YGyALrǕj*,F?@tƫ`FUH@*pZp0U|'x !y*JKa~kwZbP(*9PYK\ubL,Q2rBKo3B&`mWzd`O =*tiߍiT5HCkX&f.?lİ t~“yiHDGu QF#ԝ&Q˂eh2+K*>lRjpA$A42qpT< uLv_ӬizѾ }`E8x 'B-lh7mo1m:Mt&='Wl[1;MF{X$^ 6a%`lmka*$Խ/緎_/hv1KŻ(v/^Ҧelߑ8|m1Ȏw=|=6CfY0 R=`;ݠ!8p8Q[%w ~caRGeS"en`a@xcl$(>`$lBeWveQRdJ;tzĶ%J4ugIG #MԤS8(ؼMO"?hn>vbX&Eru[EzM(N8t_^~_V1ns&Ėr}6qFjPeR$_8nG8߷樱X Fު;]W%Զa4/+$5RywaHßA# =ߞS9AUpMl\խx nn+C r}{tCvӴ0 c$/G9Sj| |ٵ ܵG<2TmYNMbd3ZMҚZ 586ud\Fd@ %W?Jnmnȱ$c2PJptp LZ3M:N{sD|jn8=r#6R{9t7jڠ\5|C=#`Bl6i8TEAOc:eSܙ,fos bи+*>V4)&X&N@כ6r#v0ײofgn\+JOwZ݆[Q8HhIۿy[MF|ZzSWO߸m {|pvsL&,7쪺uU=1Zd+K;52{hCN&w`,=`4nJoO /}ފԴpYuph}ƎM.sn\Q"kף/ڨIKBKh]`iYOWLF8ۧ;g`T[rU}ֻGC.D SJhd5ˌݦLВ*.c6 FI\ыF[ RE@x:{e{p\=c8 :<{L4G.8fCf~nZ[AIGKxwO $ƢL9?ʥuSb/)-g1H^U`EXEx GGMu("s[k  i'_+ׁ%D 9[:L=iܷC:3f]3.5nҚL 7>ZS I02(1Y-ţ>LfՕJgeefݸrtՉ+ ԍϜNϳ59E`ڝ7 ?=7輌QTK@o?d{R9xi>kzm`;$4ƘŒJ#Oj1[o@{wqm*,t׊1L%~PG`D0K=fV _`6[Oi6V+&T0J2W629_BmokG,dLOho$ͼbZTsWM8sL ĕ{YV1~.` Vp(u0}=_x%UGg-`[L۴NjJ.k\/ke$E\ZaX2#ZDѳq Z 92pH+\XKSb Z j鵔xiXvJef*|"J=֓9ßl,.ecfX'[iQʟZMǨ>q݋NF΋1k6)%]Rc^m^b*Pb#ŹVG/E$_&e^A}C[8.|*{G6GqsӗN* _s)fDSI=(42?gh5_8] sч!|ԁ_#I R2bR>w8do-`0a6aSNB^9O &3> z'bysFEd?_ dd-YO~8BKƟeӲ;)sP1d^?tZp}IBiCF@mD,ۯ]e*֤ endstream endobj 13866 0 obj << /Annots 13868 0 R /BleedBox [0 0 612 792] /Contents [13877 0 R 13873 0 R 13874 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29805 13875 0 R >> >> /Type /Page >> endobj 13867 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13868 0 obj [13867 0 R 13869 0 R 13870 0 R 13871 0 R 13872 0 R 13876 0 R] endobj 13869 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (close_sim) /M (D:20210608081201-08'00') /Rect [104.1732 428.0384 150.3292 439.0384] /Subtype /Link /Type /Annot >> endobj 13870 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20210608081201-08'00') /Rect [104.1732 411.8384 160.6527 422.8384] /Subtype /Link /Type /Annot >> endobj 13871 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 395.6384 190.7432 406.6384] /Subtype /Link /Type /Annot >> endobj 13872 0 obj << /A << /D (unique_34) /S /GoTo >> /Border [0 0 0] /Contents (xsim) /M (D:20210608081201-08'00') /Rect [104.1732 379.4384 126.1017 390.4384] /Subtype /Link /Type /Annot >> endobj 13873 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13874 0 obj << /Length 19 >> stream q /Iabc29805 Do Q endstream endobj 13875 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29806 20690 0 R /Gabc29807 20697 0 R >> /Font << /Fabc29808 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7i(ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip33h^2 'LsB.&s.^u#UR,Wm'@eݝCr\vhwe™ph#bwoQ endstream endobj 13876 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1238) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13877 0 obj << /Filter /FlateDecode /Length 2253 >> stream xڭYIoW<Bv=@na0'OzоRTi(~:Mtkϯy]<-9{?ٿ=&}ev.Zӫ\H| .g{\W9߁; !Kڧ?kq hcQOu^Ka:Mݶ.gw〿?ܺ>8WqRM쏖5TvD:sBRE]ީ7LkcӜKoHxynvaǝvD4i|:JULpW=*xD$bh-M{ahb,&wP{ :"vMݻ;b(.eS7'ucLl7nA tKH!SB _|PU97)c+X+oCƯی?U-@̄s=Up]w9τC]dZ| n j8v.-Ir͜89a~;h٬'|7d[M_J>\fɡuR\\SB<~,/&9,nWJ,!(_v&h}hCZadL-Xiw5~޵8*4P^|=]殴JZ][m)qçzb}zvtdroo,rL}]f 1eu1TL2Jrs\צ \zI8u>w\ݟK>kZ\>>`z8{S@vs%K'%3Ԕ/:'.X rQVaG 5{:¡7]ǐ{24,jq/Vb}J0ECcf8l·'ᷦ4ObHe.ϿO4E)umʆPBL4ύg +u CyI4rDrS%g%])wD?C%Xĝp\]XzsdXj>=p zH5խ Uhe]}volsC8%eR5ڦ\n'-g {jBZ P t 'o 6OB %BM,%_MD[sXxM  )*JTQ^R^Hw{7\ʼz;4kWm|iFټ%9TX^gDUHkьg}Gmu 4p76r_Qm{ws>"1o.?rZny ~T>839i $+x=Dh(V#tˌ;91s+t09DNvp6K"ND1WM gCWH69U3<7*;K czn5lxOjM]3}+UAl/ybM/k T:G0G Ɨ3#["{* /vdZr!F߶ a9 6=^pi3%3k7#mAPagɡ8`[!BrBȇ~\1/H1^Hy6he _# xؘ1h&BGgѸ/n*.C/e7Vr7M̔FV Y/<+SV Tv; #7|޴hN/G4HU}kPJ&mjOYgpʨEh32S~Fp>c(DLipwc)[$hg*?G˻ v154߄9j6_;`~MeqS旝CMpXUMelr źL8cq endstream endobj 13878 0 obj << /Annots 13880 0 R /BleedBox [0 0 612 792] /Contents [13886 0 R 13882 0 R 13883 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29824 13884 0 R >> >> /Type /Page >> endobj 13879 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13880 0 obj [13879 0 R 13881 0 R 13885 0 R] endobj 13881 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 359.025 140.952 370.025] /Subtype /Link /Type /Annot >> endobj 13882 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13883 0 obj << /Length 19 >> stream q /Iabc29824 Do Q endstream endobj 13884 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29825 20690 0 R /Gabc29826 20697 0 R >> /Font << /Fabc29827 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)gthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Xj endstream endobj 13885 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1239) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13886 0 obj << /Filter /FlateDecode /Length 4268 >> stream x&oqǀ87RwK"`o Y0l,gP@E- @"ZK/[Ho@m]ƶۂ65dX/Xm#}݀7Du>u(1__@u@i6Ϳû7֧'.0繈'hk :x|8zA;xNt vk7&Iցe}" [F\[80Չ }10/݄ӡt>=|>K :ͤ@gWŜ286~Mze4-H\ƹc`DT;@v߷uƴ Cg,QgmqĘVvAQoe5v֨Xog5f֨kWjPW FGm[#gڀi9jo%:#^bɜ0}m\/r)aIF)/Q F-sǍClMPu Z o z0a9vϚ>{e.LM|t59Qb8 P_ٞHɀ/G'|?f37{|KͅLAT8d1kcax&\^"=p͒f'XDoUâɖlȃ\۔ d~huh=Tfa~frg1V;ּUAuة;^5 L0i!C1'ȪN燛5jtU{Urxc[`j.W=^q}yzK*K<8QQ~>e;{5r0(ϒM?*0e\HwӬm5gW~/<7yO 4ST)סR QjyFY ӳwͺc /?o>Mh :n @@:(*[~ 2=»VN/oGzQC vhog#:MM )vm ^APi>T56 k4mR*A/گ#Z)6y 2w9w%#)K}9a `5a`2П<64`믿vsw{<!sT"*(8 pH;Y= Ĉ9u)w,83{h6<6)l ź{зhǓh*V$iu)`Ɍ"ofNTgʼE^r. vݬkP W<[րPgGg̮xaH+ϱ$i:Y&p{aV_\I3͎  ZdDM5Gĥ'y%}&ʡPִ#=Xsh%攨HBMyV)bB,L-o=UqRS|ҤP-R]3N:"\͢k!b ,AO<ָ*{ﺼcL֛a쑒|ᣭ 3 4Ej[Ǻx5h!Ir !LҼ:6aQKxޭZ^Da m%+XA/Kd)1r.e1b`TՇbL++eF1"h o{t/Ϡ8Nk w$GซQ$eOadJ߂L H:]glc qQvu.(֯H|@yS[2xatqM zm`B-K^(R"zKϕl P KjL50H#䐡m.~%#o:>3l-U cjW:5W` E/&Lj+,ؖ_ЉӇ;6-ԡ,&"a2"s'a [CI᧻KG~NӁݬ6{IjS@bhnhDnl~#vm^h)\Z3{#mS`HkT͜S|ea9Rtwo \$wzO  kš/%h ؑ%QWk*7X;t?:bvncwAvMnC; p1cxCW&0-R = NK2s 6(=xY0C5il8!ڌ5[K2kܬm!f/PmD5/Y2|{p +a ¯4Ẃe U6/AǕ4îׁۄ!B]`?'W{yѷؖT\nGw6j/Dp#P§' 7~H`! [ ي<톦7qcf" Vg_;FGCv &9! W!!:8eNFX{!7")S]va\o3H (s+͞UǸkOG(ҌG T>}|ʧ_Q>uHRV*U* &z*GoSIDIԿRÉ8TaTyWDGG.t"ݮ V@mOy`."5Jzt7K<}DW㇏&>, *sxÚca :t2倌{0ƚ~_'K ~$W)eRӷ ( _7 &^[ X$LJùM< t/ NFaP}|T~J}A2a%|buu/D"x4no"d /] endstream endobj 13887 0 obj << /Annots 13889 0 R /BleedBox [0 0 612 792] /Contents [13896 0 R 13892 0 R 13893 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29843 13894 0 R >> >> /Type /Page >> endobj 13888 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13889 0 obj [13888 0 R 13890 0 R 13891 0 R 13895 0 R] endobj 13890 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (add_bp) /M (D:20210608081201-08'00') /Rect [104.1732 309.9808 139.3347 320.9808] /Subtype /Link /Type /Annot >> endobj 13891 0 obj << /A << /D (unique_680) /S /GoTo >> /Border [0 0 0] /Contents (report_bps) /M (D:20210608081201-08'00') /Rect [104.1732 293.7808 156.2472 304.7808] /Subtype /Link /Type /Annot >> endobj 13892 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13893 0 obj << /Length 19 >> stream q /Iabc29843 Do Q endstream endobj 13894 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29844 20690 0 R /Gabc29845 20697 0 R >> /Font << /Fabc29846 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13896 0 obj << /Filter /FlateDecode /Length 2931 >> stream xZI$ǯy H*3+|SS{2t_mZbɮew!-RTz~UϨU6إߧ;.Z;etPOGmb|Fxho>_mOӿ#ߎ0j~R402'cKqd'e L1T`J1FW9 wsO ȨP<{4@ [T]*^  PO ծ M ;;\L@x!2g\J4=6!'(i< dM-dB]Ѐh&j@Bd#rpha7JwB'`g7lwi6}%'ĎЊ|nɊ;*Rq)Լ;2J:lq)$1~ifO[=pMOz4oDR͙u7}6ttp4wd9y9a<x{2rOm~xgzϨN l=`UH{k |%b(.dlg:8D{$G Zaxؔx |uH;ZLUV  .TpQԉ¹W \Q̚ב|jAr70Љk*`>vgwPa9LV=M$698BvK̏~Ď?RtL\kW/޶KrQꇪƕOjJ{.L+ZZ\62+kZ98is5Q'h=qh)8X ]ea;kU\l۩Bj0`)ء75T5P׬il W[={RE߳Ssx=[5-ukM0λ?;Zp7ܾTL!Wuw^Sćxc}jhvfnMBXFlY^ 4k<*GB<pLuzɳbyPvjrav5^b~`"}~d?^ׅ=庭qC26L Egf0F4 לϋ.] UV>q'f908kffUYUYm8ʏa6ml݃[7Gey$+UWPZZ`M-C@ke3ku!iϮj}6MmI+N AQ(^H;j*}s( )mcZ!;X ٿ#>3MJH'Z&Pfcytei]bG<yp *E\Nt69pTY"̵E&ђLgҔjot##IBGhҠ!Yy!cgzst_Xf&QcsslKYyOBχҢitۙh&5΍f7 Z/ L3"kw2#I re1d:9deI_RY= > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29862 13903 0 R >> >> /Type /Page >> endobj 13898 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13899 0 obj [13898 0 R 13900 0 R 13904 0 R] endobj 13900 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 439.225 121.8835 450.225] /Subtype /Link /Type /Annot >> endobj 13901 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13902 0 obj << /Length 19 >> stream q /Iabc29862 Do Q endstream endobj 13903 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29863 20690 0 R /Gabc29864 20697 0 R >> /Font << /Fabc29865 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13905 0 obj << /Filter /FlateDecode /Length 3981 >> stream xڭI$WY@/@)I@ç%Ø60sۣQv%`06F˷,'|y[jY_*Mbqk1'Cx^VÛ-f[yV~x şǷ/Y>}V_D`Kо?-_ƒIך.__yn1]XKI%/IJkgCE-¯yV>yztvw5OAaCp䈝i"*ܧ%=꘸gP'CDe:?8)L,Y}`->>...F5-X6q叟*= Y-+` 5Ʈ>@S@ 96S ^E~&ZB2E+bџnէ N_>ջ(?"N zI}:Gv>&s}ʳv98<,P!2 3;2)5bN^uSauJ8T~;S?;7M졨Q9kZGMʁO{@=Of3Y.$~3EV&hX|Gbuhx[<bajTyBՂ5[FE'_S}"-vwθw6:Fvhx%fyE'iLSHAz=o1FiIذ שf{/ei Ca#B c a"Z~$0 Þqå0yPЈ}g^XvLP(ܗN\יb &jv"I5 . =Ńx)/1S%59~#~^K$ n"<< Q؆i,CSŶ)x, S9@oTKv$by+T P}Pw '$i6XDw/X'u/6 m@B4U,ZV;^bjZz@AFUZ9 Lfj0༚*3e2ĬPn1R! pu/ՒBւN^V KT?ߍiMMpZw7R3P)ޱ6a=ם&ZQj(oQKNe24xpJ|{J_rq6[N$;$$mot N :g=T>[- ;YܗoM7qbYbp < yv&XAz3QM hZF} MxOԥ,:m-tl[ecG;]2-=20Ln%o!BZ@8-;pM6>asC*FۼPL<"c'I*+aGA O(>9$1faM6>c\8GBa/jv7g9u3pG3˝ '',ߑ^#ڧ~(Ä8 Sȥ_̑=yH$i*Yl(3Ãq0 a])+T `ƽnD$/f-j/QZ(t+VrNq@,*GT9vVsf)<>,`V\`%0?1>ȑ,/!SJ~fĶaq ήg%`BLDcv1nО$8F~KDTsDad>J[FͲd<# ЖZTr  "T޳ D*]*#sfa5RUMBv2Afkf@{%t5ۮyƽ nssmhlob\nzp]c{oӆzc9]mהޙ:xٹb!X|Ș1K8ީok*s:ͲCF>Xp+JASߎXX}ܰ[<^3x\c">e@>աx&J\t$ʭׄ4LH3ɣ2&sR^dl619.4 m+s;>K9( Œ9e^yW髍m8sԫ@\x k6tCKjo ]#I6A-wOW7أL tt/r/(.Fԕ_Hp @ WBGG˯Joe֢WxOɅ4eP!\C+cq49԰}գZ*%^bCL/DޢCV8𥗾l䒐[ΰ4Oe_'ѽdTJVlSYdZ%zQz,V4Aab#ŹMG /E$_&eެ:_";D_{~I~gR@T\@W:x1[o&$ c{k%`XL܁Q«\L.udbCP$2EjWx>dz#<$E,c OŅ=9hH:pL8r[|{{j > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29881 13916 0 R >> >> /Type /Page >> endobj 13907 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13908 0 obj [13907 0 R 13909 0 R 13910 0 R 13911 0 R 13912 0 R 13913 0 R 13917 0 R] endobj 13909 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 514.4076 155.4057 525.4076] /Subtype /Link /Type /Annot >> endobj 13910 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 498.2076 187.3167 509.2076] /Subtype /Link /Type /Annot >> endobj 13911 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 482.0076 152.6942 493.0076] /Subtype /Link /Type /Annot >> endobj 13912 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 465.8076 166.7302 476.8076] /Subtype /Link /Type /Annot >> endobj 13913 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 449.6076 154.8007 460.6076] /Subtype /Link /Type /Annot >> endobj 13914 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13915 0 obj << /Length 19 >> stream q /Iabc29881 Do Q endstream endobj 13916 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29882 20690 0 R /Gabc29883 20697 0 R >> /Font << /Fabc29884 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13918 0 obj << /Filter /FlateDecode /Length 2082 >> stream xڽɎE^_Qgnj_$#q 4 %~UWΐjyOj|nkVǠ%C?O۳=>>xmMO/;c7spƜ?n,d yE38c#^{`Lk{l -ݢkt#^Y:|h+_{wxIR:6m,hX\Z< ϩN l<{PfZKe}Y#:2 szq'RאX>&P$PiBhC,iLFLLl˙^DeZY=nk $~ݫi &Dx#aGmpufJjм4б,yb p#ȔʮoC)9v鐁FK B;.({p6/Oݮţ  =Z  ѰՏFldRŃׂlgծ!@ jph{G>FYu;9t=jJ\6Ֆ4-e!2]9xš\ʣ  t⨟4ڀ*BEtH}NI ;XUE`la2B4#ϗ`MIq[Rw+ՖB7T_UZ]sKZ]w VR|i5᭔.!h%bO-C@u!)|縔Tc\ޭU|R k_)KNK՚OjvU*do]_?|~ +NHHd] n(Œ p5:AFܶ6pCԂN' w搝 4 1Ryt"vtTACBÔ Ss"Ww0ϧ֙[?J_83չi /xa{HE̠'{vmGJҩv0k8p-xEf!t7%/|&Մ.05d5|7*%%51=9#!$+n߰:X)`U V%mF)]T_rxݒB(=ɍ~ƕ[fOSek[V7%-E2ex揥YLj8u 4r*0+>K:O`>Qео Y3+<)*N8im-a#N-g%|C, kJ$ x&MmlUw &y*@][σ܌0!zE] "FBΙb@>) G t(r4aB B6>jf7 A!f ol/8n 䍖tdϪ?p M)`Ī^rW8)06,?D#g0s/#84accO Q

    dy;)"wq Ox±> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29900 13926 0 R >> >> /Type /Page >> endobj 13920 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13921 0 obj [13920 0 R 13922 0 R 13923 0 R 13927 0 R] endobj 13922 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [90 425.025 135.562 436.025] /Subtype /Link /Type /Annot >> endobj 13923 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [140.875 425.025 163.7275 436.025] /Subtype /Link /Type /Annot >> endobj 13924 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13925 0 obj << /Length 19 >> stream q /Iabc29900 Do Q endstream endobj 13926 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29901 20690 0 R /Gabc29902 20697 0 R >> /Font << /Fabc29903 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6q}}"juZG)zr8knT-(h*$RG"e*)sm.\fy4(//d"W-jg:gH5> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13928 0 obj << /Filter /FlateDecode /Length 3916 >> stream xڵɎί@/0I%pF.no#K~Z*OF~~NKdX߷ӟPBӛR?(xsyL{ObEVΡ;c pU#mcqm=*ίOӯJCR-F~^˯_EIj 9AZ6¯9h/G怕zkyW6${P**R*/آ '좣GPڎ4!Bs_ 8X@c5'ABaX)5cAfCa.`= x]f5﷿ R+Fe?@A5*&#>fu  N{4KHTk A@6^U >H,ET(@ ӓ~XjtZ:{-{@u{qlYĽʎce{!Y 7*z QY\h]i3Z2!jO\y2cݍRe:ˠ"f &uhl1enдďx[<-t WP4j,Ӛwxu'] 4[ 9Z4JKݰ;&ךTB1Ü"n9 FS ^T}gǕ ۻ(znq4 nJHJiK~igH*q[,$k{'8@9v+ҙ:O=fa`_/ՈGƽEwτ$&*@Y=DSX9YӺ(E;;(m.*)@_+o/vЌ5[X˸`/cXALC 'xp`@uO )4m €%sE_`*ME 1gh(+UA," /hq /WTzD&r34^"r(B"S;ׂ+X'qkG⁄i✺$sY M<9ܡ_be^P\y܇MFZ)*9(=bbNG7q89Ru9u{ݩ}o[RwMjqNj}{?bCjHWuXCu'y(aiA!/GG7*ӄ]Ľj7Qm/Ȃ@cq[hrg4!ݴ60~@?2pp!;`و$Wkyc'pdH ֜6qSVN&0:9S˾dv(a~մ5d@/i'hq|"0%Y^O[%oc6KmZǯ]x*\qbZի5E߲ |,uut`o:ÅO 2A 5AMtg[ʱ+nK)gLecd qw4/-t8"r("q(|>(m:i倞2y6N,=e^ve̒<.` *{Gpxļ0&#\>,Ș6aZlL#"Ҹl>%g 򚎟y]sNW$9Tk}TOM p,X%aM=@nG1қ^Ag|P T0QVp&UsX*%?6 En6=]Qae>A3Pi(D?F,Z;%\g`>X$`1~ŵIY~|1{nj/򉨗 D, بH&~Wv ~Mh(Ui(↱6acWXLyEGyW8ۈLCYr!<.Olٛσh̾UϑX<#1J$d TίED$i1xDT25Yzaӄ}rs1a:;ALj3Vq4;7| {iY-RX܉&UOxnUf^oRi+W&PAh;Jk7X4]6vbO՗!$e8IsP}XwcUq2͐aPE_6]|8 ɼe~ԤfA@R1C CsdA0w9<}E;No1[PzW3}YӣWGXųW[W)&(.ע1r4HF qS0qvdL~0=~I͛ kF8D޸cgq]uxu:DKx;-F-;ʁ#v--vo"A䪻7?=zU.aWEkm.5j33(A۫` ]n[#W]{_W6k8NdevXmYikWU ucC=sz_rp^GcUu#_?(w1vR{6^,&qGf'Egb bv[h]ЂP^Hn[ i\mM$E\ls%"l˝[=Y".z5N+=)Mk!5 @XkjKGWrTǤBEj5ՍL !}1ۇ:G[6[nU2Ҟ&ե»O.>MM,> ZUG[5W1/JƘIk*I{Wj5d93^ uvۅ5ƒV*&̊:PY3UsA~q5I";A*a =uv-],csq"/߁~s~b/&(>η%MJjZN mG ۯI.+[z;|[.=n!&uE6?1hwM|! 4'޶I7/\$ )1W)$F77xQZN/' O,:%D7<'*dLa>5(  ×=8BS&нB5x^S-sZ^B*”3H7I:pTXE-BxVn endstream endobj 13929 0 obj << /Annots 13931 0 R /BleedBox [0 0 612 792] /Contents [13937 0 R 13933 0 R 13934 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29919 13935 0 R >> >> /Type /Page >> endobj 13930 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13931 0 obj [13930 0 R 13932 0 R 13936 0 R] endobj 13932 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 200.1757 608.2] /Subtype /Link /Type /Annot >> endobj 13933 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13934 0 obj << /Length 19 >> stream q /Iabc29919 Do Q endstream endobj 13935 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29920 20690 0 R /Gabc29921 20697 0 R >> /Font << /Fabc29922 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>v endstream endobj 13936 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1244) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13937 0 obj << /Filter /FlateDecode /Length 1557 >> stream xڭXKo7 W`zK,zb:E._y" bCHHP_uu v99\/dE5ѹh[1ιМRB"g4◽h 0!-RN3t"p\@[_,gj6ڄ1 J'U8 ɷ;s5^yo 'j:ZTio_IAqlO>]>D^LLQ A޸K(2{͉'IX؃v t;neo(t8veqsu9 _)eO]Iu_>#KlcDb_O샤GmNqOHZ$0vw]ӹ"\8Iy$_E{w͘*$:!(cq`_ Irq'1p2lfhם3 X_cj`9Y+!3( D6h0űdGVbP,r&)229lF};Z^>Nv>&tc~\=M"tKRp}8ȅ!C\3Mr~GlL r_8:XjC4!1;T7~FΔ[PŰAgI;bzh+.eGrX 9gܧcc\v0 8ǎ-O+q.}R[܉׫l=ʎnzdx g3?61UU6F㼶˘ QJt {?n*,No9]bӖW,ŏ_QfwbBth:"5C4b[V/t uUjXSf4J2);ϸT3&B?T+?I*BBb9Y*~|1E- Bx_)2zd09/t/5 ]=,N45GI(:7Sמ _+yޚڦmPfrͫlR7B$>,%F>rG/6~7OyUou>ROfTs9KYyz;(lj#^breE WRysŭqx|z:1bgjc=^OXOu!=GiSZQ! BƄ;w;hloL'ߡU:IOঁiKgc I}6\7L:*_z퍧%zIl^Nu7!g4> aȹ endstream endobj 13938 0 obj << /Annots 13940 0 R /BleedBox [0 0 612 792] /Contents [13946 0 R 13942 0 R 13943 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29938 13944 0 R >> >> /Type /Page >> endobj 13939 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13940 0 obj [13939 0 R 13941 0 R 13945 0 R] endobj 13941 0 obj << /A << /D (unique_56_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20210608081201-08'00') /Rect [90 439.225 191.6455 450.225] /Subtype /Link /Type /Annot >> endobj 13942 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13943 0 obj << /Length 19 >> stream q /Iabc29938 Do Q endstream endobj 13944 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29939 20690 0 R /Gabc29940 20697 0 R >> /Font << /Fabc29941 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMD endstream endobj 13945 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1245) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13946 0 obj << /Filter /FlateDecode /Length 4093 >> stream xn$ί@/ @V͎Ʊ``[VUwxVYH/RKOoiL8N-_ZDoJy(FKͫf[~vϺ}u[}t3c Fxz7`=y\=BV}@)_/ {0];_Q%ԢF?#/0+ɟgVg6,JeKT2‹=**R*/%]tp9>S eO\a57L6|ئshjsd_!̬~(Y @8{p@97J/QbY_*5pEOK^ASmK pzw$^QY{S5hO<|>h{<.?sJ(`QLB4fb׾B- BD{ Ks\gO?E1F(SEIq7<$nĖZS cQ[\qhuꕭ!Xb;UPANῡxW2F,U/ڡS$+@1@jXwcgc4=U$yt,,!"Vxk<2T7\AӄeZ&4A.>@}H;"'MsPϬ_d Gםir Lk]c31lz /Ga${V(պQI({[Ne24xpJ|{B]rq6k$s;$s[E t NaTZu3qqw*mƭԝ{qї밄bYWIhJzϽМGn3HQ{&4Cq qn~>% b+ Nfk{M6r r oAǶp+hǣKBz!V2 ؈:o9k܍ [hGyZ9Xjےr3aRS `M_"poCn g*Nڝ8f9"4Qw8g/OO #גVrXLe;԰O +mJ\JhV Cwvje~遮%U#a4 7ocQQ&.&-!ƌ#C$DShc| Lpẘ;.؝n m͝pr4y!j9M+[R)${< _,tZ1̝+)~0fӢ.}$bG̺ƂV`P,F"^7TaShZԗQfMdF#VEX5moK-4:0^.U0.9M^C{Lh>X 덕,;E@Vma6HlyYq\vlION&#g^/|C`m.GӦ-T) :׶Q)Q/ 9yWBM[ * D8Nb؃~ҮS^^CJv#ݕ4o~oc@ %/<†ouXt_SK)HF Q0n2`US ,Dk<툁ã5K6g\/ńx>Ehf\%ϢJ[;A3`5>RA @Tv-]`{\l1|>!/ ϭԀJuEX Gt}3峎7!xAg(rђ(rX"Ӌau`۔" d-pS&C_$ðyY'4+%+3 /r0,LQUpX -Dz]D+ߪF 1rئV2pOl{?4fe98 }=KO>q)UEg-`t0O(.T)sj.=S* +\U: gbO9D7]Riח(uq8ױӝ1ϩv]v/Wcz,B=`._YiW^V*XY_kmSa;,W؜Kza +q#h[!+z> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29957 13955 0 R >> >> /Type /Page >> endobj 13948 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13949 0 obj [13948 0 R 13950 0 R 13951 0 R 13952 0 R 13956 0 R] endobj 13950 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 429.8346 240.8977 440.8346] /Subtype /Link /Type /Annot >> endobj 13951 0 obj << /A << /D (unique_75) /S /GoTo >> /Border [0 0 0] /Contents (get_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 413.6346 230.9757 424.6346] /Subtype /Link /Type /Annot >> endobj 13952 0 obj << /A << /D (unique_77) /S /GoTo >> /Border [0 0 0] /Contents (validate_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 397.4346 253.2397 408.4346] /Subtype /Link /Type /Annot >> endobj 13953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13954 0 obj << /Length 19 >> stream q /Iabc29957 Do Q endstream endobj 13955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29958 20690 0 R /Gabc29959 20697 0 R >> /Font << /Fabc29960 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`35thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XLf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{wt endstream endobj 13956 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1246) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13957 0 obj << /Filter /FlateDecode /Length 2346 >> stream xYG$GǯR 4eҰE Q0}d63=xi +W_t:],v?OE[>AӋ׃1nNa#3P1LE9 t`O /|"i9h=NF!_j(Ә[]eNIL-B $`L<8[etc66LN.4XJdQ;!LyC$^ :dNS0hkQ x݋a(퍋 oxM^þ1Կ'.ڸQϮ 6},/f0VIC[lx0HXف>%ɀT^9Q] =ol4 +҃qchVTI]TtM4bvÑ0qwYe1Jac\=EG?P,Dx wY s#K-_,iFʙXM`7{!.Chls!5;a &Pbbѳ}GIߴ['`$kYlsrm5EϠՕk{FVFQ6D?2 V']4?T{;D۪IKXr^'' hl\񋅠rza)fҁ  0S{8In)>bf F5(x2o[ p(t$}! Vþ.֬ʲ 0XPb+LMan {a'ܱ^UL(ťP %c!plO #UCJ!TE@"v٤_`y$ұ'˨ `R).>a9OJWEb^a>A1av:m0x yD9iOhW^CǁO6wGԵn3z}b^A [c(+bf*w"#^k^m/}/Ƅj غ 5}v>-޹y l ؂E+1؍}0/%>Hh>w({Pp&~hPjGwR.*q- ~-HI s2boc' f] ca!}*J;h&By5w;.Հ`sW2[p ha:rCu^\k {Mp=uAvҊHÞh[>qK%|&^] Cxe&՛VgiR҂8Jw$M߹hhx\axS37=to%U۰٩vj46! /ZǴYv=ZhM46o3_Պ\Be-!sqY Z'٨+rz fl xSoX\g*0.m& j =bhR*#)4="U#UHԭ g!)Am)g /+4?6J2dcAm5 훈i}f'=<*N$7"-6" GZF%Ѕbq[gimfi-ZJ™d)GL'uEk>/ۺ>D32/' ˹5-Ìϗ=<֘XV&ǫ#Ĺ5$+5;+'d('B[>-wCE&bByƹM%ֹ Hk0Ƕh(ĺz1i, '5!x&'闱A(fTeCV sl^ѱJ.Qt9x|#9C3#~2Nu.Ve%aKFBvaBq; ?y$'i{O{v$~Z?ŻY18l@(O7q71؁=09iT4XCA; K!gEa2TO$B.&赍 endstream endobj 13958 0 obj << /Annots 13960 0 R /BleedBox [0 0 612 792] /Contents [13966 0 R 13962 0 R 13963 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29976 13964 0 R >> >> /Type /Page >> endobj 13959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13960 0 obj [13959 0 R 13961 0 R 13965 0 R] endobj 13961 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 425.025 140.952 436.025] /Subtype /Link /Type /Annot >> endobj 13962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13963 0 obj << /Length 19 >> stream q /Iabc29976 Do Q endstream endobj 13964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29977 20690 0 R /Gabc29978 20697 0 R >> /Font << /Fabc29979 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛh endstream endobj 13965 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1247) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13966 0 obj << /Filter /FlateDecode /Length 4566 >> stream xڵ<ˎܺ{~§Hsb |sNx.sԋ/I3ݖHzXU,y>Iß/1T vieOL_~:DF7}yul5TwgylS0iweE}'ZNߦ}1rp2e E;"\ɫgeog杛;.Z짐CaTwz=<) >Yٛe5RzB@;?X*i2ȖK^i PlY!'&aiz#c]\v[TVHWV0- >6`]|\s: bSo n^&co=q;d M8!$ŀ=+ˠEІ5i*:θ$pI0{89$c;t2,q¶Y?9KQojGNKkW p6#@+}䵱O_Ќ3v_-SdB'QÉ _V݂ZcנU$оاŻܔ."bKdV mmm#ogDp*d k6$QVǿf)*J Li)[1z >jEy̶o>^Į% u6dL!C=B2 2Oל]iASSW2%o4Wk~1 Zh>#uɬoL2,\mZQQu]:wxo%P%|GΔ*E~/A6CvꝢ̶`5nE}$?O0P(ttR>KCVχ8kvzK1M<v!aUTT7Y$NM<* c|p O]7j0)lυvƜS [ o b7OnD.* wӿyWx58 ^}8og 2Mxeh4:o؀#gdzhLe_}_Y rJ&:^A!9f;В7)ڠi@NX:Bc;q65  ~44:`│~dd?OQrR{X^ZcnsKu 2AL!vcnV'0ЙX-!DaS/[xiX3pukWji 5{TV>ԫ44Z*WbU3P*Izf؀u'vUI0*k_Ok^0nRVCG 7$YDzԩm)aQ疪22gX\>W}>a?a=W7;vՍGZY=juac}ⰯuYl~B[/}(0PG]"Pu7±(ReztFԸXVU=%PʹV0~( B:{7# l7H.)(Ý١;eKd&uIQn[E<wv<5,?,H9,듨(.$d-'Qߙj G8>|bT-y]ۅ AT~AA7*!$ |:RϭA N[RXvz߹ڟ[ټR0"ɹf 1H`ҡoфmpW0PH+9!YN+й2\tjF5#at,TS/>OWa!g3+,Y=Dyy^o,WNH;3cXX~L\5 hC¬?K#3܅LJʻy401; =NZ"=[.qeh$j7xDk5ǘ'YxctQev&/kb~El_vV6כ yC˫GޤtflWPZꎎܵmK-AMSZ {qzKw5 'dXIA~aHS)n@UfK6WigMG~jpT9yǵCީPq3-zuٸnXզ%Ju˧Q-eiRc11dB^a!)=sԁ~m\Zuk4B]ރHuM SnJ4pwJ$ؘSe{gq9pE>%Eu;ǽtA`jYԽ_<,D.I͉Ea׃5]3ne Woƚ캃5Pg=lWj"|kR/CnHسMɌ.[܉Gw5P̌y u/_J| 9/SH!bO&DLiH)sSGΥ3vqP"|RDy ަ91/<<0>Ot(a*A/Z{l &}x&M6u0K}E9ťB(O]4LZi_\Nؘ",`J< t` ˀ130Y6+ hC9RS$к+6fbl-,!NWfES.Fʲ?쀊`(VvV ,t`I3hރ,C !HWRO%Քr5>lk-5-lGR ;O;Նdag<Ƃ|#m 8 {Wj#)isx~tNE񹩇㹹xb\JAnx/=9y|P'pԘɧ}=8SH̸qV  6A.|> PeB sZ>lNa6">u!1`S6axn!50yoE%戉W|`ds'},2)IrͮwVpRg)L` ayb 9.}#64BSCVYYv޳1OHb.nd 'ZzU.\ ]Xe$Vi! bZ6vl8TV=>N+? .x*mK.K9'58WwmEĦ6QjO^mX 1{28Iэ2Ȏ߁9?jdәM]i6p ~ ~![b&zkh$,ݝ! %ID U_b%rԕmuoUxf1j$fxy(D\;vd`\^wt"a@am$jncOcW[ owum^MXG;Н 0}X rrIyxK^i iCYBy2J#qM#uX&XP 6 +3h!D߀J[̰; 3~00e?b6lm$S6cYH5rxQ`/.PWI$՞qcK/\wQ<߯|ʧޫ|bd Eeo*Q>m?_)#$kzogd$brA).&#!q^K^Cj,ˬ88W F05l_4,obB2udpIfWT{CV8bvR=\ "-Ҷvy"c71M,[ɨյfY!uZ-[Ss!4aeqf_[7U q$ v:1ەf)rPb{7lI#<@\O6jb@]*ORZ#Rttu '.H,ln*/9+ҷ|/ߢJ_Ou889o=`o6 xBIB/>]Dȟ=]O+EZ!"g~ϯ /J`O,TMC׊T@ICùu8 CpgCZJ5sj2[bɷc͵}i\T>￉\J9@cqQ{ B.R endstream endobj 13967 0 obj << /Annots 13969 0 R /BleedBox [0 0 612 792] /Contents [13976 0 R 13972 0 R 13973 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29995 13974 0 R >> >> /Type /Page >> endobj 13968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13969 0 obj [13968 0 R 13970 0 R 13971 0 R 13975 0 R] endobj 13970 0 obj << /A << /D (unique_645) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20210608081201-08'00') /Rect [104.1732 475.5384 172.4887 486.5384] /Subtype /Link /Type /Annot >> endobj 13971 0 obj << /A << /D (unique_681) /S /GoTo >> /Border [0 0 0] /Contents (report_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 459.3384 189.4012 470.3384] /Subtype /Link /Type /Annot >> endobj 13972 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13973 0 obj << /Length 19 >> stream q /Iabc29995 Do Q endstream endobj 13974 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29996 20690 0 R /Gabc29997 20697 0 R >> /Font << /Fabc29998 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13976 0 obj << /Filter /FlateDecode /Length 2224 >> stream xڭYK W輀@zt/ l^`?)ʖ]35)K$'ҥh &cVǠ!_SgwV|^45s4QMX9;cq1OUc7_c36.Hsvg@H4G,Qɘ &Wrl{/%/90$r?6f9U90C:9.Г+ TZ_.Ƥ%9oS4jr!a.9YQ, qAAԞϋ/:T7C1f9"*@kqt#+9kvҔ=e>sBŲiPOzqײcg7FG#Y zaevܝ-(h-80nx@-!j- [,вj5rR82< S!I;G|ߜN4ct DlQqkqpyV1pp;~n;/^i֠*"gDچh]W?=un4N${y$-PUĪMVO>fp][`\?vnqxsBj㡫 뜒It0uĢE0 P6xڙ%C@Mf = 7K, 4ć,84/'qP+y&"wsLwCpG\[k :7vA%U߂3د>[?j94xL+(Ģ3|Q+Ӌ\CtK!wAIWglLƐ:_??QL|ݏv?*P 1;@Uc+F"~rFAwfdңA;bd<8bnKZS6Nΰx,>U -Q#PLsՕ pxF6 )C%I(Cm1Sc`fG)Sgy]P!B{=Z=tΏF֧i؄QôEݿ@{5³6l P B,PL]sJ}J-\Ȥ4]#LVohg3J:Kg]G:g ]X+fKtޝhǚ[Ú7ʫ'o̠՛y!;u yȴ#nV{*I~#y9:͙ k5J1oZI5dPӑ/Z:y;ݑ~@ӟՆ] in.e46JoUX)ЇxƄMS0RU!vc:#uo'H[YSÈMmq^mG1R{'nXKlG[$0Om>fJ2}3id@PVbm,PdT/gfV" JYUqpI'$j4XSP {&kԛP@N2%VL, p:oy?\aq+`!ܜ2MuQo +I̻0qVͨar= G r*8DMikx#vq CveȰMam١0[sޥI]6%SR}ƮAVL%Ԏ^r1 YKYj2"h ^eh]߂ˌylEk݈4}!sSP'&AUum˓y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30014 13984 0 R >> >> /Type /Page >> endobj 13978 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13979 0 obj [13978 0 R 13980 0 R 13981 0 R 13985 0 R] endobj 13980 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 296.525 112.528 307.525] /Subtype /Link /Type /Annot >> endobj 13981 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 296.525 150.346 307.525] /Subtype /Link /Type /Annot >> endobj 13982 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13983 0 obj << /Length 19 >> stream q /Iabc30014 Do Q endstream endobj 13984 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30015 20690 0 R /Gabc30016 20697 0 R >> /Font << /Fabc30017 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13986 0 obj << /Filter /FlateDecode /Length 4389 >> stream x\Ko#W:|?À%Y m4nf/8쬬&],~`Y.T_1U:-Ic._.N-6>j]V~}}PGy0 \W^+ӮX;v֮ B:ύM~E>i8ȃ/+/tv_~_ZBNpK-jƯ cgar>/Q яZG >GTT.2\DS뢇t0 :$X!{zd ? Cr$? %cA?p@҃Hok .?~lVz&?!JB,3<  \#|ijS8*k?RPO=^ iQе]kړsh5pgg2]νSauɌыJ9}TT8a[x}2T7i;}Du = ǁFϠ( ;~jw!cbHԨP< *o}簤R1A(B٥řZ#D%g'-4`}E >q` +$̒l `'׫28Xu`{ y˃Yr9{-{:˂MY>lk=|^UeNV5Z[ c{Eql #xV<Gܔ+WRjZr"ZjWMMZqƛ>]]{;C@ߴ4 PFJ㜮YK_ih!.hH-< уe&Ng)/& ƾL,@`g0%kc6Bx&Vo:-8ZQr3CNa댘M>zߧs8#0>܅%-]?S[| uߧ s)z_DU`j^̦VwL⟥%74;-1dĬ!ER>4Β95W[RY4݁GN->BԹkby&Gs^Oxo >AwOeջa 5*]O.rﴱ;݄fv7je=9nP]`XN5W3 7sBs͵|14ӱs:XM-[mJ Qygz ow9I+įYpE^E5ZqWYF퟼BL*q һkڏ #.|.vDKSI/}K,ԋ~_+MM 0ѫ(ߩU%YdYG 'J5J lR#CLG=Ќ3^-#Sׂ+XO!n9dTl(&\{%BybeqCDf- s?['j  +Q•[lĶihu/ђBXT2`U5+cwi-&4ZMqX75bS3k˱zfk$=RQjݨoԽQN.e:4xpJrU[Bݖrqlrj%d24V[ bѽp(N QZ\Fmc)zkޗ[CރZ\C=?قzyx |+bEuR @W垷wثr^z-w뵸S[M`Or"J|DFA'zm!V-~aPmŏ)X)[X^"E0tpqZ鰄{F% ч:+)|,Fe*au )d?胀+S?W*E܊:? b C+Eby^Vt>R!M< Џ˧*rS34(uB彈iŽe}x^MՍ? Fz#kOI?sIm;^%fTiKI3q9},/+״t&䴨De#UnS(|ݑV)5 F[Ar5 ?2-S)+C>}/uw*vv޵eW}3YVۧ e`1] J-]> 滤1麣h5xV9? M(Knas|*]FUA( jV0B 8i.L'hʺF4 QUX@OYfh9̘XRWX̢=I5$\&לE\D\M ‰?:3 ~}&sU5Q NvmkXVL0fSf7(H?SjVk9,A&`8A,y(g.^ P%J7|C43g=QFѵVA]ṴTU 2v#&)mejؠG]I}޾DUĨl,l:X>(CU-r~8s09H0kޗD؝yl2VvK5:BYO@.z&: 6$0IzS}Ss9 d / bOlpcqm,Fiwï]9.Υ{4d [2ؘ}6t!w]*aknsoω<$^IynfEUkozr3Xh5 %|8 ;Cd6ec׈oy9RաQM hoD n1;68SABiF"'BFgY9BA\=lSŎzm_Z Z);scEECKSf_)2-6{fA1^ o+#NM.VًWVybO/SqĭyGԵ!1驁P0~=$'EW建:z8FvfZtƘ"?WMr6|_GgX}-cm9|g q{lCrϪˀfk5RدniGtq3ie qF)m6Ʀ;7\zNw<"y#t=/L`)0/$\Hs nm1H NU2qOl{?@yZw+QxO|^C>^c.Z2LLO;U.$kjRZ˓qJHJWh >r/_.EC׋\D,;jVH+p\8[e6. [=yD:PtÏN&t-^U\SHa6Xq=Q!'K0'9tS+XCH``t )l>|i}~T }TthUCº+L=j|'p!aAW'F1)V_7 endstream endobj 13987 0 obj << /Annots [13988 0 R 13989 0 R 13990 0 R 13994 0 R] /BleedBox [0 0 612 792] /Contents [13995 0 R 13991 0 R 13992 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30033 13993 0 R >> >> /Type /Page >> endobj 13988 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 423.2539 381.3955 434.2539] /Subtype /Link /Type /Annot >> endobj 13989 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 370.5847 396.03 380.5847] /Subtype /Link /Type /Annot >> endobj 13990 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13991 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13992 0 obj << /Length 19 >> stream q /Iabc30033 Do Q endstream endobj 13993 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30034 20690 0 R /Gabc30035 20697 0 R >> /Font << /Fabc30036 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ !§Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XL5ۏ"cH&`K4>S~3cy/ KaEr63po.IU1" ^oOcj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13995 0 obj << /Filter /FlateDecode /Length 5323 >> stream x˄1F QJZF7oae2#Xt>ֹ`*Ԍn+ mD1D7;pE9B&@[42Z'eѻ >z.@AY# ]oz)Iu@O׺*RKIR:Ef-32#oh j]6B`8RpIK@p&66{6 -NƂ`шQ [J*42!j j&<0Yz\FTei]T}&8Ϯ9:c_Щǿ#oWפN@HbY Jtf-'$VLen(aGea3F1>rM@}>&iBeI@SASRR@%AJv8 MkBPd+%=iq"!v ,iha:%t}D :A`8Z"X8ƾ2.!Vp 7Pq;$K$'m8?oH=2-l%"/2+4u=xʽ!B GK 2K) ^'(QV(hlj,Z03Ndnk;XwH:)l蒇k z7,: ~ӲU%H H#L NFSq{~O`v\2mK6 oۿ=}Mپ}~V8 />+m·?oѹW߾GpA_gkm7z@ME(W^Fp/|16sy/a/=l,0KKD#kN6@`i1A IL)h'F[|kndO(h2bا!Ԍ! im{lkeu[ױ?DM\R!N(`:`24 GcR2 6x7 6ڂ?rNV79b CzUйX:\\M5 /G+4;c&y:`ye!q +TmdJ0n˨g ;CkՑ:r zTz BBpF}ZuAϐFU{&_8VڽtSCZQ]cVTӖ8yJON]c|{(I/@f!\cxéD*hүD3 IKu$Cts+;x9lqZ,/:ݨޔA:;mMYì; /bMq9Vul I&VqLgeLq[>B@p‰Oķ~ 7 %NZ=)#JuwļǼzA >W4c<&n0HVplbɧ;Hvi ]p%qW!cv IS>u,,NF N_zb.݈ä#=RszkoZDs2N} tQm->bM)ÜmZǴpf*ihg4mXD#،1HD3dOU3mkzgLZXp :H\$ }mdU,(hc @C.h\T!SJfK0 -A4V?2OE%sZn5r{"\⠶Wt[,k j$y?lUKpy,ЪR.}XQ_!7L9WդBR_8Wd^!jSp˅Es&SE4eq9PwH8Gʥ?~"N@"f4Hk- !ŖA5V"8<4,J:@\cK yQ\'|&9i* ܵBd R\S1)f1EŔ%+)<{ZAiX(>=XKKopG FuSM$p,RQŨqY?P Y.eIwIGcjZ[˰4nj2U +%fGǣMڽ= g;Wf+t/}\Nx$/t=:K:v2xˋyfv55/u 2z߮%:1'Vcix)jْ#[^lhA;s!7cMwĘ|5({^?OAEt=nkҖw!|of}b.?*l viGVk41^)zvPG)쫱P>$jSN|X1n.A`?T()tdx?[W] NY`yiQZU`?83'u>=@tfd Nq1&"+?:8dC:(Si9Ȕ' { 53UpZJ-{{ISl\\oZzFE ea},zx] G}ɛcNe ISKV{]6xօu"0j&F#,ęE$Rp`7zp7< C)Vc;M+&&C; _ ?YkvΣu`8ZN }(NA^-#ߣs@šL,U#3wՀ_5ٝﺾ9Xx5Џ뛄=ǢcFIr.o8lG{?~f8 3&C٨/=c_3kJӣjn$!|eW&;Fǀ?ջk̹cNq8^.H%[Bb:ٳQUOqSB>3WcZhCiNd*ȽAs=9w\Ø^Oa̔ǴS"0Ǵw~4QZ ȳ3Izw:11~yN#<zorANY wL!ϘGyW=xcF7G;tY{o ?5I_(vYdN-6!&8l7D{xz#)PxSj:D_ w2 dEiA#Ȑ-nЂ "fu-uo]v_\1|>!/V/ Owqm*,I|S&Z`F4YHG EMKA҇D_Mi@1l2'8 c-}^-}M ܲu.6(]A|HW>0]OLO hV!"Dz_~φG /BK >瞎sK-Wp(uQ-F|AO|V. k"`=?o)MGo|q}{*X*0tpU@.p,H-_:E\,; 'ʇSrTn%T!\]TpId_p-z/-^f|RoD~G6?LDEnH6J_=l,.mcfX'cSxcTMcdZlSA2cm~,T4A*Z!s,E_*lH&_ځMv=+ׂq~l'(&i, э1Vi_?c qf ~MA\]y^eWsh 3m1m4>lsj<1^U0V wO;~ѻ3p9EU> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30052 14000 0 R >> >> /Type /Page >> endobj 13997 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13998 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13999 0 obj << /Length 19 >> stream q /Iabc30052 Do Q endstream endobj 14000 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30053 20690 0 R /Gabc30054 20697 0 R >> /Font << /Fabc30055 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14002 0 obj << /Filter /FlateDecode /Length 3969 >> stream x[I$Wy eR@RPY9ۘOmSc۴ĒYY v7Y)';K巩>S So^>icoGci n՘၃kߙ bL;o,ցgb[zw1gExOX~>(LBWt*2?2r뿇l'lsq2 i*G!46ͧ@#y\N˩NT)2&ZO/1ͥS 9tʘ:Ati2j?=M 3O9[ʒkfɋͱMƗ'[D%St,eܞ2m yteY|ki}ps_D\8\}[s\v@ϋ*7 (6 mg ;>9{<(Ast9>˒-)+[=)ܮFgPAymifOc̯T#mbqƹƙ4؂#xZ23\]ł `],V[s4xa:M,Vb-*hV#^(%-3W{P$" tMx^,q{m=/Vd+(v3#ʐ1`|O1X?R'-^RWSMXן_#-KP<\LsN0 ^X^w.Hmxk*P,Nޣ,1ޛ+eAI#lݱn1#;y>szq_>FhWĴձLWd. JA'pa'߆A+K 2snhx莣f,^ř+%+HJ{Ll40j1NMClNRߓ [u fEL ߶[΄qNEf!J ,0zl~BP/D7Zumz~dY{Tכ!{Ʊ]ScX#h`";Juʘ4AMvf1-xt\c`#aH d#T}5Y>@9Gc;Y~MUZ =߰ErƂND{iѕc v-^g7݄lnn7j.ÉID ~Rv}!cb=7ƥog3wUԭ1YAwm`@0 ̪6|c3FbmCl,x@;b{z "!I9L.@z4s4\p7cQ)r;`RcAS9-΢.5-@<2FYϸHtuZy[xX -#)4GkFuI+eUCs.ƌj3"erc@zsWCV&* N5oԛVVI#'PψYfzmẽ;j㰯vs/7-M룲/+z?Z q$6Cd"K]6έv5bwA/3Zfl׸ 2IKO取r&JPKk,6 \GFk+&w:^q`vb!HX$nĸG<ݯav}s&'>#G3WV7bVfŎgmGdƈѦ5T|T2i?#ecV~#~(P! g:p 8tcp{[DU^m &9 Me<ܩyPӒ'4 H"gG9wQx2 q&z>dۚ;[ V7(2|b{ D5۽;~S]Ʃqo>L{\[^wKt JDW#~Fhax3j$*N (YƍԖ ۔5`Ty 1?{YSjEۺVu 8Q۝[pMՖaī5'Zf]:S A;Y=T cnZB#:8|d!5=r+'qς>Rjk'E(blCCuPq< |i u/^2>Ywdw8+HkpD{la`+G y$4tc\@yRQVL\wO}T*[їD`%/b15UU7JKr]sH2!@#Vnũ[Mw :+G&Cr c o炒ť7)D0+dd*|!N7&&J!͇ba>T-EJ> ܲ/YحxmLXZ"v^!)k6('8|Ee* eع[[7-\T},C~e ]3WT18K/t 00\Ƶk 5.C_8pCJTEY(_s{{}}WOaৰf<2!Qvxpdc1끙c34SY Qh܎ƓzT܃VL xC ‡Hqgĸg9]{!OWfWru2I &0H[|_' _7D/ L78e'b?hꢖ?, endstream endobj 14003 0 obj << /Annots 14005 0 R /BleedBox [0 0 612 792] /Contents [14019 0 R 14015 0 R 14016 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30071 14017 0 R >> >> /Type /Page >> endobj 14004 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14005 0 obj [14004 0 R 14006 0 R 14007 0 R 14008 0 R 14009 0 R 14010 0 R 14011 0 R 14012 0 R 14013 0 R 14014 0 R 14018 0 R] endobj 14006 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 180.0512 686.7] /Subtype /Link /Type /Annot >> endobj 14007 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 187.5312 670.5] /Subtype /Link /Type /Annot >> endobj 14008 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 200.8412 654.3] /Subtype /Link /Type /Annot >> endobj 14009 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 177.6092 638.1] /Subtype /Link /Type /Annot >> endobj 14010 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 190.9192 621.9] /Subtype /Link /Type /Annot >> endobj 14011 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 164.9372 605.7] /Subtype /Link /Type /Annot >> endobj 14012 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 154.3497 589.5] /Subtype /Link /Type /Annot >> endobj 14013 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 562.3 180.9312 573.3] /Subtype /Link /Type /Annot >> endobj 14014 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 546.1 181.5637 557.1] /Subtype /Link /Type /Annot >> endobj 14015 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14016 0 obj << /Length 19 >> stream q /Iabc30071 Do Q endstream endobj 14017 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30072 20690 0 R /Gabc30073 20697 0 R >> /Font << /Fabc30074 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14019 0 obj << /Filter /FlateDecode /Length 1319 >> stream xڭXKol' +XW:\))dEVդR56`r&7|Z.ȧN&]~~Q~;8<>6(+5rrpJaߛ47ZCT n+,:GѮ΅/65v}rܣxF۟_?UZc&ѬI$\"(tA|*u4*ҽ; Sd"MUQ6J O2*62^9`.0CQЂ 艆ݤ:*)G8'/@g]|ufUq>Awi 1Wƌa%^jK.OxCEK1Aah|6O/yZ c9z"i|O @pYLp(9RnZ&x^S12z " X0]':e'=î@bnD)v0%Ur=$suRtN)M\H>v]#Ք9ݷcuΣw5ҫKS`szJ.dˑ|p兟ci-XkSSuLk ? Y ƜhAZnxM}4 [MN*m4nbm'tɌ\As˾!\\^dbwٞ܏5"w endstream endobj 14020 0 obj << /Annots 14022 0 R /BleedBox [0 0 612 792] /Contents [14029 0 R 14025 0 R 14026 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30090 14027 0 R >> >> /Type /Page >> endobj 14021 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14022 0 obj [14021 0 R 14023 0 R 14024 0 R 14028 0 R] endobj 14023 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 372.525 124.1605 383.525] /Subtype /Link /Type /Annot >> endobj 14024 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [129.4735 372.525 180.4255 383.525] /Subtype /Link /Type /Annot >> endobj 14025 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14026 0 obj << /Length 19 >> stream q /Iabc30090 Do Q endstream endobj 14027 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30091 20690 0 R /Gabc30092 20697 0 R >> /Font << /Fabc30093 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!6g \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 14028 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1253) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14029 0 obj << /Filter /FlateDecode /Length 4031 >> stream xڭɎݸίyi$h_?s99 wOm$mY"EYMfwKv:>3?%]~K>O?ɚ/ѯM_띭wQm=z\^ _O7Qu ڷ#WWM뤗5'x팋p&fe ooL 7n]v9O!/QBk'Ɲ^0:R|u do8d}<8¢?G"/>HS[wۏڇ6^% L(UChkO k5xbYwg$e-E^:Kwb``)d ^V_@,q¶Ypg´'O;6S tǼ 6+0xdL`ySV,\a1PxrZgA m@fu&뒀l澹˜W` ;] H,ksOk *<<)"3NkH"< NkA  H]Z؈f^J&tkp<(^he61Vd!o0=/E|{^ȇ$[YY^zW<5zH;t~K;]ܧڨY1۾ vu AKɰ¨Sc5v>e9x9&1w\LpbZ&HSǥĜ^(‚m,B"9?ddH ^1muY=»hg*e܏WH8 ^)ogA|&Px<24El1Efљ#`9<8k.>#mZH.dsr=)i⦺I2 :@cOCPg^@B(x9,;aqrZ; 07]qcs$ЧNȺx뎬7ny]ɝS8lJ_8Z~=GrۨBo6= RΪ#XP`sӷ'a ( F^Nk\/V>*RD;|;(o;"-P)]*=7߆c,1&!sS~X=`b?j˟5(l'dad\b`70O&_MUQL[ꏋI0뽋$͍x)]xB!>˙E Os[ceUQzw@V{]!h-!\YwXAHn]L|nA|PІE6ZLDNO~|=HuYN .@\RaCR$E`RȚ%$:?\-%Y^ؼa5kA/yg (;%/CaiЏMcȏ ϳXn7L} zKsAGVo,{E;S% TKi~AoP`c|II !w7.ycM%BCȁgnHN5ۈUJVy!][YY}v׫C[d1]>K ǤzDB`/jʆzf5UP&mPUQ^db_2 3z kfEJ|Gq#$ Rz R46ł3š'-d> V0/!Of9|Oj$AQhՐ(})+\ źгSt`2䇾`,Ŕm#3Hgau'GqYZ!1EWvS1\]%\QsؤX2GT|t ș^%(i-C`KXwoNbY?e1koqkUWbr1K]VZS7{ف$0vgWxETp)@l$lNbЕnMm֎66Xu`bni`DY4w$w6^|Hnkcnp[8 cfiܣJw~*p[2!Bb~ME;Xm7S%I2NG 7?sė6q4+5+ i;`'] ZnG ܊ l7jncA(v~%p03H@ $V{ ~Pf =m "ؓkd(tϰԷ-1`ϋNX6G K1^ ldum:x Kl"?qch &:baˋ-[ }\3 !6cC5Z7!><!idWOro6(+_$y/H{O$ӏZDQw i Osp')~YVQ\β}/yh"kg*F7,V > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30109 14038 0 R >> >> /Type /Page >> endobj 14031 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14032 0 obj [14031 0 R 14033 0 R 14034 0 R 14035 0 R 14039 0 R] endobj 14033 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 289.2741 146.4737 300.2741] /Subtype /Link /Type /Annot >> endobj 14034 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 273.0741 173.3797 284.0741] /Subtype /Link /Type /Annot >> endobj 14035 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 256.8741 144.0317 267.8741] /Subtype /Link /Type /Annot >> endobj 14036 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14037 0 obj << /Length 19 >> stream q /Iabc30109 Do Q endstream endobj 14038 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30110 20690 0 R /Gabc30111 20697 0 R >> /Font << /Fabc30112 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMϹ endstream endobj 14039 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1254) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14040 0 obj << /Filter /FlateDecode /Length 3481 >> stream xڽZIWl;`0|s" #Id.[{#t,־[6%W_>>fu X2sR0;[oIϸ1?,ʙ=Vc}5Ƹ[WmOd@Ʉ;Djgx_Ӝq9% H7YCDςgy} ?vƆ;r=xmKdxsR'B%m21@L-mOދך`Ei*FD ɺ1!doZCX7Iɛ \x5jƺƈ=pCi-+2o}yzIִ6;Mܪfckɔ:@žLOX ;9t ]_3mTMQƊtcj}Do6AyVً+R]& 1!8,BdTE8åD5'xQc[_}(,HW{#<{[t01A2"&kd5٤,{ߍFfat~5U@-J8j{5PwX5 ĒfU*swta^ns]MTLVS. ~ ZNqjzU(Ҙ]>oªLJ6"n!ҳ[ui {GqGw rzJS!bOMbZ #e>EXYCs DóF`|i L ~uJ^&6!"9kB>&lqu>;DŽDdyٕEԆT+Ukﯻĝ;.kҒft~eIQOrmًp`qSndo# ӦpSᦫ4*[uVͩ2_S]o6ֽR^hs& C? @  PCI:䉞2nI襱 E~v7]8 gg ::/l>)} 4R;*6_v&f#P-]Rg*\G_1-x,g>$O-k5uQ`圯/$kIs(ǰ6E<+l.X`w-=}܊k6nkNvjJslZ4]RP7 R}_z8bk))-!1] ,ڼ)Kz+#Kmp6s zng\A&,]bxČ <][sڊa[rBN_ˉ!ҥί%Ĕa::BtǸ/3LGNNǝ3۹o65!=HyKz K:uphS: kGzK~~L I86h0"(GHBV Mq/!Ph@Y-ktx&Ydur< 碢LѶ>c)dB>t\,G=C'HUDzʞj&;4LSNP6]2EjC@. Zсw_H!  m@U;)6,~eTVA%~ja$i#!}&>?tb_G*ZjFpEHWɒ2 g 6$wԶ14z)Q'@vAW.87e2t@H4VY=zЩ##&H=4gYElx#BA* B6]+vF/'S!qs]&? wX:dcS:p 3жDSb2~ _epGlߜW7 ,;|eHIVp2(DŽ9v9)Ā҅QV &oH9ܞy/v䇛,#7p~5hj_>f$D#`YHW.KPh i=C00 !u>JVع-u |3o%Ig.қ3$d:.7 r" HqR߉y(V]t>^oT++pM;rzfNI8m=4cmEJrR1A~uԬeK\,QB*gдujhifQTVA +)d܇=FZ T,·M%4 )P\+1~:޹F!f-$9ïOC}"<)cqn8kvdj8]HCQnMOG!+]G;Å5&I[\ϥǠ7 a. F Ra{5³l ( ri.X|kPcaR!Y N5l2tH6M +-h*t9v ;PTzT2.:jŨ:NDCo#j{ xruI~7+LeYhW_P[&.&w^t iw\Q]՝*l1Y@6=ഷr(rYna 7T-t˲Euyܼő"0:Q%b[!Ez}ג6@]~ !glдo@W,:,Zf8C߫#O5N*WYQkOWN:rRRaj3o=3V_h0`G[$+6fS ^fcVV_YTPSΠi zlenwz2a|3 ҷ"' ڣE1^iw!1V5lk,eI KJ'f U3?+㌂EB8wed#rQstnn!Ф>aplskB\!9$F52bbI5vYqz&;rXC5~QSXmqؐ b˳4ԉ⤳̿VRx࣯$dsjsFnsUjZCu.1<-h\쉏P9tHYHE{-o>(CCЫ։إIg,x6>\nCJ\I; 0ds jzsLV\;r$2)M0p"8!7E40cx7~|+ {yŽֱq:/nke6Ndq4vy|2Evo ELJV endstream endobj 14041 0 obj << /Annots 14043 0 R /BleedBox [0 0 612 792] /Contents [14049 0 R 14045 0 R 14046 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30128 14047 0 R >> >> /Type /Page >> endobj 14042 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14043 0 obj [14042 0 R 14044 0 R 14048 0 R] endobj 14044 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 425.025 140.952 436.025] /Subtype /Link /Type /Annot >> endobj 14045 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14046 0 obj << /Length 19 >> stream q /Iabc30128 Do Q endstream endobj 14047 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30129 20690 0 R /Gabc30130 20697 0 R >> /Font << /Fabc30131 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14049 0 obj << /Filter /FlateDecode /Length 4522 >> stream xڵ<ɮw~E4xxʀon@=-+kV*dXZ-f?R viy/,_u~M>&|~_~}:`5\nwݕWfS_vw/?7F~=jo, YKGzθ֔hY?-f k)i eM:TX8 7}I^[=*`P<X0奏‡vp>~ ?`,vdhIYg1=p`?io/++uU}\2:u @bW s}Z|0}mִ`.RYo@$ /%>vhΰ#\'@#pzj:ryNh_ SY5[\鏴T}ttqJ=z -[}^z< ( &=~dd$nWt[ˊ2斃3+Hr", :jGBJõpc@D,>wYͶ Tv605\@H< 2g, [U]ָY|)WlvFt=y2@>q\WQk0GD=C?snx04\a UZχ%n`1Qڪ1ou>q̖4}2{e.4.j^]h ;Y\v>"tX^LWp$v$fʙ祽Jwn_P_׳oH2aQhtσ}癥Rܠ~N8A sKއXܥDn5dxgZ~gI`W-`Nm#{YΪ1>htadvg1_쐲3b8 GYoDYNP[EcMMƈ_`B; - 0@R7F3 N(ٟ`"/Mvw"`Nh+Leo}ߙ3 QJFNfHM+&9ȋ~^zjh R '$i6q؅D /X/^J⁄iWm:ɞ0̑ȁ~&#NӸ xBސuaRKR/?FjGgZGH>=~\쪉k^3s&XAg4P P*4aeqWdAV59(}!P]60~02p0!;JX0lDcdp k肵Q 1;2$jfiبa s6Pm{&yZx?~QcÂ"f(9)?o!)k@Zù(|2ԫ{8bIAg4=vKW1u=T+]LHb\4z#Zn!z}1W@`B囻P te)Bv\QKN-%zQNC CHkavk,(z,v4ߪ]\zԔ@Yfϥ{Bb6[֤^an]1UV`#!.֘({{#Խf +D,8]8N]1Ajh!V$ͯ.7RDg٦ 4`ye)aWNk*'7eagWh7nGc[g ؊ P+bZpT)(Kcl% 5dR,X<4\98p4fc ^i 0LO}~uH}5p, #S  ez``T8l~ qQpl&:@L,.8XwtKx,Կ=V !kqh9l/XD_td@ fˆcC]\#dm.pKFt|opaRsQ30 ګS=ZC5ѳgJNm7xx/p_XEEUyN\#+PFw27@H SUƧc_[h+ҷ6hW3a.3HTdSߥVzʌZ-jJ{0Hgen]˰ epcǻcze)短Z1P]qῢBl$ aCߴ6UQZuW7ƫV̲)Uy;F,옖f+:S0dL'AZ}( dhg}k5QZi)崒nuƷHh'~UQ#x\2mU HZt4mFߦ!lm0W9 @{·&^bmvHݔ46t(Sؘ ?lYG RD?!Qaަ4eM5b5ŸFNy$KcS۩vң'[k;6xiP'Z1-(.ǣ&{v`0AVV8\) "aîMU%Ø';Qz]ݤ:c ^UX G t}>֎@d:S"VI;T/2k]4ַ4Ei;r! t6u+U619.ⅴ4,D_J]}bq'r6eN?bR`n%_rxI}w~TQ`BՓal0@yR&۠·>%UopDg-`=ÙeP$3S Q?ѡZ8a_z`^_r?rI-mkgX'2J_ZO񵿣vo":uVǬ5TV:䰫ǖKd,V4agGsk,T_*lH*ߺMvY+ ) ~͞'>OM?}e1>8pL(G5]? <7֏ɇ17Xt~"&l/E=+G߽LO]uxg\ ܆R tRH+:>Hφ=pp%ȓM Ҟ`?$67 ڙW! ÿA> (;/(Ñ/(\:H{P> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30147 14057 0 R >> >> /Type /Page >> endobj 14051 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14052 0 obj [14051 0 R 14053 0 R 14054 0 R 14058 0 R] endobj 14053 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 454.4308 159.3712 465.4308] /Subtype /Link /Type /Annot >> endobj 14054 0 obj << /A << /D (unique_646) /S /GoTo >> /Border [0 0 0] /Contents (add_force) /M (D:20210608081201-08'00') /Rect [104.1732 438.2307 151.6602 449.2307] /Subtype /Link /Type /Annot >> endobj 14055 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14056 0 obj << /Length 19 >> stream q /Iabc30147 Do Q endstream endobj 14057 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30148 20690 0 R /Gabc30149 20697 0 R >> /Font << /Fabc30150 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n@ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7} endstream endobj 14058 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1256) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14059 0 obj << /Filter /FlateDecode /Length 2158 >> stream xڽYIk$9ǯй!bK&i7̭>yzh|oQHN/U UE8B[4ߌ3gz՛gITG]>q<|KCFó׍n=aQNy;X2yt}c]X`| 骤Tآqj縉Yz, l)6|jx6E],UWDA#VEܝY"=؀Sgj_]G !C}-eӺxxVxu ewbr)Ok0U۰٦Cc4A`@> =߱)C99R:Zv ~|/5x|O@ea19zXw.|\k^Q?/ QzK[mxZៈEDE~?~5(^ UXj׭Pk+ԌCB:I-T mG>T`R/Avq+åTz{)C\LKqd 4 M|`1;Cm׳h|tԥP7ߕ:bKÊ{R|g]8财ڂ3VwZKWwQ }8$l%k@k_z>m)? V p2p=n*pHނ@6-(J3= 8Vm5*+Crw#? Kk|w*$A)ESE?I)@6E,$953ڼ{3C, D93J'?1a)eM7}c 6Gਝ 1 VƴM!;52Xld1l& ;aLY|J]3U-EIHOL:-h[m,Sޔ|z-#ӥYv}z"-7iG;ĝiƴx?mAL8?VLH30v[7ypHr[TڈRvt˰'K/E v}2%jd5_f@ &gN;rj_bLwZwvBȭo@odM훺#ozٺx4GJk TCaR^i{!u#?:Hԭ f\:) G崛aS1>'+/lF AX,X?!>(^lLj<ސYҘuzEⵍ U %u c򞴞5ZlR+)gn<6b7x(Z]k>|74P e^iYa~왞$w4dt ͍q6Wz1PvMߖ.@S1b|aeZNxSl'i%m mPmꥒܝԄ_y+]IYU7. ײ:,PJwC9^%@`g~h Un6b]jy*G7;\N> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30166 14067 0 R >> >> /Type /Page >> endobj 14061 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14062 0 obj [14061 0 R 14063 0 R 14064 0 R 14068 0 R] endobj 14063 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 405.825 112.8525 416.825] /Subtype /Link /Type /Annot >> endobj 14064 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [118.1655 405.825 163.7275 416.825] /Subtype /Link /Type /Annot >> endobj 14065 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14066 0 obj << /Length 19 >> stream q /Iabc30166 Do Q endstream endobj 14067 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30167 20690 0 R /Gabc30168 20697 0 R >> /Font << /Fabc30169 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14069 0 obj << /Filter /FlateDecode /Length 2731 >> stream xڭZKoW@w~Y`oC''vHK~&#nHV*/j ru˳n4c"ovYuÚC^?>|0&;8PZ)RS~vk uƚsxK<u[KK;zMzT/²8 `m?~oڬh2gx;[տlc]ہDt~ǝ/76D@ qM|5zURb:R?ių&4c* o=<57yVqYH{b#1F30 ‰x@9&vC;i )ՠrk5΄b=TՉ[GӖ#bk8y9&Ʃ[nu*SпWFexyV!ƐKWZm]B̑,t10qwyMXk,1*ֈ{_0ޒߏz̽7MWXeRA;`DE!PSZ,!J+:޳@P9!Qڒ@[8w)S'.o ;rMt%"*1 "JQ0= @quExAhw]}[|Z\,Q{%(\n|HXvdžuBHb=m[[M޲[4ioޖ Xik-p#<,Y{$(5s̀7oշ0&|GӦ|-lSswh\/ g*v{=Qfeg(FK hAհm4[m0XHa)&baJzp^xiHxxѺ׷ZG@k$,.Uŕ}`}oFSRqHa*onnP% k3lz<^? 9P\t6"}o(#.ѝne>4xXm&="*s-DaSP{-U!hdnհ;#i?a#CatQc{DTc{;;GOC.?:ZPCj-˼igiMmk `ZBTQwfnwk9y-BEaf^Y7Կ)꩏1]Udބ!ı53E7r.' 5k]WF,ȕn2gfEK.RXi`0PmӋ9m)=y(<x3oM Y qW/:'I}O1em@`oGnq=>~=zrfԽ]]ObnBL:K )gҐw%;#g*!:,kN{x>򧳥O{c~m fݖgx';l> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30185 14076 0 R >> >> /Type /Page >> endobj 14071 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14072 0 obj [14071 0 R 14073 0 R 14077 0 R] endobj 14073 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 396.625 145.297 407.625] /Subtype /Link /Type /Annot >> endobj 14074 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14075 0 obj << /Length 19 >> stream q /Iabc30185 Do Q endstream endobj 14076 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30186 20690 0 R /Gabc30187 20697 0 R >> /Font << /Fabc30188 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H -ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$< endstream endobj 14077 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1258) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14078 0 obj << /Filter /FlateDecode /Length 3856 >> stream xڽndίxgp_Azd7;4K~?pԭ~܊I[.v1?R,VisX]>&sH/^?rrBoь77{5|t/Y|KrW[u^c`,~Z>~R_H[,фbo_Ci1:MFo}_gk/?*0]sG]k6eUg; ,;xgc<Ϗ PQJ{˺c{GE靼H T詷?.U.m}- 8NҨ??$u)9+ 2EGߍUh'<}i`٥.nfMJ ?pϰ3<@c0*8_AO  q }>|v,\o<-PuՒa5b>>.WuoMﵵ7v8M5ކ v*d@5nYAȼb$'1N>ez_=-A DD y%Ҥ)a) ،v#R ( ^Ӯ]שkVk;UƬ3h[OՌq)˭KQ.eu)ꍥ?Q#ڸC'Mi|`kBMc]_%=GpݮjS5$Zds~3NZ˄OPm±`C1;ynx`nb2Q52C )C9̴Ukܴ_R n58Z61f^a$}x2emҦCjC4X%nlc[޴Jvм } >o/Pe5+%#fv/еMpЖ`F}H; )Pi~,5W’@T!R D#[T=f+ͪz(D殝rkhj3࢒ݜ*$*p^ :B m@By:J˨a~ݍbj)A-#Ph:<_ԨKa!mSA* %( 2+-k Աj4H`,ՒB W5{Tte4ҊCpZ$QVyX)fŰry=GsQ'OIbĞIbZxa̚,vU*sDa+ZɀgnKQ'HFQ+^ض+++0%UEO>s*zY=T1G- 'Y"o&ړz6R#R/߃Bj=tLʳKwf(`{rLTQ;3a eAEEƕ҄Sԭ' ׶ZEmIتaC 4s4o*my?~W=x ɩ@-h{qkl!з/2P`o%c;^E.2bЊS[.SSX ]]&ƭUb(W Scc6Sǁ=k`(@Cb,Mu͵V,Xo~U3.K^Is  נ^Ђ0j~:̠FVm[WҚRbh51ކ+A$¹ȢP &L|>̈!,JVHo'j :sJhx4X {][ơP&)T< ۃ4=B,H\nE$WGiKLy-$<̶jܻڻl:N˵MkP;vv6+itV&;+.mj-+rtk{Iq,b$"HdcD$A+97)`cm$:\93+#^Odo9D2"$iN~G5bvqg3Ǘ 9`ǶUlu=T~0.kWoyCI~q ijO Z=ݢŬ.Zmw. Lx&vܻ%:ŝ4s^Z;~ӥ.LAvt!H$2-yF̬8ìg*&2|D|P3 @C!hr_AmpRʄ3h ]>YAl'\dqv )E]xCW\WR7.X!o!q]zMwq%%n騇6;llhRfn mi[o,atv4{-ږ&=r85U`ގlF֑ٜ YбC l'#u5BVy6ND'Ŋ.X<NW}UuL 'ܜtT>/K.snotSqy{A+u{oKׁ rc/7c.W[w Ͻ]JuvWa;=u a@9w*5e hĉ2=('1T7H'v,j"z]Ux&_B>P2Ch@NNz_o%3բGu%?е&4NɻԔ}9*vUNx$k&k&1Kh C Q}w {o.x=&kL`^D;3mk\/^gHϸSskr!cGNWa3-jCB{W=CF©5xq.XajپZ8S/XvJmf*|&JI@ZPw J>7rskX'x Z=1fNF"QEJ&f8r}6\|E5&m) Eȅ/omqĸRYd'@2 6)ۃVw>(smLO8~V?oV$"3F qWpn9 ~-`O/C1^ܰmDOfkțh۸3<~oxWz~BϭSgY"}C;(C]C#!q̓GBl)-|~"/gfs3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30204 14089 0 R >> >> /Type /Page >> endobj 14080 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14081 0 obj [14080 0 R 14082 0 R 14083 0 R 14084 0 R 14085 0 R 14086 0 R 14090 0 R] endobj 14082 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20210608081201-08'00') /Rect [104.1732 553.6 246.4417 564.6] /Subtype /Link /Type /Annot >> endobj 14083 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20210608081201-08'00') /Rect [104.1732 537.4 266.5552 548.4] /Subtype /Link /Type /Annot >> endobj 14084 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 521.1999 236.5197 532.1999] /Subtype /Link /Type /Annot >> endobj 14085 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 504.9999 256.6332 515.9999] /Subtype /Link /Type /Annot >> endobj 14086 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 488.7999 257.2217 499.7999] /Subtype /Link /Type /Annot >> endobj 14087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14088 0 obj << /Length 19 >> stream q /Iabc30204 Do Q endstream endobj 14089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30205 20690 0 R /Gabc30206 20697 0 R >> /Font << /Fabc30207 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14091 0 obj << /Filter /FlateDecode /Length 1710 >> stream xXK#7Wнz?`0؞q BNlBv/TV{<3iTw֟\~|gu XB}Ckkԧ`M'˝1'10&'1glط1`w;! ƔEq Amy ﮉI'Z!w+Cl-!NgQ<7sg R3wW}N D89%::WlvZs|_**y8 j'c:aspF*R%ms܋KEKAZ_XeU"NNq :rŒCWV&Pa 뫈{8OYC4(^]lYB٤?? Ec0/s K19S v'us.0l c'xtuJ#hm`uOw cx,mMbh,CKRYe|[CX+~fUoqXom-aN>'oga,;pTN:F>cc:L L:>"0{ئq%!P⭅|U'jb&gbrxK3ت\AՠXֽِG ow uۊ׹|O}a"~.p0l89?"0!`Q~kt6l  GC^rPDCO!DohWP9WWBC2Ibf}yv#65FϤ@V: ;2sg5W5ׯ|dQ^]+AFe޴s}(Aݩkxgs#uphTIks=9αOȻ^ꫝZAፒ7d0^~.n׋Sm-ݴH?.\NTvnCn.e47Q7**vrbB0;`~ auBn7[EՎ[Tn7'L' Αd-&Q)ǚ֕` 6]t)Hf=0PscٞǙapn4A 5F]5f,WwaqV mF ̳ q|ހ/w2MDѵ<ހ71@+Cn+q  b^qKkuq|3e7 \}}1خt|uKte 9OVn|3[F2Z }:-Vc~{!cyBǴKI:;it~FGuՅr(|s8< OxNDYK'> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30223 14098 0 R >> >> /Type /Page >> endobj 14093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14094 0 obj [14093 0 R 14095 0 R 14099 0 R] endobj 14095 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 439.225 145.297 450.225] /Subtype /Link /Type /Annot >> endobj 14096 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14097 0 obj << /Length 19 >> stream q /Iabc30223 Do Q endstream endobj 14098 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30224 20690 0 R /Gabc30225 20697 0 R >> /Font << /Fabc30226 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 14099 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1260) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14100 0 obj << /Filter /FlateDecode /Length 3665 >> stream xڽn$_iǀ,OJ SIj[ݼuX/Y4]_ZglJ?/,:&[>.:`5|\n_}{hZh/ ~ 9*CsA6(/^>}V_Ⱦ]^}0@ϋ^cФWKD_ K-fF%5PEch"gm|?򽢉N zKO({E DE)8W=RFx|p->|Eh&._C뙕 47 ڭ 00=.?d.q)>vD{`b@Ų3'@[}P[!%>ޖRΚx&H5rȎgTd?B=r+Ghr6E J=y\(߀cЁzx0WjL^:{* PAN}G:sg(Uꡨ]m#(1]!c{= ЃYo eMgLFU't'x;q)mի qKśFtF)Tk)1,yփG:FɗxCf˟ąۖG/WBk.讉aD'iP /R1,3?͖oSlԴ e7י+Y3Po8K+qj+ON8{Nԏےlh%vP¸dP>} 8 0ûB WAR_7@MbB̄S-a5afF9e+G$./s[>b&J k`X^0~i6 еZ8`QhFazR_ x,C(b ^|G$E3@e跾XWV 8WC"a(&9f_PH~8a g=L5v @Tε0UnTw[:#&JeRjX^Zs(dTkO`n 0༚*3e2$P R!A>pBu/ْl<礌V>`MhUMq\w7RS3ݯzfD_HjIEGu)ҾQF#ι;!]ʊuh,2H*,*>lrj".UYwXYFv]umWu>i=a#Ws\ܝJ5@q𺅼aug^levir&Q< 8:\AjBwݮ fg+\tSBA4zo1Bo:ׅѦвfSgzzփNHlhkƻ쾉CQ_ۭd%d#zH )2rr7&l5rȱm^-v8 ]{}sHqb>ª"&(6ןqKT* 7%z23NL\%X]ڸIJ* p؎+ ˕&ez1Hw<>,ͩnd[M̢$j%BP)qD(P%1HTSU2\GRs=y^U!laٕHSBM7<'Ź6 nDw2a2u@Ct6Z[V9 |ӹk|92 wO(M^Y.|Zc8c\uo. B] u ó#}˨L\}`d$0ԪA,NƋXw]'RmS]SXlbW.GHD δqN!"u(8 @iB7HKsˁufLq*Pr8{' Ғ vk؏twצ>)ESjC.5@4x#ͮ֏"^~W.ҁy L|i6UZ&b̄0I1eQ+p. ꮳ[^+o@VY°*WW#Dlde+8 ՃrLM LIǧ9@b(*QM MeU54SuۜM6qܺ%bܹl)HҚl^9OVVia  쬠'[c4'^MjG= Gw&-.ِHbw{>77ӋuA71D(6T!?RJ uVgG<]67 8GKIaCI6 1+ rKzM1˪3^8$X˲Cv<])y kkhd Hygʔ>@gLpayY@4dpmUЊ@5ҙîA4)W/o|>/ {vuLmYEXG*0}X򽋀wu(S46EGqMx„ Ɂah+E<$"i>l׫ cݚ-Y8f/H̠ f"\, P1Gz]}bu'r, F7X[!`)nk 1Q mj+ƚNqsIMgu!z!Uo|קT}]@eKx'%< _Ϩ.FIԕZgd$&Щ2Q/RYrY5Xn-zuA2lxT &(5_p.|[K/R**a1T. f*<zmxᄩ H>/)$얲3,͈s=^^DUR*+r@eXrUCe* 9KLT*lH.ALvYu ) mq,ϑb_/?]9yIjSqe8Z&׏VSD ϕ̸=#_z-K[^ύ\q:}(+'W,SHa6s00`S5g@>DO.OGx$oJ <]> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30242 14111 0 R >> >> /Type /Page >> endobj 14102 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14103 0 obj [14102 0 R 14104 0 R 14105 0 R 14106 0 R 14107 0 R 14108 0 R 14112 0 R] endobj 14104 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 246.4417 686.7] /Subtype /Link /Type /Annot >> endobj 14105 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 266.5552 670.5] /Subtype /Link /Type /Annot >> endobj 14106 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 256.6332 654.3] /Subtype /Link /Type /Annot >> endobj 14107 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 236.5197 638.1] /Subtype /Link /Type /Annot >> endobj 14108 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 277.3352 621.9] /Subtype /Link /Type /Annot >> endobj 14109 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14110 0 obj << /Length 19 >> stream q /Iabc30242 Do Q endstream endobj 14111 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30243 20690 0 R /Gabc30244 20697 0 R >> /Font << /Fabc30245 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ5 endstream endobj 14112 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1261) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14113 0 obj << /Filter /FlateDecode /Length 1269 >> stream xXIO\9WDn+B+9%}|61U`l*H? #<7;텶鈭䄦EDļ!oBM:Ƹ.N@E&Voliq=3E5S1@Pul{%rvw`g;,8PJxoU?4<596sytY(rxd_ɹFWkCL`KN/LLb`\ xx#ʼE3ՀB-%ȅbBO:T 7Bơ%_22z&>fHZ1t4 =ѱ;6Mk57ʫs.tW?h[M} (K2fƹo`:vh饒f >+ &iK}Slxk ;i7 ů'Mm-p-O2~-ӏjȘ-΄,.e4גR(@[#X0CfS#8)UVC;12d n-izNR^0\TT+2U͙zn[,q\:hJ/ۆ9 UA>>fe%u]1:LB[7*r}>Q$X7FQ}'=biS5I:7XS+¹MPF-Y:TR{J3`WslOh#H!bnGi!$wa<L ̀.&Qͳ\ q|ސ/wCʼn-3NOƭˁ[SOJ\C09 l5/8ͥp&'m:Y]wl K+"ҭ^rU KG%cRhFr"f{Ktp 65cXyz5ũ\bi,_!s*> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30261 14120 0 R >> >> /Type /Page >> endobj 14115 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14116 0 obj [14115 0 R 14117 0 R 14121 0 R] endobj 14117 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 396.525 137.278 407.525] /Subtype /Link /Type /Annot >> endobj 14118 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14119 0 obj << /Length 19 >> stream q /Iabc30261 Do Q endstream endobj 14120 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30262 20690 0 R /Gabc30263 20697 0 R >> /Font << /Fabc30264 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14122 0 obj << /Filter /FlateDecode /Length 5056 >> stream xڵnί4 7g InK~?⫧g4&zXEzEßR Viu/,_~:%[|]~uj5\߂no{khWj}l l'k/˧/u #OOM?PEod7KD.Ưg[L1R|K([ҡM%ӝZH>yzPӽ25I 4xOP' ;('5w){E$sH%JԿtI)` ,c!_=LץÛV_C&jo@CR8&`& S&KE)l'᝔Io\?pD l 󇓐qڠB+ 33h: #2P}\=(+Ţ# 9[i[;h э,xe &}3O;BEs)zKh4"#pW'IBk6,;4iY|fsFH{^' U+L6ԽZc7 [mbαX:MczXg mS~cqHϸ` K5-LmKےrY M0m0/03L'@z*#2& & h,Bd@k" G]Q ZXk=0Wg58eNV5֣J;8Tn QeEB3ؒ<gyќP\4قTU}\ (H&f3@2ȐG"UσYѵrټ7cYUJ -K*ҤsǛPIClv4ftCK޲!`/c"=˜`[haٻ64낫2_$3:*T LR'm:o4 }:,}o6IKkHJQjK|LPYS3Q;vfC}oXԩ{38f~1;jŻ9wrFԳiMrO,{nJl6BXvk,o*"$as94-fݸ|Y,dB** @JTs6XåEwAμӧjx$þ2w W&JrVC1[^aǣ%foIa ` ̰o?/WhZ˯g xhe@u@1!b~PiPk+36Z)qiQW_v$:$6J=B4T]xfVcП ܸ`ooD=HqƣeRkj_ZsY/W9♚40GXcp^M2bV\FW(Zc͈`8lIWz!ւNW sT?ߍiT5HCoX&fꦀ(zfb؄ yG֍HF%84\V,CgwėXYzTe.WnQPddd`cQ]5:tz0G-jvLԝG&:'cVG^~!;HnInI)oZ,oySOce[qa`5E#!Ί*AVqyAB~ƍҀ+U]Z+>, jZ<*¯2;kb8v@C9,*:q巀pXy:[ NVvCUS7ljGN%&$JaBcN"!Ɇo d%?a~*~JP-f90U<$<&jDRO?adtl)m cUiE!ej1gf2as^`[ɁSB.cW2VN`NRJODXK[';C$5-mlM0P;+[X> 4殟E@%N8V*YaNC]i3A=Ict6"lFT֎"XD=4%1H.`">ÎO̳ sRZGu|Za?m\[\8X_`[Jj%fH1cvT fɃ}#aOzi[6wӛ9[4azdm1k@.G/)|xY,9v2CiB " fK0V+¦'`^t&D@1APIŔ9q{P0ߨGfjFPY`K* D^q!ŷ1A>I )U/ إ0TViZ ^zUCj 09xoo=4[I05OaHr`k( 39{ȚÃ鱂G"` ],;8,:PDWi-hJSVi)BZ58([ 5@%KT w骾0/^S\>.`xʃN.%IFFB˒N_P k?Gւ{ (2[SB]YP# XmȬ\~%j>kfX,&hQp(V6QH(}X+CMHB]`_AZI=.K 4Z NՔ ŻV1P;ΒZ@a,ukI\I~l"2N`E6nt@#(ĝzB]d _BkB5pGE^V$ZTk^yӀro+~ ȣH׷尡r,iȡCþekǑ;҃`/ETi'P;z4`2Mǖ7 % PҖ!EK<άIZшlfc>foE|D/9 ʴa(M/qÕ;m=Q;u?)8%gq_Qz]y"g:ѝӔu}M78l~d}GiF\Yvɔ %[VŨӂst6\S1JKܐa-e,q 9@Qѫt %6h*mvcmp{pnX.UH``9g-HL.a]N8ws٤~Uie'%O +oqS.LPh'GL˵Ou0thm'?9ḭk`^c㚥7'aP킫2Sy%5e.VRAZYw׏*Weԋ$H1Ik̙>uV6U@-ppzom(|b9ݦB?F)Tk<3[L-h6CDrOQ+ .6m6i9;@•xv8V:lJe&mچ˘s̗1Oȫ=Vz]]:c ۺ@;]+0}fʧRk_9KٓEGq mgcm 6bGx&C_G3 ARcϞ &/+3PKxzaSPʜ~łXPyǣ©Zy?Q0z^ȻU <5k:!%u4~QNa·!|7$ k&`. ͥxMM/:#=dZaTj@K(3WΏ?Z@g]ܾ%ġCraOy6\+DkXL3 W)D0*dd*ZL.H)>ዲKBn)[;<PR~i5kһQj(uZ eBGj>\Ee* 䥈\xm|SedW7wn7[僢![<.tDl<\ lIjSqe8Wob~O̡m0t8Q:x۰ew|ު뫶^mB{b]GWfv;ONz]V[ǃdM%2{=Js%!Q}z~'q]<' v፿?ï^`?9k2n1F[a'cp=}vN[*ް9}w?_ɥv':Vo!A]'9:NB"%% endstream endobj 14123 0 obj << /Annots 14125 0 R /BleedBox [0 0 612 792] /Contents [14139 0 R 14135 0 R 14136 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30280 14137 0 R >> >> /Type /Page >> endobj 14124 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14125 0 obj [14124 0 R 14126 0 R 14127 0 R 14128 0 R 14129 0 R 14130 0 R 14131 0 R 14132 0 R 14133 0 R 14134 0 R 14138 0 R] endobj 14126 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 480.7 186.4367 491.7] /Subtype /Link /Type /Annot >> endobj 14127 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 464.5 195.1267 475.5] /Subtype /Link /Type /Annot >> endobj 14128 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 448.3 192.6352 459.3] /Subtype /Link /Type /Annot >> endobj 14129 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [104.1732 432.1 170.4757 443.1] /Subtype /Link /Type /Annot >> endobj 14130 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 415.9 210.6422 426.9] /Subtype /Link /Type /Annot >> endobj 14131 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 399.7 184.2422 410.7] /Subtype /Link /Type /Annot >> endobj 14132 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 383.4999 217.6437 394.4999] /Subtype /Link /Type /Annot >> endobj 14133 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 367.2999 198.5807 378.2999] /Subtype /Link /Type /Annot >> endobj 14134 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 351.0999 203.3877 362.0999] /Subtype /Link /Type /Annot >> endobj 14135 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14136 0 obj << /Length 19 >> stream q /Iabc30280 Do Q endstream endobj 14137 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30281 20690 0 R /Gabc30282 20697 0 R >> /Font << /Fabc30283 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H`ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM H endstream endobj 14138 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1263) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14139 0 obj << /Filter /FlateDecode /Length 2124 >> stream xYK9W<@)z?À{9nf0p/_嶻;=`Tȏ)wi X$[lI_,?4F.*U&ϝ-? vʯUD J. )OS B:ֆSS䎇چ% I`if!X&xC𮡳MVMCiStj -A KLbBRޙ+׈6ZZ3|Çu+,c@ˮi3[.v蠠I/ J%E,V=wZ|=|/$?vةjqpG:P:{ہbYJY9P@.^6VЬ=)QFԯ#ϣ/M}?NR &~:y6|1(3f-*F*cwKl%īe ɕUrxV`cSDK_:Mn<&04*0?9uQ%Q(oѥ< P`_pqkY vV-یu Ƕ{nsgFɕQ.^FD__L)LY|Zi>W{ N!UYb/ &G0bLSd ̩.re c4giZ0#൱;@iC׻D.ǵ|H{Ӳ 5T#VW wO z@z/-WAYY7AlWၖ-Îj,rӔ1)(͝-"U#UHs^v=ny]J0GIW#f ZⱾ,ԑ& 즪XY_^.p y5K(G?eˈID]kD èB^]Lj4L0K*Ĕ_tEV'{J= u{Hfr-\ڵ,]a[eC1UޔQsm Ӹ;,χҪjp; 4 S okZt^iY 5nrc-daݼ-'5g_y#6RgD[2o_I$⮞SqE> 2Ӑ6 ,g|k?9<HzاC>_k'Qۅ_~,p1qQs"YZי4P ]KFa<5 z:@'-Piĥe#dc -,N ~Sy ]Pw?0a77^hԤcb88*7ή]VLہO.pA endstream endobj 14140 0 obj << /Annots 14142 0 R /BleedBox [0 0 612 792] /Contents [14148 0 R 14144 0 R 14145 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30299 14146 0 R >> >> /Type /Page >> endobj 14141 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14142 0 obj [14141 0 R 14143 0 R 14147 0 R] endobj 14143 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 377.425 137.278 388.425] /Subtype /Link /Type /Annot >> endobj 14144 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14145 0 obj << /Length 19 >> stream q /Iabc30299 Do Q endstream endobj 14146 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30300 20690 0 R /Gabc30301 20697 0 R >> /Font << /Fabc30302 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14148 0 obj << /Filter /FlateDecode /Length 4941 >> stream xڭ<Ɏ7w~E Ttu M9/t{|VvϦ}kp-C*䎕io|N@^cIiMfWe +S-fֶ%5Pki;}^h+-^CXN@`>=^w`{&;`$Y`8 Bu 3O>É1Fza`SHo(ɮ&R\I0%1|4^qO `u D0<($DNG? \\6kZl_T=3=PFc3P0G_6S3կ3#BF&Kf9v)2le f13]0okLq']/eP""{{Mn^0 L q@p5%OZڢK^`!N/t/9Ch$H 8†x.d=:e2WOi?JyD퉝>VId* pa1d)Jϔm-bmR^3 F A[Իw%s팴0K[DI7kWH[S-@ґ<2^symkΆ},8a_`SRU]VRE, OVych%5D*~uޡi./_8)~A/|0 Q0bZ,CCŶ!(} __KHhNfH1[Lr8lW$-)ztƳ`jB"SׂVu+I⁄iM62$)T3:Vsv)l87IPcV헟> Ww]e9LH 8?OZM=I7`J u5ddpٮpa]1ETY־g/;4 DGF)b 83W3h~9m:ɻz\ _a2%2 ڲmvЋOS4pHFd3oF+lў0Pհؖs|4X8Kv]E |~̊Żt/H,nVk-e"r-qU3VDr<MC\[Uէ$=ATeV%;gcpLsirFVOT>`U aM ǿ++yz`!1se!d_A.#kD౟qjj ht1 =h֒gdA w>`[roh5oɦ'pm:Cc! #A+X3mF)rhS0nۃĄrߨGiE,CeIvMc<%j fzMC^ bN!:39x_ZX9FR\ pVJD [TÀXH0 ѥwQԉCIzl %"LY5#jPG:TF莺'+V-$&5$$?: aСVpC"SO\$SKQ@ հ;("]Q]jQQ-bEǕd"^> ˱q +XZ5gZ qGH~;h^H%ޙVB]>&`ty:9\[22MV*)!_#."fϙh=>פVKsM/`Id| @cp>;‹Fݴ :/m$^!Ͷ17sh[n'7sbV.v3!qzA{nZV2̲Kt >/5]p:Or:[]GzΨ 'T̨myx/(s)Tz5n_'BhRk$C0k\ly6wdxZtM"lVSa41@]tC8[3jCbMV4eDSALE1)2 `.qR ,%,V:!6\\c܍|B^m_><*[p/9{&CgA#QneLp]X{[)b8eRs4CsP19ߣZADXte qI' J_Fρ~ؖgHO*f j]F(QGF ĕZƚqsIMg+u9rAqK}@§! +kOX4! ݰp__9j55eˡ鉹nxP @ WKBGGï+ff˻"`}:q," g#Cc _%oL ΅3jMJs~H%!ai*_[Mm>Ek`TJVlCYH-ǒK`LV4A Q oo29b\P}, - l]ZaHa 5ڝ=:<\ ۘ 8 w㜊+CB_ zbʡ]lз?"h#>K8ppwCI._n@nnO7ۥ gI>p*q$Z 7 7R(ZI33MdC#J^ \}v<{2 . /6(;+ӖIy =EQzwA~ߒ~J Omt=R'QHi]Բ?; endstream endobj 14149 0 obj << /Annots 14151 0 R /BleedBox [0 0 612 792] /Contents [14164 0 R 14160 0 R 14161 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30318 14162 0 R >> >> /Type /Page >> endobj 14150 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14151 0 obj [14150 0 R 14152 0 R 14153 0 R 14154 0 R 14155 0 R 14156 0 R 14157 0 R 14158 0 R 14159 0 R 14163 0 R] endobj 14152 0 obj << /A << /D (unique_199) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_probe_enum) /M (D:20210608081201-08'00') /Rect [104.1732 402.6385 207.1332 413.6385] /Subtype /Link /Type /Annot >> endobj 14153 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 386.4384 195.1267 397.4384] /Subtype /Link /Type /Annot >> endobj 14154 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 370.2384 174.7052 381.2384] /Subtype /Link /Type /Annot >> endobj 14155 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 354.0384 180.2547 365.0384] /Subtype /Link /Type /Annot >> endobj 14156 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 337.8384 159.8332 348.8384] /Subtype /Link /Type /Annot >> endobj 14157 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 321.6384 177.2792 332.6384] /Subtype /Link /Type /Annot >> endobj 14158 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 305.4384 163.6832 316.4384] /Subtype /Link /Type /Annot >> endobj 14159 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 289.2384 180.9312 300.2384] /Subtype /Link /Type /Annot >> endobj 14160 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14161 0 obj << /Length 19 >> stream q /Iabc30318 Do Q endstream endobj 14162 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30319 20690 0 R /Gabc30320 20697 0 R >> /Font << /Fabc30321 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛܿ endstream endobj 14163 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1265) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14164 0 obj << /Filter /FlateDecode /Length 2635 >> stream xڭZI9W1Hrl94}0T5ؗ6rmpJiE[m\~zѭte?Qba}O1^[FTS4}/|]k`36-ӒLk^,ft 4&^9p yMֺQD 1=txhx$~NÉdL(q>I eM`Z aGtCg69gzɒDTϼ.}brѼ*ORD=?Kͫwp]lXcM65|]`KsD$t cv:mԣ P&O(iXH>3L;1"$NU,1&}>;@AVnmQlF|ȗF#iwG_B+Hnaz~q~56q'@نm t j0V#"w kdLMInsRmgi7yGe OEk{-GpX'I}(gx*{³5ޡ+U+~`NN>4UI]ecx/~˜C{E!81-VZswx 2ؓ|6ՒTT!ݎLs&˜N@8V)rQ vꚒMDJcvk9My1fd oDu-9UXlW$(x vdx^mġ|]!ڼy.4I+$GM2;}QP5C)WD JH 5DrNp4pI6}P!WEK0̔ o .T/~ =Nc'L0ypG`jsho'_B&A\8'/g:|I{ jPy.&fy!pige_g_@,[sf70eͽX0LY9eF?đsimoK:@]Tpjq)y aI1>q@ !04N8|wU %dC|^M+;JJ4ˍ~AT)8"ɸ04,Z]tzUPGGDw TszyƢ VT3ҴA 6C'.+~] [Usvat/r5D"lHP+4kj ĖP!S[r"X<#% Mv*Wk^L."N|a3drC?뼺x3 7)C Ank$ֺOf8גwK>WgAv&,m]]Z`G.M4C\6ǃzy1sӓMo+w H8N5$b0L8w #" Ag5K5d5|7.%U@)P~ f,`A)} ^ ZfufB߳=}1^n\V˓$=)-4=,U͖R2nHJ؎I'4/+d4 ?gy%2Ah @XYX>AD @'z}"-Է xҽPq"Q'4kmN,Ij9*^ȶ1qYZ,E˔$DHmlU3KS*!~< /fZQ{s_c:cYݛH1V`2n`drB/ıtm(24\/O877vIq[빙l'Ha%nh۲C!m/8&d'䍒4HU-dIw)6WY+ ~ B]]F>Ņ󺜝].+xm6^̅De>;әY.]t9xy!?GsORՏTZ_WPC"ݼ B;P OGxOM10E(s_y8BQ5> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30337 14171 0 R >> >> /Type /Page >> endobj 14166 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14167 0 obj [14166 0 R 14168 0 R 14172 0 R] endobj 14168 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 14169 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14170 0 obj << /Length 19 >> stream q /Iabc30337 Do Q endstream endobj 14171 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30338 20690 0 R /Gabc30339 20697 0 R >> /Font << /Fabc30340 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ  endstream endobj 14172 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1266) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14173 0 obj << /Filter /FlateDecode /Length 3922 >> stream xڭˎ7ίsu~ [rXlXx/[/[5dXoK˗,+|zYjY_.M~f85e;Cx'Sɶ^m]L{mmmelx\|=gZ߇ǟ5ndKо?o?,ƒ^3.5%ן]'0+,0bf*_BYPuYxxY>{E{eW})?&`r < Q:.Gզzk&)3~ F>>y^Y0 1ZRŒy XZ|0}_ZPE5-6q*_ Kό)+` a]}Jo+ ~3 kc= 6gi?æie8,o֬@sO-*bt}7!zWchOvA;5{ێh cOl+R!GCZ Cg sicZ,>w`̶t v;C7b}oĮCc(5hrƣ!MrFW1@Oz^:bײh*@ ۉgK.Bm5x<24\A˟\^B>rlI7Dgm:FVղ'/uA+M$*b>$yʰKLQcI!I_i;ץf{iKYW"x(lDr,~vB٫D]U }cr}PL&FsPyqfw8fh2ԟUE OGrvMRq;!ԍ`zr] 3§}CT_`h)p PD0>!Lxehض@9yϰ&` _K QJYB/dH.&9\ SO-M'䄤34351]^;x !u*JmHa~i{wZjPYVtfm M Ϋ3X&C̊ (u 'Xv-JoA,[}أ2.nLS/lz ]aac};x" %HF%SwZDw.+3;Tج,=T6+ɨ T%? ߓ l,j6@G~q' s0r 돈{Щh4n^7d= c̦7M5ez[8և&,45l{瑉aJ8kHk )k0jqtj'j+rбnxtx 70~02uk̂B6p[`m #%c::CJIGv D+d?@h)Vr_R>uS-[90fqP񋳜4jy@]<wd{5ٚ"3Ӆ%]cqlI:,Ƅ=v\b9jʀ ޛ0s1ᓣV-/ D/ynEd1ǻжS؄$A2 ,_o4ڦCfB( f=gauc*&5>38+ x[`atO/@YJ$jsEeCvu%GPz8 9{tB")lPY Mb_=دxs"ǤA V솤V摮Y !Գ`0Ti|t\qENQvG V7h D*j-*[3uB.LW\zb&9+m;rlNNAM#dzO653nU[1 OUNTɡ4_EZveYHrl7oX=ͫ7AYJWLFA7h@'ÓD*>*MC4b0LWx&z8>W\I!i`jMq's2C*uK=: ,2&Uͨzl:wTڂaҟV cߊ[jݯ1Z1xm?M2zYveёr,${BTШ9C~ thVG0W=K&P:|9OInMtkf&]\\`).ڵ&ӝ"9 V,^4֦!x*@!vqh_HP6( AɊYqREJ"IPW#0*oފn9N%AhBp? ­PmqMRj'hV-|ak'ǒsB΍n%m+IK=tɛCMS$e84LwR?EԜǨb:'THrefJx!I|k}+Cd0hOl5{[},zY&3SFgyjoe\WlGN{з _RGtJձQ!O(.F4NLISSeQAGܺY5Xno>/ɍ̳BĵI`S•)YZQEGevo"uVǬ5RV:HRoX/[M,OLqn|n|[edG7wn7k僢!]ç~?'ɷӉ"\|O >bU0Q$x8`1|pmBv?]E+¥S roB ʚĦ$X |A]-J$; ٮK ey& endstream endobj 14174 0 obj << /Annots 14176 0 R /BleedBox [0 0 612 792] /Contents [14188 0 R 14184 0 R 14185 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30356 14186 0 R >> >> /Type /Page >> endobj 14175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14176 0 obj [14175 0 R 14177 0 R 14178 0 R 14179 0 R 14180 0 R 14181 0 R 14182 0 R 14183 0 R 14187 0 R] endobj 14177 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 538.7076 194.7032 549.7076] /Subtype /Link /Type /Annot >> endobj 14178 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 522.5076 222.7367 533.5076] /Subtype /Link /Type /Annot >> endobj 14179 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 506.3076 195.1267 517.3076] /Subtype /Link /Type /Annot >> endobj 14180 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 490.1076 190.3747 501.1076] /Subtype /Link /Type /Annot >> endobj 14181 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 473.9076 184.7812 484.9076] /Subtype /Link /Type /Annot >> endobj 14182 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20210608081201-08'00') /Rect [104.1732 457.7076 212.8147 468.7076] /Subtype /Link /Type /Annot >> endobj 14183 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 441.5076 228.7537 452.5076] /Subtype /Link /Type /Annot >> endobj 14184 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14185 0 obj << /Length 19 >> stream q /Iabc30356 Do Q endstream endobj 14186 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30357 20690 0 R /Gabc30358 20697 0 R >> /Font << /Fabc30359 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E endstream endobj 14187 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1267) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14188 0 obj << /Filter /FlateDecode /Length 2061 >> stream xYn$+x &I@(QO e~/R]n XB*3_dPwWimNzjr9\s˗ejF첽ڿ?9;;trLMϙT*9пwߙ(&UeT`Y.P2#b*K ^: i^:iZ̥r"O2݊%H NU~ǽ v> L0wfI%=LK\IYag./L ɏCTrvv7JalDZfix&O G xNB>a)BmWYT!:\b6I !z'E1碈l7V;wUHq/j4ΩB0h3C J%w7~g aL( vN^<,蘣 i,{:S 5@W}ץz|R/b62Y1gԓHϒs 9Y1'<|=|ZpS& $Ç&T+ :+w8w=6|1_RYoc'籵6o/3hㄟ(vkB#Nc)5s `|Z(FB~0C8+i 9@XE`OYFCcn\F` 2T ~K B8~.5 Cj!otыK૲3yVJ2P',gjX|`PLB˒Jx9|A~Dt*I~LnҹP>yOϊ~/Aۈ-(;,E 3tP ߐd&F g>HPDl2~t?l#ۚ"Y드dF=SE):'#a5 =%h|ݙAwSg٤X1v83c:â'_6^Q())WEfg_R-U b->"S*+fCf]cFj/(O'%.rW%%y\pEz:|Zg-mַ'ih[=6~2 Er {ZG< p}{6K'T iu'0",n;ӉE\Tv`a0_yL(֥`פI endstream endobj 14189 0 obj << /Annots 14191 0 R /BleedBox [0 0 612 792] /Contents [14197 0 R 14193 0 R 14194 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30375 14195 0 R >> >> /Type /Page >> endobj 14190 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14191 0 obj [14190 0 R 14192 0 R 14196 0 R] endobj 14192 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 14193 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14194 0 obj << /Length 19 >> stream q /Iabc30375 Do Q endstream endobj 14195 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30376 20690 0 R /Gabc30377 20697 0 R >> /Font << /Fabc30378 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14197 0 obj << /Filter /FlateDecode /Length 5192 >> stream xڵˎί賁vfr# M __=iM6Y,֋UER,]_ZglJӟU/_b嗱k1C7[ͶIm]Lϭkel]tδ} f|JtB_X2|ҫv%xZSY~QYam3UkWʚtķ09ŝh 2xZ'K?&B&cd }L6Xᖓ3+O" dQ-$2?|2~k+N`NV5juJA*;Sq'{{(jSҨtk`Б9#{B'=f&,`ˢU&ˉX|4 8w05 WP<u,y2/Rc"-Ƙd`ۢua#ly 6hfW/ύH4-QI͖nhi15K[&F(/'s'}LԎ%]PwrryͽN'Y|B8ȚmeU ?EAJU,*_ _7>9pi5y>[RX=e^~qABWhZ 8@䁑/F7ȩ>`"/ +EF< e_}_} QJJI$y6J=B4T Igh<fZ jlf5V!kKaVz+"m@B4U,ZVkwZjP^V?S)TY,!fepr:V ьX{͖t tr_% -@ԫT5HCoX&f](zfb؄HjIDGu)QF=ԝѝˊeh2+K*m2j\)UIH$w5ߧ8@9Ruh9G=Tc[Rw<_gӛ&coŲ- Z`y6DI3%diHk )4[0jqtj'j+rбnxt 70~02uk̂ lD ip5@FJutFTf:pW̬+ABkrZmF8!!C9[ n%)1QR*R3\e-'>9C.{.cK&ΞRc̏`;)'U< s)_$9Pl {=}A<:̹?Zi@36[SsLۧ؛KxmԨ1Sh^ aaDlFfѠu);շʡvjxv&yc`̖1۱j'ڐ l+d/"۶ dvA JY+b`n;GYH-6LYsZmRDäpS,q/&6P-SiC\@+G w{s7:8DUvQgkGbqoٕZǫ,[I#}-_s]}N@d58IevU*a\:e8 ^ j.\b[T= Oe ! roնjߤ՘b)Pm4TWϚZ)H$[fkސy@5r!,ѯ>%!ȲHUmߪC!3~Z=AaO &Tk` *A%$-~b0㕡4dBWTm͚`#,Ën!ձԄh"&W4!0渽 y JFH*Kyx9al 1VG2aj-iLP'Qg!^^] C)5 PsjH0Fcs"I<;A 4ZRN5*TX( ˉmdM= <Spbߍ]up@8C'I٫44AIa)+?RjqPbkܭ'ibJ tP "03E<11f=TQ?Gl6) zo!eI'(х#kA=R~]L2bf<.,BA,6dVZ. P}ʫ %- ݪ# )Pà+R(ʰ%s`mG!]ΑyJ|UsHv!3r'وf?ex(a _މJ>Z0';VkzA5!4"!ܗ(`fU5 |vlmqx>YN*';+ЅqV&ikwqr|ѭ}x3[~K,ZP޾Ņ9X-Lq@VTZS;`ӻh~PJSM L4cI z䣳GGhf1ё :[ui{ yG1M p,T4Z\xX{ q/6`'pFbWĸ!^#ZP5M}n'Dه(>Ǟeiզ:S`0_5#ׯCdxqplɪIUz޻i x^֏rNc|rOpfDD;d|KɸfF 9 3-Y!TCF`ƝJʺ ؎rIzYO$ -OÐ18NhR裀Z#Wq,aܜ=ׂNr_dyԪmk`Xާ8CDyiV;> g%a֞5(w nj{I>Gr7o3;-#淦|nTQ؀(ee?1|xk\oz:a'^Mb^#ܪIoyK>rtixXLfU7^)%z3e 7W1h yJ6Au{+]2]0m-K{"%L˜bb,rJ`룱u3F5Tk<6$PF@•;]A+ f|!06\1_ލ|B^m_yn]3߄r?bx w|'qOu*Kc%.:V+xAl[?@!Mh2&u|C~hj2usI_j옜5ifn3}.(]/ @Nv' JӟF`*)W)fWO R`4k2Fopop NplB v8~b{& o |&{C ڸ|4?͠fBd:D6`Z??h endstream endobj 14198 0 obj << /Annots 14200 0 R /BleedBox [0 0 612 792] /Contents [14212 0 R 14208 0 R 14209 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30394 14210 0 R >> >> /Type /Page >> endobj 14199 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14200 0 obj [14199 0 R 14201 0 R 14202 0 R 14203 0 R 14204 0 R 14205 0 R 14206 0 R 14207 0 R 14211 0 R] endobj 14201 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 514.4346 194.7032 525.4346] /Subtype /Link /Type /Annot >> endobj 14202 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20210608081201-08'00') /Rect [104.1732 498.2346 222.7367 509.2346] /Subtype /Link /Type /Annot >> endobj 14203 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 482.0346 195.1267 493.0346] /Subtype /Link /Type /Annot >> endobj 14204 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 465.8345 190.3747 476.8345] /Subtype /Link /Type /Annot >> endobj 14205 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 449.6345 184.7812 460.6345] /Subtype /Link /Type /Annot >> endobj 14206 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20210608081201-08'00') /Rect [104.1732 433.4345 212.8147 444.4345] /Subtype /Link /Type /Annot >> endobj 14207 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20210608081201-08'00') /Rect [104.1732 417.2345 200.7202 428.2345] /Subtype /Link /Type /Annot >> endobj 14208 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14209 0 obj << /Length 19 >> stream q /Iabc30394 Do Q endstream endobj 14210 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30395 20690 0 R /Gabc30396 20697 0 R >> /Font << /Fabc30397 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1B endstream endobj 14211 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1269) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14212 0 obj << /Filter /FlateDecode /Length 2071 >> stream xjd9й᩵/$l[ 9 }LuӤʗMOztcjg)i-.ؔӳcN!K/R2?wֺ.A'_cSN ǽU? XƢ5 ZXNYF]u8̟?[{]ezKka{Y`0t PV:! bVmtXx'љD?"aln9dLCD?WG;%۫>k4%VPnd4ih v0  Z| 9g=t%((Bߑ.d HHaM+ٲ.MwwԛujRz DKw]:%Ia`ǡ*|\XF2 )[<]B37"JDvt`l(Zlk:50iZ\4{'M_JТ4o)t/0{uۦ6>CsxKݷ{VD/"Ҝ dymQΡ5q0_<|;QcOIA`L@Ɂ<2+:A׬<5\~G=6!}_疷Lͱe+=c/Q-V<LK5}QEcq8xPr\aLݜ1 fCBQ6W4wqN#}_Obs LφYywRJ$<`Pͩ21k~i*8)rau፯lP[ZE* +sn*pdJ@'l?u0M8,}gQIܰe a=9WAY: RrV)$o;5: ͍=H4$=2,|)^Yj#u7+ُ3/_o.|n>VGƕ! O?pvSJKҪ 0![b\$4RvrƓ-Xyuf`,BwsgZCVɶTT-.|uo/WU.NX[< nEu24qwm8P\\yu˼N:s e書]:qdXF=KCWLba0Op6.x:$:s7%ri/ ׫]m%JݕH#xNUt śXST6LCA2j]-QB[ah> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30413 14219 0 R >> >> /Type /Page >> endobj 14214 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14215 0 obj [14214 0 R 14216 0 R 14220 0 R] endobj 14216 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 14217 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14218 0 obj << /Length 19 >> stream q /Iabc30413 Do Q endstream endobj 14219 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30414 20690 0 R /Gabc30415 20697 0 R >> /Font << /Fabc30416 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14221 0 obj << /Filter /FlateDecode /Length 3673 >> stream xڭn#7ίsU/aZrK9u& /6nt-"{|;b ?J._^glKϷ/,:&[>,?hr|OA'۞ʣڌ;۸!qqj\my\|yY}Urvy^ȷoMa/t5 q ),?Yac3sх\ʚt@Z|.Б<mޞQњ~D .P}:`nB(O0 &KYti>j.5MA %>(N#|-pnS Cq-dQ-!pB 'L?"˵`+Կ86kZm_˟?TSVc]}A6@? D|XOmL9 |BOSֺ3iAd@85fy!?S} Ƃ]݂])o]WE}J Z}+ɕ&f s YцY=˸)gHkb]~a8\}m 8;uġqßyw% F;2ڮ_pCvOƫ{@=#ο,.k\EU(t |;q"l), sKǛDFF+XHK^3XC'2}&-:R{'l 6'1x beQ`MhEMqZw7RS3zfDgNHjIEG})ҾQF3;!]ʊuh,26H:-*>mrj#UYwXY>U[jࣿG?q89ru90{T}/[Vw1_gכ&goų-ecVx`yvsE0%$4{B.k]m -۸{6ujzЩittx 70}(0 uk,B1@p/[Nbm #'ws–::CI%Gv d+쐝ԵlMLeLB۷M}k(bBM1J!V,XB/ӨetݪCߥJMt'ʭQH|-a3Y,z'$ŷJrZLzD&t`O qb6:.C>V)R_ucdX/fY.~q_?Uyd 3܏5iF.(>w` TID[k2*sD'X2 $qjT{čn+!NNDΠb< Dt9 fb ɪxD\[E$;C)2C(*ת6GΰG`?LnZb`@E'h)[ ՜yΛFM D||T/b еl8#an5JόO[>QyPo-U?~@s( \*_löꭐ۝2 @i??4ʽ0/稓LI:Ѿq㎡f= 28rV(nԼY|>)Ur9Ƥ/>khK@r"t`x^c_MNҲ3/Z1$؉HKl=_reNЅN5{V#շ|Ac#uby!אTN˳=W"Y6{xgKx՞ s@A$Ex $fOan$;AZT\k~op]j\}M'7][G(!,5l9§B+C{-bZ ԛen/&$^Mj^w?;JPܭF[lMQ*M6`u7ѩkDlBz9OnɵWִgφW{Ճ~l|>m%`GK^M]UPRF5j6tp+"UfosY›5)(Njq^>i 8PO-f Bj@,M)1ۿp<(hQ 8Gǃ΅6ΪmwJHS5 Ѷa9v+I[ӝoSE J䆜/f9 XCH*2ɷ-K?گޘMPEiI[\yN)02P5yfAbzu O/\T`^Sojd@|99wֽHcž+oن5FHtYzۜsmO]IaґЩ.|[ 6Ө10}YuC\Nի>dAi6x kk3j&ꔱT_>`~pcy^juI nO*hEam&Ax )Wה/o|>/ GvuLmYMXG*0}XUtu(H4DTNd Tu&l2zzp0a[eؼ |^ i4,D߀J7fA>:Lp9I, ɭ̐ !Г{ 1Q j+ƞNqsK-Wux;{һ zWSU *]kbdMCY_PsԄ?T:UZ&PEn?!K7y^^]85%7*F q o OikYZPE<,;%L/ĩF6pº`_ߴ/i$얶3,͈(QQz>Gw27xEF>)k͆Jk>\MՐ[\s,T_*lH.?ALvY ) ~f?ˉ~Qt["d!^tU!pZ\B~jC׆AȌv\UI{kk8ZWYt+t 4qIlqi:fe2 RM q+ y@}'mr'"x p#|||}RY |'Qy;eQ jxU>[0o#7:?T~T|VK5nnU~ʱ&ћO hտs,;jܣA,]$: endstream endobj 14222 0 obj << /Annots 14224 0 R /BleedBox [0 0 612 792] /Contents [14235 0 R 14231 0 R 14232 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30432 14233 0 R >> >> /Type /Page >> endobj 14223 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14224 0 obj [14223 0 R 14225 0 R 14226 0 R 14227 0 R 14228 0 R 14229 0 R 14230 0 R 14234 0 R] endobj 14225 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 199.3617 608.2] /Subtype /Link /Type /Annot >> endobj 14226 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 581 189.4397 592] /Subtype /Link /Type /Annot >> endobj 14227 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 185.6062 575.8] /Subtype /Link /Type /Annot >> endobj 14228 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 190.4132 559.6] /Subtype /Link /Type /Annot >> endobj 14229 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 207.3807 543.4] /Subtype /Link /Type /Annot >> endobj 14230 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 516.1999 194.3402 527.1999] /Subtype /Link /Type /Annot >> endobj 14231 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14232 0 obj << /Length 19 >> stream q /Iabc30432 Do Q endstream endobj 14233 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30433 20690 0 R /Gabc30434 20697 0 R >> /Font << /Fabc30435 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w endstream endobj 14234 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1271) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14235 0 obj << /Filter /FlateDecode /Length 1616 >> stream xڭXKo7W`Y!CѓS(%̐P Nccr8ErVN[OԔ}N./9E>?-SC6wjm[#Z' "75\ƝnhdX.3l,tE_mxS>bұO{kyChI;ݝt tfB#%wNϿB< R޵ d^@eeaTL z<Es.4pV5-&GMei_>?:4.뗿;g2ʷ~[VZjEjӒk/ psc=D|dڑh}t9$=aSdeBZf[9fӰ뫐G|:&߀ڲ0zVDPp\|09F!OȫP]O[s*b)idsJnwJQ|`F}2^4:&a( a.R!d$^"xY^&B 5+rt`=cɏ \j P  2;hܶ GlRSnb&St]eK,7[ 8B'SՇuQ$,>n;/6`JiW+aSlBBvkRvLVcA 53ox`>M-ld[*ْu4-AUSԅ\!E㡉2nXt2pW˫w#_ ߀W.®iuAL{U(4ޖ~-tLF+_b2pLt6h'L!lFZF,ؑ/t٢N^jQ,F_O^F\&e~DM,)j>VـFeF\"ݨ^>b 7{ZGO_ڶ -o},ztErS%9"^)Oi\܊TT3"U#Zq28u(vInfxi9I .ЛI' =f@J6ujߡ^bCwDiHёDS!] plӾ'ԥSӊi[#[J#vn4,$Ҫ4,,+ q2uYe>{!IX;bZS 2*N} 廼T(ҏ~8:LW'Vr2ˎE o+ivMX)ck؅ꥒVcyq!ƕÆ:*}ٲj?7Yu%K+$ҩ^K fo|f xT)q]u;rݩ ]l&MiJ_$P1s($W WCuc|[gLcy^cÍl&*b@m vydw\L((It'(=)]Ij7Lsi'uz#c 8*d65>pڡ 립as#ѐpG}/k;]'\6>E܋monD8ӍU\LHlFKf0 endstream endobj 14236 0 obj << /Annots 14238 0 R /BleedBox [0 0 612 792] /Contents [14244 0 R 14240 0 R 14241 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30451 14242 0 R >> >> /Type /Page >> endobj 14237 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14238 0 obj [14237 0 R 14239 0 R 14243 0 R] endobj 14239 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 14240 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14241 0 obj << /Length 19 >> stream q /Iabc30451 Do Q endstream endobj 14242 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30452 20690 0 R /Gabc30453 20697 0 R >> /Font << /Fabc30454 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=UQ 5\fP=(E'\YZ)][{i4T>Ѭɻ#,JɭURj.\gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14244 0 obj << /Filter /FlateDecode /Length 3686 >> stream xڭn$ί.s_A{ڀovi;3~ƭGSv>R,~J._^ZglJϷ/,:&[>,?hr|_A/۾ʣ;ꏭukilkPx6Sf˧+~Fޚ~_yk,q ֔h_Rv0tZJ,n eM:TZ8 uGx OuYӂeoZ̠ *.g@x\`Gx΀'T6Hm z GFcui-!(؊M؇p[}P  @->`Ѣ- sdc]5`U0=3+431dnHkH6f5\z^: 4ۺ^%P]A*?ש;{(jN@iT*|eVR9#{@=Ofe\@ԣ,Z*PhMz bQVsnxSpE˳Y`^3]C“o)>̖D}R{cN?.Ncd`-yTtD3˼"~y쓴g) TT|g-A&(nv:,}lIKK$(5P_'s'tjy'mI`Wi64:v^|,1;oF+|7WMQOJ!(ޑ7QSk] '!1S~%51eSO @BC 'xd0 ":Mweh6{@9͢ygo}/*(Bdv$Xby^~JC!;䄤34^35vTε0U^۶x !y*JKa~iyϵWZjPQVB;SAhWSefL=^j_5D3b!NZҕ^mZUqwczb֝i Li+,ޱ6a=ܷ(ZQj(oQkNe24xpJ|{Jrq6{a$;$$mt NaTz3qqw*mƭԝ{؛F,@o ЃHGSBd DHʄ$io#;?*'jH;ZB`R+aeQo!]aj*I>&wVBk#,~t-E }Sׄq+؈8 >9 ->L*`j&C 2iFM:jPĉ'iݕcϰ]ZDEIȕۑ+>}ʃpkvSQ $0~ * sbt]MNJlf;i2-goxl%wΣvC%ΕWjI,w Iə (yӁ[4,}+r\IIa|ؗQ|( _MOҲ 39/xOC`¾ , [^VbWF2 5u_U8-\d칵2+sM)C⑚Sn4@ld(:PMeECŵr6}~L'7pAh_I4ybp:w\u^NVSm. fhj/3$9(W##푷#vMkR2m w~$os!WK>)~jiKRwm 66{6^}AƇ]-ovCUptu4{ɫIex!ӺzaN[U]77*YxBN4G}Sf-?VǓm%ٯ)Nzcp6^Aq٭˒T A܋s}8"46Vms=Smδ!;7fzckn CL*495B4Pmׄߵj"/6qSm:|<c^L!2;w曕jBWʉ6(c!~58fR8`xO/asUx<o~[kii4O}y5m. pnOm`b-.oaT}]ܚR 6S0@}YuSCG\ի>dE)y k+kr&1E̔>@g̞pay^kd|'k"atưk6pۘk̗7c>W[ Ͻ􀻺Kuƶ&,t}>z@*F\:X46DDN ʟ:Jύ6)w;0֭2l^cr>/4 mo+3ذ`WX R( -gHl$;رBMQ`z+dƚqsI-W+ux{ (z}oyE{/x=:kD7@񚆣{;xf&^SW8:##6NBGG[ş|ͪioErkѫKtOɅ4eP!\C+cq49԰})o-=KeP$3S QІN @Z/]~I%!ai%/xctXF"Qj(uZMeB K.jvYhfaGsMG /E$?&eެ:_"ُb_/5 ?yM`q8p\/T~ _ 5ђÍ _¶^é\<^å뻂0zu"}O;9+q)$Fn[hC%$2I O#<OI,Le,_ R`aOƄa<EF$xH}}WypnBK.+t PQ\/U:t]+oVzgWpq c`!s8 endstream endobj 14245 0 obj << /Annots 14247 0 R /BleedBox [0 0 612 792] /Contents [14258 0 R 14254 0 R 14255 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30470 14256 0 R >> >> /Type /Page >> endobj 14246 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14247 0 obj [14246 0 R 14248 0 R 14249 0 R 14250 0 R 14251 0 R 14252 0 R 14253 0 R 14257 0 R] endobj 14248 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 208.8492 608.2] /Subtype /Link /Type /Annot >> endobj 14249 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 581 198.9272 592] /Subtype /Link /Type /Annot >> endobj 14250 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 195.0937 575.8] /Subtype /Link /Type /Annot >> endobj 14251 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 199.9007 559.6] /Subtype /Link /Type /Annot >> endobj 14252 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 216.8682 543.4] /Subtype /Link /Type /Annot >> endobj 14253 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 516.1999 203.8277 527.1999] /Subtype /Link /Type /Annot >> endobj 14254 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14255 0 obj << /Length 19 >> stream q /Iabc30470 Do Q endstream endobj 14256 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30471 20690 0 R /Gabc30472 20697 0 R >> /Font << /Fabc30473 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 14257 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1273) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14258 0 obj << /Filter /FlateDecode /Length 1633 >> stream xڭXIo[7W`VR!ɩSrofȷrjA 9~qߴUy}G)W?_Q;OАA;kj.!ÕZƈڢ|QN p b {SCE46tC z7;2{+j7 "z m`m2n-ib .Ҩ?~Xcک?WhAJ0ջ˕+^1t@#= ={6Z#ӎ|@|! OR=iG2#>-̛ e꥝71^i7Jm?kipMdPC ,uIɇ`lAL)8ckNEDLȥQ)9ړJ^YoC BUq1Ge{RN]4˼.YxNsƚxN.^/Ȫ!Q~tHL$4K (rُ :8y.fXUΎ ?qu#Ѵ7M[>)*;l7cg5O76k VGοIp%ir?SJK2׆X bS&e׏ XsL5:f , |;*UٖJ6% +{E>߅B@YbdXb]1,/"WϑW#_ ߀WOw.®~huAL{Q(4^~-t$!*@F30c2pLt6'L!&hF[F8YWȗX:lQ']/(YwQ#7ڈˤ{M"Vc hk-oFm@o5X)%#{ſxTeULֶMmx;e#'%M*UYR(umu˥HUH?#R:R6vGn%ю3~Qxaw16'#6Րz3iށ,۸>8ղ8YX;1x !\BLh8 4;h0#u*AmZ:wjZuPm8#A7MzziwA"굴 ] .ȅ1%ѓøs.6V븼mK/)1%S.|/Cσkc,| z-:Ki kXp1u>=\8HVqA?5` <;.&_[\Px@e#M e(׾+I7 SX?Nod8a b@;H -un̛gqIRwC_l#vB6ȫk -F3Yd{*B.%ӿA endstream endobj 14259 0 obj << /Annots 14261 0 R /BleedBox [0 0 612 792] /Contents [14267 0 R 14263 0 R 14264 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30489 14265 0 R >> >> /Type /Page >> endobj 14260 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14261 0 obj [14260 0 R 14262 0 R 14266 0 R] endobj 14262 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 406.625 121.8835 417.625] /Subtype /Link /Type /Annot >> endobj 14263 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14264 0 obj << /Length 19 >> stream q /Iabc30489 Do Q endstream endobj 14265 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30490 20690 0 R /Gabc30491 20697 0 R >> /Font << /Fabc30492 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14267 0 obj << /Filter /FlateDecode /Length 4130 >> stream xڭ;n8 =7 0 Tڕ[0}@#()ioە"EE,['|[ZY_.M|[r5˷ooo>iZ O>OYƽ֜@I-|~HjK~ R9x+>>?~_\\6[Zm/xizffff`1v~W @W6ȅW~w_O&h.¯v~2glRc྄NQ؍!Ĩ/q?Yddbn+4(g6бBdPȊ࿰a24i+|G뇿-ǀXSc¾o=qK6)J bpGLY'TԣSY7O4W) Mͳ@K@/f[0jG|a鴂TR]򖭇p_~Ιd,H \-F9KeȪRj籦M8`/߫5amtQ1ƸЦ(Axe^xA@I#\Ǧ;WuQGQi&D\%ۋD<͖n*@Z"Ϛm|̧ ßy<5}pwlmþpd#3h8vZٌɺkhQ0gNppk]x|ϰg-,cJ2U$lާ ;}U7bpN/.dgs5"m,7Ԉ&@ds0gz XbW@6* ?Nw<[`hOQB$>0 @ M2"/ wD"PNX4 oKvN7(C"!{)&9 h?SPHf5>4Uxf)(9jB&S uu'@FJ<]FΥ>t{_1$ԯc2r|y5ufVdHXqnP`MZF,UGϖle4"lUAI^>`]h6⌹tuHwRW3ЂQ5A$jYޮ%PH**IS}Rij RVC' 8tj6u*}[Uɩa2ݳ 5JXsGy8)*F He1S h<wl==?lO1[V|6e?HdlU&n:r'+-Ck:; ~+a҆D>azRБ'AImovw5A5j&9F :SufsH C)@Fj4ŀd ;Ouu +NW0%KmnOnlXWmvo\R!]lF$'V6L:=x'^Pm7t:RRF;By+7g}IY8Qj;MFrјTT׼d>sf18Uڕz1gaŘykiX s/u]+ ~6?F $0%]㞙6K7uCf5ANSP'O"d\:kh;?ւ&H6m.D{AXm0DZ/MW.T@Q.Qv-'ēKn@_T &@ib㥭0'}άJA,+}[W2v肔3B=ԛx聧ՄܶxNPKkєk9&kX-5/XmDhǟR*Y%9)|Hg/E t}v?GY,=QzUYW9J yȓt"W}iDLIm~T2[:@v@EJ!zGge!>Eonx$: |~u|q,.!:|0 LEgՕٜED9 i²W&/Kٻk.)hrS}3N)4 «HB3h9={]{hq飙E9>Y Ð']C|84TAXw僮n#39q=,ig=wnmNP c)#!tZ/̌i0:ĮcM2lOSJn9diaWCʖ$Ri?%tΒo_iGjO[|h`;gWw$\C+~qI 4׎N\s[o)2Vi2ΫY=iKLs<ɉ'f9 䖋@rtzt/rXUspďA,R1WdcLL X9F8 KFM a[ o4CvYSƊ=-m؂:eSA`嶛c%ɦh2Lv!hEnM۔#ÔKjO ywԂc (~ܵQx( `A׀(qӑ*v!^CHZ&mk{ 6ǥp0۲e< '-3h0fB0=O0ʜ$`G{7aY }CvPQBQBb y33(an jGRvǕo|7|C@eK+x1KsM,>Ss g6:5~@!hGaG4}"`ћCLIo0JnUfIk[bd0qDasS"x|\$iиT1S;qjѡ^8[H c>|ψj˥ڶvxFF聸~=!h zsri4UG6שF&X]qnr#5*;N7[!.7WGSr_կ?]yY&88r'V8B2X ]C̉Ŗf0]uTE@ X(tz :}GM:ƾ%+7,SJπ! v Q`!whȽ_u ^A.rߏe;xb2֞s?MÆ[?kcqЮ]U WJ%:R6g?+sz¸Vk"Zcrћ Ǯ`EcwKB@,] endstream endobj 14268 0 obj << /Annots 14270 0 R /BleedBox [0 0 612 792] /Contents [14282 0 R 14278 0 R 14279 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30508 14280 0 R >> >> /Type /Page >> endobj 14269 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14270 0 obj [14269 0 R 14271 0 R 14272 0 R 14273 0 R 14274 0 R 14275 0 R 14276 0 R 14277 0 R 14281 0 R] endobj 14271 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 257.4423 155.1032 268.4423] /Subtype /Link /Type /Annot >> endobj 14272 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20210608081201-08'00') /Rect [104.1732 241.2423 177.3067 252.2423] /Subtype /Link /Type /Annot >> endobj 14273 0 obj << /A << /D (unique_422) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20210608081201-08'00') /Rect [104.1732 225.0423 174.8427 236.0423] /Subtype /Link /Type /Annot >> endobj 14274 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 208.8423 187.3167 219.8423] /Subtype /Link /Type /Annot >> endobj 14275 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 192.6423 152.6942 203.6423] /Subtype /Link /Type /Annot >> endobj 14276 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 176.4423 166.7302 187.4423] /Subtype /Link /Type /Annot >> endobj 14277 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 160.2424 154.8007 171.2424] /Subtype /Link /Type /Annot >> endobj 14278 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14279 0 obj << /Length 19 >> stream q /Iabc30508 Do Q endstream endobj 14280 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30509 20690 0 R /Gabc30510 20697 0 R >> /Font << /Fabc30511 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HP ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14282 0 obj << /Filter /FlateDecode /Length 3017 >> stream xڽZɎ+x@2HPY5oc`NmFcTv7T)2E2JoX鋪}F.M<<<xg>MkނIFÿsBr pe+-Z=62,ʰ%R50<ܩIu|4.'z밪O[ FW@Asg>ZvrA+Չ dgZDt;lymQ4>rIQtl/o Hnez~n+%T(IejL бUƕ,Ou {~+| 1U%0-x N3`&Y32n2+kZ4W8n9r4O@8&=KG6H͞2_OdU5HG6۩Bms/+Ka XJ-j%|z p~7Nt6!wNoNvNWb:̑;(d;5لnq "дrn]&߿T>͞elj#!R|l-&vAwtX@B}"jnި![Sv 5Zu`ONCukAV/yxAkk܆AhT]iBdFق+G^$u9K3;z8K =3-ޡz5j ؓ%[94v1 Ե9dP Q#9a32sÈeʷX=vR(IP, mdX ~בgbCSUU¥c}*ME# @;ev.ŞY뿚u\A| QLC~vi [k@jTjkhuUAh)]k^XИy pY|0x>ߡʛ >~ 䲑(k39DUF3a@wpx}|b\Pڏ{ѱU|#"Ab4 ^T[K鞨b\gLsơf"5C@YfEg'ɭآE&:qiӻ}v$cWǚ9/->S]974% PtJ۫(]o,QA `K[ )fBPq`/N@Bqi~VV=8q@f&9ٝFDhy*BBuMgS=쇅d*-H>9s^8^=@OəD+7OSZ^FrMsg{J RD^v*2we;\Cx@d- \-aUa L[w6 'YgQrcEl7|j.ݴ fqsjr( j/X@F?E꜋OCwHmi8q`8ҠYj̉H09X;E =yEXwg<] PLCv0'nKiB\v@#> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30527 14289 0 R >> >> /Type /Page >> endobj 14284 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14285 0 obj [14284 0 R 14286 0 R 14290 0 R] endobj 14286 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 439.225 121.8835 450.225] /Subtype /Link /Type /Annot >> endobj 14287 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14288 0 obj << /Length 19 >> stream q /Iabc30527 Do Q endstream endobj 14289 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30528 20690 0 R /Gabc30529 20697 0 R >> /Font << /Fabc30530 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nlЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w endstream endobj 14290 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1276) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14291 0 obj << /Filter /FlateDecode /Length 4088 >> stream xڭɎί@@W C8vL6n*f%R{o|[̢/R viOux5?Ʃίߟy9X w>׻۝mwYmƽ5(|H._}1rp@ϋ^cHjMf'e o/jy.P֤C]w:9 >V7><+kp*p >q0MX;yIO<&G -E\Di򺐉e: D k%ԯ\\\6kZm?T>௞ i]}B@ = 䵱 ~7XvD0o|@@kџ[}O K}^+MyI}#yAd\h?`Yr YAH_جX`ըQ8!Pm~a8>G6: AOϩC@RMM]եhFa]%W7Qٓ%=AȬ7*}ˢWBo_|Ё8t%24ZD_}X/_2}&-:R{'yllc -BLt2ˢ"qy"3 b>0cI3D6n.fv} {nj Mc~ן)}@:#xN0 CMTr2"/;"(',~Tꋀ5}g1)%nuC wfߩPHzM8a W=LAì.d?5xpmxi jo?[{ݖd䈧ɨRٸԆ{6nUy0o6QY[|j̬ɐ2JB&6J훆XF, սVK2Y 6y% I|Ի4t5HCoTf}zfDW_NHjIEG})ҾQF3ι;!]ʊuh,26H:,*>mrjג!UYwXY>U[jࣿG?q89ru90{T}[Vw-fכ&goų-]cVx`yvsE0%$4{BxKԹ.6m5:ՃtjEZeG;]3Vv&F~mEC Fe \S dnNRG1r(]nzF1mmNEClnXHu#u; QNl2݆QU5-_rJ[+[M#Y뛚mR>+r/(*Yq]D]0~@T-HnW0-DёlVP4y1`"C6j\5B8CfPƗ>C$7D6UtձLL Vra T]9Oyt)VJNUjtai#2:8gHmvt˧"1'nOW"c\ެ9em0f-p7 lk`;{OA[M{Ag` ao niKw (PF`Ůq:1!-ktd>qX!l@}XOS`l -${P-yޜb˝(ro2x#T9XύifaSHzY1/l C{]:1FM7eƷ$qz_\!3k7I`g3kLl\!= :||VG۶4 3U۹y|г1].E+kZarUECB c{_W x&og{FG+ Ki!p%HS8&ԏyT칤=IvRWM? |o`K̡W5*T2uwb*ðe3 .1~z4 VfJ{ڧ+ZZrڤǏs;h.^?V?0p` Q !!!˼,Z{Ct1t,u[%&NKoFb崺u`R:*hNTy SJ4MI{0llInrS¦Ձˮ aQ's!h@|7tM:ʙ>alF_5dJ KrE3M[2Jzq==0W5x/khe'Io I<2j)Nq,wZXr(t ~~gjOsvDciMźu&PQYAZҲʮyS%e,OJq1f@.ZkhIB\OfxA?tlʻA%qsT@=q7r\?ʯ;l6*qc+¦uhM\-jS cg|W!~~oݽa,"6Y%qLv|/?om{?Nr<!O5ǺQ?~]Ia0lSS}[ܚR 6Ө1e\41$=ч4T<턅5чkD 1Q r>`|!nWÍ{>l߁ s{XVN0ں ܤU}9ݔ'Ֆ(=Юr",УJ>L {ʀա B<51 Jtʭׄ !u]2Vx`?|N~f2uk Wᘝ_BeM6 bcҍ 0OeN-|5$!uBQנZ+ƞNqsK-Wukһ zХ>| S*__RGt1H7ɚԿ͡GPaTj@!h}|ͪi{Er{W$Ni Fɍ<,BD\S d0qDak[UZPE<,;%L/ĩD6pWGzcH%aaiFU_DuV5*+r ORo?J&X< s_dq$RYe;@r b]Zh`Hax_6i|O}<|+6TF㜊+"s ¬b6UTH2\ax˟S {s >&m`}O6NF9A77'W,SJ̀!>600v,1EjW}"'COG \{ԏ'iO0;eX­~ށ~[04|sgvjN*T{c?*szD~T]/ڇU> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30546 14298 0 R >> >> /Type /Page >> endobj 14293 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14294 0 obj [14293 0 R 14295 0 R 14299 0 R] endobj 14295 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 475.8346 154.1957 486.8346] /Subtype /Link /Type /Annot >> endobj 14296 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14297 0 obj << /Length 19 >> stream q /Iabc30546 Do Q endstream endobj 14298 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30547 20690 0 R /Gabc30548 20697 0 R >> /Font << /Fabc30549 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HXӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14300 0 obj << /Filter /FlateDecode /Length 2061 >> stream xڭXK$y!z?(Gׂoc|0{j{֘jex(SYSZJ)"Cig,fJ9gR4є忏_3!/l2rGk~b [y8I,{Z뭋'uaVm)>*7Ihw>B:?N`[{6/$XŲV$^ރ g0SaVqfgyflYvvF,U6c9WR89,?ZGSFu-"k7"hR-`p5Fu1eߣDEbL7.g|PZc\R.w L7ŅqRU8\7[`ه2rM-Ͼ`D\-<<t.pAp|\v6m߷1VWZkIxA tۼ9i([ۦ yg* wjݒBh®E>U +:%ѻ3iK)?D4Hy@ .D$M Ƭ;ǴBĆY-E((Rnz^}vG=ƥY%!ʌ߈Pp BUVuLSp`\rZrt>FtŸ0Qgl(;F$~q^}2Y5X0Gug$e;Nm#ќϖ5ࢾd Zk֘Zַ%g#F(KpNmH/5&53ʭ l\O 3n8뻓ZG%[Ad[s\ KGpBy?w+\{Qe%i wz sIY_2'7Jjg=wW9 9R ]MeN_]ZL6~rZS(|||`ϹEZn*u'-PltPsx"Uz5nl||{OX+9mh-CY4I+1PTix_fe pa&L$MOd -ʫvl~ .pJvzsL5ϔŻbi)|Yot'XmlK%wj`x㚰8!ag)gt-cxhҭ'f*Ktޝ6sWok>}nG;G(/_.ڶ}ps9ϘG=`iw빑;uX FZ:$wi#7Բa9eKQxqn9O,{z"M`vc0?mK,ixRvJ#^kb;v VTŐ}+f?7un*j am?l ĩ>m39Rz([3"A[83N{Rgъg^%cGtaKo09';/l ͤ \bmPdm33JCgJY_Um Αdo{ͪ(ǚ:J8 cPw恬mZI%3Ɩ222Ny)ǾBoS/ॢ]2"h ]%ONǪKK ݟbZi2mUXǖ5thϭ~ߏMϘT"X㵗'j]kIDŽ?0gQ07ɡ? .*V|( >+:z=$]]^z/)qu%g/-u@yƘNd"rYaz_1wt?s~u' p!;mX_7ΡLvtƏw)./ endstream endobj 14301 0 obj << /Annots 14303 0 R /BleedBox [0 0 612 792] /Contents [14309 0 R 14305 0 R 14306 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30565 14307 0 R >> >> /Type /Page >> endobj 14302 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14303 0 obj [14302 0 R 14304 0 R 14308 0 R] endobj 14304 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [90 439.225 146.6555 450.225] /Subtype /Link /Type /Annot >> endobj 14305 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14306 0 obj << /Length 19 >> stream q /Iabc30565 Do Q endstream endobj 14307 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30566 20690 0 R /Gabc30567 20697 0 R >> /Font << /Fabc30568 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HДӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14309 0 obj << /Filter /FlateDecode /Length 4157 >> stream xڭɎ$9Hx_RIHZ85 ԅsyȭɊv?;Y4;#|XjY_*M~U.8Ś/Pcr׏o/Z7[Ͷ6Mou;#^/_|~.ߗ}1?-?-z%C^3.Ӛ?*W`VY~wqڔ&*y]w:9 huppޖ:1'ύ)@@ vṳeg_hfW/ϝ}4ʰ+LR%cҍ91aS,i䡴ʱKdgN-D- *͆>ȱʩc5:BeW#ZDxx[n +0{!(U|?*>04=8(Wy-)*?q"Zhĥ@Q/FaZE_ O@ Mۦ`;B0Y42W_6J)?" ּp /Dz*Ih >xaYPc7 O\ ^ ZןԽnrb٨Ժ旖\{1jUiRLZ#آWSefL-^j_5D3b!NZҕ^N^V KT?ߍiCMpZw7R3Wc31lz ïV${a$պQI({ԝ&ѝˊeh2+K*>l2jB'UIwH/IFh]5mWm:ɟ0̑Cρ~&#NӸuBސu/14{(ma[zКМ'nk٨=%ݔ pאF6Sh{.ea)lnԅ,c+*; 70~02uk̂B6p[`m #%wc::CƤnn{ LI4m|&vQ$Dw̋Razl`+&E(Q`lܕY8mÙ18_<¥ّΟ.dGED*ЃѱӻϙKfxvZpGjf;Ǎ*iSF w=pO`%fgcںfAUϥ](s6ݳ<Va!Gԑ,zzF'dzNi]97z"J\vkB=w&m4RPǿ3ϰ6T>c %p'jUO%T`Yƪ{#EIk qo+#} LA]k[̦jd]@S=J1A°^ VY;7#FR D_P-g ?TkwjkU8ywnq@<䙵2$`C`KE QQ1'>Ez00Wt֙nFi$X&f‰Ly\{eadUqK>^Voխjc1 ,$_JO]@<ԝ&N7ʀ).8y oPrmrwPP#.dr%S<]-wq~, vH:*fK8\7^:[AH8VH*VBu,`uRﭵ>u/[` ;e)T-($XӰ#LïWt(y 'aEH^ɉmW&YKNw$/1cxm逯߹|; R|i($Pp5L@cfr2옜'DlC؂CN`L:Z{tcq|I ?GMi=I;OQr"4ƐmB{!崺Ʌ@* ͆ iOmfgÝDaj@ʚlo>z%ė1 c5T&ZX,؏Y,nU9Pv<6=SE(/W9~x/hu?[!. <7SZ9<w԰Tnu_I2c(?4wSs웝# Lz]c0Y;rRKޔSk4,}e>v-QF " T'>AV#ߕ7-m3'O!j%dʧX.Va.RhS 5&]k ٭9q FX1+Nph+8-iocmqw6=l '9䐧kPcB%3lդ 07 xh~,nMTσ}Yu[J|qO!;>cj&g-']~5Q|opa慯 Bv cv:^2\*ZܤU1>aGj 乗pW7BuQ|Cp@@Zj{ PpBn&J\t$ʭׄ3!z&R;4滈zCwPa[eؼ 43hY ̸WO9'}.@)sQ,@ -ϐ؀I$AuBQ`NB*WȚ5[H?`W(Y>}=*g/x=:kD_UGwbxM]P댌;i W0tp 8<=s~DnVM㼼+[^]8xJ.)3F Z ow)B*df*|#J Ov ZGMKBn)[;<&zVS{|ctXF"Qj(uZMeB/j>\E&[͢k+o8b\P}, 6.ۍf|P107~n/&Y<_u:_g&8p(\0_ }?*I O̺bh܊u8POG+~)3%Iďa!6N\L!68zgC{O}%9!!o]}< !<x>¯>)_P֤~e,> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30584 14326 0 R >> >> /Type /Page >> endobj 14311 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14312 0 obj [14311 0 R 14313 0 R 14314 0 R 14315 0 R 14316 0 R 14317 0 R 14318 0 R 14319 0 R 14320 0 R 14321 0 R 14322 0 R 14323 0 R 14327 0 R] endobj 14313 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 379.5346 155.4057 390.5346] /Subtype /Link /Type /Annot >> endobj 14314 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 363.3346 155.1032 374.3346] /Subtype /Link /Type /Annot >> endobj 14315 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 347.1346 154.1957 358.1346] /Subtype /Link /Type /Annot >> endobj 14316 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 330.9346 159.6022 341.9346] /Subtype /Link /Type /Annot >> endobj 14317 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [104.1732 314.7346 181.9322 325.7346] /Subtype /Link /Type /Annot >> endobj 14318 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20210608081201-08'00') /Rect [104.1732 298.5346 159.5252 309.5346] /Subtype /Link /Type /Annot >> endobj 14319 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20210608081201-08'00') /Rect [104.1732 282.3346 179.3417 293.3346] /Subtype /Link /Type /Annot >> endobj 14320 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 266.1346 187.3167 277.1346] /Subtype /Link /Type /Annot >> endobj 14321 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 249.9345 152.6942 260.9345] /Subtype /Link /Type /Annot >> endobj 14322 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 233.7345 166.7302 244.7345] /Subtype /Link /Type /Annot >> endobj 14323 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 217.5345 154.8007 228.5345] /Subtype /Link /Type /Annot >> endobj 14324 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14325 0 obj << /Length 19 >> stream q /Iabc30584 Do Q endstream endobj 14326 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30585 20690 0 R /Gabc30586 20697 0 R >> /Font << /Fabc30587 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HВӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14328 0 obj << /Filter /FlateDecode /Length 2439 >> stream xZKoW@w{&0C''^`|ٿzQԲc{fDW"߄\~|֭teWuzZxg>ק`l*ƺ`s.sk¾~ (yń]=`qƆ;$]Q$v&CvG{c G~+2z!)؅ 26.-O@DXGUDaSN<3]afR2}EV LJ|x9rX&$c<eFFB,<$LBD=B4f20 k+UKb>5ccM65{pM5bQ 8]7\֓sBV<qfar*%fEۮM蘄{-͵.#</:amleJ wFO`C ^_ `,}Ҿ+ʤ%9CD\H9@K$-Ƙ]oO1 YY Z w0 uDl1GEcSp`;d4Da꜒^"@m"%il7Snn,@ [ezI((5t% pww\Yc!)Q*~lHkQʠ@iHt%xXQ+qfhB_a!_٥`?/ZRʛԬaMHP/^]1(1!ڪKyU6gh,:9z\#ȃQɂ%=eRDZhG[q⨼#\?#GMɂX*/xRg_rA׺AtU+1d7,#/c5? Śp4FJnnN6-jW\'᪶pE~he|ZWnb7XkY"| q PHQ!MIN*VJw`C*v`Pt!lb"# ~fSY*d9RB[[L6eB߿Z&B5ZpKeT >ZWu6RoAnƼ :3wY}YA yfO}+u}_9gm~Y=YSW zح]r`BI|)O\U\Fn|u% 4O1 aiӘUV7%b> (R';4;ZrW#wޒ={CMޟӜ.iU?H[K4'Y[ۢ1ԧcb2vie9$ǘdeL" .{}EQ[`Zkc%{V*ta*FԳ|7g5qYHLK!BՎćé1CсϕqHgsԐX';r~ιFWhԪ1TeG;+gָx42x5 ?oU< crA[gf_ Oϣ z,-;5 iBAH8"'4c'L/4gfh|3xu`GJ}Ot=bܴ4PU<( 4e0!URՈԭnme8b놤uH^V 4S<Lj4tid@ `l, < l^hDH 3x>]E󈟌~|ԵVtր?iR&dAwg`gN3\$; \~W%ܮaWW46G)q8w˅Y vfegɛF{~b84dmC8DpYT&;!6] 3D endstream endobj 14329 0 obj << /Annots 14331 0 R /BleedBox [0 0 612 792] /Contents [14337 0 R 14333 0 R 14334 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30603 14335 0 R >> >> /Type /Page >> endobj 14330 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14331 0 obj [14330 0 R 14332 0 R 14336 0 R] endobj 14332 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 415.825 140.4735 426.825] /Subtype /Link /Type /Annot >> endobj 14333 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14334 0 obj << /Length 19 >> stream q /Iabc30603 Do Q endstream endobj 14335 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30604 20690 0 R /Gabc30605 20697 0 R >> /Font << /Fabc30606 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HHAӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14337 0 obj << /Filter /FlateDecode /Length 2692 >> stream xڭZIo8W܀ 0g5зCcNI{2j"==e"DUX_hVǠE/IzYiÚC^?^P]4zvxz߹iwI&+WC/fM#o}5Yo ZkԱw;'cw "7$'}H$6%y `2@;vi" I;QG_QGPܚlz`|m c}G?¬ H]Srod,"Čӝ~ZCxI!ڃƮYc&_/ͱn-YZ{A3@t#(>-`m`[: =gSp""5c{{}!3*9 'U nTԘȚb|& T>Qs{:m r,~nk쟫i6G8N77 QzNg #c;9՟? Zj3V÷Tu.oVV,/$BC/@< ݚ]ArP`u8KeXkDC\+ Ѡnxs4`J4(>nVHj;@I=:0Lq9rpN5qޥ$^bʑjQމCm8 v}<)"'ߪ;T@#WHz8x*.#n5 ŇCVPz\+kӘ!  7\ 9)[Psxe ôbeM1r|aycs6P\A"qMT0ֺ$yXsuYFyW^L7Qgm!\內aߠ4< 븙6^6-%c6$fnzNkƭ$T1L慙h)!6A->u2ݥclg\֢hݡEr:|$NRv n[CHQ}Qk(FmްRck Gc-xShjJ}I #g5(Îw#K`2> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30622 14344 0 R >> >> /Type /Page >> endobj 14339 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14340 0 obj [14339 0 R 14341 0 R 14345 0 R] endobj 14341 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 425.025 121.8835 436.025] /Subtype /Link /Type /Annot >> endobj 14342 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14343 0 obj << /Length 19 >> stream q /Iabc30622 Do Q endstream endobj 14344 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30623 20690 0 R /Gabc30624 20697 0 R >> /Font << /Fabc30625 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14346 0 obj << /Filter /FlateDecode /Length 4905 >> stream xڵ<Ɏ8w~H} @m9LUuߟظIz/_k`?K܂X̢ϊT}uf ~47m_Y:u~K>&|!<.s} Vծߋ_yʐ<.l1Z4oz/HU%)<ozlS`m`Y~%"vY.3^ay} Ei1o|[ 0E!Sm_<&;&hD{'r@kDw ϛw}lcS\"S΁Q fB? jJt-31d "Kba:i+05vPcV,שޫW`̾n=jġuv giu2,Cݳ3PWJor .PhBƞ1L\Vy2Jrˢ" &hĜ1|U8;y ]LT4 ̛-a[l?"jbv fO>l@nC.Ano3%Jg`4 (}aE;e_°T"ɱL%%i,Y0P1yT1L$՟T?O9%AU5Nڧ]D{Lԏے*mh zQ`[=3w?GAB?R"ZY}u QKԄoIa %EڇĖM@~!gz"E.#kpp$@?㨑jaxh4gjfL(jܐ-*Z / MmL;`^t΅&D@1APIŔ9mdlD7=O7/䴂}Fk$<JˊV$?VY }&2:X]4&g,Uo إ0TB=iZLzUCj Y19x^nv+CKPa*w!r 0ȚÃూg"`jAKo]p6bց`*@ J*EҖ?RjqPbk\A*avtzJyE`yE<Ň}a* ]=bKZ_z$%@D2~8CHxPvEdLoB]YP# XoȬ\~'jJfX,hQp*V6QH(}Xa(CMȐBC$-$ Cq-@ ZMPk5ކQw* 0kI\I~"2.`E6nt@#(ĝFٮd _P 6E WA jP:!1Xvr =uX_QuSudҪtGmj-·8yxr{CRhC6L-<#[]7]CsLW/B /.F| 싗/r2$m֓)fNC{aT~ TȩS[Vu E@ae铂uFV1TXݕ('$h< =yE랥o3~.;V|ŷ:V%wh*>I TxE众eg7Q^/qْ 6k=opCS/qPrk3)-l nφBbX2zҬ}~ /Ypռeݦ0pϺC|)u!Ɇ}9N?"mz= NΡؖGd0f+ӔMȜOٚ^ 4Y5%R[ȂW >ڕ*(6w~pm*xqf!;ַMr<( {[x3|*yn0@g dK6OMDc3gS+j&vqN!D1{n{:g]N7z.1SqS7M~V 3J#c޼?1Nk9\fݛVs >?NKy!ZOPdIO|+2;',{j}q>'s,!˩ l6$SH { 3z/wSUv0J芄k5ں<&g =;Xo f<߾wu n)% zmh~)UbVҭfM׃e^э|7u h,v[j9q2A y'vrc)5vw9$:<9bW?<.tx23_6 |c}}NZpE`Kr oWSt iΊNg n|>A!*pWV:O '?ím:b܍|B^_KTglV²Ys>9Z7ւ"'.:V]HR+.7&XGw8$:;&g=43h[ ̸COYszPʜ~łFy<<0©ZyWPoרcxKVYc\Rg JmrC-o@go'%6lfd҄/MЗ0.j5ueZ댌x3@N""yyW,ėP)JSf ZUrL—yk]JPqeP$3S([thC.| KBn)[;<:FI}^OF>1k6 eXrURlE4 %f>R o8b\P}, 6.ۍf|P10B||O_<|&L*w㜊+ågXb1;L0_ |_gHIo^>}_j뷧q,ߏboZOGUrrig\玀JB^yE|yB'%yʧg~_kؓ6.}C> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30641 14361 0 R >> >> /Type /Page >> endobj 14348 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14349 0 obj [14348 0 R 14350 0 R 14351 0 R 14352 0 R 14353 0 R 14354 0 R 14355 0 R 14356 0 R 14357 0 R 14358 0 R 14362 0 R] endobj 14350 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 364.25 163.7437 375.25] /Subtype /Link /Type /Annot >> endobj 14351 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 348.05 155.4057 359.05] /Subtype /Link /Type /Annot >> endobj 14352 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 331.85 155.1032 342.85] /Subtype /Link /Type /Annot >> endobj 14353 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20210608081201-08'00') /Rect [104.1732 315.6499 161.4227 326.6499] /Subtype /Link /Type /Annot >> endobj 14354 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 299.4499 219.1617 310.4499] /Subtype /Link /Type /Annot >> endobj 14355 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 283.2499 187.3167 294.2499] /Subtype /Link /Type /Annot >> endobj 14356 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 267.0499 152.6942 278.0499] /Subtype /Link /Type /Annot >> endobj 14357 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 250.8499 166.7302 261.8499] /Subtype /Link /Type /Annot >> endobj 14358 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 234.6499 154.8007 245.6499] /Subtype /Link /Type /Annot >> endobj 14359 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14360 0 obj << /Length 19 >> stream q /Iabc30641 Do Q endstream endobj 14361 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30642 20690 0 R /Gabc30643 20697 0 R >> /Font << /Fabc30644 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 14362 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1282) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14363 0 obj << /Filter /FlateDecode /Length 2745 >> stream xn$ί@U4Pw 6䌃@ 06.U]=bSEi ?#/N?fu X\2or Zi6kk撲U` xiad~O\\|NY?z06ŘGhasӿg*.>Jg,O٣>1dLxvF> -2!;hʉ7e*^;ߙekF:}|TMe='xG9٫ݰw}Q ;bnR!J: JJ8 ǎza`zKZ. `eDՉ(bNl,$xt=*8RMι~ 9(vX 'w\CQxQ:)dwgk[X]前g'P+؋aa <9q7d`'QF 1(&m06V=1g3ВfY+֘N5H>)QTrrMc _{Qu 7 =(mAD-I +<cF\ 9&;~<HŴ%ޕf$Yg`Z=1]$!J4gW-aO: L}- Q@@Fp `EXa&#D*/A0`4Iu6'U@Dե?#=J-rw;荰[5#.~keWa [QXc8>&!Kwi\C&k'7= ZV`;5AR~EgƷF[-JNqH!`L&[Z02ׄkCTh~v%Hz3]32K4:h CrbNf׭q'v%KyJq+CjewB.-%ٛt!(5xMUw-aX|R(Kn4kt  FlЩbU1;j]WV*F U!MAYGj e{yW!R>lwz=J;} u5_ưVsaG+y.3ாu2ջ@'Н*0CVょz>O fpܘyI`"G3q;QCε/Od'RwYf,O3ꐨZjpyʍ@#{vz]Zw<B8f>G>{IV6 nqlBqCsa<+X > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30660 14370 0 R >> >> /Type /Page >> endobj 14365 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14366 0 obj [14365 0 R 14367 0 R 14371 0 R] endobj 14367 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 425.025 121.8835 436.025] /Subtype /Link /Type /Annot >> endobj 14368 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14369 0 obj << /Length 19 >> stream q /Iabc30660 Do Q endstream endobj 14370 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30661 20690 0 R /Gabc30662 20697 0 R >> /Font << /Fabc30663 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ !%Oջ]p)\T1Brt~AѣDI`2R(.Xc4ECIHE+E̕'R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD3Guœ}"juZG)zr8knT-=%MW4yrCodE#2yk))sm.\gy4~)/? D?l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPB?4Cj|=B/}P endstream endobj 14371 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1283) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14372 0 obj << /Filter /FlateDecode /Length 4127 >> stream xڽ;Ɏ6w~22+ ИSuۍFȌrˑ)| I-h%|y]jY_.M]]>/ŚooϯqY;]0Ϸ>v㞍=yCI/Y>}V߈J$o%ho\=\5O@/bHojMf.+0+Z~ebf] PCe=Dh_֖xg<,\t2UER@)|b+>D͖l巟*_3ce1cNx@~/@xB^m•s V@Ǹ1+= wO)61.mp-;4`~h->oނm{J5*dj2eB?_`59euf9,A?aSVH0k?0wH->w0;o=9ġ v ghuGP| u 74WMmASSWuZ6xFif{e\9 `iH4Iq)λgg}. WFЈeS%p;xbʸ'q@7\%G4GMl4$|}\2XKﴡF[y"xR'Gh^`2=@#JO7c% LU۠Q沿eNhތ@1|96B@Fhd,WN[$V՝6Y6 BvЁhG_N[4Jz4ai&%P#(~:5%w QƊ-e h; JGtСx@7N#ujhTWnUpomDif2ݧE5Dhq0xjE:N([l5*9jU {ݐw3c2Pqy6 i{.7]b` &N=r.8;=#?;[G5./b`svUJ@Ab2{(^ L :grOD[vpdH}wp1e*Kr$kHNOOU4kW,3I{'8jviJٓ9Dlt9tFMK>T슜j>&-ӁTZBr>{ԛGq6 ĭF-6!=q(!IԎ) nbT;ēdG@mr;\al2~%O@?`ӕ7p,n\_DJ:nMNb!HD[A@I.Arr4i}*)jVps#]:Sj `!n6HexbYIL>^2.AXxq vH-͐Z 'wٟZe4[RQŒSktONJx')Lp8<Nz mzM#6ΐ7B-Mnd΃tST*|ݗ7=u߿X7XE ƚB֙ɨtae;=K1&7!/hr7͞ƏpN>yy ӖȜ$Pٛ!N{|BM^ͦ-W;In@6f!1mt~H1ש`u8v"v??eb}ŞwH ˧u!RY78 ce$)C Qͨ&EcZK[1fP[6SLM Q'9vsge؇qNK7Gs?t޴lF \=)y9۱Tҝ|[nA6klζ/e0(@|Oy̡Zde+3!ҳz pS}[i7(}tk!0Cv* Oc;aaKVlxNd5:eMvy`<`7Íu7O CQtں }sՑnGjO(=Юޔ:S[rQkP@Hj#j-?h| 7rk-Lch 9ַ4Х0۲e̅cq~]Ō41oOfP@V0OLʜ~D("ĎpS}]w~7{Ԡ1맭 ̕fcO8gN#vA[1>Q,UopDW-PK<2h?_\ti(k ߬țxH*!kS !@#1[ nuiz˽"`=ћCLJk0Jn(FkL*d0qDa*-fω35+!!0 Ç:?_咈[ΰ4#„(ii=uDuV5*+rNc˥T Y.J&,LX(qn|mzHqAuVd;@r jݶVh`Hax_dns_g9ѯdyp$?5 9W`90 gR}Nˠ*B> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30679 14389 0 R >> >> /Type /Page >> endobj 14374 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14375 0 obj [14374 0 R 14376 0 R 14377 0 R 14378 0 R 14379 0 R 14380 0 R 14381 0 R 14382 0 R 14383 0 R 14384 0 R 14385 0 R 14386 0 R 14390 0 R] endobj 14376 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 364.25 163.7437 375.25] /Subtype /Link /Type /Annot >> endobj 14377 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 348.05 155.4057 359.05] /Subtype /Link /Type /Annot >> endobj 14378 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 331.85 155.1032 342.85] /Subtype /Link /Type /Annot >> endobj 14379 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 315.6499 154.1957 326.6499] /Subtype /Link /Type /Annot >> endobj 14380 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 299.4499 159.6022 310.4499] /Subtype /Link /Type /Annot >> endobj 14381 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20210608081201-08'00') /Rect [104.1732 283.2499 161.4227 294.2499] /Subtype /Link /Type /Annot >> endobj 14382 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 267.0499 219.1617 278.0499] /Subtype /Link /Type /Annot >> endobj 14383 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 250.8499 187.3167 261.8499] /Subtype /Link /Type /Annot >> endobj 14384 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 234.6499 152.6942 245.6499] /Subtype /Link /Type /Annot >> endobj 14385 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 218.4499 166.7302 229.4499] /Subtype /Link /Type /Annot >> endobj 14386 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 202.2498 154.8007 213.2498] /Subtype /Link /Type /Annot >> endobj 14387 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14388 0 obj << /Length 19 >> stream q /Iabc30679 Do Q endstream endobj 14389 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30680 20690 0 R /Gabc30681 20697 0 R >> /Font << /Fabc30682 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HJЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14391 0 obj << /Filter /FlateDecode /Length 2715 >> stream xڵn^_QSDR 7r'e~?o[,fm޾_:I9c┡Pk/O1^[O_c\0&ƣ9dxQ`ӹ~`l׋1xc7asg*ֵj E88{Â?nI$agdgmqd oʀU:?3 Jstt`='~xsWiΣv&t!9Z!F: JJ8BzWvjF DPë4}(-DX/5j(eXcM671zT)9:QrYg]"cppD3ΣH2Fk _5E8 A*~k1Xedȝvȃy 4p9qN)Z7 w yLÿ` a%|i:x`- 9yP 7 (:&z7z'hkF7`- ӯf*ƵԼzkRcc]'Æ4 R3 Ɔ nb-V7+HKIay"}5%t-onax |i xF!6 ZtBon@S9957ARV3Oev,HRs1#,X g3`$[{q;G<1qT %3ex6v .ߢKF5uo I]v1Tp=).&xG C-`ZLn)Ps97&'M+:>tB蔜騾;6vSrbNf7\^NJL*W)a(sU!rR .@X;ECbvՋaKn,?|Gƨ<\zꖷϼ}"o^ܢPϲAneHg56~5NMXͭ}EbTgZyz[S.kYZ+Gzj,Y) @ )-±×!EQdN$?rzϊV`4FIOR.Ed'Pؾ)Y"]с^Q;.b/\sЮ` 1GH3Dd)2P}f@,YC5_m2 pukVPCQe !>#f0"qM^n3es1oW{]f]uaw4 o qunڌ ^ O{X^*fpܘyI8 ,^N;5 c;taxR I~8 pڀKHG-n 5EM-^K~5jd)`@X@HSԐoX|f*\VS <{Tcfnt0 '5–FIVOOs[X/nϨqƌ"vM8fQtUN։QN8| ];2MÆ 9΃.7!GMe@r+Ob n sRCw~FJih).H& D%We$hM.ϿW}Omi,kY!ƌ:$j4pӁ @3r~#{vƄۦxbp|}s"ݹI4?0 t{Flc< 2倌3P =!bU}vBE~d{ׯDo`9Aom6e>ecƩwsW, ;ˏNzYO?q9{_MנPƪ+k%?"|I9쳐8Xv1p_n!K ( endstream endobj 14392 0 obj << /Annots 14394 0 R /BleedBox [0 0 612 792] /Contents [14400 0 R 14396 0 R 14397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30698 14398 0 R >> >> /Type /Page >> endobj 14393 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14394 0 obj [14393 0 R 14395 0 R 14399 0 R] endobj 14395 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 425.025 121.8835 436.025] /Subtype /Link /Type /Annot >> endobj 14396 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14397 0 obj << /Length 19 >> stream q /Iabc30698 Do Q endstream endobj 14398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30699 20690 0 R /Gabc30700 20697 0 R >> /Font << /Fabc30701 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n!C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶ff^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/} endstream endobj 14399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1285) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14400 0 obj << /Filter /FlateDecode /Length 4158 >> stream xڵɎ#ί/e7;4f.UҌZ"eы+~lOoKӋwK8ʿNj[^>>j.D||[A)(RusmN=ͺ6mwG(-^^?/J$o5+hv߿^ 9%%6·9ןY0+-v3˜SN2*_YzԞ /J31~G<Jp CY`,H+g>%ߧX2;+ؿذhe\p_?T4fTJ钊.@ >/@|B^eEW=]SIQ0$CaEVX^ƫC8J'K fCIDҀ5`d`vI:s 6a9#@8A`Ȕ~UF$/RDd܇:/*G<|'݌D_'Bp|[&Avql ~h-`cy q#l+lanj L`a4Vś R;Ņ渡eEAtֺ-.1(.1#:/mJ4:疎;u Kt3͡ "pd2=C1X z+\zSA;[2?BԌ6KA?nBaQFCѨ<*sUAS-@R*cHJER%z"˂DE$RX~vH ~rEPEXvQ߱kaW;Vuꪦ.0ܧ;S=Ӗm$"=}QC]M_*oX&[P \_Q ;͔~io4[FZTW4 ,]P4 |[H Ex?*]}#m&)Kxe%DB:Z '4dPދ@tF\0#^ˌ)? hq8 5FEv[:P#JeR[fH_]$-1HK $]UV IA?x4,. ˨ *q!m>Pؓ2K[776L5HÔkTfffS3)lzlBׁ4DGsb}퍖KwBФYj8*=꺖mSPU3*2w 2X~[nlA O(a \7  `R Ўem!oDzTL~^Zz3D-=_}\2Ĭ~XsP麑8Hs#`,DdH n ^mWlA`xV4;s~#=6}@?*pH!U0jdd`Ham o¾Y-#\[/Ĕ]LP@ꤝn<ﰔvںW ׸lK3tW?-7Я9ڛԊpо?LXc퍝.uTx g[CqOsǑu+5HI}38\ﺫF a.U?L \LyFĞHOk %7"F̹HXpNApɖF=/)m_P 1@ϸ78aꚸ…drt"L5M Lsd/Hί]1}\x,v*=UE#"5X.}[vGsk!dAHvO Y#+)q1hN4Σ!XpCraeg9F%T>2jٱ1ҶʚLҕWT@[\ƅ(.Tc* Q0W?/ŻE aޥsVAc^W~S gkOKG4v1c^Rj!=ihQFA亸=b]g5$3>fX){ ]>1sFJI]dRAG' 5K ; jm yt7X'61VPwiu"^ I" suE_: !z+GpO8'A\@ͩVa զ8=M%֊m[rL \@ k6e2P&nk'5xCݳ:>ݩ^g+w?uh..KIZ4߉"rV:Vo()hd{=u-UoQvEI6 4XR" q W Bg3Q:ȗRbeH_QQJ>]~tVގ/13,EӂǟL]ߣ:bxEV&èSֆ >qdc_gVL%< )R>Jޘ8/:d` m7kY Bp->oyG5/螛^Tixoߛ1 )fz'>g=<0zǗ׎:?,k-x] sur zWyEtizvp$VьFmq7S.B<:]y-ğ.K V<^~~;K }XJnĭw]ǵ@ZօVr5j/(BoT G]d'VEP!i5hG endstream endobj 14401 0 obj << /Annots 14403 0 R /BleedBox [0 0 612 792] /Contents [14417 0 R 14413 0 R 14414 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30717 14415 0 R >> >> /Type /Page >> endobj 14402 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14403 0 obj [14402 0 R 14404 0 R 14405 0 R 14406 0 R 14407 0 R 14408 0 R 14409 0 R 14410 0 R 14411 0 R 14412 0 R 14416 0 R] endobj 14404 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 212.0462 163.7437 223.0462] /Subtype /Link /Type /Annot >> endobj 14405 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 195.8462 155.4057 206.8462] /Subtype /Link /Type /Annot >> endobj 14406 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 179.6462 155.1032 190.6462] /Subtype /Link /Type /Annot >> endobj 14407 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 163.4463 154.1957 174.4463] /Subtype /Link /Type /Annot >> endobj 14408 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 147.2463 159.6022 158.2463] /Subtype /Link /Type /Annot >> endobj 14409 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (remove_pin) /M (D:20210608081201-08'00') /Rect [104.1732 131.0464 160.2127 142.0464] /Subtype /Link /Type /Annot >> endobj 14410 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 114.8464 219.1617 125.8464] /Subtype /Link /Type /Annot >> endobj 14411 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 98.6465 187.3167 109.6465] /Subtype /Link /Type /Annot >> endobj 14412 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 82.4465 152.6942 93.4465] /Subtype /Link /Type /Annot >> endobj 14413 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14414 0 obj << /Length 19 >> stream q /Iabc30717 Do Q endstream endobj 14415 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30718 20690 0 R /Gabc30719 20697 0 R >> /Font << /Fabc30720 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14417 0 obj << /Filter /FlateDecode /Length 3336 >> stream xn^_QȩH@Vrrr )}j#ْ,AXiE[m:E9cЋ)CNOmO10kh~z3ƅ{=^IΘ L: | xEt3,Z>NWka8/߸ dkaM؂"g,sy@X`Y6]@ƒOa1׭sJO+Exp1+dM͋);cl xco (^^?[u^jP PcN ?:?jSbf`AY* )\6G:u @E0ExhkemQUYκD T =Bͽ٤pyYe`mAWrLzx2 +2L0k\W\M9Z$NG;B6097Hm< B&~e|1{םSv,=${ҼmEum}E*:)T'?jͳN,sv @LU|),EUZ瘣G*sp_DRŃEDt:fucݲ&ልg@\mNep±>6Y xrG=D/_l}6xD>=IzҝYjOV9sIs5i=20k}oI>)@.& 9XXQFȰEb8>Af SSqtX,տl3[PfN)\{ n] c[qϕ3[<1kq d%BgLrnSɒ\$1r UU%<6Av8W ۰}\&Όo͌\{؅@Y&DҖi ` C"p h:n t੷Ӆ [S3>$0'dv)ҧ`"?Re(V FhOI֖Ɗ2E&b;U}wdnnP;cǶ{*yWO>-`ubz X{U6{?@%G;Gmw]2'㇅mXΒ,_ZK@(xҺ5w(g *,98WaN}w܇P}R|SUꡪ*.)U#yCC\Dɡ%2F܋NQ>w}9um2/@b-8W˹di 5m9`"!ZK0,R@lwg\CgyLv;~^m.[\T>jJz|R_!Zo˖hZ\Ѥs^bXÇ/fNv;ѝ;+; [ѿ y_D0xn}GU`3.<>qg/=ta9<އI9bo#i&3u@"fZ.e{V}֦ҽ+/q$ }p@R M1'zB :3EDÁO6 p6Ě1Q2]bSvyOlđ`}C}f>"T19xxxl>?W@FO;kAG$_(o1$\:JfnL\=B8~Zt53,xJ[e6$QAyO?`JH:\/5PS10SH=)E̮sȫBRbQ"Xc#+ "| *DbBO R~g+Cu[Tx^TRTDL`3K\َ.|^ tLӼajSy=Y 5!DnQ8kk08l[TɻjW(A] 5- z-7H mbTͤ,>zSrStz|)o)OUMo ~c*y4pۀ0r:>; y3j7c=<$;\[ݾh3PQtdb-uwi#v $IUՄi}|?atob]Lo?B!Q0:`S\yi|*x T]t}b*;2&z!-|ܜa>Ⱥni;ma4b?j FuG9yNr/~0tek(R4ALȃ*7!WGEe@r+wb n s장&d#ȃaH 0S\"D?nDK^=Թ/c\wyiỮRi?_]y{im,BJn]s 􉺬kg|x>Vy'h5k^[4L `-#~ih,d`J2GBtRc r+ v?e<*>ƂmݛP/<_pag?'~jUOGeNϽ/Eנb—C ξN"+i?NpS endstream endobj 14418 0 obj << /Annots 14420 0 R /BleedBox [0 0 612 792] /Contents [14427 0 R 14423 0 R 14424 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30736 14425 0 R >> >> /Type /Page >> endobj 14419 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14420 0 obj [14419 0 R 14421 0 R 14422 0 R 14426 0 R] endobj 14421 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 166.7302 709.9] /Subtype /Link /Type /Annot >> endobj 14422 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 154.8007 693.7] /Subtype /Link /Type /Annot >> endobj 14423 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14424 0 obj << /Length 19 >> stream q /Iabc30736 Do Q endstream endobj 14425 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30737 20690 0 R /Gabc30738 20697 0 R >> /Font << /Fabc30739 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H(ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14427 0 obj << /Filter /FlateDecode /Length 1152 >> stream xڭWMk#9W<КWK`a˞Yg y*Վ3L:RIW>]/jTT>=fu :ۺDMkki=gCu1%F}|!v~6;gH_7DH4;w;8w~[J!X;>?8h -9@Nհ{8 ZdP9?.-/ml9\ԯ?E{R.FP e8[I7}jQĢITS\ X0JL)XUgNj:km>d0\TT;*Uz[2تq-,Ꮆ|S-/+\ &S_dc:oIdf92Lk ! }`YoZg M le'V#V[nDh䘩mo%µ]{M:4 bS%‰#Z0 YTr|"-5C rE3ӫX1^qVfT?F s8J>mD׏Bbq-5M8/yw9qt%k%.CX⡀-yMR'4%}\?WZǍ|WVw͠MlSbT|LtIצ2zuM׃ؐ͜9 MsC^`,y(S;Dui(mZN:.[;!l;?y`Oo$8'f Lu'|S7(܍jA_(oڦ[^㽰$lU~tT\>sPz픥ema\n@9׎]\C4@2 endstream endobj 14428 0 obj << /Annots 14430 0 R /BleedBox [0 0 612 792] /Contents [14436 0 R 14432 0 R 14433 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30755 14434 0 R >> >> /Type /Page >> endobj 14429 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14430 0 obj [14429 0 R 14431 0 R 14435 0 R] endobj 14431 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 425.025 121.8835 436.025] /Subtype /Link /Type /Annot >> endobj 14432 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14433 0 obj << /Length 19 >> stream q /Iabc30755 Do Q endstream endobj 14434 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30756 20690 0 R /Gabc30757 20697 0 R >> /Font << /Fabc30758 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM7 endstream endobj 14435 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1288) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14436 0 obj << /Filter /FlateDecode /Length 4891 >> stream xڵɎί*s_=C$v`/K-#%ZU|z||#YNfgK*vzy*LO Hӟ?W/ӏ?Y3}m|%mǃ!}Q_^$t7F?X2<ҋv%ZS~_ywY߅_ʒtLH@*QNZg-r ~/hVg'UE9\@~8}Cx ^OfIMu^0T%cu07  (@&$>s N=* [A,LOD0[|O"Nx0ږnz G4]Cm).z $\̩:Nj1 )a{nYt!VdЂآX#=CZ Mf][0 t2L^:/0[|n:F#`'Z1#PLc4ԕi9ߛ҂&PZ T,ƣ';vF>Rz=urYۏeQUEztbN[ jCNBh+R-7od#&wb+1,`k`  F']06(~UL0Wܪ N:C蝞|1̮`_(9`&Xf1 <ϬW27 \aQ1?CvM5;CƉƱU ^@\gIpW6{TdN奼 <K [2CŧΓ7̙g.GnbGxB 3hW?m|J7ZH9(餴og}&P}<24Dl#"DF<+ e_}X qJIJ7HC"!]we ԫPH/„q563AZj!U;׆ƀ~AW%t #q*KK\[-5pK$[WDfm \ Ϋ0Z&CŠ([/ulb'Tv-JoDH-$(|ԛ4UCԬz,D炤{aUبoԽQ{]ʊuh%㵄;Vج"=*}]US822gX~Wnml6*0ΑCρ~\uxbް(nV=c:b`:Z}?riWM\b gC F`y?;pv(RS낺F]@'j]P,7;@UM:> t|Fx>`8 1Y 8e!r ]67Na_ӑaylcM6jB)ڂPm{QU<ܟ+ٸl!I1*H׏3Tm0BH T9pbmym?POY=ꑩz险}K }9tq&Gհ8$E.YEVgxP 5jDi+F8 gqO T 4j5Wkhkr:Jɸ F"fT#AA.2Px\>%z%C 4Y(]KMā( V]C:"H@&R}%)q o{x?a﨓GP~QΑ$Tp#&973zSLl0]x5&gqv^&KaJ,4D "éjDӝiqop f`p{8\EU g vv8XM!f{(J-ht!:8z|C:P,R۠R6a*-#MЪzk%$ r T 7 AX^ċsTal(w@{r+ i,k:~A.le|q.D +Qw15bLegB[_`F`ߐhJ.@ ̸X2LТjPl-:) - }*4CK+^$8{,jhյC2*:R|h<.g ZTGXZt %.xf!Q‘jFQPcR6K.R>zJNTz(k 15;(;nK*W5\&*}#ɐVJ%x f89Uz Q8A0lC @9@;O$(rWX֮ Ӈ-.u%Lr`YíIZ ҸӔsVcu@24zj[@U7-4\Ǯ9a#ﵧN a:Ƞypг,?[qXopJP uDFܭ EZ(?Ln%<g' ,#y83}Y5SҐ!$w-Dc1}N"ZUÒ䀁-7FwYN/L3tVd1NXw! wg^+jEez:rpچ딫=ݔWī-7Ͻ@u,*.УrJ1Nh_#$2Ts_y%$n:R֎ e0I*?v5&=$4׋ ::B;{1;fADtc>d] I,ɉiVfڞ P/.;ɍYeوpq)S1 ^pzOO.a1T.x!N':WlBGO"-ҶvK@0%=^;,o}0V5PVr[c˥Tm\b+`Y(xmrɑSee[7wn7K!Y*:_39 hSqe8|ʮRSXc<5W珆%)HSC_Tm5J\-/\XQN'UE.TLx';~a`UR0We!CIq(@ #<Iw?t|XqE~RuMތ+)78]Kgى B.ZGX endstream endobj 14437 0 obj << /Annots 14439 0 R /BleedBox [0 0 612 792] /Contents [14453 0 R 14449 0 R 14450 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30774 14451 0 R >> >> /Type /Page >> endobj 14438 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14439 0 obj [14438 0 R 14440 0 R 14441 0 R 14442 0 R 14443 0 R 14444 0 R 14445 0 R 14446 0 R 14447 0 R 14448 0 R 14452 0 R] endobj 14440 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 364.25 163.7437 375.25] /Subtype /Link /Type /Annot >> endobj 14441 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 348.05 155.1032 359.05] /Subtype /Link /Type /Annot >> endobj 14442 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 331.85 159.6022 342.85] /Subtype /Link /Type /Annot >> endobj 14443 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20210608081201-08'00') /Rect [104.1732 315.6499 165.6192 326.6499] /Subtype /Link /Type /Annot >> endobj 14444 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 299.4499 219.1617 310.4499] /Subtype /Link /Type /Annot >> endobj 14445 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 283.2499 187.3167 294.2499] /Subtype /Link /Type /Annot >> endobj 14446 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 267.0499 152.6942 278.0499] /Subtype /Link /Type /Annot >> endobj 14447 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 250.8499 166.7302 261.8499] /Subtype /Link /Type /Annot >> endobj 14448 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 234.6499 154.8007 245.6499] /Subtype /Link /Type /Annot >> endobj 14449 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14450 0 obj << /Length 19 >> stream q /Iabc30774 Do Q endstream endobj 14451 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30775 20690 0 R /Gabc30776 20697 0 R >> /Font << /Fabc30777 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' JJ endstream endobj 14452 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1289) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14453 0 obj << /Filter /FlateDecode /Length 2715 >> stream xڽɊ_LǾ@QU5LÜ$k6H}-̮jٙ5m runsVǠ)CNOoנOVVcf-){^oc\0&ƣe W:5`:SO&p7xc1 Q?@xb] |Dg,Ҁw٣^1dLxvF^ -2";yiyʉe*^=Y9W:u|THM 2x_#^xnZy"ΤX.5'_ pw<JRPD)c3!taM̤mXC:ͱ`2gɡL3%?iAif뻾J]et0>69w)1j2hkݸ0P|<&3!ns AêkW r3tV@z,0`/Eҋ%QlL&nNh[F7Z( ى;70ԼzkR-V(V]'F4GFcM!x/Ëx23Y$+8*i2*zE\-ZD "bwYI: I OoC5š<~%T]- /C,AD"(#lئ1! Q X1&Y<տ-l5 [nb52pwL u30^Zfs3ŹGuZI19]Fd"9Ph*pI6zù-V ?)3nvScf #%d䡖0-(z\^M&ՕfNz:]:f:oN͝sTXEhLLch\0:P6'rR).@\;en"bvݴKԥ7jϨqߙG6I݊U5VYTj:~Up9ZV[L[4~[ifWwꈈy+D$K]_Y T.s{k+ˀM9 >%X>^%֗u'om+Q2kwuFkfi4${,P =68aTe_b Haޜ0 ӻEV ۂu?{ӂu~-~wOZg7B-SǯI}UCxK!?O5DtoF_<-ZIRqT!vu䌧IŒȱ{ɱn\_Qh_\K"#UקN.rG}!2N3֛N[ݠStx jJئ#GwӦ]0"< >!;<u-p\)W)M |Cx^e&՛Rgj$ (ܥQxfs3^pS/"g4c':5>RBOmSc(? Q`5L{Td̎g>-G,62#̖eC4kD6DoA <{IGbMSCau0SInx#'*7Y.OfG[#-[; -KU[KR`q-і< *zeèA|䇥Yމ]&Q5:442+6K2IPО Xgxcxȫ™piWۜh28rT^ȶ1YF4,mD۔HI0#IJ7[1S |$7綰 #n?gcb=!Ѡ`2nB= ϛk F b،QlVTYBVI@Q 1\=/`<"xNv> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30793 14460 0 R >> >> /Type /Page >> endobj 14455 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14456 0 obj [14455 0 R 14457 0 R 14461 0 R] endobj 14457 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 392.425 121.8835 403.425] /Subtype /Link /Type /Annot >> endobj 14458 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14459 0 obj << /Length 19 >> stream q /Iabc30793 Do Q endstream endobj 14460 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30794 20690 0 R /Gabc30795 20697 0 R >> /Font << /Fabc30796 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ A-C;fũc8I 6-$.p' J( Zn\f  cR9N2&rS¯"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_M٧j gVĉwlVB+0knT-=&CO4{rCod%#5JVe8>϶g5{kEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14462 0 obj << /Filter /FlateDecode /Length 3914 >> stream xڵ\Ɏ7+l8U|-cNXC=tߟXg% L2Ɉ`0yE>jOKӋwKҘ߷q-F/Z' ._?)r0 \*o^/6,ZiL|OPczVkmK*h8pɏ{֭ ˉڟ۷#)t0$7|4`@mQ\[b:KR iy1#|Bq W<3xfڃk0AH qswe{9 >&mUKOu`vċBsQ O1`܆D+2kAwz;eDvCˬr9e4zC|'~`{Fq^%U< (j+zW,v8<:Wg$zP#3c finyS~f?W1Ɂ}+u9?z ]Pp;=h"!؛lz7 XpqC-Zsd3!qꀞ9N,ys|Y~Mrvj3F"̌2nGtrGlWQe.hqX2bpQ`r`[^܇E0'Z M#p!xfEN/{mE{U4شs:/!'|s~_^pO Pt*0@ҼQ/l<-3 ZXTDɉb_"a_4hEJ(+e$BBh dTC*(f&*eHGjfx3 Hpp9+Y$nvdϧHYQEjK瘻h-Չk\רCF:DCfb^Å5RbkVa-][K}%L mV&gf@J9Y u'R\*V3wޤ6H/-\yiD{+y zk5LTӲ`tƵLy7Ca݂ZlAq(n^nBݱŠCoZk˽V-noP Nqat!Q .2")UD7v pOc'Wق<\o 8^iK#v H@ aPW` y2 F ]݊&2l(O,F~eoCy_24q1~5-JfWv`#;wi$mq1SlZ7np/m⾌Lȕ #ƕ&o(MΛ4ԨHg'z{NMnQ&[ ^Q[eڍ4vWòiWw {{Xn!0V !Yh0f7M5w46wN05@keN;+8о }9M)z962t OK^[!V64:U z:q|e-ާuyɆ1R/UoE/r-T p&zc{@:6x-kxGkTFedk0JִsLȝ\i(ߐhȘssKj-ˤ5[Hfrq,zއz53w[1plS]ILiiV!Y6|STn&崺0~jzm;6C0c/L "8b-贝;\s5Y}~uEreE]eA ˅AAzWSo[{hV@K?=܎Bw%Vk|ޯv֝4h|ka4?w^9$ؖ]w Gz L'Z 9[Xjߵ;ގxb(&1/ͫPgtLeu0fÚC^D'xTS'Z펕d[bĔ7!SUF-y蛞n*-:*!!G*Kȶhl7^e0tPz0iYs] th͘5i) /ߌԥޙǣ+3p@w߈y?wFPM^*vΖȯr}v+Z3"?,-`U|edmK QIk}pu]L>hʹSJB@S%G]o,*[%0'۱;=I5 v0q,{b46M\Ht;CYˮZWZ uKEΥ>C͐(vFޤ5Uq28 }⤴D6G2kR!;Ex7*1)<;D^C䓆Ðct>\AGx7 Wo~[JxNoo)OwGrBC&nzլi~QBns>HD{5(FjN,_(MPюe`ĜN҂H{.uI%[ɗ%‹YṔdWQgi!^H= i:MOyܬv(rҒ)tR$-#RuštuLis+,e77Lu.&:*3C (l@<Nwҳ?~iyMw0wtUlƀkY`Lܓ7sޏ)~n9$>doa|ךT|M e{BxL??\t&]SQ'~9}a <″(kZCvYWn 7c)GCw@ӕ{Oqs˞vp!$#` rO !IUޓdz>~LבrsH{ ? RDws!oL۽ oD=nCc*ؽ6Ꜿ{kn Ba)ٖYej0|T^?5d{ endstream endobj 14463 0 obj << /Annots 14465 0 R /BleedBox [0 0 612 792] /Contents [14475 0 R 14471 0 R 14472 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30812 14473 0 R >> >> /Type /Page >> endobj 14464 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14465 0 obj [14464 0 R 14466 0 R 14467 0 R 14468 0 R 14469 0 R 14470 0 R 14474 0 R] endobj 14466 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 386.6385 190.7432 397.6385] /Subtype /Link /Type /Annot >> endobj 14467 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20210608081201-08'00') /Rect [104.1732 370.4384 166.9282 381.4384] /Subtype /Link /Type /Annot >> endobj 14468 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 354.2384 152.6942 365.2384] /Subtype /Link /Type /Annot >> endobj 14469 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 338.0384 166.7302 349.0384] /Subtype /Link /Type /Annot >> endobj 14470 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 321.8384 154.8007 332.8384] /Subtype /Link /Type /Annot >> endobj 14471 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14472 0 obj << /Length 19 >> stream q /Iabc30812 Do Q endstream endobj 14473 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30813 20690 0 R /Gabc30814 20697 0 R >> /Font << /Fabc30815 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HPЎYzrv"TYUCQ.p' 4Ab2Y@ʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14475 0 obj << /Filter /FlateDecode /Length 2561 >> stream xI_@)hz rO2?&b{Hl*o__.8gלNQWK~]_9i=6hgMI?}>XYsN֞Wx.bc=_'\k"b G`!$53,n,gkuT3?EDq?P':C?x'S*B1]]̔̏}uBXWoQb/ EZvG pi( ta#Ǎt:4,@q&O@bY 0Y$с%(D^XD\swb6"Fؤϼn"v'QrZD)q1a݇B&Z= 0[Kb"p- //>R"DPVp]cBр^16di,l GȘp|ga0ٷoe+8 S[As4pLzΗ5qh)4Plk]g#${6K":3\)xx~V ?Is+S hĖ (#kƵ)qۤ`|?:}|%usBx#w;3m@|ckIwǥU&qA j;][Oʲ7w蔩KS^6^MD]^㠠ʱNѬlK5ڽhoBQ~;T;YCÏiu9V hMplf!LB{Sw";ΤZ8.VIpr5+;:JȳFS>]dU80'rL"o)yWSySCYM^x : ׺x荪oGa#ɜG +mjUBx]ZEBsSQD}0J2('z)5ΕG ?z?QtH 55ɇ)A5pȱߡӮ5SI]ZDmNADٿa+xǁ G;|;I=V ͪȐAzqא(JL/왏霬ah `.ٛ߁3%bQAq*]$<,5OxT ^zA/o{ V^&xz)~|1;7ݖ77㳝sdoޱ ڤC*ߕ ۼ ɸVI AҨfwy (<|j>jM=!tf COX9;CNJ٦}F'<^aGJX2?C 3TWȜix גrv1y4pZKJ7+]ϙwj,Dn") e㩾KD {n$Zw o;C1v/niJ=BowR(oiaA#/U ]n73iȗ_xsi2aO?8-J6 R3 nS , yw% 25]YhaȶTnjbx_t1`pxџ ݅ %Yة RS7@+LIt}:pWISn)|!^A<M3ʹWao wއ~ڝ O4fq`,< dc c !QWT`Z8mS=fvEKm9JH>8Imed{-j-5w,_kF4jC\)E,y^H:+BXS~idImx֕v{eeuy2g##[;=KU[KRp=[ю< Ud̀A<}!Qu4r2+6K2W ^QP X;xwdO E+CD\kwE`RQ x!Ƹdz-ӴS"%Lg_C+x-s`&Vye oaYF4ޯ]u;2ZiYLFO|'Ay< e3_QdhB&ǞY* ҾsD굴?Xlc̈́n*L]9l U~w[ vjn;+꘩⮊))zX=x{zKmWV:c #|CJz_I{X~//q!s@{zΞgx >)2za/Y~'|/KŸ6 Uc1^=ǃ,;3toq(l|.*8XwꜾvlk~H]yjˏ,C؍`ΡN"' vљ JE endstream endobj 14476 0 obj << /Annots 14478 0 R /BleedBox [0 0 612 792] /Contents [14484 0 R 14480 0 R 14481 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30831 14482 0 R >> >> /Type /Page >> endobj 14477 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14478 0 obj [14477 0 R 14479 0 R 14483 0 R] endobj 14479 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 329.825 124.1605 340.825] /Subtype /Link /Type /Annot >> endobj 14480 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14481 0 obj << /Length 19 >> stream q /Iabc30831 Do Q endstream endobj 14482 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30832 20690 0 R /Gabc30833 20697 0 R >> /Font << /Fabc30834 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14484 0 obj << /Filter /FlateDecode /Length 4198 >> stream x+NpPzekS^ם*vql Y^e_'CȆ^V+Q)LOLoMƵ~EĦW/< Pq@* T<.Pq@{Z SH*a}3Ha}P"(R{.@Oj%cDNe0n C2k0xĹ㠖ChםmA% >fTg UW֛0X^v¢\a=iżUTf{7ԇ"/AĚju^\\8xν\x}!^{c~֝irL:gCL;.)*:j_OuoԼc RCg wE.ctRR2&iBaa۲ |,ZGwnqOա\731wR y!XwH7>jegZ#_jqTZwjͺ\HBFfOu!=}Ѕz{'N䍽?'zv"E[]bD?rdOR_%Խ X.?%k&Op1Y"#K^]3o8@n~x봽`).K恎w諭&GڝJ"Zu1~+v{'$zh޽ևEgc=" #C_v7f&t6@ $CW1n}t/H昊P'j ]67t1[N7I3QZ9@숞?nڀ(Iqq,g@^`z,F0qlIiJw JP>m^bL#q8!$ЎUĊ^0g㌿e'QTw޶feft.F'`ZJK^)(㘈q2G9.6L_/eX`+XT%Qxpș1vCo2sC<7[ Μ׽6*3)S%sIbhڭDy`lmus:bF2DEt H:Gq >[)T=WTBBP}Ī ſ/VbIX)a}ەVUܲĜ E>d'ؖMFWIjV3Ȍ-'!G ]9>mFue :Bn~24t}Xb!tamAxRb<.!Bo yB%.IÉMĘ%3?yJNSE-50x 4m[?&;*oEmSk/k;Vj]:Q ~*,УpJ>La 2ž$i2"ij"Q- xP"(F LvI`(,OHL @Xtcw9PH 8_xL$f`,k|2LHs m$826Uo6tKbo4]w+-vw(|CĽׇT}]@e,xؖxh..MIT4刣?U:V;)hd}zBU9~Xjobc=.@(pWd6ç~?'7V1E3q_| "ɸ32vo_aN)wYĶtwgzErTB˼W放:<S%qZÒBoβ3:/颕/ƙ endstream endobj 14485 0 obj << /Annots 14487 0 R /BleedBox [0 0 612 792] /Contents [14496 0 R 14492 0 R 14493 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30850 14494 0 R >> >> /Type /Page >> endobj 14486 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14487 0 obj [14486 0 R 14488 0 R 14489 0 R 14490 0 R 14491 0 R 14495 0 R] endobj 14488 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 142.6963 146.4737 153.6963] /Subtype /Link /Type /Annot >> endobj 14489 0 obj << /A << /D (unique_516) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 126.4963 168.4297 137.4963] /Subtype /Link /Type /Annot >> endobj 14490 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 110.2964 173.3797 121.2964] /Subtype /Link /Type /Annot >> endobj 14491 0 obj << /A << /D (unique_544) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20210608081201-08'00') /Rect [104.1732 94.0964 164.7337 105.0964] /Subtype /Link /Type /Annot >> endobj 14492 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14493 0 obj << /Length 19 >> stream q /Iabc30850 Do Q endstream endobj 14494 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30851 20690 0 R /Gabc30852 20697 0 R >> /Font << /Fabc30853 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H(ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 14495 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1293) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14496 0 obj << /Filter /FlateDecode /Length 3712 >> stream x[Id Wl)Q@WU C$ TU'vlT-I/\q /柿zMqgyTxI~fOO )Kx!J 'I*<_|RX)W}=O 0I$o$Rg.XAOi'O\+xAOUxx/~OP VP>ĆN|-JL1PhFJy+d Odyyn6#_L&h+\dA?﫩|6NA*k{`Jf g7,XUwݰoczc1 g; \Vy?i)w[t3ۘ3Ho4m! 4lǠY@^j5mrtg=qLV G$޶&{)"7 9kB^^{ƈ^S T$,`)'sË@憓lIY ҫ8/.K@ ~Ҡ$f,-R>8NT3`-kcs|[PZHeA^ =PkxAs+{(BTz 3~ac{ Z,bPh;>JV[$Q v70TVƷǰxVl+Cu/ 1ziz(d)$&pB;ľgq^awu+Scf|8 3; j% fZ sz}(yO\.=f K 0f͞4AR2]I;UBa& >v'au׆&Ss`U) {Ϊ +i[Z Ql ZTڷѓrˆ\cRSFpSLFaQWZY6z.գ*ˎUގLKXN]X!K'kpsIu&[S*i;^;VuҲ#t~˓ٸki:&Sdz!jlj͑+$ruqj3BKJnGv]tvnRG7YKvgRo$(О_CqckgV~oi2Q=RV M3d;soYI|!ۢd'r|k>0WieXq ~(\qu-$*m\wT|9?!oo(w q'X W ivn&Y* G'e؄/;9P;}8=Ǧ}9\>J6h'gڌ$~m=Q?XBr:S` mɸWO=j&+ X{xz3D?[#xlT(."*ʭ%/0{|O̐K:ԭKYPĨC2> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30869 14503 0 R >> >> /Type /Page >> endobj 14498 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14499 0 obj [14498 0 R 14500 0 R 14504 0 R] endobj 14500 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 301.425 147.728 312.425] /Subtype /Link /Type /Annot >> endobj 14501 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14502 0 obj << /Length 19 >> stream q /Iabc30869 Do Q endstream endobj 14503 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30870 20690 0 R /Gabc30871 20697 0 R >> /Font << /Fabc30872 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL Nw19WJIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ 5Ժ@AC5N tweBC (cμs?`|۾{w$V endstream endobj 14504 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1294) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14505 0 obj << /Filter /FlateDecode /Length 4379 >> stream x<ˎ{}`zz:@vN dd䜋s_^UՏ'vTI%J,K-Y_d;4V)oE˯cWB˛'QR}]ڛ{v=^iu^<8DwON-F~^߿"$rOjUVOsFaV 3lXkT2'i-ؓRT 2+Ceel?U%3/ܵM=IHĢ?\B% i#t¹LUί jeEk6@~"˿fMO(v|ZS#0Ey^eTCAr3>SdT0vR,bDPYL%7ZFYPUZ+X c`2i} X[V#:x隝.￁ d_i0D5[\Zs9:5&7 N{ <'g K=HTfGZjTW".ܸN\;`!aM_]!_<< =E.Rn^-Xu|@%D"N@ 68Cro[c'j4Qdw-iZPڏ F0UY {6SSClDOAgv D A/w:4Ut2<`Q䙌OwrRB:/u &OIƥo?Oگ!wq{p EPx(=NcԔ gID6ٮ${ P׌iugA"s[Y{ V}s{|%!<̟APPcE^*@ЇcGXjYz'[҂m(} Vs8!vtϏJ6>eu;O`o<2O,cG\:rsR}+}'0й4^TDmOk{4H)7xՍn4}F9%\ #ZJ +I _BO2ve.?Q2mAL]"?pQm]  r_xڐ>F@4H4e["(',4V[QJ;UI&Dr"h.AO;TS[$AN}qZ3c3 OҸ`꯿{' Ļ-OQq5i5E^sWWMU4Se*j $ +Q-V42B&6Z^Jlr_ V>`Mh⍋MqX5bS3zfDv}qgRAZݨoԽ}Du)C' Pɭ*ңJKmrjVY23[ej`i>)0G-HbeQ5xBްhӬ{V˟c8bVC Dl^?l^?lNl^[3Dz0'22[|-'fe'6+140WN!knT0f Aa,߁04CQ3 (f5 Ii&Aܰ<@fEﵺZ^ t#jS(!8;," F o˶,bm ob-Cg= :J6&l磠0'4}l`Aogʩ.5_ə:rB\mcƉ/)x2j: וz1sGڹ0S 6I@TL/5ߪ&)q_4?Kd%=* a ȡ%JfCx=z HClOS&O)8f_+B4:ITu{4U$0oOxkiP>HH%TF,m[e|q]l|ae&F ' i;6Jԉх44[[:H]Nl ulɕ_S]`+ڻ{w {xE}_\ kĥѓ(FjL11'RHIQ?Z\LY=r2RqvC0ki; 0Fduݣ 3N8ҸFW3=hMFWþED";-ɮ)a1'EEɠCj@tNci5#=qx.{ӭm-ARlP0\k'?q>?pS7v1Ś]UѵMAF9[A'y{/} SzΛ5@haWod_u%ut:|ŇF*O=I}9\5j+զ́4I7Qњ0Qy-!o~=)E}d0Xc-ʶ1'ʝyDX8q!&]nbr03$kߋ_-9?z3a!ó,`R{X eye%g-5: n=޸ȸP̰Uպ l*s2eܡC3eXpC:`4 ߗ1$nc/h8%6jƄ iIvBv#ڮ^(Z0rgɸOk O0UtN?rfq Hx=+"E@`WarV#`2І  ya;k_'ԱMX =twU\y+S יṢ|IA"u{ʌ R#r=5 Y^ D).^/o5$ gi{ktY4YtG0Q ͧEݝo5jaTF 'UӴ*K[ƀ&}^C [ڛҢ%)D^<S.c&Q0NDMZMp>Y_KASa^cC |>z*<;>Y:4Cα~5d\ ~-n"){Ô'ŖxėVj]z6*,УrJ1LWcF%bt(rdXӔ]Kel=mOp Mj#a`&C_R@(la7?e 2"p+p0@` @`zs>D0 pme^epv!ԈAb#c^ZV)~.jCmGՃ*'(x!Uyâ*#z,0Ki֗ׯr5ɚ-/Kh#~0tpw)hd},uŠa  XQ!ҥ RXS*2."*&G_p,q TP1 r1S+qQu/qi#޽+2p^ NY+6T+0_qdc/硘J&XhUYɍGlH.ALv^5q+fb& k3ҐkG \p6N1ZtmN;ސTpt5K*nrW)$F27 !]ga9^V/J~D"^S<]K_~i7 70T99|ñ=EQ*60[p]i Tx{8~Ai]Xnpe'y{{ sHhe|@^. endstream endobj 14506 0 obj << /Annots [14507 0 R 14511 0 R] /BleedBox [0 0 612 792] /Contents [14512 0 R 14508 0 R 14509 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30888 14510 0 R >> >> /Type /Page >> endobj 14507 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14508 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14509 0 obj << /Length 19 >> stream q /Iabc30888 Do Q endstream endobj 14510 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30889 20690 0 R /Gabc30890 20697 0 R >> /Font << /Fabc30891 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HP ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?* endstream endobj 14511 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1295) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14512 0 obj << /Filter /FlateDecode /Length 3940 >> stream xˎίy+|Sv{6h ENL~ŗ$w=,-JdX*R7mɋӟl_UWk_P&cfS^~?qt 3\•JOo 0fS֯?`՘35ɯ3'{br3>{R^.~r>. GqO:q.P/\I; IY7FYcL`Zw1!mL5ĩ^.h?π;K[t]D_y D/Ɨp';Ă0aOyy'f*ʼ Sll*"ܭ^(ppIXm|)C\g~.( iU<ԲWt,Pdx(ZvՐ S]k(F֦0l//Mr a~LˤLOv1+ۦ*G3K ,3hh.@x^(%.gz[w,2ڛFniZ*&N''FDΞ"=q\CnZ@Zy,\Y 5/ d;r]YӸNܽraP Vk j7,fIĖ=gȏ\nD3UnnW5%fJ~j/s˻O1>NYkU"_q:^ٗyyLr6q]0ZrgЪ4")dUc.R8=˳[UVy!sr/~~]up,[90 bNϻi]TzF~W.WFF̫p17[G%(VD;S[Cr7hϷNE;e(-Iz'WvB($;V ۬m#.7]DWq[+@`wO/,]9x[&lS-&2TpQx4䧑 0&sU*}TX%紤-2P)rFgH Htu[ڶM% dK3Yx]ӊz7~aas HkxΈ_7$xJF5dnbtT޲uxSe<w&?<#KQ16}e%ʎsyVsbmf3wk\oFu@좦$qٚ;Kjk6;X[][ 缻z\^ì8&H}v1by/iqʍif1ր?y:km|SpFwDFt2EwVNj ˝~a1]wܻ^wL{W{ovLvf'z_md+qy+ j?CIbC 9gY`!!ٖ W<㲒ve~N!1rYcREPX{#aAM  {&nh7:d.8r3[JmJeo &6w+Bɡ^7[&2<=4T6=@] hމI !1@_^o,!ZI!߯jtXsV3k'<6%o-x )~Ӂ~GWxv&Ǡ3.HRDY s^OL3qoldb\nfLU2w5rX}gl=Fgn}uAlLVKRThr޸0µ2 h|) r$۹!Ig.$0|c;7zX6CC})Bx<cga\sawQ7iKMb'Όk` ra33#c|ЊB RZ4w)t^. u/Z8=3X31= ]"g;\gWuWi&æI,XgaW“{Fff5=͋%Ɠh^I?(k hlrfĿQV:7,;RvFv*Q..WTwɥmidI$y2IZoab}l3owmm91O"lo_2ԗURVzӵxqlNz;O">P+x:{s.O |rA+F7~`dsԐgv7 ~yn^Cv>:} 74pg4TXyLO|s(Ϭ.::F Er-NWloq&R<%7jf"սe,#GZ/d4\,-^-;B%R퍉uXaEin峐[΍ O>)=>1M,[Ak5jN ϭd,4A V7Y1.TLFBJ{&:wiItvbT˚<6d"k΄x΋_z!eK.7%̛-Nrnĕsg0Y:$Y*9M #@#gCgj= qDHgcq4gɌG!:|Э (& r@=Hz념?]1YW}+˯E^+pK W 戇d䁾O.spnޙ; ߅0I{(Pq} RdM /_YoDZnqF! N]Բ endstream endobj 14513 0 obj << /Annots 14515 0 R /BleedBox [0 0 612 792] /Contents [14522 0 R 14518 0 R 14519 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30907 14520 0 R >> >> /Type /Page >> endobj 14514 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14515 0 obj [14514 0 R 14516 0 R 14517 0 R 14521 0 R] endobj 14516 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 172.7747 686.7] /Subtype /Link /Type /Annot >> endobj 14517 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 162.8527 670.5] /Subtype /Link /Type /Annot >> endobj 14518 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14519 0 obj << /Length 19 >> stream q /Iabc30907 Do Q endstream endobj 14520 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30908 20690 0 R /Gabc30909 20697 0 R >> /Font << /Fabc30910 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM  endstream endobj 14521 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1296) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14522 0 obj << /Filter /FlateDecode /Length 1189 >> stream xڭWKk$7Wh x8f!]oT%u㰻A#T)Zi u:ǜ1b:A[O/V זLI{}zBV= ?EGwЧ@9JNIܐ !z6 GCD9F6TCk>C\+Fɸ#TaXﮊ{K]I w/"":d212.XjenE9WLu5`Xurik:aꬭ3V}8XBphMRf :O A}n!|ngRy Mh[M y~@ehx3D ::v{,/I>Q,^d/֚8}k#Nmdy%p!&vi7 ůg[Z_5Q@#N\j LȒ)j);q^KA1jK c ?h97?LQBn xזּ͎/k\5e;FAI[ykLUs^6^8EXK-xiNfx2l.3f~lYqB ! c`S->ÓbKu6e 6Y8DKWCcMJs{B;LdoSK]2) HvlOE!a'{3 ZCcn/gyC{#!Ԧ(I(B)wݦDjaIDž8qrVޡ=G+#or]7-GtƂGF~ښlxsրqO`Ɯ}_#ʶ0|o[ HV񳉛ˎkc @%0 J endstream endobj 14523 0 obj << /Annots 14525 0 R /BleedBox [0 0 612 792] /Contents [14531 0 R 14527 0 R 14528 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30926 14529 0 R >> >> /Type /Page >> endobj 14524 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14525 0 obj [14524 0 R 14526 0 R 14530 0 R] endobj 14526 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 111.825 147.728 122.825] /Subtype /Link /Type /Annot >> endobj 14527 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14528 0 obj << /Length 19 >> stream q /Iabc30926 Do Q endstream endobj 14529 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30927 20690 0 R /Gabc30928 20697 0 R >> /Font << /Fabc30929 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14531 0 obj << /Filter /FlateDecode /Length 4590 >> stream xˎy;ztUwmrrd7^!eU^ ,zjlI%9| oGX}yjpvfIgq2b/?N`/>Iaߜ)o"wV嫭Pz_Cy;e˟/; 4भ/*=-<%@9eOrFO?Q f'3OM?e efiy0^Iʠx:%|ԋ?\|`P} T2Q1_  ?s3M'!X)1cCb9 Num1m<2 ^@ZXFؔ#`s]"y&J\¦"ŸO'H y 7}$ݾ$<͋ dm',@"5 [T ĚBZ.e̩ v+\1pZD<7z'/QDRn͓QP4MDfuodCKYdԐDCj rkQ4U2g}kU1y0D?`?=&[6 )0W 47ƛ+#_zwwAe5Ry8qPZYN%&LVOXF ˙ Ii$AxӬ?#>/SPaɈ51M:nҠ3԰$-M p4ne`LCC@e#+Ĩؚewvr -\p܀i5tfGP6`ͺ1O*qC4cGSJo!!|z'9%sGSjI3mW L#yH.A#|QAwUs7F&2 +kKsf}EX_c=n%\R*"*#$uJۄPG?1ijd8F 5Q{rI/6ZtܣQnNaO>]ױ t&O̗ǘWŁ.Su+`(#~,o5ANj@FoɁkdz)s!n3m5HHAN^c݃jDԺ0TLD\j&PT :DJ`B ljƝ80YnC + 4pQw·oMƸQ'jY P]^&@dד@e0qe٬0a^QI%V,&Ѝ|h [-WC-ZN+\Vp)w<I$ *W6JR<{V'S (5>J6L1j޶(5Oⷬǒ#i89|PCev8Kr\ynFL1d]Ŭke0p鐂| z,G48駨ct 5;mB+s;Y1I$`a@o"B!|uW`0JT+xq2ϻ$$K9C`aO)fowbgv,L2풀*roE1}wda˂M$lUn4,ڃc 8sn{!o߱|ccteOC\cyOv<Ӕ&`*Oזc]}RbƬT:qI%$Ē{,׭pnڽ$?wY4[~ܪM·iTqO;Ô=}^<:uWWlcKZe쟾/[9Pp\ZhxaZ`>f]0)Q^WvxS16d s;Ӧo/+ږ՞RhP&7Χ)i=2ޏXF7&rFǩ(nt3y31D(\J 2)F)q]psӊ*U9Ѥ&Wާ;{j|ҥT7Vi#)u"U/YZόd2e߄lO6S{D#p.N%,I_WKuN?> ?XtL$5ftvIsuq=v\m_[2D{;o\ӎ]zΎγe,0GcҘ2yȻ32N<5dg6V2^t}v?U^[5lmF3a:c|nԮkwpБ~lY1{MF?9P9-%t" 娄ks,7SMf>4Gsja nft%?l%WXǑ˧Gq܍Yʌ|o<TфުD3CuB#(RvK;#Om#@u3l|X |^=WMhodɝȱfWR.b^ٿكk>_ozn:7٠6(wV4lpKx1ӣ7=hBjaS\|X,W=ܿ|~(~c,fa=OTf65 ߂$?_wEePt*~""x th _oYg4b,E(AqoD,2/Ug10(mfNGA"G5-*pjILjzlZl5&Ŵ,d$bᜰ)嬿 #ޭ@Fr0^/C2#Ɏ{ Gu1⸎-cfi 4O>|׵qIX ~xcnjfo }E.Bҡ[M.DГs8̭9= _6li'OLR""(@'ټ{73eT1 ]ɼ'WkyfGQoǟW8Ӥ\Zl:kRi_/g~ ̐qEOUzwt^0_/(٬:;sc`hqRTpp{}8,NTIv e/ΫPYG7NʒpS@x)n?@IfR^WVIG(QNL":)nrjŤ Zh7yZ9s:p&;>bKr!^ >vqZEWa;f 0-!́[j{v bF-` 1IûSx5Zd, :)ˊfuc"_ m tZn!~ 8yڨ'4DhDCtn.Y!@q7I3*_XBʺaRdK;r}DͩpnL۔jF6JJUZ=<M\Sm==KA.@%~ER)ԀF_ƜbM *@@lٸ)N$ lN) ~X*&i։ pWhLdDR%ŝ9-Fsĥ_SC" x,,La㺅%#"Y:G|udEj$(:I쌜ftFT)u%F%2dkxL|+rK}[Gj WDc,4Ǿ*LD,Ǧv+ݭVaثa1 Ol#<;L fMwV +~_> <}}q=iwaG"W'u>8l*cH0g^; zIpurHȳx^y_~}`Nu~.xO>t~,G(V.l;0N|aᲵ58oЇ1Cոr̛s ~]U65ׂ:|he Ġ'׻q1?.s endstream endobj 14532 0 obj << /Annots [14533 0 R 14537 0 R] /BleedBox [0 0 612 792] /Contents [14538 0 R 14534 0 R 14535 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30945 14536 0 R >> >> /Type /Page >> endobj 14533 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14534 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14535 0 obj << /Length 19 >> stream q /Iabc30945 Do Q endstream endobj 14536 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30946 20690 0 R /Gabc30947 20697 0 R >> /Font << /Fabc30948 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>L endstream endobj 14537 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1298) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14538 0 obj << /Filter /FlateDecode /Length 5388 >> stream x\K+W:@v;&9@AV;wds~HzVmA㶥*QH)UmmzS_1Vݖ4V)oݦݴ)Dkoߟ2Q)=|·O>Y|'LH#!n h)h.@\tJ3|^Gh ]l,蟅7DX W9xo~>B.\~ $SLsz[r#V *r`U>nR~ VS5>2wp/γXGhT1O@B~&,]0ھ"5IoDU!6G%Uj~E,UT=:HB/c9mQZ(;tIF9;i26)|j AZc}4BKV)od9A] J[ ^-|n&glt>־` 'HU6#]V8ihlA{_tC@s HI(oh8i/n20_x)jjf0bS9ZMDgJHGIS\aY SqoԪl D=U+$i:{JMtZ htf' ecAER[50`zp"X38?@Y`U~м[X dqg ]ga_Bpp$/7sEaMe?!oh|9-@PP.M'rYej ZvunhRM* ,# ^ʢ-OZ=`D?&iR% vUpRTR`% >p֒pLM)K0*ȫ0ÄrJ@7/=KTZb-V9-#* p<Ҹx pD+NZ `1hSK4rˤS`R[QE. K Q}9՚OAIe1pVkDwQ[a98vdтf(n)ǒ8Ii] χX}HߴdC.AK@/mOJ[˞=u3kWQ-fp{P&|!X߾p2Q4 @G[ D[T7ѳ"fb("+2cς9k#l@uL8%I?n 1:7@nud 1&uM-_g`C0MVbMF}(( W'轼) Z>/Q$Gu@$(˅n"hhCB+!5>V D̎]0 {@_ߘ+h%0ES[,rfi<.S.Dq@ܕ1lhUF>h͢Gm;2獚O=8)Jת%if "[YArDz-q /s<ٍA3X7pfQ$ OFj]No-Zޭ@jQݨ:U~dU㷆7S̍T.8MY⋭ ˑA47}SIId qp$=,JֿMnwAᩬ N$R{P:;@jzRCˢ2輁QTfȁ{;Q&0p6o ~J*7tŰNC!P6j98Cm ZĒg`r{R!8 )| }c7B/#"B)^˩}G?EĪQc\LKTtF0UsՆ EK} j@?ޤWl^ix e|(21WVbڢ\oѥ4 45SI.l睘/EZHpM'КkjgcJyE9A+wP1WЌ~MkTKzԚ TCS ssB$]MGk)KR9k8tޡbi&hh%)*n P}ŏ:~+c [D*3UM3вe(ur7X^v2fB^WrTB"ȱa483f,}k+̙9,782"j:8Tn(}Q`.}YR,Ǭݍ͔mLdmE:dd t>-찣g(CBmv*UޕoM>UΥ$cr`v:؂4P{]#J\ {58+K6o)?]H}gQYBۏ)=gsp0gj#me* 21(jzJ$ayT\Ǩg'aƕ@  JAQA:ܶrT;!e̐vfFE#'zj:5mWI- k!yT_"@,S|z=N'G! s*0`)uIC~.Ӡ\]F6ؔӺcE>mا9PXí3&7He-[կp5?0 }F*XXnM|p8Ţ;lCb.w37 DW+x PΨ;s Ɍ:h*YEe,"*@xH!#]ty$0Js⌒e^m^|.^K\Bm/j@:Ԍ{ k]Y!?bDe1FCɭsE{Q;p l'}L~wǾVjP&QiHԈbso<+$,Y!1p9}2{~KCM^'|c5=<iYUP#* gxb@n҉RYwJay.z ]>m5mԠbkYئ@zWm8 9a5E}-p7ܜILn}&kd/FXa ef( $"cZzHӜ5CWj!I*|4Ai|-Nā.ܷlF9C1×cwȡ*^[7i5g7vaD bG| 8LR&?zT9]?WBQv|2;ww}1sRʷ}qo|u[j͸]s;<rncrepʺ_cmf̗vKtb%I+񐙍>㙑hQ=G, 1zfx 㝉[@g8Z ϼ=f,֭Ɂ-8 =@ʤs GuG ԰Jui77l2K3ta^ݨh(ziuGjxPIPv;61Ӗg(w8Zsz):3 8=k}C 9/Ӟ7cōxo MW  u2CN-F41kA#_s`b@/w_{V-)>G%쐘/;YU9s!ћ@ ӱrGB; ؼr\ޏXq-||Qҥq[ߟɜtZy & Cqr{C\68oB_>:5#I^۸(57ZX(kR٤'XnqdayG&O!&^ c42Cn}KV0],{Gأ+q;Ce zU Rw+gDS+z3!99 僧ZrjN˸j4w@8j[BG3bڌ$",:'ŎЏ9P:ZSH3h{:.'.hN n|R ./\8y%;?S :ҋm~m÷do5g?5d=9hS_`#ۥ ӽë!d1) 1WP10'eT.s >gf|• ^O^N bv7#y2.5.nzUZGvOcӝ(F߸%=Cb)ZRV/Zzz:jkEPBP"NJvX&C[K'f[>~[[^e ], Tb0^~OE!2bƇEf8<^eZ#ZZ{fcM$(FpuJQmy۾P> aE+1e )K>P]4W"T4 |ұU܀\HS?% ey=joAR%a(.KėRHT)b_ʼnp_2wzH>T*a_g{T|kd)rؽnK6ts٘*FUZp;>{;ֽhL*29k֕ (K6h<SلEѼ*_ Mn<8}Ee;A2nb[Ѝ>Z8.A0bF̥V}vt|c|YC)}lq ѹl AwPrC,7{Z^72X+2{o5Gʧ#/WG\'g M 4 zM2r`ƼW>oc gD6< [4sy N|2-X(Y| ِ/}S&N:HjLՌO?*snY~.W]3D,11>KrpsۉSv1tq | endstream endobj 14539 0 obj << /Annots [14540 0 R 14544 0 R] /BleedBox [0 0 612 792] /Contents [14545 0 R 14541 0 R 14542 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30964 14543 0 R >> >> /Type /Page >> endobj 14540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14541 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14542 0 obj << /Length 19 >> stream q /Iabc30964 Do Q endstream endobj 14543 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30965 20690 0 R /Gabc30966 20697 0 R >> /Font << /Fabc30967 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14545 0 obj << /Filter /FlateDecode /Length 4414 >> stream x\Ic Wsh_Be $zCHjdIf&Q\?R|M' Io_99E$?7fSrwm_~yG)<|R^/x}b ݫ|ۓRKe_\i]_`x'7u~yG'LUJX_ Vۗ?i7vo6$N-YBJ8|Ṑ{)t=rex)%{9`K|:N7+7T{rQ7F_S+.lKa^;d)~7Oy8?s׾Y(|0Ah'c@L@W@̪,$qr@Z*7n"ْ$R\Z&Da'IޗHIrx>$^nʲ˳0Ol)32mf`NBDe|p P&8:-,;b؁(|Sy`:5,fծLY6ħn쨆t䩫wWm)-I%DG{Bgu?bZg5Ib8Bl$R |೻[! ?N*=gftU>dSN}v7QdUĥ4'(tP`w G-T'p >M֞LM1F{fQ1T`@Ax0ټܣSs0гCEaYy9ǖ`݌feUG'r >l0 ! \M ,]r&}LzT*:Pp/q"]("VqCԁgnft&%KTR>77›!1ALʲb6,i_"–УA#Ĭ ߠw, b;:8[FNz&A6Ig\qK$-_?Ӣń^s*FvalEX=7{gehjTQ:E7}F 6t?mrݚH'0AB +B EB:tW+'㓒"K{v\YRx_7.svLp^SM`ƥJ&1jh4?G8b ԸAi@J2| uXχ8)q$8oNS(.߰ \2}l(6#w)@sd̝]շ756n& =T3f:hqZ;kE|iH!*zҲ?](Z'11Ő |/Oւ,٦RcC@`u"Xw'Mw-׬&:sU[]5Cj\ViWL?0iٝXJnuZ)*;jȌ۝l9]'i@պS[vtFh #G=SHwr* P6S0M Y@n|y ~q-ܫDUmȩ{RFP)ûM+)GފC4.n'@UKv06jE ;*U :s׆z !,e:  sP@UH'-)}[GbuӘ߻*AT-)GF`^y}wviQ5Rw](%݄X@е29a j#^bjŗwK$B[=6޲ww{cq"OXmm=x=w7*'Z@hu%D%&ol!ޝA3=ζi涥CKCj0n\[)j%SF۴ x=2stli`ܻ:W26rvlMq5uW$['z [1j]1R*1sB1ߛ uK渽(Ɔ蚋Ս3ViԷޡad0lÀY/74q|cqd$/.@?X# u}>Z(ɘwĹt-t~C(.FdޮM~ww崻 gDݕjejc6]GW7si6kC%SVB (ȿؒ9k}71%{zҎl[˩M 'D~0PyaclL}8 ȎyKS‚7EKj7Psx=;I[n͙;,c%GzЦޅac2qew2 7l6%Xԓ#Ҏ#r誠c l vs4uJ{651;USi4Us&vH|Z:ѼHYK[: fG@n// Ymt0:lw|6O7/H@bsߕݫ/wH_uzë%~A.R3Ds"sQ6>EYMϛc1U_V+VmCk]:n7x@z8VeiRoj]ާux3+\JZE !Yd][zlx/[`co E7Oj~G4 ?!7_+5@Oӵ~?lniBr:]d\FWulZd;N޾'T2Ѝlv",*l߶zdGT UZn:v==8X[nR.oS3'wIFLm"G Sp=u$QK>^]@%E?_Q]T9M[ԿzN'_p: 긲<@!h,H-?KW;534XlW$K ONR28822qDׂ+}+Gy#[wKef:JWkģꀴ$z6ʑ Xq,'rw|>qyu2:a`Gvئ1JG&C&Rt%,K|ɭ,D_jV> 01ٮۍ0X-F_m#$F1"v?S 1CdZZ@:6z@{]qlx(D8LKq76?4,}qk"*C~+ѽ9H<#`퍁zfÒ#f; #] ;]Jğ߰ PuY$֚baL,7r< Ab2,Z( 23J;U+:Gl E)P2*VgeNMMUJ<㈼!zI9r-ouA ?s "E+J2p endstream endobj 14546 0 obj << /Annots 14548 0 R /BleedBox [0 0 612 792] /Contents [14555 0 R 14551 0 R 14552 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30983 14553 0 R >> >> /Type /Page >> endobj 14547 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14548 0 obj [14547 0 R 14549 0 R 14550 0 R 14554 0 R] endobj 14549 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 411.5038 192.8002 422.5038] /Subtype /Link /Type /Annot >> endobj 14550 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20210608081201-08'00') /Rect [104.1732 395.3038 177.9282 406.3038] /Subtype /Link /Type /Annot >> endobj 14551 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14552 0 obj << /Length 19 >> stream q /Iabc30983 Do Q endstream endobj 14553 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30984 20690 0 R /Gabc30985 20697 0 R >> /Font << /Fabc30986 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶f5ykEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMKP endstream endobj 14554 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1300) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14555 0 obj << /Filter /FlateDecode /Length 2441 >> stream xZKoWt~yh́{r?_Mvk$Yv7z~UXV=-W?}t4eQZ5',N?~տc뽶|׿ǿiT3K۝cܽ~Vxm#mBlLar1Os<_X,Ub&%aÒMm$—,ڵa@[HgcV!)F3ӵ>K~~aR74tO\Uwl_"o%9 0,h5ypWqPa]E1Jm%_IDs7 .B"ޱX-5بAB1q!nfU ՁH:F#zZ(w>6&h%2V . "wV+x]ƻ^y6Y ]1C;"2-EX}AgKahO)SS-Iw5;M)ý*wxz-[\=*Ts'qX+ ̯j7r6nF+cWYS.Jsu:@+lE9BF1"fKz7|U(9;yw>M*. 6[ Eqh]W68V\^gT~9bRǏB_RbըV܍0H^rdДͦbaF$$Q@Jo^\bMy2/4\=) T){溮Y3گgs0Bl/ffyw]g%jOě 5f㨻kOn8U*Ɗmn)q;rq5͹CIY-<5<QX@%T-5YYgnlCsd4gwcmUu5Kq[{GE,Ao= 3;Vx.\;#߻쿶uhfe"Ò |: ޞ xdd|Kvt97_Y)MWrw_DBi^[_PAΩ7NoZz!eS9U<#9 lT-EwI,~s#).2 cB+tY ݵCM,S,IV ЊH@q:{(hpP;SpVIv՛On;=t o qqn&C7x}sZ =czsGN# mĬa f r-F]?VhMWIk8uANQr5 !7sx-lF +*_">?7eN YLg&YNۍx#&g"=?43H {`i-hˑD*~L20 á%2 yhށ `m,dL/g&VdD<1h CHַ="F%-+A66za>ϔXI83[JoJ1zݡӌZi7' ܖiƟ3i|ccz xg!Σ!(l('BG>o0&b"Ĩh'7Q:dAN+quflBlU/8KI]駱A(>mV@G.`LIYE6oqߎPEK(D籨Rc( 3 IuٲP0tH Vs/gFXjٸ hk,mm!}d=(W+mJ}%̀no."  j}sq='&VO+݉ԝ1LoW=6pqӽp5<_qw;?΍o> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31002 14562 0 R >> >> /Type /Page >> endobj 14557 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14558 0 obj [14557 0 R 14559 0 R 14563 0 R] endobj 14559 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 386.725 140.952 397.725] /Subtype /Link /Type /Annot >> endobj 14560 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14561 0 obj << /Length 19 >> stream q /Iabc31002 Do Q endstream endobj 14562 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31003 20690 0 R /Gabc31004 20697 0 R >> /Font << /Fabc31005 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14564 0 obj << /Filter /FlateDecode /Length 3901 >> stream xn$ίp_AV>>c(۸UUCY4;W*v>d]zkOS_-^ir|O'۞½$}O`n_~[><R_ KDd/Kо??,ƒ^3.5%]+0+/?3n13.%5PI7K>%aIk mx3C;x`;s t/ vWW/|AKd$ha?N8R7]1N8u q)QE~oZ|0}mִ`.Po@ z|ΗGvp>@˳ r!qY촉^b dXhτ[}o /Dw ϫwolV01 h).3sӾ0,e2h8aQc" DQF]~MvUqb5XU x M{c_ޛ(cӃ?JOlY>TCS&\$^1j3frLrwL! H>3\n$*gw<&?0L\pyo&3=toZm*QU ?g ط5z5`?Yq>G66!;uAġqu{<7h~h_`K3f53G=!ГC帽X.ىk$.*hN6|Аmx[<$24\- UۍK^( L2[E51p>׵htA@<,+>!@(wW5[~MQ>WvRٲ,E/xlĨq~v؋(Dݰ_z`vHJ4O;8y^|/TE hjN,gq=Kn=BNVH`vBZ򂧝C |~0G#jv6e y֣zM\xළ3wl}<{& Nw(l i~-ac>|M^FB6M@m`y]:"Vv&F~EзA!- n˖XØ1RO5pL X'S+ǚ gp:h~l_5s/ f)?9(?ٳ.Ea9m3͖3NL ykna51isQޭFĬNs;MM*s %ͷ'%ɠxpFLO~K5e29 I #p..FDbVǑٷU3 bKdoEK4W*$_JR}џ>[F.U({ 1jx#q8(5XW[tĦޏrpLJTsBz!w|蓚{{h=л; lh 3rpʝYMSeq n(0/_ {n)2av;bzVٴ ᪵DrZa[#l['w+_1@ |${Ë`%O9hx7=b|;%p1eC;֘lR| \or|=dǙyi6x k Fc<2..tN0j|YjזAWYq%+hEޙau&R1W{̗7c>W[ ϣ􀻺u@;=U aZ;ڥWTpAJtʭׄw::J/Z 6a80׭2l1;,bBeM6+p1@ YPrO\J+(sf Vf|TAuRG 5 ] <7{:!-uDh?Spu!zAUyʷ|I>.Z2Q559*tKfH7ɚ?é ?U:UZ&8>r1"?xMn˨^SZI^eT!Z[$h0rapYDm}c\8Ц0TDOKKKZ. m KBB[Is#9/,{Ũ5:fٖ!E.Z-zS{!V40b#ǹM#IeeG7wn7k!]'m >τS[ Pe.'H{p=Oox,j.EyJJyk( tPͩB72XϺ6a%#M&A]]gٓa["d颕Ζ>H endstream endobj 14565 0 obj << /Annots 14567 0 R /BleedBox [0 0 612 792] /Contents [14574 0 R 14570 0 R 14571 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31021 14572 0 R >> >> /Type /Page >> endobj 14566 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14567 0 obj [14566 0 R 14568 0 R 14569 0 R 14573 0 R] endobj 14568 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (add_bp) /M (D:20210608081201-08'00') /Rect [104.1732 464.1346 139.3347 475.1346] /Subtype /Link /Type /Annot >> endobj 14569 0 obj << /A << /D (unique_677) /S /GoTo >> /Border [0 0 0] /Contents (remove_bps) /M (D:20210608081201-08'00') /Rect [104.1732 447.9346 162.3577 458.9346] /Subtype /Link /Type /Annot >> endobj 14570 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14571 0 obj << /Length 19 >> stream q /Iabc31021 Do Q endstream endobj 14572 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31022 20690 0 R /Gabc31023 20697 0 R >> /Font << /Fabc31024 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 14573 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1302) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14574 0 obj << /Filter /FlateDecode /Length 2009 >> stream xڵXIk$篈@c_)*|k#$ǘu-Y*M(eX^{KnQ7/9,6̟.8km[CӋk];/xx)g} y3X2y>Ob]hZ0OƊ$UYfkTq,rɞ VW[k$D „6ja8MU&OXWDy1-)}*`my8j#TT'̝=k\JnlJUjJPڅ㨠h5,9Zҝ`-GO㭺BNO$_AEN" &I|QʱʒPW'99'KnBaVx1];}V%;Xswy;MWsJwGʞ{5d;iB/va=z ·MAJ֖r ( zez4E]{st@R-#%;}?h#0{0QtnQ%VST\Kεg6' Ώ3o]N]|ɼ(9r;Hˆ7P}ac秖]("ClP|}C"vS&;xκ/5?! 4XRz.b3(pҹZ} 72{=Sb)mNiv?Q.-&G|n# "4~1_#s.Cҭ] JMơژ]e_ WCMTvNjP%P 3t~׾i@ՌzR|w3U&'zpȖzrR]-5w{)Se}l\IPNeE# P~ P"3&Kr~9%l#jNUx,FQmx{Lm}-PWM\CqAlY./T!c>˝C@QfS>0闧P4ܧÀ5Qn\eЏ!3$B_1R U;b`6 5$4ㅠ6G20JLM0$'-ͷH8tUzd[*4 .e",Ns5eu5w9uP& $VNh;>sW%J>|XNVx ed"-:wyG'ĝyƴx?2vLύN",ÉE^9;tHrTUvr˰7, oQ']_^d]̋6o ٙoӎ]&e>mK,ixFL;wPnrCԱio\3 xY^>d'MyuLZ*f AJ6@ObgfU)w(bl6BV 1T*puѬbp,]۔*5doJz2l)ؕ 4C7ݠL­#Bxxe vdn{vHbじFj{&ףγiBّo0MDQ.' q]V!1䴒VׄMqĶjVRI\ޜԅ_y[[=\iHUS{?f!#I:n]r]Q`51s.:9z-'gm|XdxYc#ZiZv1 LP40h# ͦ bX/ ؆eppoC؈w) k. endstream endobj 14575 0 obj << /Annots 14577 0 R /BleedBox [0 0 612 792] /Contents [14584 0 R 14580 0 R 14581 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31040 14582 0 R >> >> /Type /Page >> endobj 14576 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14577 0 obj [14576 0 R 14578 0 R 14579 0 R 14583 0 R] endobj 14578 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 75.025 122.692 86.025] /Subtype /Link /Type /Annot >> endobj 14579 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 75.025 160.653 86.025] /Subtype /Link /Type /Annot >> endobj 14580 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14581 0 obj << /Length 19 >> stream q /Iabc31040 Do Q endstream endobj 14582 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31041 20690 0 R /Gabc31042 20697 0 R >> /Font << /Fabc31043 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷE4 <~UW<7!fZ5Y}GܱYZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nYGGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w endstream endobj 14583 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1303) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14584 0 obj << /Filter /FlateDecode /Length 4565 >> stream x=ˎ丑w~H(2Ҁoc7S,.=TJ l]"ES\$Owvt1\I67k־A x2Sz:?d_X|1,[] ykWA ~PC߹oo7=OD:aXI| 8![&<6=Ըn]ޢ PAup=Gr4~7#ނf9w yW$M)4*Pq-qc - T$Qqp}f N6.:7N;*N(3Ag rBD!hidV Į'd+}S7&e#g"%;3ģN/ROaR'8LTXI qГ2?]d"\ݺ h; {WpS2r!6 Ɗٸ~P"0P$#O(+'?*J~FE^l epkBc^tѷBa$ٓVŵ2c3&yyG po{YeU ē&)$u/pty:?llNG 1R쒖nF`?_if/ :=!H4:1CE{ͯZVt&FXcDRSZFtt+ n!'=9[ӗ%/#/Q.+Wsp3f 1Y4gȬ&2H*R*SR;g(cM%'e1XHjE!sM _3v{ёю !L%x4 A0ۇPfF,Y2,~IR/\X`D*J*цF;3 }>Zj 7"KCuc1-7LHJʶ5\GY{J gߋ W$U'r7|U=Qzxi ֗ƿu?<'m =#WqYgʑ+߶2A*J(?w&]CCpS"sF&ʺY&Zs L?]|qc+jT3Ga ޵2pkTy[{2o)3עc"gt{>âcJ.KL3OQ3d_J ;]Wp69jWܵ93*ly 苭"MlI{ d+B%9)5Qw$ԧy3#[Qg<Ӻi<\NY +:kN\j6ʜJNò -,q%GT^ ź?+ zV\ome=T^9IXz.7#9:)FMjv?uXgn&8Ip> ApMp~ܳQjYuJx4#;溄`a 4;gH;%?..Y59`Nؓ杣cQG[;I~:';==Gp@H'sސpa ?]]Edf7 ҍ.jՕpjRS\2{ˣ-lҾ^96lL >qUQUH;~ȳy[x#՚#'1QAښ՚j73,_WlYۼ G`- /bA8_LS-/iqR@Jx;kSl1/]M{uJ Kl`pS fbR٣tOHӆdQH~#с셜h;:I`:pG }5|75yD߁|(%*Ml~»;$ 0 .U mx0ch}HjvYr5X!+{h/U]=N*сGVn8z `Xag6 )zN4HN`3A7x/@p_OfcX**46Y %k^O@U])*Obdt-VFNMӓTJ*ZR}KAba ݁IGxɘMb@E@| Cʓ&EH`hoYHX< e6N <" (Il)D(C|5Q8$V~hhS%ƪ)=*9UcJeJ|#JFd3{RMHSBTC0m6+ aZX"4vte^p]<5F֩@gdUՔC%}T*0&S%B1gC{[GJ8%-ɬ]Բ{Yow0)!J%-r/)껡%}-;Vv!g^}򳞫g] AH*K E}|s[U]'>]4šx ^PRZ/#i ZMeDs@Ftty5{=xJ)MZӥ\DjDBјob3t6a*|A{8}}F!E *~Fr?şGe>[(E Yo-# wQ endstream endobj 14585 0 obj << /Annots [14586 0 R 14590 0 R] /BleedBox [0 0 612 792] /Contents [14591 0 R 14587 0 R 14588 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31059 14589 0 R >> >> /Type /Page >> endobj 14586 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14587 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14588 0 obj << /Length 19 >> stream q /Iabc31059 Do Q endstream endobj 14589 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31060 20690 0 R /Gabc31061 20697 0 R >> /Font << /Fabc31062 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ; endstream endobj 14590 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1304) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14591 0 obj << /Filter /FlateDecode /Length 4920 >> stream x~~ k,4F&Cܾu3pcRx+Dr/9U} `0 Fh5dy\"\pHS㸊P~#-}yLy6#iFl~j@AW͗҈cT@6>Z >C,v910mif/IJ96\Ēc H$02ܕ7C}2ׇ-~@\6#N `RPLwf'T`扐|ޚnGkR,˙=O|qޡtS56=`(΃X Rh&M28mK`I¼{kCjGAZk,UߜDyoB"7npd0ʹ͉oPp^G%@T|/㞣 5`аĎ`g_T6;([7 F` b{!HODb fQ-c &̳mv-s^Wy/&}M+`}fPa;L߼ReƺrL"{L.(N2T99 Veǯec|g>JKy'x̒JclnXv4iGW+TlIeRUP5^-efwn= Fτ b,FsKT\at[}΍ }n.=x%o$zpi@Y0®/,zyyO̫@Lb0 c_ /!d:@G+  im*^Eopʁgbܱ.iܭ{ Zb `g!aN Nh\ m>6NOq(ܝY`Aft0[-BgzV(}1Ku-(W ' C8Y\+µ+ME- zm*dx8D3tq+=b<찍t{Xip:U.[hQ=\1L߸DzgV}mNN#r?I("jA~zf7;AV7Ţ~ +kaBx+"Ic=zw E)Xd@l*4ϘDk"׹N04ؙkP{ؘ (Δ\J&~'xR}4C㹥˟ /#s2FwhU7p/}kCbNͰz)UdDR+8r/ t9É4</aRck;&IN Tݷ8#nתyw_}t-`A:{ !-T>'~5.i<ʇcbQܫT>h"qth1JgSle1crz{;*탲n[gֈ}ʼ/%I>lx 1f;xk'Y0P镉 n1Pb~=vՓD&c׏i|;;-$Q1v>+uҡԑȂˠįVdo9-D*YoKTmj '!iP%#MqԸ/KP1)'9,]Eim XraFyhA=F[][uTucݍ|B^o@R& -9 @R1<<:t&d*iI[;Hc體P6*i6dxRU+`[YK%~m[NbcҕO #WLp^LQX+ϐTrE9U:L0-=bޥpNǹcG /+8:yroKm l#k"`X؆_Es7K&ej|f:V_ 8<iVbU,T t|/XjO + 2ei\Q*<^*DkxZ0RɾZSBmq,TP3 Rg+Q?Q^ ֓gtm=4̗ ldrsۘ*aY n:⭿vo'꘵fp0<ǖ)d9/T4A0p*G[/E_jLF\F{gQkX{)QLGsiEe\3/݊7N1   *tutAa.qM{i?c{Q2C]8ǡ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31078 14596 0 R >> >> /Type /Page >> endobj 14593 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14594 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14595 0 obj << /Length 19 >> stream q /Iabc31078 Do Q endstream endobj 14596 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31079 20690 0 R /Gabc31080 20697 0 R >> /Font << /Fabc31081 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7 ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽? endstream endobj 14597 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1305) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14598 0 obj << /Filter /FlateDecode /Length 4272 >> stream x\K#W9:| FCni;HUUdzZ3k'Xh~z~UŞIM+D=}u*(<%￈כ~n?K g줛~~q"\-irzQDMQ^ByƇh5ˈ+!zqRfILBTV6Q}Vwex Cz6VEb֢n߫>M H[D-D(p$!>%;O+?gk8i3GPȲ ހ<>%WD c"Bי1qVꕓ sK6O3W Iܩʑ"*foըJZ'hQk1})8ߓJt&MN ~W4'&c%ǐ%63'|I;1Djހ. Yh5z3#GLtD7;]U8~lY`Tu% Cz+BIV 5 Eu^| 0:J3')괡N55q{KJeLeD FBCva%qO0)|Sd|JDU%I*G/ _t^Wdt1]S21zG( gf(2bvMOgMoz0/ ~ƻ#}:eV( h;l_q VlD3v,!UwU}Ç)Ej~_]I8%}cE/+ݏ߷k|ZL6M2 S4mY1>x x*nrA9xKXb'5~[,SJ-P#4\VpPq͡lNF W³"sb*zݜ_0jOYzO\ Jx+={ ]0DZCl_@gr\DF**`ḋO@(ǜ2wy2wzcç=K!AXH()ycz5/Z{VcSq e*!%%Lǖ0@Pp}YE$'+?,'K?J,}ѥFgl^yMf &t%PRB\BeT:4HU@Xp 6z+5Q=(wM 7=;IuSA!>;+▊Y`$Bm3EK_^Vo"#=x^VtdE3ÎVcF+fyZ%{G̛L:5. e`ե)8[QÙu lccfW Ϩ/i % w /7y_*,UEYr.E`Z)H*pnRVЏ2Fm g<KJp60|Lϝ2ϞUx*l#^: rۨA7cf e?.(Zo9/5P)P$(QuAr5">^95ZlF@ș#>n8gc:C4 >CdY RzvQAiedMD e 1}IbUYN#~bid&"cIz ^/aH^r JH%"*'Q t+_C];8ZRZ#0(@̳4TZ8<꯫';u y>P }~"VE;4q?Z#r-+B^r{ aunp0UM@VȱhWȕK:w ewU7pǓ +#>y2O$2F,޲h!`u;|ύoS3^C*JvLS9^\r6< !!7T!@^JV+{6QM<놕sױ!1T?ݓf{-J7]le{IfR5e;KŪwN׵O˦9:ECnhꜿv[Z+&6ʷ%{r>\\z,gLr)f!6W̐تģW] 5!#hby^*}`(FW^3bBs5'![ 1zdyBi N^uޯbkfGAl$uۻVj#JkmZUKAt\T(mm ߅PFqݢOI66Y#*rQ*&wK=R t Hl?cH YCR-ngzNj,dlKJv&VOpeCdrS zUڏ^[A)dR,/ o˳|~9ޜؒ/6mtg-!e_hΛ?9O_8z/uY 'oކ m):6!&&{MOCNl>W!4L _ܛLc.@Wq|!{WܸNݓ68j2z V~~2quysj}OA:X:k zӚLo}rv:׺{rM qs3n~LMf!:j vqx2FuIoQom9"YQ61C__';GKxt0Or(A#"Ag -hhG]>bMtr>xG>A|K2.Ҝ@ cZ'OOQ DH thHjO^tl8*MDai-̄=kp[Va;ߧTw$ w6J3fk rҳ I" F/UG*9v.&1#FjSipGތi;Öaiӻ|T=q>P>%&ZULȢo.*&YӭIҏS9Se\4f}$3ɴt5StzEBFe|))_Z2"Ů&*NDQ&JG(-T–]R#$󀴞^\G&dvcd7*(3l!^& v(u*Ot:~dB;uv=/E2d-q<WőhKM*$~&&t˿cl?~XKɅ"v=QԗhhiX:H isic·VR$$ޘ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31097 14606 0 R >> >> /Type /Page >> endobj 14600 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14601 0 obj [14600 0 R 14602 0 R 14603 0 R 14607 0 R] endobj 14602 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20210608081201-08'00') /Rect [104.1732 422.6384 163.5072 433.6384] /Subtype /Link /Type /Annot >> endobj 14603 0 obj << /A << /D (unique_746) /S /GoTo >> /Border [0 0 0] /Contents (set_bus_skew) /M (D:20210608081201-08'00') /Rect [104.1732 406.4384 170.2337 417.4384] /Subtype /Link /Type /Annot >> endobj 14604 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14605 0 obj << /Length 19 >> stream q /Iabc31097 Do Q endstream endobj 14606 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31098 20690 0 R /Gabc31099 20697 0 R >> /Font << /Fabc31100 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV)o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14608 0 obj << /Filter /FlateDecode /Length 2481 >> stream xڭYIo6W@W+z``AN ݗ|oHnDi8c;ӫTo6Lfu4eo7`]MZ HgsJ=;` ԥ0Yu .CPNx xg*`m9_?,9Z7DZsD 5 :Gdmq)u"W&xp'<ơ@ 8M,AN`%,Ngs> g%nZ}>3!Qdcp kY/jvG Fd{q&! d8 lp2x(&ѥ? Aet1I&Qکp=sbqU[9=oCĮ&)6o1ּ$|c,ͯ[n|Q?ԚVWtPEY5)[H!vQB?(4Djx[Өl*eؙo .mgpbEGy*M{zxMM 3̑SC1_n4ltT[ZN/%WN^-9[TW(%."/`:P_2 &ůnWJ'Rܨ+'%Z[ oM.SֺGwD;bzg>f<"*P6WEwz2wECC:Tu=e[ne"[(&oȬ,DADXz]"RP5aLZ_*BSe^ܩrLtK 6hR׋H®It|J]2{5.oEikXɣpŇ0D8MPSH]‰44xuGe[\STvI&if1/RnTjuKv>t70Jk"l_rysȮcC9J"⇂% _o%sp,_b 7:ң{Rm(Ē~7A iUQzV/1n)M |ρ u2(*ZWM"i#PݺtZrj@M}EftIv.뺙4Zb߲DR1T!ꨬ4x՘r,7B]pN_'n4rua%d~mƛo揃trT. _(90gϤov c]V7?rB^ð~&#s#fIN^GY#(&PXsJFU " L{%V\jXV1(I]1d)Gx*(%*a>Lk_K.>0\hAwps݊M?%k9z#ࣚ:nɻiF$?NpvQ7ÓgÄ5Qnu%!pBH H5v+LUf7pw xKK[ƥJYʉP-+)o<=,Nٔ#x671#j8 eg ĨJ2 w!UΧws>"1?]3o~yӛZnR_Eu΍8cZxkBJz@0OoԒrH'r>TX8e؋D^dM o3L/LwcvLUh)RBCԹ fVrf*|['N}Srt5% B(=ٍ"43p ۚ޴k;i 0! LtJWP$38ѡx9y`x=oB1yUŬ/xw8$e;D:a2oі{*$tQf0=PÊWy=bm?bA旝CōpnLN ?xL(֥`˺ endstream endobj 14609 0 obj << /Annots 14611 0 R /BleedBox [0 0 612 792] /Contents [14617 0 R 14613 0 R 14614 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31116 14615 0 R >> >> /Type /Page >> endobj 14610 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14611 0 obj [14610 0 R 14612 0 R 14616 0 R] endobj 14612 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 301.525 122.692 312.525] /Subtype /Link /Type /Annot >> endobj 14613 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14614 0 obj << /Length 19 >> stream q /Iabc31116 Do Q endstream endobj 14615 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31117 20690 0 R /Gabc31118 20697 0 R >> /Font << /Fabc31119 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+ 4Ժ@Au=JJ9iK[ZNߖj?ɞӦ+<ʡ|72ɢԑH5Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14617 0 obj << /Filter /FlateDecode /Length 3666 >> stream xɎί@)) 6>9ctK~?oRu3HQӷL𑲝?2=x"eA_"9`}jfNmc¯9e9/@ \G˃6q:jcOl Bxf/vD{x l @J7TzOhd!' nb0-Ҝ>|0>dv. RAH ,kD48NtKAv "},p?2Wrǰ <[d X#  .J/d0kwk(czNАB}W6/zqQSms:C'S@dV۲ӫ81Bǭf1pA'oMpH)݋;dowɵO"7e%ǣ@~\O ]FB߹YQ>5y W`u+4yKgZ(cuN':_guť%"/@%[U [/^ɎWa}4ďS^t,غ.wpW&_eFUmO?H{Ŗl.K톽"٥}[VVSn%]S¾>M_'@-P_ʛ`Π`h)L8`Ot IX0 p/ @!d+ ޗH f_&` :h7jxY {lC< a<(^vj2B!Mj'Иf=laxbwP+M^:N'٭@Ax UJuHma}iyWXZHYEVn9(,NaIM Ϋqa,^s ԶkgL0P[V^,u&G]Z T!uMR]h5'h{ z݌kHtӲbt }_-jTmOk+Q7nm-ju-}V[>BjS]+nav_vn~iMqqW ҚTf3.vۊ[GJثZmeE>06iMcI X0k6:ga XL5^W$O}{3" tw3Ѕ~Hj[cCPbHmG`UӇ dtQ QMZ 8\vEa 3×M2ܺ&@>0sc;)B:cFT,\_:X x)[(Zģ^W.o᭚ӽ9'|x=Ў@ny0["A2HI&ag|]cضg"5;rjHYHfH]h䢽0^MQX/"ˣҐ{UFHQe+/3b bݏuWDqkӜ8WT aY G6)DJ&S|I&8>4_+1 u4fe} !9Z?ؕu{/lb$QTrGjvH緱Zd]`W"3Y.۝(O{&lA f>g{UCw?ut6Rݙb%$ƛFޖdg[Z#Av6&oֵ 7.ܸ$Z!mW6F9W Zb9>$JEBO>k3''OlgĖž/S=/_sLb%^ݺ -FklpD! ]|B(biyςzi +׆s7WǚCUWw "~ {0bBm,j"TRhbmbcxj8-ǶQpG_[!V糼7Q =Sُmr BJ?vK`|r{8i&K9/UFZpshvx`AT Xv&l29^-(sW nҼߦ\]S>>Oo GvԙZW߄v_AP Þ@rCFx%:2Zz̡tÒy`9 \һI ~M%;tEfݢ:Z+FqcMm1vy݊|4=hRo0S5RG4gGwH |As1L/\86#32!@WZBFG/Ou3k祬R{OFɕt-@D\]/Sq28p|A^ԾJڥc;"%L/$֣CQ8$~25~J??撈[֎4#2ԿԖ2kbݪ TWkjEeALk.Zb Y?ת7aTcMъSo]eoEC ]_ܮ|l'[9'iwD/ݥҤ p5^Rv;Sa k6oƺbAj{7ջkis˙w}Vr>Np!%^gmn}0_>oaMƽprԓr"w{*+?]? Q_,XpePLVSnAlWt9cٙח82iVx endstream endobj 14618 0 obj << /Annots [14619 0 R 14623 0 R] /BleedBox [0 0 612 792] /Contents [14624 0 R 14620 0 R 14621 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31135 14622 0 R >> >> /Type /Page >> endobj 14619 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14620 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14621 0 obj << /Length 19 >> stream q /Iabc31135 Do Q endstream endobj 14622 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31136 20690 0 R /Gabc31137 20697 0 R >> /Font << /Fabc31138 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n"QthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBo]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14624 0 obj << /Filter /FlateDecode /Length 3250 >> stream xZK#|?#ie 7`䴉ދ~G՚v 4dXϯ^XͪIŮ_[Y_.Mm9?^j"()ϫ /!;>\_T4SYV=i>-6;@u چ=~Z*C.2D&wiyWI0LUɥn {-_dny044" EHma_D" m\K S`RWΚjȚ[.H~$OWͻNj_Fd*蕗I򤬢Lr-b~DvpI>MFfd^2ŲML8Dw8F\E<ؘUFdNŲ.06h.؆ȧM 8 Q6\;Qt݉Hk(Z*Mꁘ>DDՖ?c1VRdtEwz]AgR vy,mjg`S}Ĺ\wh J1֒((4p c4s/a9vӘj6 µ0;\[0A{ |nu(NZzLs36g8Ӥ9Q4c PkRQy0Ԝ-yF]UFgB}-MlkC|cq1CeSӶy;z5G0Lv)l7 fCסjp[l@wjS%Ŷde^+PC>ݎm\ taDLs`YT OuШ}ho&zک@_ozOعd p^M{kziy@\6kO$(l_[`L3yQ0Eua)-光@OX](n4Ԫ$ Fʈc_b 9Y ^nǒ`b&=> Eqؕo8~Nݽ^GĎ$pgz Bf0-셗^g|:"Ls%n {[ޞN{*`{|#O;fAdcJ_SoS5kEЙo$cxډ epfZ|^\'%?~N1R}>t"7'noCI8vKGۊl@"촡U#9aQ@ 3 gR Sq{>@0-M"FڭHpPM_:%(" ɉȋ.F]DuQT yg%evёe8K&ힾ7JڏR~3ML+RSwuPg4Hm>NT {\1]_fWA.KV ͍1 {I|[ e#yuGM; LW)p(hq)VŐOm:vǚPyC :rZe/y|Jt}N gh&P6ɃTCIhcz%AuC?y;LT&Pr?~Xq*VO AAAn<`)M }Ȏw]K<+Ul'1(u(:U7pc}[t( FK$H ; L'"HnMR||sI|b~2~^ND/X!{_TںIrLs~'ϐ 'IU\( {`QGõ=Uxpw+V*894xnVUlށ&r%du̹c?Dv"1*%^6b endstream endobj 14625 0 obj << /Annots 14627 0 R /BleedBox [0 0 612 792] /Contents [14634 0 R 14630 0 R 14631 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31154 14632 0 R >> >> /Type /Page >> endobj 14626 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14627 0 obj [14626 0 R 14628 0 R 14629 0 R 14633 0 R] endobj 14628 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 201.225 122.692 212.225] /Subtype /Link /Type /Annot >> endobj 14629 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 201.225 160.653 212.225] /Subtype /Link /Type /Annot >> endobj 14630 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14631 0 obj << /Length 19 >> stream q /Iabc31154 Do Q endstream endobj 14632 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31155 20690 0 R /Gabc31156 20697 0 R >> /Font << /Fabc31157 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14634 0 obj << /Filter /FlateDecode /Length 4261 >> stream x\K6W@ZI mw;@n C&3[U|Jmvgx$RTU"%7Oy9Orkx%lhڌ87ۓܙ.wKw>dyj\mO3FRx_t5p?CO\n HBK$GL)Zs9n k7z/WB#\-ƫ=gb9.|W/pEZ/cJ>%.r! J+v &&J+$*R!o9u"UսD $JD~N DC9%(q2V_q)9!Pj\‚T ,8{B_MLAxDB  U",03w=82y9DARP;:]:}aB-mfn#!|qwt2\5Ŋ"-4+O dzC1\ q2 iRg\0pbٰD bdx mQ1MJy@e&^W~4C:SsL*S FDpP %::̊$$HGY D[z&G_ ME  4Hf}̮W!u4#n>=cQ%Q9FȐѐ0eoBvrc-fJAvj\ V$W-Gؐz"L=;~Įs>F;NrdxX-U3KAh2oeFbY :5Lo,U5E0'L), EQ9?tsP3MK9QxI4PN~ ^s\HhX{2g=^>W+|eTOơ/[8j/m 3&+Q; \눚f_4?c0buYtE\9ۇ7- /Ʈ*b.m|%n~X}5a@2TCa8gjJumYU[EeWjgZXaʮ슽lx\vI6A٦q1&r-g-k߄:7+`+*[ ?:ݸ@3q9}oPtW~ៀ8 hW-m .!>%Eર[@|M"ED_Y`q'xڴ}f2xF!K gY*`(f"U_KΩZ74hem1%0 AgX8'LJ4r֯?k; d˧(KYP*EJNԗHy[-֠%[+=N.SŒ3tV6 ,$e9j8SR]#yNRt-JMQ>yHҲ K,S*,Sت(Wif Iz;OgGE&Z_k폑Z^}Q̢ tWz4KK^p2=+gvwsٰǔd-27Yb455+=ɜMbfofK55_p~4l4|X tK W%R-\=#Wzjfo"cI!vInj]̩OΘR_h?vC&Mŕ*mz|@*YfFuFl@`{YqUւ2"kg)W#D_t츫AN?#(hA{,StԬ"Nugxēݔx̔ ';j@ڕұV1?o֞惩7nWeۊ[pOOv˩Z\zcʅiC]X_#.b2,m8 M+_%Eٮ|•8,:h?!->!k+uQR4\Ib@Nw, :Q%8>kp 39GbYz>T% {$9,& c\رJZ-s3T\#M1R^ N'ubs)W5fp /YC%s61ߖ]HTf6uDJT2]眤y)!"1YyqGD@C"K*l>٧9{$t06!<[zOV*5K-?=;'{t`lBO .NmcjB@dqpA.G96=gD,'b)ReL Q:k "jF)$F$1`]4EN}MX8ej;QJ)񅐪O-@E#qO<)^RJwJ+5%"#K|8]H<3 cYdaRFt6}M¬>OUQYL,aEK:.4ǷgYj4JBFՍL킽6\?%f>O>3;K(_/c٤T:Hrۜ`3? }dgpKCctBk裤{m>ງ_>?hz)&=cpA68/sPԋ26ǜw񇼅7@Y\LӐV3,̴> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31173 14639 0 R >> >> /Type /Page >> endobj 14636 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14637 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14638 0 obj << /Length 19 >> stream q /Iabc31173 Do Q endstream endobj 14639 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31174 20690 0 R /Gabc31175 20697 0 R >> /Font << /Fabc31176 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 14640 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1310) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14641 0 obj << /Filter /FlateDecode /Length 4009 >> stream xncίxb/asw*'ɖH&j||Y Ml_:7ﶤqJ,_?MOUR0W~uۃR*Ü=?n̜yP.:⩬okq(}vՊJ&{@x7y7]FO >}c.BiN`-S \'fC.8u lB@Qׅ=)?n7O FظYHU<_(Ep6J2I}/ @,;@Ut䧈u U0.T0pQ>< O ܎ wKWښ`uh'Es5u<E=f-ݬ&Ǫ,A-;m=C Xe ‘Iq*pϬgdd64vl8!Mօ((:',UԀS<\-a*A͊FQPg\ ZlseiUbT]rV Uw^3)U񙧡4js:=8g1hQ$~.A39Bg#wL*ɬhʋD '}G:>QFSOMa^slLpրeo(`?F73kc0NKsġԠ@] Uk't$`6t+Yx MТ|\۪\A6IJ&y!kalDJ*}*W^1(sh!gG, N'""&!ެ@CVBKP<%BUK8PU¸ @iDf D2D=mEo}_< 9@}y ؚ]/%iYl'sa[alTӣs@i)!f H>L6pq2*Q݇KN*f߱݁\x# iMQr,u },mV=| SǭYϝsZuiYůHZ}%E hF>+c ( WzjuȱpP:To(rt-[ťlS/^5)P#jHO=RZiM@iIt 6rx7GfH{K+z|jިJUζp!rW$$X ˯շ! |S&GUE.sE#nG kI#j;@q \Uب g*j%9Nv Op skp ϻsoeư\/v{S YkPRuQnfy93V$9P1Tz|)v;O/;i Lj)1Pz>@$/ce @q *7\0D2l&XT4EŠ&p7TtТFm F{V/vl؜6EV_8.]5%T.t҅ }!os7:̸la19KPҺ!4xFCFW H޶~"(S)U<,SƋseL q`e߂*O pApۆi7iFKjmшIt(wRڒL9«?J;z3^@,4xED^(7r;D/mdkh]# A y]>T8.G(~I _ZPԼ 9^ZV?bќ&ϋs)synI!JZ]I87o j`6:{g a> HF5 5:6 quΥ[5Vu4 /Xw纺Z5ӎ{ 8b?Vǹ}QQI S+1U# }Oe lM51Q- =cFa ٸV@22a/uyk4(„Մ{ ~@ /ªy01杗ls\;Hx4Af)Q* uQwmc ^6ƜiͻW+'a8ch:ypNˬ5CZѢ8(ǀGNKoK2}*A_H#:t9a{F|]`e< P:R9ܕ[D͔Lr<2`PsrhZo,chd1.\,^OzM˥},jżyX"^q%(.'7[]y-,n jcBK5N2oVAW KÅ' IS fJe-D,+k\-7_lżLc~D>vsXEWB;>a֥Ҏ]wƦJyeע no7_zun\\J<)B|?Av'~7_/ ?vvJ$bpaYL4~op]<@VpDW{n{ r~0u2& H7\$ 阻bsͿ zMswmp-[~FmL:RY^jxSMzngu|^x(w]kW:u=1n;eعnJkBgXC墬uSzh4Q1Y8KwAZ6d\-eK3C\N\*^u+XA*u`)R)EKdp9`?j3VЂ@{Y v]#Gb.o|>!/VO _VwqL!eXGt0!4fZj^Z.gYZc؈KVv24!V 6E>}/3޵JPa ;߶Be;R{B8tc+j ,Gu~OEpExW,T?J;Ts}݌${dTܦ@] p/f:#qBEw zo{GĽI>^.Z*6Mhѿ+i5--R-?uN/@бU!##JlO ߂DIe28Td6τ3 G'D6L䙫+xO0rbn EA"1oH>Gf\e9zH/A*geN-kǫ w<_R&$^o,;w& 颕JG endstream endobj 14642 0 obj << /Annots [14643 0 R 14647 0 R] /BleedBox [0 0 612 792] /Contents [14648 0 R 14644 0 R 14645 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31192 14646 0 R >> >> /Type /Page >> endobj 14643 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14644 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14645 0 obj << /Length 19 >> stream q /Iabc31192 Do Q endstream endobj 14646 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31193 20690 0 R /Gabc31194 20697 0 R >> /Font << /Fabc31195 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 14647 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1311) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14648 0 obj << /Filter /FlateDecode /Length 4126 >> stream x\I#W9hl'鐛r0r?ZL`],_mE|P_|O.,[ ;Y~Ix&/?wBE n]zҭB qVBܮB+;-_ 4k(PB7<.WZ-?A'V%y>ApՉv7A*7Z/_'zsgd! ~Z4p˜~1;-߼;xӫȥ%*[YҮz|[%245 :҉a>#w硅~K3'[6X3ccW1 5BVr682xui $]8J~~H=:(2VQ&1s`vlQ*Xn +P(:#2*9qǪFTxTT0+T8)i7:O@Sz(YP4ߺh)]KF7IdlcGEekȹ`A^g¼\/w8S)_ %񬨤|Hc: ,*Ik@"Jդ* @OLa~%WD4`eI iU> qx=VT "6kT(ukX` IK ŸeQ. '&s`+M?$)'OQ'WbD;$(1ڃ R`H:4]ʜw3K >q ~xjܵ-&M怿fsyDGc1}MYbQMt'7wQѝPoTϯ~w\+dgIj#T7x}MCPx}\nJDS\H·t6˺柋6!:$pnt6#0;(={bv/`Vfq>]h顝#.s:5ۆNݐi&|!K4ԟZZ{) hT}q1I$4KQ#ǟ‹kC>alG3kc$ڮ{גVEy7ѥˮ[*DCb9ْirc7ӝ;glgǦCk?,1sHG erqxTKK@*umA*ڄՙl[XS(s U'v3U^sટE&W3:3*-]Qy){9)AݱZ:R& I)&ݳ :FfCL[Z 'fb9q.;Ӂ@d5< M99wE'O^6-?PDq9&Х%G9C]&!eK~6ˋH H*b@ l1NY3[|q\c%ܫ'aJD֘KO1ٟS筹61\sW)#esPNrXOV&߶vJLt}*mʾ~߳lb?;՘bիz fsc֚x]Qa+*>ltAyFI[F3_{`6<,y9'jV3I!Qccbՙ6ڀA>ɯGGE*Aj13#؞-`Q%RBpߦSW+a֭1e-S(FqBpr9}Knȹ15'W]mg`|mр`DXzڎ3+CNCI go&{+ku 5^[H.&9dGhՊ4N)[OHVK$iMx !9NTD 2B&^ڤJa)c,q47j E\˧dR"H9cꫫCUКR7:[UMtA$oGe88w~v*Z1L4BL샯3)o<F%SUݰy\O n&7xvEΏLRš` GZswBc\Uݴ<]bup[~$_Z ]Ɓl7Ay -WJ>pe?e#ef터Bή+݌A5Ѵ)F=4U`aA2mig{,;Dm|iTW6vq,>n6~%%^jѰIϭ7hx;I)r9*/(M??'Bƴwb{:ȅTXZ>*}}.xftjf]s>6Od v, Ѩ{n44] cum0pY!l)m~|g\TZ 6ZM)x[6-Ѝ7%P  V\712e ͭL֓أ:Fw!UV ]p%>x* ˺M# _6Mŋf_">F_*+kw93w ۢS]s_#Js ,/knm2[.B,D֛"Aefdq_J3^W A;}l#vD/qCMA{.S)6Nh'Ldz3n4F=XuVXL xCvQ JoY~[4xZu \ L9>E\6v#tJmerOnpI8ī~]~I h>a2^,|pcyqNh, .e!͈.v'ቀ&-Ӝ; c+J=rhK{CpN`%Jo0tXCG>^jRr@4*]BEڄ;LLw5fjvXRV& b;C/*u1;{Aq:tqâ\7@O㜡HWpwyk$n ;ck+6hT >ʷ

    !eآN-p(IlWTn\S8_!ߓM_y!>2!cGm:F.9m&3"'kOi|\.!=Z㜵"<\9TF|&#pūtrmlR.a+3 'Ч=u;]+#dr'qz^"05WrYR J%zƶWKV:PTfSrG488PVT7dntΨy_ZO#k[Ms'hM9r6EAď6.)7p xԀkmD &Ъ18Ec ͛N0B#1GGڀ#k.t]!Z[ģQup%=`(@ٚTƑ3M\~3 ~>U$Ƴk̚7t8~I1e&m/=3ehUKToe9=iLȺܛ,Wr%iԹ.:U_j endstream endobj 14649 0 obj << /Annots 14651 0 R /BleedBox [0 0 612 792] /Contents [14667 0 R 14663 0 R 14664 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31211 14665 0 R >> >> /Type /Page >> endobj 14650 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14651 0 obj [14650 0 R 14652 0 R 14653 0 R 14654 0 R 14655 0 R 14656 0 R 14657 0 R 14658 0 R 14659 0 R 14660 0 R 14661 0 R 14662 0 R 14666 0 R] endobj 14652 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 372.3384 149.5702 383.3384] /Subtype /Link /Type /Annot >> endobj 14653 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 356.1384 164.1782 367.1384] /Subtype /Link /Type /Annot >> endobj 14654 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 339.9384 217.8802 350.9384] /Subtype /Link /Type /Annot >> endobj 14655 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 323.7384 154.2562 334.7384] /Subtype /Link /Type /Annot >> endobj 14656 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20210608081201-08'00') /Rect [104.1732 307.5384 221.3452 318.5384] /Subtype /Link /Type /Annot >> endobj 14657 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20210608081201-08'00') /Rect [104.1732 291.3384 214.1402 302.3384] /Subtype /Link /Type /Annot >> endobj 14658 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 275.1384 168.8477 286.1384] /Subtype /Link /Type /Annot >> endobj 14659 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 258.9384 169.4802 269.9384] /Subtype /Link /Type /Annot >> endobj 14660 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 242.7383 218.6612 253.7383] /Subtype /Link /Type /Annot >> endobj 14661 0 obj << /A << /D (unique_624) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20210608081201-08'00') /Rect [104.1732 226.5383 186.4697 237.5383] /Subtype /Link /Type /Annot >> endobj 14662 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 210.3383 172.9177 221.3383] /Subtype /Link /Type /Annot >> endobj 14663 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14664 0 obj << /Length 19 >> stream q /Iabc31211 Do Q endstream endobj 14665 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31212 20690 0 R /Gabc31213 20697 0 R >> /Font << /Fabc31214 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14667 0 obj << /Filter /FlateDecode /Length 2820 >> stream xڽZY$~ׯBuPѽ1 ~XYc 3/RR]}̰3dgJ)ť/BߴoϯY. :<=Zxg>קYukl*Hk1sp>.5yeӿ0xaI%[q HG{ `Lz4N&N>p yIֺRD 1e=txhx$~NÁhL|mP | >˜ÖꇶϠ:8#=dI"SE*x'@}|drѸ*OR~C=}G=bM͋w캱a5]#HB7:f;qNz\8il8VJZ(-i$hL2h!/t"Ҵ;@6gH%@-og[ k}>9-|IK1{=0m{KwE 90Qq~56)V eD VL1 8 j^!R}c!'0a-[ýTV34XH^7EGe OEs̀s=XB$Q/'p*{g KscvVm\wr|t DuM3 ?]Dn)>x3 F 5KE#ɘoc.1go½I+PW7JzQ^i0A^xtiZ x+L6H483 ~;`C*:,Z\5'^P(6@^A\1I0A5:n2rEQEoR.f_Jo7&_4жaky#j4mfoRR =RlzShC0 x8x5]Hc:l9UM*j̞eQ VAZ#ҧ¾zVչ |G{1irUFINʛOsSgx=\,ꆤuH¿ѽtPvg~ش>3dFHgЛF&Pag#dD/g"V3Q/}evo"ƌ:B[]2(d(9Ltm(r4B+xYMԥ[[/e;A.)- U.EjBH/=A^)L#@G*jU$O?ZSPE%W_WkF),Q3xL\W#ԹXD'$|ZB$(Cߎr"|⻜!?GcW JSi}^IC=l@wnSr&dA7P?`p?{ܝ({")2?=w Ν[pZ논Q vdº`gM*x'ל{YP}i8n۳mH^rQ7;,*v~hCb0fg endstream endobj 14668 0 obj << /Annots 14670 0 R /BleedBox [0 0 612 792] /Contents [14677 0 R 14673 0 R 14674 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31230 14675 0 R >> >> /Type /Page >> endobj 14669 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14670 0 obj [14669 0 R 14671 0 R 14672 0 R 14676 0 R] endobj 14671 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 225.425 122.692 236.425] /Subtype /Link /Type /Annot >> endobj 14672 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 225.425 160.653 236.425] /Subtype /Link /Type /Annot >> endobj 14673 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14674 0 obj << /Length 19 >> stream q /Iabc31230 Do Q endstream endobj 14675 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31231 20690 0 R /Gabc31232 20697 0 R >> /Font << /Fabc31233 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo= endstream endobj 14676 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1313) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14677 0 obj << /Filter /FlateDecode /Length 4634 >> stream x\K9WM&P(Rg a1't/eƃLJʒd`dFߜ~Lj?aS)*$Iq2l'/;냙|EJ^dc~r<^Ez vROy>T8"-d.ZzMmYZ!}ӗL_B :䤭*Z62/^Yejx5BOxf>̤z ?24(h PjXZy# ٘Szݫ1-g`L+XOg b|z4*J9802{RJL)YH%eϋu  `II5 O?9[6ޒq^DH6`LP %9;r&b ,Nφ'oy#&)ra:2'lC~{<xbѰD5?$K#D쓉@y LOЧwa!Jb4+gbogT\Q<+bDOpũuVI$UW$R "v%S+@ _pFc&9 dz0m a5[Ygq;N@>k(s YTڿةaTEd[ߣЦ_܌r{HPp:8]&PqO(<M7>Ǭ66k}K3|i*s :1'1u5w c2mCCmnSEi]8m+ a͔{2L 5}sї~v }m=( 8Ѻ+p2zS8UYPtoܾ>?$qp,x)']D oHEМH D)\w4͇B_ZAA%j^T0(rF;&.rT3͒Kܸ OܺDMK$!~9aSaIMڹIح@ [9FY˂RR(l/~{+FK4hFUfC9z;+1[ESkK.1fxuMR] ?˨NnIVV\\w1qjY©!٢U(n&vNjӺݼryݒ|͚\LkOz^bn'DV+ ƽ+WK&llYԮc @f$~nNߋG{Gp٢l=v(ncKk++҇[Ǡ#g@-?MTg*bW7jHշμCH+&+B-C=}jq>U{;2ԫ7Z{wybunkw7oo-i{:#O%bwh,pgr,N='V{Xx=[<-tGk(¸F<M厕[gw)xk,oi{{Վi&k}Ե8hr֎c&4W;/n583̵97;]6lY̓V=vm3oW]kf^rHd)ƌ8éAawݶSkypQ|dt< p;dn:(ͅ Pt#•]}zK>ZY-W޶-w@4.jȬYz3B]A/'kFEv;\oy'T:E%\)l/[#4_)WK0/ϋKXQڢ@Υw\.RPAtb@Y,UbZ4V#urEl^DsTs8 "]lY^m Dxu0kC薏 H#T`eYpqxs5LU@ K+DHB퓌 H Ju-&5%;T6q$]B괈˅/Ӟsy^B1,k֟{/.\rbb0H'5Lgҗ+L.̇Gh[}NggLKWSuc&o0*c W-S6E dxȰ6] zQEaG`<ҾƝÞH@W%q)-Va2;Ũ73` U~ )ƅSAc^Zѥ e&^DJTg 3#BE,7:dؘ#/m,+ȹ T.d PU/MJ^YbP?\̼vy;\께hLUE*Bb۴V6nsv)4lW9f;p$?w|J.e/ӴQh5V =zӷjJaU\r>H]\VQV'6oxYky=oh:P#Mރ``|4l?&38)Whvz; ^`(ꭋ)!J.oU0o̓bqt4q 8MMS4Z;@fK01P_8u[ɬvM!;.3q±b[yX+?ݡ<璍*-4%y{yZB)o,YqXP N8`LAA\NBȯq_Y$y7mI󭙣f\ p~ RjnUy/&.2{(m`w;iTVq(,k- |3IJ3N-E4 f$pWf(s}J kT|iw+8w8tG)x9iA@CCPq E%2ٚտ!Tg%y5!cG)̏Ks)n} 08,1MTjM4k.}B%SoC Q -1UOۜa\eyO;{0jst8>2Σe䑎9zD'7 |+)~.BWzuwHmCW{m^QJUec >ʞkM Bvʿ;`B.ֲ j endstream endobj 14678 0 obj << /Annots [14679 0 R 14683 0 R] /BleedBox [0 0 612 792] /Contents [14684 0 R 14680 0 R 14681 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31249 14682 0 R >> >> /Type /Page >> endobj 14679 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14680 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14681 0 obj << /Length 19 >> stream q /Iabc31249 Do Q endstream endobj 14682 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31250 20690 0 R /Gabc31251 20697 0 R >> /Font << /Fabc31252 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14684 0 obj << /Filter /FlateDecode /Length 4030 >> stream x\K[ Wuz?c/@.[EI#{IE0yHEQGR&7ھ|3Y7vj6)Ͽq!&k}(2BXY6pO5~J^߷Rd@S)w~:B\2h!b~~g}7әoTTWna%-:+Ԁ/>Lq%ܴ;E,;o{$q ͩf 6 n04-JQJV=++ַ)eӦ)$ I$Hm\x2 :]ESуvkŝC{7.2rk|ndXh h$'U4ƤYd9?+{FSPEO9@+̈́&jmFe8ۡ2Sa b42nc ̪qMoXk&qt@$ӖB"2_oTLPƌNuޙa7:׺N{ }XvMi[$lIXDSOjy.9lN5o%{<:xe<`z;O q9}tk#:R3Hrvc+`I\8CQEIJGbxHCKVJ炁NUDᑍuة,M,o|gov\k1`9C(ɕǘD_˰EċBbu/Ty,A_A\2LslU@c+K?Aiepq*8_U.*jRyegEJxI (3$)]_C 5sS+28[blY W0]rrno -$ځ >ʧJSb)u20 FT*S5znJ5}NJiqsa a|<f -mpmQjQ>"<Py Eg*voLX APAE(L\RLnՋ Nw~>g* bP\ys)]DoL] SG˼@]cNGn.dyaE:B|7*k}s-v{^x=1Bx[( %cMzA8UHZ$]jdTkK[j}(^FKh XOcR@7qh+*jBg1h[x#׺Maap/v߇TPcc3)9 $JWJkʪVt4EŘ,.&F栆S TޕʬCJx*QyW*bWy> zDG#zÝ|,F*>Y>֨ ~/F8 {"͵ccC˜ؠl1mM6cl) }?1Ls$e`q:.Aa@ ȇ " FIV<}@w&qkRU5P-I1'6($htZDS趂eqЮ|p,3);W YilQӽj6/<-Ε',[VkE$xPK|?f<jwL_%~Er4VJNߊz#C0ZU\T|]:~D=yNn*zή?D"|5exG>MK3u ܩ)!:,Dp%ꩱ!#{[`5bLQD[&L܈ c-Rz8;ߍ }lYȟ?~yg$=NXTV@\;lAIʈWhƽ`<+/8FE}.'(>a4N \k6f@|.6_-g1•v"';l=zׇili}Cd1xΥ*/&kaѳv\+:?XR,Uj ,"P1AղXVJ>qL,û%7b{xo[Tw:Z],@ih_0GE7 vP -7Ϟf{LӘ04IR(Ru+| 158^"QU>0t>. u,p^W*\rcvyr팟-po(Z(ZL*ѭYJ)ֲ}pUkUOtWgfճ%Vc:;AX7.oSv RGʲQl?tFF[ҝj[AckeTg\pmۑŏ)Ɖ֜i1cb`>bCGDeZ`}M H/$zOt]% NӆڕA%,D 鋉7ͤ2H`b+~4Ѫ@D.xߓDg,ZDaж1fT Z}|8ofTgoFL7gy~~YSObv-1O'~܁$ rw\@=ÜS+J=q >&-#ᚦ4aOz0gg!ox_@>jRz8|䶼֖HJooAm1є6jL4 +ML0Gb?qlJ rP@%)f#  /gFڳvBu+cH<uM-YI&ڟf:{G3:Wc*_R[.9&-"cZkjVK8g2;+5i /Ii6pnx˺YC2=c;-y* ;]"5s6ݼu˓M5l#H&]nL*{ 5vKޛ4DK@;-i !@cxBH*1|<UOI03`UDhU ! ~Y6n͙>3Dx+|yQl$0UjTHMḿ*B #'+ʓ_4_ 8=HS(_8fbk*־?[S\g/?cU^3ZN_9!b!˿BrPz?SzX´ ^ endstream endobj 14685 0 obj << /Annots 14687 0 R /BleedBox [0 0 612 792] /Contents [14697 0 R 14693 0 R 14694 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31268 14695 0 R >> >> /Type /Page >> endobj 14686 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14687 0 obj [14686 0 R 14688 0 R 14689 0 R 14690 0 R 14691 0 R 14692 0 R 14696 0 R] endobj 14688 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 332.0423 164.1782 343.0423] /Subtype /Link /Type /Annot >> endobj 14689 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 315.8423 217.8802 326.8423] /Subtype /Link /Type /Annot >> endobj 14690 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 299.6423 168.8477 310.6423] /Subtype /Link /Type /Annot >> endobj 14691 0 obj << /A << /D (unique_702) /S /GoTo >> /Border [0 0 0] /Contents (set_delay_model) /M (D:20210608081201-08'00') /Rect [104.1732 283.4423 184.2972 294.4423] /Subtype /Link /Type /Annot >> endobj 14692 0 obj << /A << /D (unique_553) /S /GoTo >> /Border [0 0 0] /Contents (set_speed_grade) /M (D:20210608081201-08'00') /Rect [104.1732 267.2422 184.5227 278.2422] /Subtype /Link /Type /Annot >> endobj 14693 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14694 0 obj << /Length 19 >> stream q /Iabc31268 Do Q endstream endobj 14695 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31269 20690 0 R /Gabc31270 20697 0 R >> /Font << /Fabc31271 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nb ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14697 0 obj << /Filter /FlateDecode /Length 2949 >> stream xnίԾzQ9 rja7% (Uo_R_Q~gbϪ4~s:>_/uq)z&fas Z-{+Kn;Xg˲(ʒSJ+k`9U{ qKy:^:bP`Ji3Pu>dh`Rpa:i.}RAO|v%V@O0v j~"pLI`h"',E,Oy3!bAVﰧgA<8@K(*_;Q"]$J+TCiFcM. )IJ|bܣ0I=ю%(D^D\rwdV"Fؤ(JZڭ-"ཱ2fՇ\K5[= 0~%Tc"p σ- E؉aƄ,!z8BFzNScaOOv,01U%0,x N3`"Y3ne+8 CM0G6 6=ʜ~wpꬅe}jM?_щJ%%YC %P5%|HzIp'_" &fn ;BŃܩ6r7̉;(d{k īR@YIjP;NړUmq:dגMFUҝ&].V1PPE[~kV![SM6@LEaPqcBpLrD\b.0y7Ѯis &zSu"f *q\z`$s2>dv3Pu^@`Dv.8 ^.0M'&y UUEG1)Q`>Y:`.-~=E.~_"?dkLUYq: qœ% 8ȡeH uPQ :ublA%ڪЫZ%7XRtT6xZ9<=;G/@{*,'^s{ 7,u|/oѧ~'PEcQ}']5zfdMYfO+D)s:J9gui{} d ;~!iY3f8"5VMitb澆'.x0 xx,Ay1|WIShsڌĊH`Lcn3Ut![Wf;[Z4b/j ZAq*Q~Ĥ%4<ۖDlȢYRs^t"j8o_x6)@e$0`_nIKw=vv|9A\FB>P`jWk;aaI G ccƅ_JV4(cH_'9A% tX"H®Ѱ.-͔WOī Wԙڲ,Vo'wnÄ\f:ܦϸ '0&:6 gc0k(ǺN}('๓ { q,iQn#m8 pӴ6'ixXVDN4ӊ8h!@ґ> imnSs33h6^>j &+Ɍ<ԏ3[:-U KFKf+J`G$;G4/#d #?uNk XY<!2LP.^ŃY^e+usDBqG/2a#63 >T{geL!e4Hn֮aiFd0x=3=#}+2au4&`TVޓaںvb&24!\Ls|dM%ŵK5Jhqh¡kw++I?QR}XaJʒW"[q @ĬSqGN|}_H-pH=zSc^7N}GŸ/~L>ic0z5^Nһ ~x\1>'hI*nRZו40 ?\.Bj~}z"> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31287 14705 0 R >> >> /Type /Page >> endobj 14699 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14700 0 obj [14699 0 R 14701 0 R 14702 0 R 14706 0 R] endobj 14701 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 180.2249 122.692 191.2249] /Subtype /Link /Type /Annot >> endobj 14702 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 180.2249 160.653 191.2249] /Subtype /Link /Type /Annot >> endobj 14703 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14704 0 obj << /Length 19 >> stream q /Iabc31287 Do Q endstream endobj 14705 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31288 20690 0 R /Gabc31289 20697 0 R >> /Font << /Fabc31290 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ- endstream endobj 14706 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1316) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14707 0 obj << /Filter /FlateDecode /Length 4239 >> stream xˎyr 語 $4nLCzP.؜eI%Q5$¢oS)*_􇳝̛;냙O$s/AKx<9St}r/"? ;t'յeo?_u`f:×O_4}*~$0z>9iۃʿ~e_?MrKWrFZ-^M3΂/T/ce 2銠zs2h)~$6Xó ۼe Z{JQv~tAJ'7d,yN᏷dÄe_9S^ /Ȉm@:sgKTʯЉ7OlFmvg/ש. -KR% U)ܚنR99b!SfG A˲죇xD;~gg5Ï$:x^!`?diY2a(uIYD'X-,Dmo5B'6}%ƕJiro3EPᆎ+JNƐ,y[ EdO+I(Fapϰx-ቁB|!Dx1iqipbs+ #JĨU`Pli91MX? nv;@Q ۘm݅: MNUFŃBu,\2zqAâ} e` 3aZ 4&Zv]F 0vx>!KJU2`tUV fZLf. `bYN[U^%!N 0:.0id,cF"0id,Ac"0idcF"4k:epYC@L2M1H"=Ȟ6bq6hi>JŬZLLLYSfiAPEU^a}99]9q EP@j-FPo8]ąoYDZ C(a>;H$k|Se!;^ c(S,3?{ܒi:"R d Y±1 B/`v(63i1)r(֖#/fñY/f;#3 [2pLJԍi#N)39j e<ܖ-{&G]a&.T*>d$Mv=d\d3q׶FzUg{Seld[Dz':?f\P޳[dkQ'ۛi1< rOڱq:M:b)50pdg>Q}Hd3˜*88ɐftLSv>dI^5\e?i cѸD4{u珓e&WfXX͈ԩo\{LVq#ݶpu>p6 jTT} (u6yHsьp*Qq(U l=-"}{ /xk4>2~o8l4ӯlyknojlcPJ& =T+,ԑ:9yj{sc{ب{ڬ>Kvk0N3[b\1m(:xA}#7i 37\Bٍ7Xj&5Hz3(ϗKOOfjӉv[[OSY$tmW!#ʼ8"j~o`ڿ@`q5]ͥ :qN+Kg˟mrҊlFg}[0yUS؏"w~Ɣt OPuIM2}䀂*>p2.GHT"']Iж1oy"w &ba:_² #xA&t`H,JG;ʮkd3j"Q0[%P~Wʥ`s!+$>:GdT.j !}C/Zd,VEV1+YJLaYyg耑2,?q ,XkkdKa2׭\{|,eprq4pZ_l*T3ΦgGot '϶Li` ( 'qcϬťt;"iY u:4̰ƋE#Z.!5ڵ" t"0&hJ-{<ɑQ0v Re54Ryw XƵJM]E-.E==&j1 Qr {z~/lq](lۮ?TOqP~DH04`lX0-l16#Ey.fHV^#>2ٯ " H֌Q†]D(G(l(ŵ+(Ќp)ra\[C|{{ybYo^͋}oPr %;-{C}(x-q#}D-[ܽu__=yrf亱_X R& s-#.dY;jU9@/bdՀ\Mdy+);/o%_n̦=Y_؂#hf-UyrV5z?TJe6C -15ut5u,R . ˧?KWx(I"2Bp= q_ PXvӨnG3q;$̕;$ogFm} ~ΐ[np,,ÇLXu˴@` 8E,YHJ'^SU08t J׶' 5;nH  eYO|I~K|e并 RH lE.A%.SLIK0kb0aL=D&HED0YTYS/Bg^aoWi`beZqG^V>i!N \I-(H¯`詗;ūq,p\YJթ6 ^ET@s)=p; :>!vc @Y-Ӥ6 * ""dx p)ZXVW#]`CW %5y뢡p Vi1% 潒h߳2Dh3M,P=zVT@;?)3/6| jP٧]JU%Wc%n9yf~y%ԉ[m{(qBXК#.mf$scPbZ]he?*W+KS_Z\ "ma9[V܄5sԄzaHߧQGg:Э:"7bai$=@=w&8e ( ]\&[%dF<ZCXRr4Kb\xM=ZݭGOj|K%+T \t08 &;oh.*SU7z8-1CC+s4=#]WLl~ Yol5"IC~Ig`DZ-qqlsSEBy)}%HȺ]R ߒjÌ04~rɄ,\ֺ%J )56έ[b ``Y-VR:byɄVX{ Y.qFܹ8'ZWd2ڣajͶ\ RE{Džo7ۚhNE|YwE*,Gn L[+͂^*ؕQ^E'$pV㇫3]]0b Oxg0d`JWppjDH=+~|v~3L}Moo-?+x'ɐ.յ4| ,ݏsN1#k=PZu9`J߫stƱKl!}Zs*_Z0gS%k";ѭ♀],Ah endstream endobj 14708 0 obj << /Annots [14709 0 R 14713 0 R] /BleedBox [0 0 612 792] /Contents [14714 0 R 14710 0 R 14711 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31306 14712 0 R >> >> /Type /Page >> endobj 14709 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14710 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14711 0 obj << /Length 19 >> stream q /Iabc31306 Do Q endstream endobj 14712 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31307 20690 0 R /Gabc31308 20697 0 R >> /Font << /Fabc31309 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7IPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM B endstream endobj 14713 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1317) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14714 0 obj << /Filter /FlateDecode /Length 3754 >> stream x\K$ W9@z?Aۇ C$v`!$VUwWn$0fERymZ޿.\YGvz[~EU;.< }]"2%¯»Xx/Ec y{dJ+>8x:tKe>!=eatۯh!3͉>OsixdH]h̼4NC5}s^Y|iԶ} tmK8葑 Y.QY =E)EIiY_LJRnJ5 ꡹8MܜbR^Gm+&\IEy,r-5t&̊*EQVfh|'r\ `Zs-| C/~j*ƞU!5P52wup}L)jmD{ɠEar!׾FL3Rh6:(Ky^ r %71FǷdɢ~e?\M(XȝSp&'i^f1 t-κʎ,Åx[TH*H< 4YHD"'UEE{{V%Oiz^D_wH-Zsu;fq1Pm^gfq=CL/X ;iVsCQ܂F>#7z 5@xl~H,ۋ T&֤9(#,Fj..08!4s)#Qԉ-wd$DfaEkb\A5:pvv)aXxl=%B1V0HTDE(bi[Y6qSr$nSY⊥6y+/Ma3P@YWNP^$*x^F^7 \ eE4n_Ҁ *;Sk0veq v8fd89: F Q2BYzu=Zc8Sئ%^ue>@I, E$[ЬC'W,@G]!X ?v#&D%H2@WI8v\d(1M/"vG+bUa Ӑqfj*QطT*[g@U q@]Zn-.d=5=D^ax3Ҧ[y"e~2UB5Iz8SHGIX\~ |Լv~W,%_j+Cn쪪4C,_}Æܘ=X>lFw)"M2Ϻ3!~*zXIm.gK;EB rP2Zdɘ4I^%.i*ȣN7ew˛*hսm\[;PTH XyC^*e,+ \nTQEͻjs$E+YkIdٖ>҂L6VX\yu@'vvmUInn>,XMB~S66iKXHEͰ6f$O@5h$ZI.;`?R _N'sh(; v_7p}o9*QkSnRKAq)CW@EG? SNƳy 2\ѭ7qz趗)K Q_T{*b(@!dRv;;[鷱v5+c+)ύOo`Ƚ|(eo@eaN5;e3w48;ՠ:po$=tgwIY9Ņu P9@~B/Nb듘G5;g5Ct\8݊YC &ki&OWH4@7A }v7ƀy5zX=%[ 3/x͠^:=rb7#\Ce; liʰmwOׁ{24Y冕={BsS7I5>NǾ`e<8{b|<ຍ4 3m9΃ngpzˎӰ7MLtrQd<*O!nМNѱa5璪7YekW=|MĹ[[b1B_=ƀ:RG ]ݦX<+`%W^ xf'S7ςo :=ێ.n=c6 吾>R >-=KoH漲_CQ%ƛD.>Qllc|jmcrO"mR >}X~~] =$t|,y%mʠZ,p%ޝ܁$ rw8pMnr֜/9̧̳Vݕz҃ 4 Raq0OL;)-_\-TMWcMIWEf8?ԕi&"nśJ[ rPd@%(f#t$ <4iϚ IǾ'Q{暈[fƱۚlZAMbyǞ56%h.9"-yGs1隚&Cy&sXҾ1#6_r̦|}wWj%@RYܒIIi6pnx˺YC2=c;-y*] :1$n>Hq&meKݩ4ۮ1QCmm߼cpGַ9sI(bm9T}K05 /isQ> ODm*H=OM秹 Xs:>O " f}Gvpyh7Miz _?2{ >WR_|?Gz1E4@Qp<[˴ž%=w*^ `j*nש?彋m2 Xv%5`&3BcbPa. endstream endobj 14715 0 obj << /Annots 14717 0 R /BleedBox [0 0 612 792] /Contents [14725 0 R 14721 0 R 14722 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31325 14723 0 R >> >> /Type /Page >> endobj 14716 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14717 0 obj [14716 0 R 14718 0 R 14719 0 R 14720 0 R 14724 0 R] endobj 14718 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 347.7423 164.1782 358.7423] /Subtype /Link /Type /Annot >> endobj 14719 0 obj << /A << /D (unique_572) /S /GoTo >> /Border [0 0 0] /Contents (delete_clock_networks_results) /M (D:20210608081201-08'00') /Rect [104.1732 331.5423 251.0947 342.5423] /Subtype /Link /Type /Annot >> endobj 14720 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 315.3422 154.2562 326.3422] /Subtype /Link /Type /Annot >> endobj 14721 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14722 0 obj << /Length 19 >> stream q /Iabc31325 Do Q endstream endobj 14723 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31326 20690 0 R /Gabc31327 20697 0 R >> /Font << /Fabc31328 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nVthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14725 0 obj << /Filter /FlateDecode /Length 2830 >> stream xɎ#ίـhd--9h çNA`XdJ2MǷo5v>˛nsN)KN竃5!kv$޺\rX}~çv:[{N<ɺt5܏?ڛ?psh`4YD=\;K:FL8Ȱp σ]?M|.&Gw{Y8^XC1cƃeũ0)%=:mk0ZGęO+g$#@!965̿{nvJޫ/Wwy/ΰݱ(D*-9XӾ=0֗!n*&|mQ40>wB8Ĥ? ܙ5. (8 @i\/n8tmC3%E0aajaNv28݆!v mG[gJ1+}PIgKіPwE*xf2z!K`&pA oOBkyXtRLH8[nˆ0`V#ev,D\l2I4AzG=T9ZGq;*(Ԗ q$rΗ$cL$8g}#Yȍ#$cp*eZEH]* j3l#0(x*R0e'M (i΃ Q!q]uxرvoK."R"/]%n%(V-lNu[m>ԏV6UUw.'j9!^rwٖj-ooB~U1v(ּkZyf~71S5n[E(izM'C0TBvBr'љG :eNc$ӥwFhJ}.ժ8P'BSa$= `MGϫt\<ߛJjH:0-El-feEEkOh,;w+GUoϛN+>qa曾C ؃*Ҏ|Gi\LJkAlӠ7]a5ާg\G?C>f@kqeRKI=FOY5 91L.}*&~~[/o0{hmOW 塥]2{t ֣d7Ϲm ѮǬXKl"a1:AS;9f`¥*^W;"a^u2K 2?6{ w瑙T]L +t!)[GmB` S-$h-GPH0B@ @(#'w#nGpRTY!sD>rK0 kɒ'V CV  N݈wڱJ6ωX^މأEfrR=5jMMgQ/l_v JO5| a:粯<46ddSv-[C@Ҝ|17/vg;3 /,~RMG2@)U 6Q^p;!q2͠N&'6H}-1/Wk']v]ub]XG0c2ޏ@C˻ o~kn,< 8xB ,D/^!q2 zZR,ۤHNQ p 7ݲ@쵖^H/M=$#ڱ"MS]@Dʺ M)<{U}ӑjE &)IY()Yig4U-5UMUik-[ю, UdԀBˮ9>Bj0tihE @Vtx," "x*#w(w`'K FAĻfD;A#L{%t^e.4x!Ny1Aژ虸1C0Ce<_²|&e=^/}e48Y FWyY'Fy8 e1(R4AL8^g $yT$fфALqmP䥒܅ԐlR4RU%/wTZc[0z-SGYDAВ4`]4bӷa51s[R1SA %ңr$P{owFeq:1 }KzIC @f`4cg!Sޞg=+~y_{WHZTaL~_[%8*EC8nnag7x-ڹ@ *h'eNAkE-xȼ!|I9Yv'4DEcI= endstream endobj 14726 0 obj << /Annots 14728 0 R /BleedBox [0 0 612 792] /Contents [14735 0 R 14731 0 R 14732 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31344 14733 0 R >> >> /Type /Page >> endobj 14727 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14728 0 obj [14727 0 R 14729 0 R 14730 0 R 14734 0 R] endobj 14729 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 272.325 122.692 283.325] /Subtype /Link /Type /Annot >> endobj 14730 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 272.325 160.653 283.325] /Subtype /Link /Type /Annot >> endobj 14731 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14732 0 obj << /Length 19 >> stream q /Iabc31344 Do Q endstream endobj 14733 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31345 20690 0 R /Gabc31346 20697 0 R >> /Font << /Fabc31347 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoF endstream endobj 14734 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1319) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14735 0 obj << /Filter /FlateDecode /Length 4783 >> stream xiòZ W>׫ڕmWAUiw}lW/xegϟ >|Kll._|ǐU]-A~a÷iˢX2қv%D.ߖYn1\ܴv-lI* :Id/spWzυ- %#6S}֥|>H q1tx#i~$J ~1sO cS~G&b#UᥫB}M޳.01Q9"(K2zp#x@1;z 8ʖxϛ窮؃yL"`un4-:0Cf.Ϯ4wB]p[v)ƺDВeq/Yd9`^Cpx 5E6>[;ֳrzg}Q'8pql1v49 Ijʝ i3B{H ̻x 8~[NH$:ɻ䤺|faINoh뫺,GhjIF;m7$f2zF:\6ex6t2=Iז2qd֘F+8mk{OQ#ɏg̓$&`Bjw8F M> Ҵp-; s?+yQEFnþ~$#b]hH-fGcThrݮGUZx-wZl}_>&`J28u`Ŭ!\z~XqGYNl\;r^C";c KƝn/s \'?kߵXK\hUl57P~SC8#߅Cs{{CJ[9i֯}6XC (j;b3V6p>!: `.pʺu uߔZ |CY(Fnu˷0Et d W0#[~; zG/o a+@,C(b ,:Ƣ7@e课XWV:lwx]ܖpZqZ2B!?_{? NX:CY05UP0 O \^ԭwĻ=OQq i=,/-sS-5pK$SWl>(ܬA`>༚:3%(P RǦ! pBuoْFt`]✔] MJ)NAW4tFUjjz/ީ6Q=eMgRAZߨoԽzx✻ݥX&O[UGJ_ruɩ]!S2ݳ ,[5Y6`i=a#WtTc2S Ўy!XzgnVsV/c:bVoٱzսz}7z}?&z}khd 'һԇ9ChQt"mË|@/r{?~d6p`O(p9|PfpGy5U4&~+a2@pFkɆq5"l@ԛS+2+Ԯ7 IAQCu"Y FL,˖b }"k2ZrXx`d,QK,;o12~=,SIqC[VbY撆)lpv4?n,Vw2;?)xcmνSfUxL|$#jigx䦛D8?U1>oFH(;T^ǚ (H?GTj4ʅ ^n*suEi"du7B1E?(K p =ԑ!,'YVd7*s?4pv"X2w 0gs7Mo5p8t_͏7(-l=M۝*X27`*;a7ӢJQFG?{D{K<%y\q]kSI)a`81 &:nh&̱  ĸ* t[rb9u&$ 5%Q<\ Ad s+0 i8՗-~F)phm ;'lHL/@4"czj\p0s%܁.4fHKG&[NtrJM^508Gd ,%#o:=;dk:Hq@8+_w,g'pu,4!)$#Le0A5WjW5>پv D4hJR!rB8Dܛ,Y*JhE>34,Vs nVzjq,=1ك`r,hidiZm|j4h%BCz4@6,۰ҥ[NU{Tltms;ht}]DAZgt e;Si FiΒcPVdfDdwMݍ;𸙠1acɅ31l\4k}i.ƌ>׃j5Fe68Edj{sf }ԨR1 33sއO--^KE KqL]ԨT )*_pCN " 2+.=;2r<`f/٩`d/ Q[)Gnj2.8n*nXOo&|9.qy'v,3#_nZRѽg: Е*ocabhbǾZo;;l%K]\bcƹƭ 8PNXקʎ?*JYve[GU\Tn귌ZeZ\kF Vk)nuo<޽'yywڞabpyz ==.I0[zhm)`3=65F*d,]FtNm4 )KcqS2Д{nFoG@ D0#&y9F/5ACOYîM GQrՁ/ ^QzvlҪ@]+ adp jk85o"ɿ9!?|H #֧6r HCviY8fw&'jBr%C|buX#`9Dr̐Ӡ$>e7|ŗAb] <7zO8]h3Pq;9{PcSP)UoAYZ訐⟯.Q e勵yqЩ.b_FG>l1!R|&`w6YVBQVkTB\WJ  7iC3ӎrI0cC}%N;BҦi/i9ΰ4#2vh=֟ fWdꔵfCeCIňnKSkLV20e#5^2OU$'/ &?P{񰡾mɣi OB?;Ww+~$O8P$<ګ~Lb-y qN'S-sOe+kC^tu:HlU!>c8c`2F; y6NOΟy5OߏtYzt۴b|>A DX]Kxh!ށa Pq}h ѽ~ЬUPZ1I{ hqh?CΉY+M nu V#tцm endstream endobj 14736 0 obj << /Annots [14737 0 R 14741 0 R] /BleedBox [0 0 612 792] /Contents [14742 0 R 14738 0 R 14739 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31363 14740 0 R >> >> /Type /Page >> endobj 14737 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14738 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14739 0 obj << /Length 19 >> stream q /Iabc31363 Do Q endstream endobj 14740 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31364 20690 0 R /Gabc31365 20697 0 R >> /Font << /Fabc31366 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7IRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 14741 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1320) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14742 0 obj << /Filter /FlateDecode /Length 3960 >> stream x\Ɏ$ W@c_F]]S ؒa`X3؂Pӹ2\Z~]" I/߾/Z]Kqj?W_ru-_/{RE8g8@uqp\^/pK=?s*|\W^׿uH d&w3My_M5('C|.%ǧ0aOgDV"[c_,"*D Sp|[/Byu 4E^p`bBmH{izi:1}ghrpR6λp5YF^zU=O鶖e0]<5E%̖ؒaKѤQN$僜aKfم\-.Ͳͥwqq R)U'ri^S6\F "`$AsU竒L^5INyx Fiׯp~yQR0UQ9LRٷHkPj@9W qt_x@J_E*PFmXӳwkpKc=! 9ƞ;a LvR@ U6d$|HLu?l{#P|#l?h,HqUZ05 v<=}'?_haZv/ANV5O%``K1u k6BWTb ,K>@cPsUPxkiw"j nN H(DlJrc2hHEUʤN]p$D1c@O/zh]?;kwZpvew+9eƟ7i`z&;;k؏&ň $ak;?9|d |FՅ2άӒp܂oL&X5fq٪ObORK(h?|$B2"xOUNT@_tS|xojBʞD. %T с\Eh'X H5!\ۗ9qի'cD"Jӄ ؁VFt Ґ,^FXRRx| Ah(Ƣv͛Ob[mk||ɟܲ 6d[5 8y(1g轾Kn5V!A2ZM={S<=o/UjC'Yfr P5F(6mg~)vxtd$qN6 GcYi@9tܴSyIBu2"1="t(}X $֕+]녴`bJ'BU˴o  [kJgDCbhGq%DmAN.r8d fT˟!`_>sH"# ch+4}cZf`T9ZQOwڔK|\7Gň"_'?]ĘؒTeF. E-Y҈ =s6ɹ8Ŵ3wݥbG1g4/ ?2c?`t;J'/뱋c=v na}ƶiO;6uWexhjlhErZH kbGEMk9>%eC]0 P FLU2U}sΪčFEG{TTE`[7#vɟ*8@bk\WUn\֤&?vSޅ0G\ns$Շ>1ʭ ĵM֬po-pҶJ R :ъ`פ4W A0@0R_s$bkt yeҋ~q75n׍ձމ;dbqnػAwC # _9yܚ[TKNmN(hϽ /[f㪢~ 5Kn*\֮CwKel|ŠZjBc>$߹ ԇWjOacqya; 6Gņmّ&+.aȰNwcYD8!}6OFM}ەhsQ.-.wJ`3iUkZz"(H&ĴqRSXҌ&TlVdg^))Z.A $pDgg1Kpt7)5'lIcTv-;9w%^3uޫg!l SR-\P g5y]-xvXa" σVqwղ{aW/QM ]EðoҜVD:Ir\In)5 Q{VMS}w;FԠ2qlF Z Na..t =VǝnJUų:Q ܶnnQl~ut̛{wl~wQtjvy=_-U*QmGS{MM$/Ӓa!ې[/Ѹ-C>x(=,opgC]1M~{Q @.V-JnN{ p1;^FWګը,(:ɸf䱯v56e<{!W7O]fn.j/AI@*Qrjsyyޛfp>fw=7j1JV:ˣ*:hl&0{*4+Yv;;Z]Y>ꀪX~^~ڧ <bn^5JZizD]ΎJxs|y_>|~JvRBawH ?pO͞( /)<%ކB>5d܃{aEͷ|y[)TMYc'qp]X0γ0Y˿Dg:I䦉xQi ܉'jC6x .2qp~7IaJ8 7pՒiך@+}V.f⎢Yqx&&֟gkuؘnbM>oc|YkS*1^M%2XE?\_&]ӣY\S&ThPJ~RHC`qjcAb#Wh_'y|r[1'x*qnldS -W>cC~w.z\ xFPVY=0> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31382 14750 0 R >> >> /Type /Page >> endobj 14744 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14745 0 obj [14744 0 R 14746 0 R 14747 0 R 14751 0 R] endobj 14746 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 479.7 164.1782 490.7] /Subtype /Link /Type /Annot >> endobj 14747 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 463.5 217.8802 474.5] /Subtype /Link /Type /Annot >> endobj 14748 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14749 0 obj << /Length 19 >> stream q /Iabc31382 Do Q endstream endobj 14750 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31383 20690 0 R /Gabc31384 20697 0 R >> /Font << /Fabc31385 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v~1OEҤYȵ7-$G5U|t%w JJhx&I 5\fP=(%ypf%)Rwm[;0ROMˡ|72ْԑJnRLsVWӬ.~7‹!H5h}g:g("G :gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14752 0 obj << /Filter /FlateDecode /Length 2266 >> stream xYKo$ W@)z?Fn{&0C h_/TnM̠\ERGRh-_U/9,EvN?KC6_jm:Y#-<|RA1) 㽩䢟Q7x'XЙl,> }7LXtЗ:ђڻM&ՌLdxb5շր{ᙷgvf^ԙxhpjHx 2ʣEY?B(*"l,PMZq.C^q8*t6gM`5ф.tc,86>CkP lA$|!iUm4t[I<:~2#1TI +4Dz樼{nvm`!ӢY1V])֮jp ЄN]8q.4~hkZL_9~EggƦO"Lhi śTA4?~~@~] $FZ'G`z<6@`g<<#uCxSG#F'fԞ.4IJ^ݯĂp\'O @} 3@n3^;[f&L9k>E86 VLA9t\Bjƃsn|QxbvG6cܞ?> klk!Z =P]Ŷ 0ЕgMJ_{;;y@nք%k_uP. brFeۨY$DO7G|DEC4nC**eS.ѵN']nUL[]la %QDISym0E`KP֤iv6#H!o&c.6}ZzkoMBSXvp$ZUړIP!SCY)DIu>l,ƺ3Rvp+W}XO-S厖uU42ȕ{4W +w_rUG7ƕĖ=[rA "t53ߵw\1.Pr ߧ, cQX|;| ;@<0:.>aO_)%_i֦1[(!BlV4:y3w0y=][,ԢTT6N|PFC}㸡Ox!mI )epQ_VҊH'Avo'b>=Ӝ7̫= ,;>:s |Q .4!Q~&ڽ^W^|W8v, dkeO!ѽ`M nC@8MBU6XW(Y"wS"*7Ն\FaBm&5}]6LQ7h)bȽGΈf,`QNS8_ݜN6k.m&j 9RڵnIrSdFIFJKv[fK#N vIW n2KsB4tieE `Vlt,|+`7h,n,2Tp4."v'Z f8uJ 6Zf-ZDK35^HSz+x5H]oc d=HW-mR}& U=t^)+ݞ YJ:{z:H̟j>y{+*ǟI88A?  >7zytⱸwS i> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31401 14760 0 R >> >> /Type /Page >> endobj 14754 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14755 0 obj [14754 0 R 14756 0 R 14757 0 R 14761 0 R] endobj 14756 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 377.425 122.692 388.425] /Subtype /Link /Type /Annot >> endobj 14757 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 377.425 160.653 388.425] /Subtype /Link /Type /Annot >> endobj 14758 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14759 0 obj << /Length 19 >> stream q /Iabc31401 Do Q endstream endobj 14760 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31402 20690 0 R /Gabc31403 20697 0 R >> /Font << /Fabc31404 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2Z-LB.FPBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ? endstream endobj 14761 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1322) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14762 0 obj << /Filter /FlateDecode /Length 4370 >> stream xڽnίyV~$4n^*ɢZmgY-"Ub ?'b/oK3KK6إ_Y>*_u~M>&|~[ujl =>c]}Y}#].Aqc_YZK!jg\5%Tv/l0|:f P Qe:?^F0K`̋`}L͚l۸_]3ay-% g@h.~_W_ۗmX&GHT*z2HW"C V~>Ώ03/όLtK$T@TCD4?5x|g5AV;u+?m|QRsC֫Btg9u=!YG}v)~Z-ВhwcV13A@ ,zLcM3(A088ŸD.XxtLi Q *%CO K7y+-o|v:.K%_AHt/AzL}4< F¥g|/N0-'|ϴq\j%Mh&Y@|WR!m1I]h+WkE}G(4~ 7kVVvV)ΙQ,C$!.&9 a~ZB4xi ,U̴zX%Ǭ.d?5í!>ڶ#m@FyKS:^U@jrf"s|y5u檖MPXqnP`J[F,1֣ݩ%[l&5*rwzfWANW$|*u5S6&&-HE>OꞸo\swD)C' dtSRn.r69VwY2[>2h9؎+a)TIa>c*RzlT>o[V-~ˏZzVVO,`:ׇqf;CfzK2[$'2Os":iQ?D6K!Y }4&`7(z T횀9gdn`~6XF! Ĩ NqϴsY+yYdI<*E9?hFJ3v4k3It<.aXfk*s%&fcA`{cGnS7X:Kn= 0h>b$4g &> +R^k> Wΰ)KU3[͸Ϗ=L\]ᨭO}=<WӀYzeTGm-n#C6I-߿^7sʫyywʚLXsX3HЦ.0H1Brcj14*o jCjEIr=%n@Yz$k@XCŁu GwcE<4Zw܊PHQ5l7\5Q :^]$1'0> g.0p?EF A.cRmKwզs|sɏÛzxDVʦ9U K ^cnY$MBB(5Rk6bUqKХhkmʶuւmw#c=Hv{ d~E-"χ}s[sZt0 %Y jkzӝ+f><7}TDg'D}Z$`U`!Z``Mp?2ۛnBCW ^KJF@ђƲQT@>Gvn `pZV,%չ_4l X+EzHP$,w 8::0"|)-pWrb[rQSpGo,2@=kܷ‰Rm:Rގ^H۰ ۖXJZW/3:R*;.t m7bC@ rOU 8WQ(`.1"o׋(!1C Եd8j{n>~[>P>u 7-`$:Jp7ɚRRoNXݒSS !#c{"f{ErѰ(u8P2O "%F+'F"G_Z;Z_U Ûxfj|!N+t1@m zzKnn[;u"CG'wM&h zOe+4.[.꓍q&640G.7& H.c:!&?tެM )¶9 vrOӦu:k8IG9WD ϙx(̈!kI\Tc͊U'eɘgM;[> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31420 14771 0 R >> >> /Type /Page >> endobj 14764 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14765 0 obj [14764 0 R 14766 0 R 14767 0 R 14768 0 R 14772 0 R] endobj 14766 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 294.8423 164.1782 305.8423] /Subtype /Link /Type /Annot >> endobj 14767 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 278.6423 217.8802 289.6423] /Subtype /Link /Type /Annot >> endobj 14768 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_utilization) /M (D:20210608081201-08'00') /Rect [104.1732 262.4423 216.8682 273.4423] /Subtype /Link /Type /Annot >> endobj 14769 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14770 0 obj << /Length 19 >> stream q /Iabc31420 Do Q endstream endobj 14771 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31421 20690 0 R /Gabc31422 20697 0 R >> /Font << /Fabc31423 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 14772 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1323) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14773 0 obj << /Filter /FlateDecode /Length 3040 >> stream xڽي$]_J>(^ۘ?,41=}ǡ33aj2"BqG7|l篲iL8?˿=i׆E)+ZQ鐔z2JtUZ)_?{yxWI<0؂ X3`y >Op* $ |ZKr~T*U`>..iHDT>I`I3|+Op(S(kL'i@?n̑#BLė@LG0RkG{6 הW|u>½} vAQxw>|N2OfUVpdD/BBu#F, .$;"Imi\Zt2tuB\|ޝeڠN ɰhfB1]:xx4]!n5%a3HX"MaBbmh`[9+= (]m%+wD+@x &Vf"f 6s X8Y 6¹bt?EM6MAs(9CN" %ToO9^WC5ڼDxnbݼXw΍w E)JU~WWfbOaKJn]DXAZqּ}wOJqT6Ú883ͮ֏"  J3<&oHꏅQCWy(| pJmAK-Ff˟Y>Э2U!f|" # &%Sͩ;i-u03xcΡݳk05`o$)$N^PDZcnU]VYwå_ s.q%L(xtK69ɜ[2g9˝t99*JsjEa+]'ZV`T~!&5}iqC\ʡES_s7DfF3s_2}jY}}G(-~hl8GUFS1 8~*ζ9E!rևos/ivF]K+6NCL@[bd*PkIvѠV.%f5&]չqfI9{-5j`2B0{ ܹ^6b̻vp}={#PA` оC\fuu>6=Z:ךՒks6pGFfE\5.T*=ռn誵 0vOɇkM#Ĥ5C`:(dΕUUq ƵIpi@,T}մ\ռ">so[^B s#Vr26kO d1W턚Ʊ`j1uU<bOtX-%c+bwX޿VaA\Vq_-o7 $M"A1UPL&10{ qduP1) "ؼD#$ʯ1cH2Cґ1x ۃtzc,JԪ^KIOX /Fc^SzͯQ<Y+ڇT}c7hn݆ąx/^CqBIwxx"P$Z5 `H"=]2#@NZ_XW/Z儏bB6¯-Q(^Eb.d|_z e0 ~ nqtr\DX/?@[ WI]pɒAQt&5P4M$VUԴM+a']V*SJ S6R*uFAc~Rw}KjS/҂Ũ[cUnztToڨ5qڦsc;##|e$ҍKSjΤ! fY3%^B>9ct#~e~XT^?_8'x.΂WqsRva\X|kThQw[rյ򗴮 %(u6#v] .D B. endstream endobj 14774 0 obj << /Annots 14776 0 R /BleedBox [0 0 612 792] /Contents [14782 0 R 14778 0 R 14779 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31439 14780 0 R >> >> /Type /Page >> endobj 14775 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14776 0 obj [14775 0 R 14777 0 R 14781 0 R] endobj 14777 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 310.625 124.1605 321.625] /Subtype /Link /Type /Annot >> endobj 14778 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14779 0 obj << /Length 19 >> stream q /Iabc31439 Do Q endstream endobj 14780 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31440 20690 0 R /Gabc31441 20697 0 R >> /Font << /Fabc31442 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoC endstream endobj 14781 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1324) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14782 0 obj << /Filter /FlateDecode /Length 4407 >> stream x\KWl@2ߤ3|[{6`&z-uzg|3v{Zbo$+z::59;EUx2xVӗG]L_^ҹilWΔ+]܃HW!՝TkrKϞ+fzj7?:N @f=LNzҷoI_y_#ܒ4jj'%ƯgFLGEJɭK.ëd \')_$. DzQG)=aaA`B"]a1gxLD㉨R;cA8xyd-^.ӗ"@_Ӣ.6mҦ֙6Y9aCʐHaE>gfYvAb.JS fő2DST C4ar2IЈYǸJ6GlIrDR0b 8'5ZPfRR-a2Y,.;b# z \`Oy>[._R#םO|=3kP"ؔlI?'=(u]sSן3)Fɛk~}Qsq~ܥ2xj1Np{fJ X*wb25Lز[ ФksD, i'ucwg]6|5Y~?Ҥ*O f] !yQ? OII ߆Z< 6.Zi[Wrg e+tY]w1lyvC v9B²J4(r=|W̛ZsjeiJ@l(6׋Pܫ ~ DӴnrWCM!lذ?*n;n-NtL0cDž98,0=œS&k3߱܊%%W 6ReqE=1#Yz}Q6}i(H: WU)ktU8 A & n8(-v-,Qp77`ڹ7e2paPN,N+@0Is ָmcCy]w 3$nij^<+^fbuKKg:qҸlDŽɻB*Sb q .qle \\72JԶ+Ol[;qRS'-Y`{"ȝZ7M;zPo u@6F՘l#p5N5C:oPؗEH$G".[Itdo-Z?LAA2f]})2q!Gfɰ.a7Fw% G#.v vdmQ4> of󌒈!ǔhoOO@&Lz8pń{j3w">5;`?'gO9bEuE('suCVkzvtG˓4Wޑ]ukuk ʢ.Db({P51m9=/s1mLFì7&΢ˢK# ѵiz fv"yb7Vh?qpPU\2|x:eӅt =-_~N_J:}@;x3:ߥE=@G-E]I.x7"pNHRW^%,+m%drV˪~_'~J@6P=\ƣnhj'fZbwn 6bo? (sYP*MJ Kv%dBz*ceu '4sXUFVˠHX~j%`i%M#Y_I\r-J-EF 6J$Q>`Eh5ԱTJM-\f++7:ۃ{nѤVi_{'v*e:ɸpJriY{B^rq}sj(E@fE7x_488džb70զe{T>ƥHyºGn}P=Z}w@-jaq>S߄ZvZZ%>̅`u!=Ӆ {bˉ|yck//^ =[Ŏ^$׽Ӌ4d/tE׶qݭ׋4DF0ˏ|y{[B_}D\|:BOR>}F}ܮ7 i[ +wnX:;}ꔹ`!~.q[/>CD]k 0(@ YT$U#50춲\\U nd[̪Mz] |IֺnFj:$IOD|̇9m~Rm/?[Y24Nz;Z7Y3edЉ>"^(ZA%D/9@O` N*}"hbUg AՄԲ7.M\qszf Q<+ؚiC8'äCbQ՞ףIe;ؐm(S3QӃ @)b >U"w0 ]IV[%PvDk#U˚PǙI7&KY0q9$OahF WIƺN{+wNb|Mu]Ta&ےz1Wf4qL0aՀ)[o12vLf[ӈWhf ]!LޟX5, B+soO۬ݩ1I8yF[d*1t_r{oO;uqxh2_aiv:emܲ1o _o*S%yq ySwon]B^avz=3Cp0HdIjVM]f OL:mm\x8:5%*MhOWsh,bW}xߍ!tZNmpǪ' k;m:U&/W S^'p>7Ⅸ|R,l ZSAWx.|Vаd:qJ?Ll?aIv֮[c}SoAd[KQ5O*9ixch۠-]nk Y_ FtmY:#F3Xy&[H*0_hhDLaiDfH>}E~[I)&MKI$MfՇӑZuvVm2qr^0{X ﲤ(1&c'˪WŠ_GwUïW q'Y/ hm2J% nΥUX$s.O9ow̋;V]D]*-#sp`YDDG9Q!U.el,.6|~Z"mW43i5KLGaΗVхRyr\_{jE YW *_R [T2DCyR5ɚԿŜTzϠ~4ttez1#S̗\B"^ "鳄o %t+Zs}5w9 Or%x| a{QJ=S+!UHW/80J'W*Ne{Z;R[ /Y;NO"ϝQu)UJtSE:dB-Y3`Y+#΅"48u zi1٪{d9jkdXm/&,>o=:'mn1)۠V6mO*)I0ͯ؜z}=;d7ː$9b*ۙʧuM)QNOgB1C1tBy+Xqȧ >) KV~O~$t?3/q9VQ9hp ;|^WRNѽNRNW0tTqB~N?8&Y>S(딳p-n- )At|M8.Z^g endstream endobj 14783 0 obj << /Annots [14784 0 R 14788 0 R] /BleedBox [0 0 612 792] /Contents [14789 0 R 14785 0 R 14786 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31458 14787 0 R >> >> /Type /Page >> endobj 14784 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14785 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14786 0 obj << /Length 19 >> stream q /Iabc31458 Do Q endstream endobj 14787 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31459 20690 0 R /Gabc31460 20697 0 R >> /Font << /Fabc31461 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 14788 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1325) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14789 0 obj << /Filter /FlateDecode /Length 4527 >> stream xˎ#s+z?}mrX4 T=AV(N'f|kznI/m[^~ V2hmqJyx{W''<,oZUl qyt^媴 ] |0x Oۿ)ZiR/'e.GMqƅ& <-x Fܡi(_"<*ne-m NT&ZD^"A@x  a/3u>Je]Eo Өb5 mBj0ܖ}M +εL;Cf*Ժ찮ڝFJ)'QFj 8ׁ~&M $"("'gAkB #y5a#%&T^"WD%w 8x.'سSmd&7k=X@qh\=-mI7  C e =U =A?=~A}Z:vk{ƣd5R B+G{ÔV湪 PT]W^Hf٤DӪ'N5[ZrZjQ UdQƍ>2~;ǻ/k1!6Cm\Gm $mp,Dn>q|!v8Aňӭ3Wtn _Ag^9z2aVzYlvEk^) z8Z&X̴)x9DuvC2nmW{%(^sg1$[O9Rd2z>]z&I |eO"gMӉCu rO UZe֩B\05m g:\BךMoC;FuGg%]b4N<y,_7aBp!Fr57;߉kNu&up&8p3w)sؑV%UPXTNTۙ=3V"~`lĮ`2}^,;Ȇ&F&N+Qmx er\Bb\xf.8s,lHoFqӶG+@OcSZxX%m6wƅeyQT"Ma5SR)TwW݊1Fjk֦ak@"mkqdxsC;WYa\4-Z CxW)@ (sz _ߏ|-#3<^FoEd泾4+m6aBlB0Zy\ m#S7ИTp+ȸ'rjmҀ;تJM6햩LjS)ahM{$ )WA0dGQR #Sni.}^*mh7`9Z?\tD/;rr+UYh/wp6Xcj ى @U{٘y^Ô6գrb uRB¦\cWIXWk[*꥖֘hg_V(߄UMǀ4?lu~fn}v[2[(&=bR]JS[gdoyZgj$uPMănAYJ!r8xnYj&֞q)&fmS ԙs3\f՝k{ {"G 1Aƶ>jG~Ƿzsd[&naBe{s%f)D&V5GX$1 %3[ECK7 7 q36ETzӼZ)~ץ[*[T)UTiUAI"u2DRw}ZAZj[Ҩzt?0[<0e5ijQbY>Y 6%yާSe ^+TF?f5x-:Ϩ]ٓ?;+Y ֑%l-}!4{;^}P3Ivw&𡧄2#q:FJq~^R6gT;Am~2Z;B6׸(G-YA=`U9U¹R2ю⁔w9>{cּ |}_ {ϝrpl6C?'G; 9lX{=<dv>~[pguRU6gSsmk t9|Ў>B8 -3̏Hnw4 rak:l&+_Uٽ.{"Q IF؋*St%*muf9Vc)kl'آ̂k&mSYlm[݌ƺoH=P>dJ)>J ^L^36;whIb0 ev}mݐ§Gr\bɛIN rRFFLp'ؘQt=V)-s\M9BlOl=J$Gm9Պ2hTiR~ @uy;n A`4Ğsmh>0-"1^b\V߻|XpwvrINҎ*4C ҟRi I;wv!p,S57V6ḏ7%`զN?<]XHk3x_z޻}Z]5FUw2yyMo֍RG?//{ʬi\*YpNT}ytHO^'Osb^&ch#¬.oH/,HMAd0j j\ICAC$klǘ-Øsb ibT'ls݇|>a_X2hyƋS( E}dDҷ֗_UiSP*tl: {b]X"_.^p8`P0Sta>2F1)fdg-VDƵqvNvbFI*/~f<>+cp?`$&[0q[a>Q:^q%"XtA_re*rjt}7wj0W +?֯a*[R\. (icQ|hIDgD\%,N B`I^QqkFE&ePjQ?Z8b6,zSySG6Vrױ13,E icQ_6xFcbf}ؗ2B!#PEOC~nus[%_-;&7xLѳ{aOD? >ϥU*~ȷa!3fm^޾Łү _skl( 5shFm.J[t|UDEF~ȕ_5su2} [czu^{ wq%^{t5=f<={7HH;d̳*.W/g{O X[']6FW:`<[OYrVP?vi-kZoiVbDŽ왗ˍ ĐU*XuعטɮD/}pt(e/ endstream endobj 14790 0 obj << /Annots 14792 0 R /BleedBox [0 0 612 792] /Contents [14800 0 R 14796 0 R 14797 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31477 14798 0 R >> >> /Type /Page >> endobj 14791 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14792 0 obj [14791 0 R 14793 0 R 14794 0 R 14795 0 R 14799 0 R] endobj 14793 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 452.7307 173.3797 463.7307] /Subtype /Link /Type /Annot >> endobj 14794 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 436.5307 144.0317 447.5307] /Subtype /Link /Type /Annot >> endobj 14795 0 obj << /A << /D (unique_554) /S /GoTo >> /Border [0 0 0] /Contents (update_compile_order) /M (D:20210608081201-08'00') /Rect [104.1732 420.3307 211.5662 431.3307] /Subtype /Link /Type /Annot >> endobj 14796 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14797 0 obj << /Length 19 >> stream q /Iabc31477 Do Q endstream endobj 14798 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31478 20690 0 R /Gabc31479 20697 0 R >> /Font << /Fabc31480 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}3 endstream endobj 14799 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1326) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14800 0 obj << /Filter /FlateDecode /Length 2192 >> stream xYI$W<\*|kSS=d.6tW>L7Qڞ=Wi^t:wux9W~6 =W/ֺ.G>Çrp_e*[yB~OQ&]t8̏?YN;]zK=:X *v~Y`bdA ڀF'Z,gK̵~).:Y۩YDY(=iouàvʵ%\7<["Y%SE[G|5;,N?Va,he{KiC', CM:$ʵN4 H0aCO껶We~We/Lru"i-5ԄR`9/ۯ8zgO:G'_' 4$ZxV}}XC$FpeL8:r3ѾQTu6S?6ރZQtBBza 06O>Gm2m66~Ǎ J4_x 09=E:kTAYQJ8|GSŗ.Nҕ@DY kxʩ6gI ꊂy(pp&g铱?=D@}/G' ݠw?q~HҠ?4 n7!N8$a~> &? @aX=JkOdUL{"GL5ϧ Bsp\zSҟ+6*5Wd;NрDߠtSe!sOCȥCNS.|z D3~f(˛}{6U%GYTwȾl0A1|];p(C zO" x@m<4GΞ3R~b NVG8/d0+4&bKꫥXpS ,Hiufݎ[D(Um(\i X5E]ㆾb|z :ܥ wȠVҊH'Avo=&)[gxe'Rgʹ.}1g/^qupM`@63 0q^h mphK 2 P3mf'\/jQD.GUnn7Ԇ\Ff>C XRwـۨ 98sĤAXhSo4z홴 m6O.j >Rj\.+Ɍ"l4=,Um-U?,U͖z[?θuK(ȓ4/'d4 ?x%Di|S:,X>| "z*-w(,Ggqc t:ڝh :J 6=n]L[Ldgj:bWj&~%+ZW(卜/=2رM$7@W\2NB/ʦr?(24 .fPXB =R0-n7B:OD׼v&Τ!Y` oݡs{8y"]mj>{x0 USe-IAp<ʢl 0/"#<WI+Gҩz,L?sغ TY6C؍`Ρ_ EDg?Y?x endstream endobj 14801 0 obj << /Annots 14803 0 R /BleedBox [0 0 612 792] /Contents [14809 0 R 14805 0 R 14806 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31496 14807 0 R >> >> /Type /Page >> endobj 14802 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14803 0 obj [14802 0 R 14804 0 R 14808 0 R] endobj 14804 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 386.725 140.952 397.725] /Subtype /Link /Type /Annot >> endobj 14805 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14806 0 obj << /Length 19 >> stream q /Iabc31496 Do Q endstream endobj 14807 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31497 20690 0 R /Gabc31498 20697 0 R >> /Font << /Fabc31499 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶKD endstream endobj 14808 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1327) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14809 0 obj << /Filter /FlateDecode /Length 4076 >> stream xڭ;ˎ7w~E T@Wj+ŞkƋ̬nI3$x1 .hwG*v:dU:^v5?Ʈίo?ir|o7³$uӾxc:KoX>}Vh8ɓ].Ab,,z%'jg\5%巿+G`VʴsiZJ*a eM:TOKg ZG %aK;?+/uM>hr6 Wt"5~VD]cE-3cr/ y " 5F3>8>ٲhSAǀ3~`

    |D?sfM M\_* 0PϜ*+ :b]} Yfq''6Hu@ %7z+i4cc&pR> QvYB-Ѐnym{I2HudxG$MpL!_tog|lOά:ǐq0>Cs ]K1VfM^E.$ŜY ShзK/WkB"`$j~ VPHl]Q-L9ȰdB̳j{A4!* 9L`@w[Y 5▽ж>uቆW6՗&r΃9^D,/ȱK'k 5~k+NbNV5elNGnqNND:=vo(CQSUu\ F|Xt*ZHsOP8 Xܘf,~@E_ɂ. >OZpJl=85(o3D2[A1rVO^XȤ[v- 9",幭O. `E R13E͖nG$=u:,{+M"v(jDn,}uB׫gvmXfe }8;wp?=&]seϿx~o\TJb&kcOJl;_B}θWvdIҖpur;%foIa 㦋voдPcp[O;9|0 mDu@!bPi~ 7k dRJl7x<;DlzFf\P^rBzWc3BП ܸ`_ԣ5nrb٨Ԛ旖\Rr=%SV)TY,!fepr:V ьX{͖t",J@Q[~77)6s=j*߰JMԻ5a֣3~" %֍HF%SwDw.+3;TX,=T6+{ɨT%? ߓ l,j@G~qZOHա@\?R Ўim!oHz|Mo[ Z`y6DI3%diHk )4#YP{Yu 5۸{:tl[ecG;]2>,=20La%/!BZ@8-;pM6>as]~ԭ6hn&Y%T6Դk}2<_: st0x )rx}V7g$c م# r%:TL RT;MM1cU'" GNOt3p/3 U`j+f,"MsucthJhWiIM{/IfL)̧GPFG=%9ϪyGo'3VXϟh:ˆf>+֜&kݼ"кDcr1O8vѷY:TɁBqRTnhҚdws+0^j^v8W;b0 ΔQJV݊|P!vCc8]6[ kn*ںb<Jδ~AHw+u{ؓi-j䍗y9<Iv=]ζt" &zH- ๻YqWm#;luJh$8<<\ ^aSu`O2h#9nt $UfgU+ $1TfH8xv˄MƘ=ѳ_]2JDvJ{62owi4t39E+u%7Et?1䓿F.V'owe-Ѣm6 'nbXӉlYo=1 Ubu-}}E ((;iU0nI7Qmx?2 *IgB(D!)<38(4qe`tᵭ@>F$)q%(2(9+gへ8#)xkmo4L}r\Z@6]@"+Y2O 0tO΄'!i1A 3i )5ЕA4N>Gs*( @FT94\&m>ުGqǕ1wG#ԑm]wS8k7k: 8HPMd ׁ%C}~H eMv{)װ-+KfIʇWNo_:6ԣFVA*8@Ѕ.tiy;>Z.PBsG 57,Uo@<.hkv(3h`2ޠƺMrV &p 6A֔JZMǗUgU:%0ȥ^Cv|"Om;baMtAe-Ffu5Q1FCo'hv5\X.iS@w"аQ4]Kj>:\1_ލ|B^m_yn]3߄r?bx 6֎@9,Y:5~r+5aΕYCfbmQs~^dl619.4 m&o+38dA}ɮ>8LpɄQ,nÞ-ϐ/"P5jQQqeX1a. mGd-O}T7آLih1'|Aq1RM/ruFzbIٟ* *\-h E9w_WUS?/E.} <$N)3F 2'A#L  gW)D0*dd*|!J/:.` 1 ͧpA_W\rK恌VS[|}tXz"Qj(uZ eBrtK.j2[B71.>ULFu|F{V>(jR͞:s~tsF!+n <%yZ䫄[Mx߯-co=Úvzв! å~8g!ܵ_g/n*w:U ~/{ʉw%XN+h@hsN o/, e' endstream endobj 14810 0 obj << /Annots 14812 0 R /BleedBox [0 0 612 792] /Contents [14819 0 R 14815 0 R 14816 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31515 14817 0 R >> >> /Type /Page >> endobj 14811 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14812 0 obj [14811 0 R 14813 0 R 14814 0 R 14818 0 R] endobj 14813 0 obj << /A << /D (unique_645) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20210608081201-08'00') /Rect [104.1732 360.1346 172.4887 371.1346] /Subtype /Link /Type /Annot >> endobj 14814 0 obj << /A << /D (unique_678) /S /GoTo >> /Border [0 0 0] /Contents (remove_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 343.9346 195.5117 354.9346] /Subtype /Link /Type /Annot >> endobj 14815 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14816 0 obj << /Length 19 >> stream q /Iabc31515 Do Q endstream endobj 14817 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31516 20690 0 R /Gabc31517 20697 0 R >> /Font << /Fabc31518 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)ethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7L8F$!īiWm`yݝCR\vhw%‰ph#bwoH endstream endobj 14818 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1328) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14819 0 obj << /Filter /FlateDecode /Length 2636 >> stream xZIܸW< (rs@A;}Q$ԋ Ll%R[O,E[m\Y>cb_Q'kO}Oг:Y`m#<+<xgy~#P1LE9 t`wO^Dzˤ'rc堋gBu{>Xxg9"mu--.Ϭ <>o5_ #^vyڳ[G(+p)׋8r>i1:sw5YšS+Ũt% j(mqSб -Zk<#bvtPZ[ hA!s4EbiDԒn dQjI5~6vG<fQF{85;[8qlyt^.nŊza6N<[4{~LS'#e4wy4hPB5aY0:#eugXs0%16HxC8ljpz4RУT1"Xͫ+RaX}sI(>Hv x V)+X!M)i}S[t|cJcJRSBڻqk-&RAY3jO-oC~"9Q|i]a񑮻=!ҝ$K5#LȤ:Dw]|)rXM%NyW 1V@uNDZZcIZεhz]zqPO&=~bt6Gwuel$4kWq_gC8bS|| ؟nY J D \}NK@saXmf7UamKmd‰f9 g Ηm whN+||L|@Fm꫻s鎻ks[rm=f6ރӊġ݊dyrZS徬/; ˏvMѼmy֏O -_(@NPJaIYA B?kDZ1>P<ӏJ+_Fp\#<^yպ+FxλҭP.#n)\[1wq f DʷGcݑ!hp`LׇGfBfn ɸcDٟۢoOb#R­7\ 1S] !\͛[`# lo5ڛᇲm;cyjωɽ;er*R,.z8'>.2n؏F|RYYp<Z`4LM6 u7}::O`y]2D (B? n +5}E-K [j5lUsv/F9x @Bjx$񓅳 {-2xFN4N^n\bKnogSg7fx60?lgT\1;o]Y8I]<ُnoϺ7κuJQp m%6\5x8M v"O0£(XzIDi`8TpM+be'|.aV޼SR*UyU'Jcсp~ gvPs51[SCl U|LVS3Pil,V(F5X&^ `[-7ΐ+³.epAnk$zͫ!/J%|$> n!<2UYںiowiӄs#pSqKEϘpp[;輏_HRTo mcC.C sZfu,Ŀ.TΠ75L%GD/g"VO7x7yUH ."޶ ZD $@m{z24Kk4%( gj|$lVkmҧfC4#S+|y@nʹ 3MxۣDu8dkjn' ql<5]0MĄ#Rf7Q vVf?)- M.u#5!x$釾@l>L#@GO+8eY6WzWzpގK!E&lJٔ55PL㺳 ϥr(2fGd=l7N:;[Bt!k¸jt=HŸhp?Gܝ(gE)2_Ҟh 9*Apq73'~6F n6^j󵃢Aj#cCsgQCv&$`B.?م( endstream endobj 14820 0 obj << /Annots 14822 0 R /BleedBox [0 0 612 792] /Contents [14828 0 R 14824 0 R 14825 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31534 14826 0 R >> >> /Type /Page >> endobj 14821 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14822 0 obj [14821 0 R 14823 0 R 14827 0 R] endobj 14823 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 324.925 122.692 335.925] /Subtype /Link /Type /Annot >> endobj 14824 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14825 0 obj << /Length 19 >> stream q /Iabc31534 Do Q endstream endobj 14826 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31535 20690 0 R /Gabc31536 20697 0 R >> /Font << /Fabc31537 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ ӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14828 0 obj << /Filter /FlateDecode /Length 4138 >> stream xڵˎί@|Llmrr~ŗ쬱HUzH=}̤ i痩ԙ))۟i'kO]M^?ht|.o7[£$uSKmwoOݡTԙ*dmhi'8,=o/FPdgKffe #KMf32',j hԫQ0R3<ㅺ8g|`P1 `35v&,KC8%x588 4(5!Pe}ELYTic1. j!Г%qw[\6IEc-o+3;!5uJ+u:gօplmfhVvLi`~ xz'0w5nЋ{u xs6EXcW</W WY<Ck?<ÿ_(CGGeW=h= \plѮ<,"WOvaƥ*:]uLM_&+/1'|K k`}q`/& 4]G  m60CMͷ}<يcg @9aь 2W R~X gDB6J=B2T6*',;iؑCBT'ڮ)nM2SeT\Mj Ksbn[#Az^9ܧ3QYJ|y5TfVKDPXq%\`mMC,#. խ\GK k&UbKJ.]^XuB|*U5Sv5-۫F= vWzS}u]-w$IY 2$A%H*-"[[;)a̮YV:Ew,cy8' jײ3/U>k5fڲzzjZ}|* VG0q>lF~^ꙭ~iU9:ޢDV+.Zq}4&Q`Øޠ9ʽ@g$@$L} % "+gywSlwA"e5:ߐ.z")( v+Y-Ĉq}2* lZ9eKp0^ r +mVҼ"-Fq*y8@tilOeK Gr"ɇ@`W^RI0B@t]*IzRpq|s +'/& ԏh聆),:%m_GSXDɔPdx[m" q,ڪ-,X!H]ДdGA0;O:,F'l8}-I (p}F-,Q* wO<r ` /nޔ ZS; H[IrN3f5N\n0qxhμퟠ [%w%O(` 'a&{ fFvӼ+٪Gupzː:zߧ+0Ƨ~,ŝiQ9?6ϨHܱ6ާ]0KUzfa#=aWrh j30!(*7/ĩS-|{&>]t] jJR<"+Fmw^0'KvRo+T>?V$G=[jq@|nsRaWe`NFJ,hrt8֡b% uƁ , 4*Iȋ$֍Ge%31nu(̝w,{LH9iforaVwIfإ:>(y~͙e3RwrLU4]&]tiwBg#np[= ְquB,`(u Q.Blh @|p+ˈu3uC̽ptjVP,8W]49pïWarfp{g"iZԆqHk}ۉ6JۏѦ1Zhf 0ڤo _ !-{F5O<%jwg:pTݛJSNL(Pc9>  {UZj(ӋN hbm!;eݑ!]l4fєq˓ShK\B Cc?h3~2XHV::1v)!}Ֆ0=x&~⹕hWwԂ; (Sp=P t|Z!h r-9a2̈ ",l4'hp.9I2lcv~4[ D߀J3fz}bubFӻI\:.2Cv \DRBe5{m ԕf}M8#:{[q)v^Q>VkC*>`&Z2Erd3j55eS3^T`Tj@S(I:c|CC7~^pcW`\8ɧ2 D}e*NBG/8>-/R**n1. f*|&N/:.&@'xn?䒰[֎4#2t;LʟkMi nE^Q+5jR!Z%ZQUd 20Xb#ǹMG U$^]'&tEoqYl&DU~p͎NAsX_6i@8Z/ٵsf> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31553 14835 0 R >> >> /Type /Page >> endobj 14830 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14831 0 obj [14830 0 R 14832 0 R 14836 0 R] endobj 14832 0 obj << /A << /D (unique_78) /S /GoTo >> /Border [0 0 0] /Contents (config_implementation) /M (D:20210608081201-08'00') /Rect [104.1732 324.3077 214.4152 335.3077] /Subtype /Link /Type /Annot >> endobj 14833 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14834 0 obj << /Length 19 >> stream q /Iabc31553 Do Q endstream endobj 14835 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31554 20690 0 R /Gabc31555 20697 0 R >> /Font << /Fabc31556 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14837 0 obj << /Filter /FlateDecode /Length 2811 >> stream xڵnyb/@W=@naS'=A`m\R힎 Y"E>}WerujsVŠ)C~_/OYeI5:_ƦbLsxR(,!d y xeIΪ:@o>r6 ΅ ;hL&iz8VS$ D 2PxxHI<3;-ޞT= ́@)jr⢐Io</ N ?KF&cp`@6TOK9239icԆQ;0k /{@<" p|Y-x1j'$FB?Uup^BxdgJ\8)$6j1wڝ솴BҎi`xk_3# +Ygg^5nV,Mi`a2WL{@7`pr-PkBlv3;Nvau .9:JO%X,Rhmx>_'kC9U;SO5sSZw8yqo'GD8Et(Zݠw7}7<Λ7,;5ÖIvRŹQ;QAXDQ =hE4 n!l|$\ǮP-NXgloևFU7㏷l;(^QMG -ӦS"jb Ht=K/v_Uo=[*3y )T>U'{f<|5_F[v;M䫮ɍmėwYf'q4g-kmJQ#ǪYE$qA#gt- +ʿemzs?JBlP3r_|ͽ= !w ;v zh36\Qc #(vWs7fsT\^3WZ+HK7DJ)n"/I8sCt~i4#O( lxag5+Z}nf67}Rs4% ZdZZevexCjҷӲFL *.uTmw%VYXzmqɦ&(gDM3 PŴi]X  :| SX'rE4G>~f&f7YInnv߮}+5SJZs ԓ),ui1^5YBj$چZzyVP{;QmpttJ΍ͽJ75v:8Hպ$[-8p"s2~(lސ|ԼUαִH?85f $IxBf""8|d9]|NeyB'/9v s[G'&t%'j߳4S@1yɵU,UP4}T;Dp=Ym& %+ObP@Q9/9H}kLԣxHWW!!苠b|v&0.|UAc# //zQYE}ޅ =v :'6fm`]̗[ջ1_ȫ@W \glwa{h enln+PpWtzL0>Q{lm( a%EL{=~%Lg/k!pWq2/ \Bb~YS鹝B^snh?4enJf 6^13q3ڣLeaFAJJ[z=M]֚~.nik=Q, .4-e6gy'uX` t@,XxD@'x="#ƀW Cg͈#GZJ@ 6=0 KѶE$'3qJ7[qGD֐v`FV!9e 2qC KE'F9e ߬ \}2Vb8X#xI]+ۋUv@ݟ(o)cN`|$]·> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31572 14845 0 R >> >> /Type /Page >> endobj 14839 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14840 0 obj [14839 0 R 14841 0 R 14842 0 R 14846 0 R] endobj 14841 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 334.825 122.692 345.825] /Subtype /Link /Type /Annot >> endobj 14842 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 334.825 160.653 345.825] /Subtype /Link /Type /Annot >> endobj 14843 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14844 0 obj << /Length 19 >> stream q /Iabc31572 Do Q endstream endobj 14845 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31573 20690 0 R /Gabc31574 20697 0 R >> /Font << /Fabc31575 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14847 0 obj << /Filter /FlateDecode /Length 4531 >> stream x\I#Wy/@eS7`t;JIw2 *%`AfPˏE-^.N-.Qa?~ǯ˟vj[k>')+˕3J+I䫐N޵~ W T;*3宝u2PuW@z8iۅ%/˿/\}pK([? ;,4|ad͢Fd[Sr..AV s2h)|N2Og'#?|(x3<<Ɗ }"?FYQrW ++dJ*D^m7T:e2003r5:Iͼ`cO"#n69(Vc"8kc?** ȹ# XAd/oCZÂe?w"lJ GiGvL<3{ggTϑ^`G`Zp _r=yAI J6YTU.3ΕSZ\3H7)) |D }k}}?"]x@}owvx{H.>Gve mRdY~X>ơ@qJgf {K O~3M6 twcNVGAQ(&!BGn / :mWVV`sVs_:ҬV}pݖ}UytS>RՍzNV6AuJ\P*kCWwAq2xp3']u? )p^)I%~j#wI}F` [ͷK Z>@^bKlkyy- rpGPTU">Pt:a(*!=q"ؙ6Ng1;1F*Nc'a6.rךn1}|q:4 4 ר-(gċ8]&@juaq͑2/Klla,#۠fDWQB EMBdPxv>:ىU\ʝ,B%wK$v)vؼ_j[S(}ͤ'1xҧ|d<ϝ S秎 j- ked ڢ4#[q ҫz@F=\#ҍb-E]E.x7"pNH;t迼JXW&ZyUDLԚT0 ݮC)f&jːxͰZDLKBKBGTq&a7@T.+JIay=^qq*VO 5 K&7E:|E2 mow,4-pvm$aPjڬdm'F\i`ݖ--UŚ(yk`pߥl9@f1N*ir^!ުn{tGwǘhf/Gca[RSa˩}Onuqk֖R9zJUb 4jNΩڏuȜ> cwλ.Tn^|b2 %p9s;1eV.^Z| ؇Kf湸y>g#vYLA|ePt qcQÐ+S^^h0N(x}ZZY]-ibQk-e/wc"[c+%Q?kvf ^r*CVᩍ=Pba!uQFU*%͇(;|Ǧ]1WW{&`!=5G^\ PjŎ--űf%j`rb EHbܲl֘b C%J*h:nAZ \ĥ#<𔎗zp-α\^l <웟wM| S Œ>]Ked0Jk3Z$vudLi@]շ^!zt.(}Z,)ާ%7NVjZ;$~q9yy?o&k޼*ge**!MUչ$,Wccvէ^3?^Z5٭s",PbbeqZ"ŠD tu Y^ԤRnlSUU=P-k1SRw0|L? *^#+Γ-[1֒'Ϝ? U;7mDo4Z&67+i5=0K /Nb?t޹Bʙ!z3jZIrQWbI܌#Iq1%1 cp pFhXḺr-~,`5 "[s'Ɯ7釙]̜yg7Ti&]ôٟ7c>_ Kn߇u[vA'* ~m_M3ٚ|SlN w̜ ^a&Z2бyL5 ;7TIԔ/N'z  ])x| %_:s6_ " kJK]roEYOP(b_]`S/K{.@9Y&v{7Bݑ>^8Lx+Lp#-IZSZ|oq~x*]qV++ȑ%ZQ<]˒Iq.|r˃#9ц*hm]Wk..|˶ٲb/˘bݠW%MͿBBe sOz臵r,<}R[}O==4ywt4[RӺv`u92s k\3TBy#f >ۡ`w9^z܊A EPͭb/E[cw,k> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31591 14852 0 R >> >> /Type /Page >> endobj 14849 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14850 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14851 0 obj << /Length 19 >> stream q /Iabc31591 Do Q endstream endobj 14852 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31592 20690 0 R /Gabc31593 20697 0 R >> /Font << /Fabc31594 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7Eth۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM1P endstream endobj 14853 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1332) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14854 0 obj << /Filter /FlateDecode /Length 3943 >> stream x[ˎ+ +jam @AV7 3I(U=YRQIRۢ '$uޒ_cM3|5~,JNףT>JyJ.>g-T{7>_ZǿA)BYe/i=-Зi JD햿ANu>2w) >0}6XhNߗmXM,=-(1_ؽI(\p_ 'F FOx_:-RF省ЬܡI"TM\vA%< GƁ P}wqdF4cB%gC4+tZHϖFlPmԺJqy8wY"f_}DŽ"9b(;W:; ٣ ̌3pYG!#"t"1U\ߡ+b$7U6PeΧwkA'_0~tŧ7 ֤Sb*pA!FeUb!LT DX/:"|5kJC᪲$,/\M RdICeSr+_ܷdRF[t!t ŨpK}nYh{\-ڛJ i 0R|)PVY kRPYԗzuaZ3,`mEf>0T?noC7BFWe+jsC4!R/C.C*PՆU1@(`/ ӹpX(ǘɆP_epP,/z&*(AbЉ32CmiC&94@TwQy'QWZ5CȞI2n]'=P^:Pǘ€f@Nm<GuޝA}'Bfq0/ -&:dJQL.#%WXhytlBRn32x^)Xz,#N%wٙAmlMFRWa.ZHz $knd+%wG%^2iSD*Z첮9=t2\j5v9mcX b7VioMEbH̆ ^jfӰl xbځ4,+V'8VX-m]g̯;9¬|4p&l\NR<k>b+7)uXn>Ţםoy|קT1^anZ%f(O@wQ6ٚf_*o:UU,/P1$Ֆ+fZ%gwAb{"Wh_i|q(bx/4PG#U_p.\\ lU-i?(\@r0C\SL(.ZdHiGS[|wd︼/QxnX'UYl]r< R2] +ά̻|V&GsO5lHѿf&}3͟zD? h9R2R?&v_KFIkb!:k:<( 7u.W])T=*N!}ɢ̛LS0~ׇ"k/t%Y4:NC~+m= pU2PCg:L }֪7%U+Gl3@\H6ϦkeV:DsZpwUv)3 y0[W T endstream endobj 14855 0 obj << /Annots 14857 0 R /BleedBox [0 0 612 792] /Contents [14863 0 R 14859 0 R 14860 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31610 14861 0 R >> >> /Type /Page >> endobj 14856 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14857 0 obj [14856 0 R 14858 0 R 14862 0 R] endobj 14858 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20210608081201-08'00') /Rect [104.1732 572.9 206.4347 583.9] /Subtype /Link /Type /Annot >> endobj 14859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14860 0 obj << /Length 19 >> stream q /Iabc31610 Do Q endstream endobj 14861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31611 20690 0 R /Gabc31612 20697 0 R >> /Font << /Fabc31613 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶE endstream endobj 14862 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1333) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14863 0 obj << /Filter /FlateDecode /Length 1778 >> stream xڭXKo72|?C%YzK!'NQK~!wl$AmC?~kWeLEN=>^gU X2eڟՇSP֪'mW蒲|g+Ľ1!4x@I.>Ο?TE8ǝ dB} J;~7~t0&;As)g";G%RNMp45i t0nT_ahj>s&AX̯\.:0(+,sފC ^"wQCdx3煮o)d KݩL nl7]"](+@5I2 7r98(6h߇'5y$BQ]Inn ۬ڔun2Dr),Zwpsi_x9N$)T[ j]YA4tJM2hH!,*Y]./4([lכkȜt,+U>*/'e'd |`G 0-Eۼ$'mcxpyGҶ?M΄,^mv:$crs\n9rI:Z6Ռ+;F` c + <%VvCvISJ|K`q dT%s7\}}C]F5{x%|]Oym$;+Ox` ّ{AúyٸS^֓dv.tm l0p0`8.8?V@U*l ei 98[aCS}Ddc6aZ7+3ltu5дX8Bn&gtE8<]0oA] K ޞpDVl˨ ]':Koc.|z\}7|C~&~hׅmM,Qsgx3D#h_(PLۣy4"ÈYD9Iձ73;nZņ mlt1_^'b^֢hE깝_@éiȘAY2e(Ҙ%0ӆKGorXcFV7n^ Q`u[KeZkV;bnYrӔusl452uf?2u3ڶ3XҸu,aVx3XigqxR Z"̭h;"I47BZY` 6{"]4bw,oD׻ĉhb]JOĭˁ[KOa cn7 b^qhKk: єIi o>m4`#B)KViQ\HzN}Q ݋N2~-=$>y)O۔iX\t{աhߞ31K?ƃ$K=*āځB+1 rqtTXQOnkF4ǔ֗Oxb7;,N5krw 1k\Ɩ氃[]"J7D1vjϵdVp0_Nfn@:au١?LPK?3Z] endstream endobj 14864 0 obj << /Annots 14866 0 R /BleedBox [0 0 612 792] /Contents [14873 0 R 14869 0 R 14870 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31629 14871 0 R >> >> /Type /Page >> endobj 14865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14866 0 obj [14865 0 R 14867 0 R 14868 0 R 14872 0 R] endobj 14867 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 292.225 122.692 303.225] /Subtype /Link /Type /Annot >> endobj 14868 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 292.225 160.653 303.225] /Subtype /Link /Type /Annot >> endobj 14869 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14870 0 obj << /Length 19 >> stream q /Iabc31629 Do Q endstream endobj 14871 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31630 20690 0 R /Gabc31631 20697 0 R >> /Font << /Fabc31632 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 14872 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1334) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14873 0 obj << /Filter /FlateDecode /Length 4156 >> stream x\KoW@+|?cw۽fw& qK~ŇR3U,~C,RKxȯoҴN&$ECȿ4Z~}|պ)&(syLEt{4zW8wY7:cA_~_w8Mz櫃IȿH56gag\0R1aR }hpX 7T4J3\=<: ==g˟d|u}c#{y;?]籾FO~_͘MybHj( ?)_.j@r9 fr  @3}WՕEis*9]4|v2JI@'@SEy2> Q+sN.֧/'bfC(k7Idv:`7OlB] (js$@$Z;%-v2˹$VD"OM-&hn;xFv^H DV4BU~L AS8tX`J6DBo|(*"ՙ8+LE$sY<TkLjޘFc)CX.28 Ш)))ޛ$Xȁm.iPE7Y!b.NӔ> JA<63h n6EpvX*u3\'V.*qǦ{YmVVHrm貼/gaZ*9b$x1epx&'|adMz;.^4;[f.n+ .9]Bɱ -#4(GsEVzN9JP]>?؄ՠ y~nHj84L|4j&nju pfHѠ(hbmؙ]+wc>҇$ *=yh2|R2QpDݹXۏLSyNqC3Sy_m1wN%J9tm:W6=ԮBzcccZiuwОDU[,^A?5q7m*ܻ P5>܏- wkA߉;ko䛐E-lį fz7}mV~ wŐ}m'&4[$VTi4d[\aty;ޤE!z:Zlůɭլ5ɬK>9gbfV(kqa`VII:k@-@ v VQ_ԋ Zb@K "[+YK\p:1#bQ5\\S`%]=#daY^n%_0d'/IRwSxb3R4\*63ίwif I=~[G3 `}6ڟ mo޸DwֈZņf:ixJam,Vr YP,*dv2{ X~[n-,G{G;8p'PskmVmyuu}QC``Gw-=HCnh8:56b  ]b c"J-ndꞜ m-[[ށxļw-n-[|w uF|1 6Z>f.c Ͼ ;--;^n- 76?Z~h%f;1ex-u2q.Fhq 3| N\[.-AJM\Oo kިq|HҲ|=VخaJ,*]Z6 oD!a-8/mu*/n w뉺+mS OC"ğ +!bdcH̕$zǤ3\kY Ak :K"ޘ߂lO|ŝ`-*"b;m۷Tũry%7G\Qχal]kb#r ENbmIR[Eԝr\ H0XL} Hug<89׏fO?ѦsRK$Yӏ^,_jAvq"-7y;=T y:X7i9Rr ]XL񈆞|}l=MC}pwJ,U# MwM]za JGgREG_, \3胸L.sϘsp-b(,ŖRw XNsq[RĠ1hV{Z{f#K$:_zju9vMH7Ok|K5kU RFJS+1梙LE_͡4[{jj6r$`ug]R M3`X uz\ڄ6sᰲQ ~^L WLg+W15Ma-xߗ1ܸip6 *?ض!>g(wdCa<*tҴL 3KyOu`ζn^tN< *,E/Udl endstream endobj 14874 0 obj << /Annots 14876 0 R /BleedBox [0 0 612 792] /Contents [14882 0 R 14878 0 R 14879 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31648 14880 0 R >> >> /Type /Page >> endobj 14875 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14876 0 obj [14875 0 R 14877 0 R 14881 0 R] endobj 14877 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (get_constant_paths ) /M (D:20210608081201-08'00') /Rect [104.1732 183.8424 197.7997 194.8424] /Subtype /Link /Type /Annot >> endobj 14878 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14879 0 obj << /Length 19 >> stream q /Iabc31648 Do Q endstream endobj 14880 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31649 20690 0 R /Gabc31650 20697 0 R >> /Font << /Fabc31651 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7% ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14882 0 obj << /Filter /FlateDecode /Length 3221 >> stream xZIW1/ `$ ''~A0`Cj덤f4~!쮮jmֳl_LI#E>_?4˗6ֆE);kx痯GCR|Q╺7 (Rߠ}BۜU+D] G/? {iA:%j3 Szu!|2\# GR+P*t֧o˟]\7άL>"-#x9M"o]  cGD4#;M`XD)V3mgE_U< ؕBM@5Ĵ}Zq1Y-wqa^`cA]б?!n 9 S)83eSf1saĄ HMJrC(L@(}Xw9MqʽM̸S2fN „xKOX~$~ eɹOְ>wVD6|Xxz^C0,Q텟APlBPToݒ{ ;j+bVv:Yd@࣢vXkXJ n}5CL 2| Sޕ%-β_^\ |OWj47;AvVRDfxǖ/}ua+G֋iU^6p2fS[Qx. TޢhBxS<"6.{Qx}[KkB*%o鬒vn0%'Ey7hN:} ʋ'Y6 uDyK V$K(y'&-)4XX9-?+w &yp 9s(r?]5s]I!~}wKC^+;rzmG*K"$aN`GW)դ d݂^}s:t?[FѯR}bUIW3*2yǤ ` bE_Wh{lTYJtQ Q{iK"j(sʹɉk)m6icV6?(&+HY\ǶJV8p|Q UQQhva\X dGdv<]y3@vƟVԝjzrR!0[[.HK+Y/ f[U%L ?P/6Xr& b]=HVZK9`yV@Q vSR4_7~soe'-6~G2~ezW@<w#؞',~IVw_ȧ!JP` XhJ8P7s$6$9MԸ.tZP8n!+2H_dFW}:l5:j!iz<6@ͥ 4 9$YvLn`4-9nQRZve&ZsM[T3.|2wǍyg&st+BP=@T ;hjFs}߬v˩m+`~.Wg%YlUÒsW'!cv]\5/],}񷍓%q*[ -_~za{tt̼o T֋ul{+r$Pٵh"pk)u N Eif̩&$^⣁^Ԙ:M[ͯL#6pLs 9/4)9!u 1쭡ėVhw}wC_ud?PO=6]ov1{dqz3"s0Hg`Ҙjoc }:dVRńzŎ_g<Ϗ579BeO "Ґpj3hJz"~ BqQ.Ӗa{Ӛ^}zS-Twi=i: 2}^210]ax!ӑ֓)2L)qxP뺃tc fq,u4JU'UJ ri PEFݗY^S4@.n#HkD=')Ϧa}yҭ*LGm&NIUkO0]Qˇ4dĩ!u: N=RײȠު+ę5Y3Kcue@|Cyy$5?@:T2"0+%-0Dϕ{"m#+<̭qWGPĹbDa#N5{%~[:tTZ4l-LU %C~KጵC4=SK|\i)HydzmcTXF&/VLa0{Rz-)Mcf" `bM[x&kl#Hnץ2"w-Ra{~jr'4)eJ/1E)>*+ۧ([SA*y.-X;P\Y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31667 14889 0 R >> >> /Type /Page >> endobj 14884 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14885 0 obj [14884 0 R 14886 0 R 14890 0 R] endobj 14886 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 244.725 122.692 255.725] /Subtype /Link /Type /Annot >> endobj 14887 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14888 0 obj << /Length 19 >> stream q /Iabc31667 Do Q endstream endobj 14889 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31668 20690 0 R /Gabc31669 20697 0 R >> /Font << /Fabc31670 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫ jЎYzrq" 7\H&5UJ8*eAT Ɣ3wҜHNIx5s剢xyRٸs@61 Ej92;0' g{ֈ.nS %(ıAQ7H!6},B>UQ 5\fP=(%NeN9 M \0YDSPQ8F$!ī류N>%RBxRKh8rF;ݛ: endstream endobj 14890 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1336) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14891 0 obj << /Filter /FlateDecode /Length 3964 >> stream x\Io#7W9j hd+@n4`Ne0ߟq,y-U{¥HO&3i9WZyyf ~Z fi:~q5ӗ?ڦ/??it+O<;%OIN8haɴ-n? ^R[O?i #5鿐Ӥ.PgKmN/gMYk)s!j;j >' \%B`t.sNF|'nK <6"nR|$ `""R4\ oP'!`>_ѧO@k2i4$>Cw/ / A#|G6yGe\~ܖMw lـqp#u>#@q ā(#iDRl]u]otg=|~sr_![2||D4:uIhM`+&3rŚz\ȥ/YcM"4=mnMjgI{2vhwL"'e>Ӭԗrf-r5K]#yy_fnuEc}5I2k@ƶ fYu5ap`;Hp<;I;/.E]idɽ0Ff#Vq iE·Ĕ.1_y֪wF!aG,26le>0U}圽 #ң|xakBD۪]o sIuz>@OzRSӆzŸ&y7z!LT;{#V~fOȔMw=-+=/$akQ7pZ}wnER񌭂MK \V!|.'jfjx덥W[4X\Pmw Na֯ryKL&Lu:&9N6s ~EA >P䱖pblo;H؏ŠCk"aGJ7å!#P ފhd-vLoنxl]Cp)xOR/}UGX>E}re-MO0P>%9^Ε~q723^ҋ\zW8=),$z=;f@ X&[XNHDh  k̶D TJvQ3P|g8Sw)PL!UW =5uC3VKph_Pޫv[9ȖOQT֗>w׊R ZAZeܼDA3g\,aɃʊSL%Zjq%N[򕚈^,>KHEi-[gIé}dJM/Rbf꦳UNa+{h{ mZk{t;&jY u:4P&JGJ_rY^DUn!+y dt..ܜؖƃ+ b70զf>}2UCmq𼥼:V}7j>#VC]O n .[W:ؙFAcy l!뻀s$As[HR mWp7a3ɶ"7[Cj5w,Xr}:=[a z(y nQF{JSk[ԭ@M,wq\I.ka*19A~Y3G&DWS:kJ paEkYzCҊ6Z6a&k5͂eR1 mo,\]z1awbW:G4i7֝9fy)\n?I=WDgps~sUrTE]gMGPop!ׇK\IQ ڸc3 :^j}hd7!"QdaAM(X&hP/Q-s h>ִŏF$)LT"WژB%j3j 6Y}z#BFIk[>v'Yq+Pb$֨+n_,)crAwxwX R,IOLf+΋g.٥ĒU/ }wLb&dىܱqc]5v!UUI S5ȫ*37:cdsf[{}E?cyV6XK΋Q̬uY>PA޹v:&|!̕@8nut[ǃVm:une}^V[ﵠ:78h=xu\ v6ѮW}B~^LĽ+cmev[0iOow6Ǝ6X R݄,P?uSnTS>AvC8cwCvv6" Ǻ=EIaaih7P.N |3~wF&.8JB]fxcF& l7-879@e\sHvZ (kܽLʤs7GL;.7iּKO7KW[7ϵt#zuiW{izXږ(>"C\k,FL5C.uirk+Iܕ 34rcSܤi2mc8sGgM6bR9B+CKz+@W:C"Hͫ󳅜e+,0W&(9Uu{0:[ۈq$~MWOjr7XLt O&8:;2+l5UeC3wiji@C(ljq꺙5RJ`DNܤ$%'RZLy5,A`HR{9$)t;pWBP@N*ARV(;z%)nI[̈oJk5j]KDeT$ ++#yr&UaV˥+6 EK{bSћtT*l%H!>FMvY*8N|߬9gys2.b V V6[Gt\ 8+כ;q.*僉`=o5#߮雛K-rbp:3uS V͑ Wpj_!/t >ex1Fr/\R5 80&OXl,Z!4Ϸy崩<*'I5 \61U+o9l;)ߪz0gWO|%7 I( endstream endobj 14892 0 obj << /Annots [14893 0 R 14897 0 R] /BleedBox [0 0 612 792] /Contents [14898 0 R 14894 0 R 14895 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31686 14896 0 R >> >> /Type /Page >> endobj 14893 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14894 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14895 0 obj << /Length 19 >> stream q /Iabc31686 Do Q endstream endobj 14896 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31687 20690 0 R /Gabc31688 20697 0 R >> /Font << /Fabc31689 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7eUthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bbG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Q endstream endobj 14897 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1337) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14898 0 obj << /Filter /FlateDecode /Length 3893 >> stream x\K$WPJAU}mػv =BʬW`RөLe()./zQdoߗzO/-[*o.Z/WmJ]%oGTxY "N/{3sA蔊g;U2lTr8?;q~Q)tr=лYx3AzgS#єpeIpp"\hye1꘧+ܑӄ1X_VяvP0PzGΐ0GR}YC]R;|#syy辿LqA&xpP.+/4 IUJ8(&iʼn@_D-/l/tB"b,KIӃd]þ3&.\C[\\|*X Z6݅|tbm>Xj]+Sw#y2|iUr,ILbrg.2uY`:qC|X^̋F Nh1(9PH2EQBl4zDZiU9<񐤺{L:wK0i9es^6GPe<Љ; ݲ0+g7X&64O->1/˥ ZvvrB7K[F?~tA p7p>GX䯭ƟQvY X(WN7)"k868,FvPrP\/de:8:f׶4EҌCʖJpa1dNyqn=̽`Onm0!NhW2h;{F,s1O~zW}0a8z7+S!0^̭^2NgDAh Wab8c M7 |ty))nM>wYbO$Ş)wzb{8g5<^եPpk`vO9_?vKh˝4NneGSS~ym?LDڼv7eviji2P~!&#?O t_s\j"k6#ί>* :};c^L4 1m?Au$Bg fBjj,K&b OZb5$Qiu:uŖa9y13|yԉ['=Ti:<Ɖ7%k8$0PPn #ڃO/B}۔&hg[2cj޵x*0ӦYX/HL2i" ]l0*]! "#]$8 qA3,&âf(|W0s`+c+JlαcKMO3ECw=%x|}JkїD`l?.kҪC˛8Cc مYK*ʵDI#+ACRPfRıSP 2 ZEi_TF΍oYR{qJ|0l>?ecwi3R46ݩ=>;\M$^79k6)7LۺO f/-[&ױdʵ}Yo|+Kp;1/9:sifMߦZ1ߦ똰 xɦ;f:g~hyz z1'L.:6LJ8RN&1G?},} unsz2[cx_{.p!$#`H],@@w]4s`Ƽ~[fUO__S&y)߆<1zϗ@dL۶(HuƯkʟu`yVb|us[ϥr~Xn6Qӭ.sA>C,!.j + endstream endobj 14899 0 obj << /Annots [14900 0 R 14904 0 R] /BleedBox [0 0 612 792] /Contents [14905 0 R 14901 0 R 14902 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31705 14903 0 R >> >> /Type /Page >> endobj 14900 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14901 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14902 0 obj << /Length 19 >> stream q /Iabc31705 Do Q endstream endobj 14903 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31706 20690 0 R /Gabc31707 20697 0 R >> /Font << /Fabc31708 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Z'}/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 14904 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1338) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14905 0 obj << /Filter /FlateDecode /Length 1668 >> stream xXn7+x64wvh `A .Z3";HtW*v G}b`Kc*Od >: ލdO_e}xz# ׍=d 011獝,# s\# Tt"e p'-28*QsN &ac&! 7i sPbxíY@*1L)cSUl@g]M3'1*M&bQ(tu;T +XW{|{Ɣ!M'C\=jn^gkp%1Tƾ'tm]vbJնʈYgC}g==&o{=rE>d(uqUڏUr[;m /8Cq>MDmd%,LIC̊m@QϘ5i/Lםa!S|at>ŵc^Gx-J(5v7p#JT]bƈ wWoQ.98::cL&VEx3ĐŢ)?/18$Yϗ2蠥?E"#S`s.%?M#hfE50o5:,fJUи-2ڲaw%b:;P>6p͜8Y=xs:3 $Ye81+͒}P]h[,ɿ#km*\X <}B5h~c\$`g};1켜)Nlut |+r1+3[elxԺhzg,/ӃX\eT9^bV˔o/XZYƒ71sGb\.BD_Miҫ*$yB]^S9%SK^6R:%ȕ&{z uU=h,Wg|*IfԱ~D)*al7Q([.斻ɽl/󖝴e4aFp͹=сF| 'tȳ b+ۆsL:M炥`+R{o} endstream endobj 14906 0 obj << /Annots 14908 0 R /BleedBox [0 0 612 792] /Contents [14915 0 R 14911 0 R 14912 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31724 14913 0 R >> >> /Type /Page >> endobj 14907 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14908 0 obj [14907 0 R 14909 0 R 14910 0 R 14914 0 R] endobj 14909 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 282.225 122.692 293.225] /Subtype /Link /Type /Annot >> endobj 14910 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 282.225 160.653 293.225] /Subtype /Link /Type /Annot >> endobj 14911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14912 0 obj << /Length 19 >> stream q /Iabc31724 Do Q endstream endobj 14913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31725 20690 0 R /Gabc31726 20697 0 R >> /Font << /Fabc31727 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nRЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM$N endstream endobj 14914 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1339) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14915 0 obj << /Filter /FlateDecode /Length 4277 >> stream xˎ#ίu& 0Ҍ 99mbN݋?#iٜ쵦lXoլMfoT Viw<'kϿ]M_?h㴳|.w;[£$uST|UkݾW`}1}ezHN_}1rpo?M_&=%#=kg\5K4_VvC`Ncn2#\%LamG3/>Ddӄe(|OV<#y^ "vz# ||yzz9PAPM amVpyY/DS}*O 0pxק̃c}XiWDa 2 lA&W#Zź2!\̑C&\4sHng @7iDS jC6Mؠ\~9 ωV,x%z8QʤzັEQz L+ǴN%L'NM> + P GGmDؐ q6\"}I`6poy*2`FJɊ |Kз83C9+ls⹝竲f)yѨUt)X;10uXSU acuʐp ̧+9 Jjlʕ+@t?Rjj3ZY@Fz"Ѽԏ(`גͷ ɭIJSI0i?Hij@Y[J3UP4?@i^iz$_Wg jRi*0|67jvFzNY/ ٻӱ,nŭ qd{v9*˿n)x=բ?P>W^X_ؽs6vl;v6.(YIȤB;֍sq#V"j]ZO4M^rnͥtM}txe#=ﳺz~YlE\V/͇VFvn:r3=»_y uuJ+L *|AS_ td{eh<:}G(4qP7k@=Fv#a\Zn(3baVm6x]C^u+O7ӟj&){Uw*Aluf_dU3[ݠ#κt?zK!?zq?uYmDt&L_bK÷M5|pu_s)#4|[{ξ6$ ׭f:T}=0h9XБU H3%$ ,4>Ɲh5lEf*Z!]tC^]fEe1dp^[o+v]E9L&ݜ?*uhPlr=|Lc+mܓy3G;X `6Qe`K61 ]3DkUє"+gIicQ#d5B;!m<\ C^0m~0ӽd^=B?{ƾva0,`. i KV~"bE*z]yTjS}Bpv1kaI'0h5yˈU%/cuTUAEw$+ɢ_B#;ouv68-ƎȩEf#rraZi %5(5;$m7A3ڄ=դ0!ۛ(U|+6+WuL2+T<4jԣN^ 9URYP?GLV@R Ӌ~!&۱%zثE:a4[ͧxp0W sK\d:U&4e Aō6dRNİߜ=MsJM^_r˪;,LUSmIdjltys>͟0t&%X^iרC}t㡝gue=\RI,;%*I`,C'#d1h`YmfRyP% !pa`bR,f  bXbfj5ae<8KF>2%G,ݛ@آi.nP uw>vB;4} `sGg~=dd7"Q`*PWț5 ƒ"_>uKno|H*>`&Z2Q9rtK j55e]3# :Z:8>YKq+DYS?/8w ;yYf=]cuO R*%&M dd*|!N':. aFEJ. lK@֔_[ޭ`TJ ZCY趖}ɥVTu\HM,͟*7!T#U$n]'&tEgqElaDԧ\F@wX/MZ9-n邲{ ̺>/0kC_]U.QK$i>]}_J8?+ Y?P>0 œiXWNį0dQB> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31743 14920 0 R >> >> /Type /Page >> endobj 14917 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14918 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14919 0 obj << /Length 19 >> stream q /Iabc31743 Do Q endstream endobj 14920 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31744 20690 0 R /Gabc31745 20697 0 R >> /Font << /Fabc31746 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14922 0 obj << /Filter /FlateDecode /Length 4331 >> stream xˊ$ȳz+ECWWoc|0>kLa沿x蝪.2S[ ^>Y=8l oIqz_fƯR]:/ߏRjDvo$ᆔKz-aQOA H_,/wy{z3D~33kyZhfmA"dBy'Q{16X]j椷׮lW7LAq:J'~ZI|#_z Bl0P%mD$BJ{av(\-=4IV v5@@zveC(ޝg8>)VTYuM'x }oOFo%a~&Q#~39>άA!,NaCog3HB|k_{J}G;i^v\Z=c-xЗ[3D*Һ ٭kXJ|HR\g24r*.Ɓ'Y*P; $m`8SQ,Pn<'6'2#"(U kBЧ=ˀ=W,,kfǑqBWoQh7'f3c`! }o~p+W^wr86Pw0j}s7@s1YP#vYF 8j$b}u$ %~' B{I%W`3bMզGJcc}fWN#ō#d{ԉc/#s9LQ93`SiULg;oU;aw9[|5pr8lBT6RXqڀ%Qы]sq2aV. rdW_Lj (R0٢mc`D! C262.xvFB+qmfN$Ec!Ķ7Q8;p,@?TX 0Q'ə# bJ0 .(](?LqR.QIb0nW7{g(:_hDjm|1%5:=՜&j2V9%{w. c|'EٕmsFE*j0_GWwܙJ005;E$egKQAP'K!DOE'^uQt5 #劫IMe妩Vr6sۖ7\IIne+Q@^׭{9߲Z7t 5{Sq,#nMxt21+KsێxZS=I&f6QC1!v pZH k۱.LoPPhC!6B/T*iz)0VkjfcKD5{'ʱ7bkQ#A9Zt5ZEJE)K1y=Sv(?$Jv5}x8$B?O,OwK5ִD<؅JܪBݑ EMVceve;q4FoF߹Ns7}JPsH("›"?ի2M;-k/m}۴H F'Skmmֿ/Xk$+08{8Rd,րr Y-5Z/ R9VC !]4t2&hى ;֬N"u CqRc"auhv95g ]qcܨ{Qy>Kb@0}"ݾ]F1YsUm-t` "eB{}/Fu%ڔb|t[OzF&^ӳhWm-[oN Z+^CNJZLSsSޭtmFr2;)NZ } ic_WB>k&%ep zI;]]Pk_N&dŎvM X+,^7BI{ګ@qd;+ژ$Ҵla`ڝܶp TTǴB>ۇcB-Y;nls8js_^HQ9d% orbUlT֎L ni'.1 6ڽFNZnQja`w8[jW2/VrZ*-As0}0hE‘1Q"W;N)26⊱ `2>>쓷y^*B!z̺@Jcn#Y:V(?7N8i*@T ̚~e%m|F|qRA/Ams X֪N}y^kYwV!}S+폫R{akpߥOrЯNل9}8n%"5ivNQ~L {|m3ȳ]ow|imHgK^;el쪿bRmbE!Pn@r@,eȪ XWϧZʻ3n`i'ZS'mU=ȋK7텩i*U]є^'Ƈۦ Mj+y"%n#F5΂Є{"(fF*NݪMEՎ;Tr'Ӧo+oʺ:_l뙆<gYjQ~ϸx.ܕZvr6b,&ikı@dJR#| lZ }^5˝kwtg/r kfdӴl)U=mhQ׫-ϤA̼F6=46R0Dk@s 7ZZ6/z]Z|V9 QcU1,wLX3t5-&k# L:f"{>!IMB#f6N7k;BӌPR0RƙmOp=rr7&5g9kƙl捲.^ Geo .[( {"<8w6=ioЯ|CU*W|]FUn iS7n3|$#]2[skkVE#+das8bLk ~u-2h+z( V  ;_]=ݘ;ň(.r;}ȑCpbCS ބvq<ʃaD\5c=fN P Vb @jҼk`3 )$E*@zš r ?bVXl ֙a5wDb0GT+ͷ@\#kީ;_ LTvr7g-7O<*|lK X"r@Ţ-K>t֚|O7H!!Õr!cGbmb=VI[< +傧Cz";Nޮ'T=$&$@mc.%7|*ٍUؔx 2/_k!N:WTzO)$0wSmdŘO 7Ȋϐ9RH-#Mc t/t],-i]1{4_Gc_R 3s.$#` @dV2倌~ |^ fO ['"頭"]|;O'cq  nsҝ2ݣg,X:FNN2TdL,B&|I8Ĺ,C8@epk endstream endobj 14923 0 obj << /Annots 14925 0 R /BleedBox [0 0 612 792] /Contents [14931 0 R 14927 0 R 14928 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31762 14929 0 R >> >> /Type /Page >> endobj 14924 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14925 0 obj [14924 0 R 14926 0 R 14930 0 R] endobj 14926 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 202.7501 149.6802 213.7501] /Subtype /Link /Type /Annot >> endobj 14927 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14928 0 obj << /Length 19 >> stream q /Iabc31762 Do Q endstream endobj 14929 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31763 20690 0 R /Gabc31764 20697 0 R >> /Font << /Fabc31765 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14931 0 obj << /Filter /FlateDecode /Length 3723 >> stream x[K# W輀+z?@n/4lعIQ=; *ER||oRK+f#?ɤ*ΟniKֆE)+Zף!)u3Jޔ6/ n+RR06'@2Bq6'IOK46g'yuF#|ZτUp\@ai4/$qqeD $8G% ҹL `ʕW=3 %&`: UYn* 0*\*}F^U>GFLJ A\^$01ϊբ)PߑNÞG84W`1؛U`XqʅlQ˃ix|:^͸Ǣ"XMw3*5K3NnIŊ דڰⵛw7X\@AŪl풵\cDWowymK뾉@\(󌑄&DžijuD&|.I O\@+P;ެ9l(&T_웎Vl6qYM|OG9-ѧwtp.>nѦR5vNcmIR MhW^tXfwM7.r }DWo `Dcdʺ=$ 8v25$^b$UƜ2gk>L )H6ns. \GFegUkRO6'聓0X)l"!I KZyuAsÄ? 68@* hȃ[DRvL5S{3p Z7mj ]B[`Հ#:(,IA1"2ƞeCazk0)զRŤ\PHrǀovL?qz9bsFE7L5dݠf4`8{d:i]%u bN=gv|sliO*@CD}%38Tj)".pET;p̓5HIX{8~eŰh#l46 blޤ"}W;piF˺c诮҂">\掗tZ";\%ⴞdz)y[&RlP%KA@8;: 4'qcߔzc^Q5UhqHHx-.#Vd!SWSblLBDEy5)%>9Ħ&X79fTg@ R3ɶ8`-*ϸl1ҚYM X$sp݂g[Ӑ).ҀB޻⽃*q:6XtxXؔLv{R7*E-nj`1]^v25nk ik5=I7.aXWEBGCI}2 ), lvяϋ8z]v@:OceQ*{Ӧ( Q$~\˸ ?I9ZO õ5=ms)MD3}G}SN(43 2wfPwK=1S˘8Δy\t:: #Su[nLn|7ԟGj;lxj_je'ou'k}8;i:se\CVm~^zO6b=t'z[o n+ᥩ[$})5QBF-JtݤivUO9l⻈|Kzk<~Iǯޗ?_q Mn&^$hcIlx#XxPu'%cdcHҺOb.àcWǖF-x{W,Բ7ggޣ^4$# mZ5i۸7В ?ao~g5~_ Ot)$s%quC W'ٿ, ^ߙƯ 2_x>J 'W(cb:O&-k^;XwYӭtZиm~V09NIWۃ^p;~z:<%*1FuwnT9lQ0`ua=Y,EQC00U`.dXD c1Es-e\[rJ{%BϺe{qGsհ&*JP%s7JF,o׏FF ,V`0 2&ձ+sv_\s~`E}N]nN浴5/͋ViZC{͝붪T\JA@sX(e|2\)=BuJQ hR |^Y;,[`zjEP_~4CT/^%]ysrAEG/h<ƫ$qB=I4k "Vt淂Tɖ}h11dGOVh( '~nL śfe d\LD~_ RJ&IOsCH "镅JqQ0.b˹|ļX3/2K Z/%ߥv>rOŘh #%n2 -t%"Ӌ' i#i*  ZvY"ܮD+8 r{b1LLeD.b{ihn5\2*,K`ۅ&.ў$*t'37F#Gf;u=KabԵl53h늸q&O2M-8k} gD6,$6+&x 3P_kT@`yi\{]Xa?{mW"B{[?_0b/E7/]ekQ?Xla]thA endstream endobj 14932 0 obj << /Annots 14934 0 R /BleedBox [0 0 612 792] /Contents [14941 0 R 14937 0 R 14938 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31781 14939 0 R >> >> /Type /Page >> endobj 14933 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14934 0 obj [14933 0 R 14935 0 R 14936 0 R 14940 0 R] endobj 14935 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 377.425 122.692 388.425] /Subtype /Link /Type /Annot >> endobj 14936 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [128.005 377.425 160.114 388.425] /Subtype /Link /Type /Annot >> endobj 14937 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14938 0 obj << /Length 19 >> stream q /Iabc31781 Do Q endstream endobj 14939 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31782 20690 0 R /Gabc31783 20697 0 R >> /Font << /Fabc31784 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14941 0 obj << /Filter /FlateDecode /Length 4224 >> stream xڵ>?X\\6kZm.քd@xzRY\1vA O@'˛6/K@\<}Yр6&]fT"in=^A7oq@ Zl-eP~)>K_q{~/(?M2(I܅q.x~cn.q ! >$AW"!8P2X7}eL7P@M>~O=c}VV6lmr^YaƆxʁSͿ'ּ6NVP&᳆tcZ,1jtޭnw i WEgذߝܹaH\ Pų:.xq,ţ>~Xղ-ԍk>cA>ݙHuIϊ+;E3.A( fc@s5GNGҁ8t4b:&V @򚭇 |j۸يg g *I-`b"5)>ׯy.5D48N~|1U/ y:HD59ו̕+ҵ`&? Zvd XS7y /J/d01YbNB}56_vts, `VyڟpkVT$`E4CvfwXjp0ՙHS;BvUaNP  p ;+*a͔}jYJ/Ũ^;0Ϩo ]%{( ]GUvWN{Tsbe`?ٚ\ڽ$m@AJ<]G.>t{bi)!--HO5Y9:5J|y5uf6K!H 7(GQgF,UGsKse4⪳9yUA\"~`~w3xDTnfЎx胚IarRmdz?E'mO\';!Cˊmhaܚl6u*}[E&vo-@gv+'D>gk5̞ O)@EF/M{J@ۗq𾅼EE՞_!j+jav}X]zЮ?:l/r"K2'"~3'fɥ6Ks5nu4FA ¤0}&`!ϩKtfԩ&I~-ay!Խq7!vónP[U6ؠ'R+POS* R";dDT, e`7  89Lŋe["nqB}Qv,yIN{?D"XHߣ`L3qBjKY,м[1X@uy^Ӷ~V#_ݺ <^-Q<>@Gvh^/R?lHYYs$^hO )~9D((.sƭ6ŋE Cut+@i#Tr႖ XTb6R Ro~o*= %+ichP5ZS17JXɂƁ`GUiMKҋ,11^SEU I%J7>BZƽ}{!n7 z`ouم0nV ّ:f5vC\3tO O\ޜzܞ<^ONM6j@r@ik!ɉ@wV T'qZ ,mpZ'#C <=#2 +͔:ݱdi^IDَY;q :%#mmK\00{T\N A"Tq ٮcUks˪6~ngGγ'5Uvt_{W˵:t5,uK#ѿ+&93;']ʧ1{*}`guM}~砭96JXȗ&]#r#J!l0֪aȲ>8>L4sml?sl3drTJ>΂r|\"Rh/yxb-nHN^Z'ED㚃m1WDoV,rv29jOg2"^̨6 rHDK :>U o KtkYS?p gW^=<čZ#>ȹ qfI ě$mzߊc"b, x)V}@-%P[-%SW\_ACkYj5'ަXL p;+eδ,L/ g"u҃- >]=тJ%ܐ\ /irWnvAf) ?\ LL>Y@ }ۀ(qӑ)v.Dvvb{&lKp&Y`3m/f̠ ܆}.61#rOl/I,B 7*UBFН_eG R(l]` <7=c9ص:mG/rj0p !@cG.K .u{kEraEIQ4%7NVfIqLũ %QE,؎IbWԸCpR wՃ>J_Rwm[;ҌY{ڈo=קG7dAYovTv]-FSud~e62afiNĹ2G j&;N7kӃ!q/7ֹ9rro:Ԫ8Qu#D ݣr\ܨ`CfWsQqPZuhM=JcoEI!tzqjs|ǾjYxÚU0 ^`"d΁ Og3| z ж#~$pdL"{,X,$ gqȯË3'=z ՃT[ߒ~;?VA'Vl}=D&N> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31800 14948 0 R >> >> /Type /Page >> endobj 14943 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14944 0 obj [14943 0 R 14945 0 R 14949 0 R] endobj 14945 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 373.15 195.2587 384.15] /Subtype /Link /Type /Annot >> endobj 14946 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14947 0 obj << /Length 19 >> stream q /Iabc31800 Do Q endstream endobj 14948 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31801 20690 0 R /Gabc31802 20697 0 R >> /Font << /Fabc31803 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻Aχv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>U]Ѿ 5Ժ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3ȚCC30y8e8bwr63pΘ)U+z2X4/S(RevgPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14950 0 obj << /Filter /FlateDecode /Length 2592 >> stream xڭZIo$Wl*р-m99)`t۸TMAOY[ѣh YӏϺY. y]>[fקYdl*;cnw5_={yD7׳zWcp?O@nyִ0kvVhY`oxɰ#Lw$8>qjBڞ?_C^Svxq;x ŘqQpEx, p1Q9wڔ;rL, gU&j.~<ТI><]:)>=k w,g1;h&,`55dْr{'شkFT׭:i4⎘!"3#^=) ٢S}TTC rOӻV&l<ǹ\Ϫ)Iξvf t\ƀ~Jck8ZV[1!?ǭF%pZIx+nrMa]Z'%9ս}M Acqů 3透X04p rk9%5Uxe_aawD5DnF90 + p?k&j t(v=SBi uuѬݦ lGBHi3^ufj$v ˴WE3G2VFwmYлX ##۩1Ɇ8)L_~-i8EC%X^" ŭ!3RN0 ZQ7[Fb̩a_H0SɪpXNx̐#HpCQAJ[8 R@e+nKG[$[#42 eisw. An@̒uū dv;+2B{ Oz xTp4."տ6'Z f8@6==hh-4x$MtoDeL`l'yfdj>Os[Zn?gc{ x;&BG3(('IQN8| ]3&laGM%S Ha%vLaƶH(ĺx1[FjLJvo#AT6+@F*@$Ϳ 1\}`ɭ]25fiգt^e͵x\tS-WF΁9d*y ^\0h> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31819 14955 0 R >> >> /Type /Page >> endobj 14952 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14954 0 obj << /Length 19 >> stream q /Iabc31819 Do Q endstream endobj 14955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31820 20690 0 R /Gabc31821 20697 0 R >> /Font << /Fabc31822 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEu櫶`ѼΡDHI]@ U8Ρ\j|=B/} Z endstream endobj 14956 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1344) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14957 0 obj << /Filter /FlateDecode /Length 4509 >> stream x=ˎ9w~ (d&`(sɻ=|)f)eFY_ ƋA2?NVſ~Lrk"H_\ W>4~'% e:TNُ,\,\k\ʜ.9k%!sSKSk/oeɾ~x YNV?Pg.y]JLBK[u/bo`\$<:9a NkHN 1_">GR Pf_5V@x#rC pJ@IižHi(ΗEMfoQq)8楘o%AqDM`V ,0g|2!}BSF֋OYHu.#g) :NqH z2.՞/@X E=րɢ՛[뺎x̥2 p=ůalHI6pOZI> X( :בg{P<_Ǿ+錒i+KW/Mx$ =*&h0}?D{Ti0Z )!*4O)5#`s}aZ{d&Ao" V:PZ+$j5ndڱJD/sme@2ހmhx 1wNs2O`*%]0oHql?=X! (J#=du"Gi:Ig|p#z DJ;)MxdDO?c gǠ&2 Ru/Ӣ,= g9I dKҡUo 7H-bŃ<$'LK,9G~(:5MH :zYH n~vX1ˠSo s k$BZ;3=/|u=|? wpN\QOpm9[!pM?=z6N[dxڈɼEdp=<βD]-GcO qP;#OK SV<^]4BH]p YGxwΏ?zU/]Zc)WVn|}MIja=?('wzU\#_2/]-JITr51hY%w luZmN"^;%;f_ 8uE 0)UIK>+/4+dZƹrCk5%ziR[RHzGu =A;Fzl\ljv]baJ2"fD(١FjԓF eMO+r q(C!`zVI!=ףW׊ #r79Ў~&)9Ҕ܉iJ4%wc~#MI2:!c?Ɂd$S#8{Z»gOKxCp_l}-8l؂|Vɶ(IaǬI5|#5|Cp#mH8{F»> {N»gGHxMpw"uXs+;x_̻57;FPQ]MH-"1҄ f~k@d:r2!*'@K^ I >:U᧥j %J7w&@}NJQ!LӊX ^s~kɦj 3<v[)b Jm 'rC#c9Ô+ f!փ9y9^wKη{k/uCGy-n^ڷdP){ϗqB2$?_p{ LE-?m- endstream endobj 14958 0 obj << /Annots 14960 0 R /BleedBox [0 0 612 792] /Contents [14967 0 R 14963 0 R 14964 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31838 14965 0 R >> >> /Type /Page >> endobj 14959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14960 0 obj [14959 0 R 14961 0 R 14962 0 R 14966 0 R] endobj 14961 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 367.325 122.692 378.325] /Subtype /Link /Type /Annot >> endobj 14962 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 367.325 160.653 378.325] /Subtype /Link /Type /Annot >> endobj 14963 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14964 0 obj << /Length 19 >> stream q /Iabc31838 Do Q endstream endobj 14965 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31839 20690 0 R /Gabc31840 20697 0 R >> /Font << /Fabc31841 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪH+!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 14966 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1345) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14967 0 obj << /Filter /FlateDecode /Length 5212 >> stream x=ˎ#w~E ((PRm|0|z.AIIzg xzd0o"\~_rX^\,|2Vm 7̊m*@TWi8\jgl>Za9blԳP m>MfGo*dzZjal:nzif|R͝MqVۊ{Ḻq{PQ٣L=.L3W' " ⟦x_P䩊?,?%@W -\XFk Jp5÷]񥘕?~P4 zi,VpK:Ʋ |+8-#^ـ9SK( UW/Oa%|w'o\3#<:~cYX5L89 i\$$BTR's2R0rxNoDTҳs3 lW0++H7C1t6z꘍;32UR&NM8\|%rΓէߖr -QǒЭҕd,HBk\o+T<L&AQ)/|07-_Y=Eqf֚4VVetؖl\BAs`JnfJy6jeضSYvfLm$iG A.%P~Z H!,#йɍ]u|5O> E1piqg53y||Mh(mFN5--J ӵ\KM^}Ay$i$10RH^2lb.v6Hfd\͍Jkޥj+[Xg;xF'A]A,ompElti G`DF3776lo=2\@/I.ukb/f4oثؿG)޵g@ #9,%UK(9֩.9V{] 'o 'e=5I7"T[CFf&62ݩfŽ]?2CrP˷G1YX?whb?-p#papCE!~8"yqP"/]'H@[+xHS8Iz=]Z0D@NI{hi LjiXLrB"]!5MX!9nC|Kl݈(cYT/N}WkW%jR0m*Qu>Yvi9\c`iAaCZ.R v^Nnv8?0}b:IUT邕+bZTMǰk<uʞ"H_kEkeRW.$C;7PiW,U2~[gԮm3"L$+u bKz n.Y̌Ο$-UԡзLY%ki\|!dmn?Lj%ٌ3Hͦ[wݤfz?ٝR}lTFZ+ڈeC\ RXf&]fSZ7CaǮ:liX7@Fc=l4aGL zR ï݌ i!~[6dVy i 6mrd{Nnvܟ#l{{gg 1o6>g.$aOCHe?yk#P{JlP'6{$Ԟm.- {r E=Ξ%e2y9gyEoi{7~S%k]-e{L R >_I0s:rbf8Pj׵W&03'Ey0x<`&oWؓCM^rZXPS 1)asiy=m_JqX ^гH9ʛ1.lpE^r0%:F)9wɞS9*8`1 T]L-#U $`÷E'ͺw2bA_9ȰZ+īSU+׈j4>aqϖjJ!|lgסp9K;oNtiؽ &VoLo= {`82vzLG"x,a3t&ř IDdRl\ TUKAX88H+F"0A!g< 4OR+3Oy3a2"P3שc#fiqt*<~.Eurbu?s!b4D!hRxRO %v2N0-Bi+eX'yg+,rFcv$ŤS$x)2gH3B/ PRNg2cVU+8C@~Ɇz4L"DHQe[H#[ؗPl2v,6UZ_bљa:iD"eȹtem@o@[hzi}xO%!A$eF,- X:cϳW]Yy,ckc.#/ǐ(iEv]6("B;'{lcVu7r#]!뜝tA!"3mHx(, 5@:l~8qt zOyӞ6.vhm%@&65gΡȒ#Y㖷n4 IV,%_Ӹ91ҫ RhP"v9(E{1%&'a=UGcv=r QMT!y~,ǃumn5˻ԇc x#ªq6Q4 BImY$f6*˞s*'ޭx`w#]57h0Pbia*\`cCa9(;Uy`o^JR[%*Wn5#ؘm#v †ƭNގb wC*@|bQ,D].aRU@6,Ym'4>J+;rE$JWF˪VZT Mֈ̖;)cG@Z{9(/Z;^Kcmõӟ1)ʳӘ"7K\euvFˎVhቸhb>>!d ~*¤ř;CeRaYiW_8ʜY9Y RV>K xy6Nn_E - ehVT/1"/X] ټ>FTCR0\O7&S+\~)[ZA.fu@5jFi)<0Ub8.v\Rf^1.)NkA&.5ojM[ƅen+__STιaاZ.WU]oh7ctAZzdPVG*\\ƶ}7!%H ǜm1_vcg#'Ox;JmXEX Gt9LmVXZ7@K&|8 &rֲ]Ab52qޒxB&Žv79,jsP/x `YDo?Df bR@r.CNﴁRz0Hqd/GԺ;'a `jkn5kk*%6HT$\'w*c{N)x-*kKoJU|O__Q\UG^Ǧ_ӏCO9!.!1~".ָt^o/)u)4d*Oށqk?4=MnYx!nnhp&RJ4s9qVě#||}z/T~͗{Ϗs&~ N|2H}o-( ʄs7׻^RU 3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31857 14972 0 R >> >> /Type /Page >> endobj 14969 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14970 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14971 0 obj << /Length 19 >> stream q /Iabc31857 Do Q endstream endobj 14972 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31858 20690 0 R /Gabc31859 20697 0 R >> /Font << /Fabc31860 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪH^|v,Os(R.*x P@|۾{w@ endstream endobj 14973 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1346) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14974 0 obj << /Filter /FlateDecode /Length 3775 >> stream x[I+ ׯsk_Ah;f`&`^BX[wK#<;N0#zeR;GzAz8$R,RC,슮fkFP(߲YF1 =Tm<ްJ21/"W3rgNR3оQ_xT٬K.' }*SΘ2+KRC] ,lá#xZ1pR,ȶtnFrNrW?vgT-HUԋjɪo fL_₏:mH!#9X]r?syt|chf ȡ+ќcvmb]DGlXUePQRzT٧VuaR) ViܤT#e̜P#Z]c5, ۲ToAU ~eHp4r=C\ -3js\45 m͘ͲҐӺXqĈd;ܳ |_:<'Oz5mhDWL:أAnh6y 3BDxHbU@`Mb6Y+s0p*՝ԓjYp eμ³OYꝝ i1wY֊٬+fL/D6e`Gd ()Y?C ~ݏ\i&R) _FqTiRr{k`,ڡܕ]]46NJ&vؒ0}!74Ī^soJl3U¢ [h嘚׸|Y9BLnbo-ݥFiĶXCIܛݠ*p3ex RmO"(eyUXaD haݲ8U"@ąd-8 ҚdEQTƥ-OOv>*̲C#̂Peo0'Hi]WtiS#bW'ݹpmwAS[ U* giu5vZkӧ{Eb=}I |mTȘٯI QA)(`<\{cZW]؈ͫB+;C3Dk?YKm6Mxi;EobBm#4LrZͥodo`u*ًlB;[H~цyl&k◢hp6kjͣӶIC@cQ2y,;L@ʠ;5س4M"! [ڕv^H (^Ӄ.w+Otmmv8;leti]&nk~P#LL}[D]U65'lQ[AF%֥>ckT6wnLmAEJ]nVW~ĥܦgܔmP]PjhN nw٣UpJT7IOQ3QWEWn"xMQnqǖzD e NR3ԽZrK~4$J CmG|!F~+$6Me2{H)?_nߖf)_7R hvveh?VX!h+Vw(?sb_TDf˫(윝vsm r _AnzMc˟_&3pل=8( x_Y{_6K9dv;" qgzd`sҳ'fMߧ)0f JZi7{D]n^=3OzDn1y.tT3{xgs~E&N×YL 5Rg(HtggmS":%0LQ44 6 [gqy>0>)ZA` (f-{CsQ|tMfrM8]C+J!##9M߂zGGʯԓu8Vw7sc62sĩ炖+YMۻ"%NHRt7s1AOő6zsGZ24 >W)/Dw8u(HPYןNE&x˨oY'GsM5l#H!4l*{5=z'o? ~0Gc.E}:8T>t4+)cH&MM @duxu+W2%BakVp;i^e6uEh0kOt^LJ%Z[:1h<~=q"iK X P/̌M&G̱|x=߅?^# >j$.GLw4 '6Һ~(U-cKwd+FlU)|<Tq+aTl *I"_r%d<] lʾ&2? v" endstream endobj 14975 0 obj << /Annots [14976 0 R 14980 0 R] /BleedBox [0 0 612 792] /Contents [14981 0 R 14977 0 R 14978 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31876 14979 0 R >> >> /Type /Page >> endobj 14976 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14977 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14978 0 obj << /Length 19 >> stream q /Iabc31876 Do Q endstream endobj 14979 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31877 20690 0 R /Gabc31878 20697 0 R >> /Font << /Fabc31879 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14981 0 obj << /Filter /FlateDecode /Length 4295 >> stream x\KWZ@h AOHUd{&-"U_=I N^|]=8D$7qy[~z5RۯUW)\tgr 2IJT/e/x.=W򅮕I2z~awgB)iꯁYAu6XfGْ!j!y'F̓)MjR^!sҊ' NYJ"7(E<[fۆm7rfM:? yMJ@@ `ԚbHQ0ˆ"P-&{]l)pfunLespȘ)3<9+vdFlfc! Rq (SZ t&eԬ ,rM*Tn9T#Ui@l7c_AUtz2H"+=2EMqiaB$#H5(zU4QA+aH #hVvJWdQ݉N}.T\elD5uxZbkGdaj>9BT'̎b/U$t !;.yݭ`MmӔqmɺZX G!ŨIKIl*^F+PhXӳ5}Co?FmK%zE6 0272)W}5xtsĘEEU `IU=^^ =y2|s )2l =pȾm7Ǝ@ٚd:qo,LWK4T03uE2:AV3YGTsֵ" d]c:p&䒿we+ٽ[kaS :4=Utf4i/ jd211*;e1S8]ׇJƜ۩];BfAS1paԫ h{ym>k#&zά&G [Qaۙ lېBV dB z >dWq@TYC@S g;YGk{Xc |jͬ;b'RD9s>.*IsJCwP-ay,+Z,ծJ؇kDaMko9-86~e-I]!4Ru<`:FHm_?4]"9knf{3ȝD\@_Iu!tjz(>##ldzCpnI䕉/<[SZYqmى>+ӨXu\vz.S+Ga)\}%u:;ĐC&z5nVC2.26. K +[fc ϓRj67\ܜeҋ(*y%7XaETsDސ[(*}jNnVmvW#(7#g)ӫB85"{ rs!i,f`DIe>`?p%U2e1-)-{gsInד>,>WÏ|Bk9;+lzޱ9d΋HH\Ґp+%H*Z[XlbGl*0A~dE: f1~7fv9ɑ}9>d/!F_7Gg &hVN/I䶴c%F0kgS<Nd%"N90e7-]ڪ?~Ίϓ`Yyme[ewOboFۉp<n[?LK3.p-e kH@r 0u5c(p3qmG 97L~{̾N8lR'Pb9rt[/R~s { U殯,[L_Q%cj|iu\)Bf_v11SLqm%5@Z3l+ySN)<-aZg '.O5Ä4>N{SA^PɩK aDlR$֑Awq`Wd2e`#o#v0{7`M<{taq)Ҽ yՌYf?%v)~:CFE$Ind5s7T(9wTnȎ͇}N d8t k 6UYlDhyHiܨ %H7ܲӼd{z+]P;NkDZz w(׆;g=яl{u)14xw>幵4B)zہ{nNF~UeCACT SG(4V4 U!S%1u"zj攈BIe.Xf8`?>1W{sTlƐ^MyuՒU1j?̾ +¿O I+}]>U1&Qi;%.XZpVx:LvCW^?K>VT}:=MhFUu>~za˛(ǥO|`;AMC.6=PyԀ/?O˿YCHNGj5\t Ґ4VAVߖEm󅨍0:&,܀USobW wU˯= ~a/Rv-kw:\UBCŖÔċV]\z6/SpOVNMxh'N |(1rGRju1DUg~[%*M?IAf&ݻf:ga2;ߗTt&"ŋ f8 E2o&̚f*w3!^]5K`-kܑ54yx&zĽkS*6^a&ZA1>Ȣ/ŷI4KKq* kE:ԋ/2Z}<,E:cC~vi'.Yx!] 3YW0> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31895 14986 0 R >> >> /Type /Page >> endobj 14983 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14984 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14985 0 obj << /Length 19 >> stream q /Iabc31895 Do Q endstream endobj 14986 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31896 20690 0 R /Gabc31897 20697 0 R >> /Font << /Fabc31898 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=϶fznC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14988 0 obj << /Filter /FlateDecode /Length 5493 >> stream x<ˎ8rw~R+IP@Ue>wv1^`wD0H)壺{g%R\X'lKӋwKصC~[r[۪Y_}w5uoO67ߠsvpxo^u6c_˷_`sǼMm`"a} wcxV ߶_KFνPVb0gwñϋE@ޥyԀ|ΉvT`w $&f3ӆ2['.fǻ1wvc΍u'k<]M `Z~|^R]\k eP\C^9Wd!B 9&FxO$2j@ӫi@[u`cu#NiAV3{"xDÅF¢Ϫ +r_!֯pK  SHL:`ҁu(V(p! 1ЧӈI.fJfݒn,{n5qkrTɝ֥ca0F|#¥9e(fUu~ęE(B' j$2unT;:^._ShTfOcJ_I.as`%@<Ī Vi"1:푳j0NhnY M/*؏ev Ɇ7P5"귂jyXTP*QwD>砾vfjԨ"5`LJY "TDtm"_ɥfr]WvxcC} UvMh'E'LO~~)W_aK~U*$TBL?_-@8u  s*Oʃ3mA;gW팷c`PuP:ȝ536YaHƁԴ&.`xG²(LZs}sNAw2fXh6_ӯc2$;3;3H#:uj=LsTi@+}4+4aDmA`g,N06U<>V9+Yq_ 11m6{ ~"Gg7`)<ىk3G5憗{@h9 UOUWiڭqHA )Yg縷>ǀHN1[|;n|TSGBWuz0I$[MilCb&G5 f 9Ǐ#G-I+Ifą5vpgfPckJ?2}jccy3,cD䀻sCC) wS kآ@ V-9P$Ie=všlzaૌPEj(4k wEy{::gȁUQ7*C ^dbTv]%h]xW ͒I4g8cImwAŲWNx*׀V̒``Q ;vg*d{ :Oj5kdܜ9FUQ͍x#T͊|ZdN"yxJh1 ht8fL[->,7 ηei癠a@LxzLb 0Y5;IGEKWM1;70xm]N'|RfsŬA\ \|,WeeTu?.f@̒pm]h9M&F7I z(ZւۣyWRDLNha{f54gq:aL{DSu );OP8  ;] ?y 8Rpi%t,#έo*H ptA,`;A1  x NS5!} =Jf[{z$V@& SĒ@o˯GgtF`uzW~|F=4rt.RV.V%ib\]:EkgF8֧"I*@1&PXl kRE]L D0FG^(Ie#JWՓ'bbƆ!f@i)~ɾN`D"{ #@u%R ц0A=8.G'Gp 7MsZXT2l ȠNo%qSX~lH<0wQE.|6ũ|]LRpx ^Cr!/>q:#>i+2q_pP>LjXBh .n~8dk>QW){*著)*u:W ^>mf(F`;gùL' @TIjIOАPbhLjnXue%M s4uvE"Q^vo48^/s5$3U]l͢[Jy=Ȇ  )%RCv8+e.i6f+ ЏX$w] hYP<{*H[0~X굟JXbBvUU? ;8&M;zfvsTa#^ bf>^RJ=?쫍ǤPixexρ0ĐwhznCO`2f#+>-wxù :: 4,sNhcҋ@5#hJҨh`T'i`ЪL<-F\g@m  &d02zw}%")?ͷU>Q;U\;~H`NO2)<6*m8afw q O\\߂:-)nQ], ?*=8s)GU"Ә&\G;&3V;H NIf[cP{rlsPN<ùC+E/d]@}ILdI{%IYYX1c=(8rbV %0)/ǂٚMQCC\^dA#Af3d9^ Ɗ9AfP6Vz~ i5*J}N913 j#BFa:#Om W{ȗ!/6m^չ0pO9vބ3ゟ%OIXX<Ǿ>P6*sxdb8xRN"4tְxO6]b.yINfuUU;[_McbYk w l؛-֟VL$>IʰKэ7GolTFLv=Lųpܨ =~dأ6(%Qz?'1u0)f́k;&Oo`MUQbjB+q\ێv9/[&O)8yxᎼps)>yyh.<{oe0g @3#ЃGb²sƼlo^/oN^0ܐ 7y0w<9Ѓ32V_k`R_WF\E>z4xV1?Jszn~.W^O!뀝ml;g$/8HXR& endstream endobj 14989 0 obj << /Annots [14990 0 R 14994 0 R] /BleedBox [0 0 612 792] /Contents [14995 0 R 14991 0 R 14992 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31914 14993 0 R >> >> /Type /Page >> endobj 14990 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14991 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14992 0 obj << /Length 19 >> stream q /Iabc31914 Do Q endstream endobj 14993 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31915 20690 0 R /Gabc31916 20697 0 R >> /Font << /Fabc31917 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 14994 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1349) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14995 0 obj << /Filter /FlateDecode /Length 6040 >> stream x 8yNVDžFiXd])n/.bO|Х|:h``^2LqH\x*Q9(gipN ~A)*Qf40ք KBo'w\_2BcQM<3. ӫ3qQ*Ύu8K۲lΰ"F9Dq8Gc{Zw<9 ̘@(,;S"8 4"0`W+\`FQw6w77:ö؜t۶$j97=g |_xJY/;q5 ڦFyhPm?tFnw7AAhV:Y*,hgxY(ZF *9W+EXG5 LxKr̞+j*"Z24cfj2>SMB %a^]4~ RCV7I$e_ʤLW›`o Y`$:F_`Lwh['mx`>o)5 mjmtƜì a^kvk6 kmyI b}";$/eeXi$uI\G 4Pn\12mw:?#CvyC(ow팻16.X1ZuUz|yB>}pC]&xybz3aDÉԬ,T& NgU&gÄΔ4l (ʱP9[V,4>SM&3RWo;[T ר8H[l^I¡BǴѬfnn{y9Cm;qENژהUD-@oʰ[WzS}AKx242n+Rm`]d+5{X%b5Q ۟fzl`>fF9κ6_0\4$UZi`W[_W7$5Smc'c͍(a*991W @Pl=.65 v7ޗKhsھCa/oFdFji2)n- '82akSɔ|δUM{ϙbJ$PMYIKLݥ-@['ygGb/@9:ޟZv֨`m6tD-KP l-m9l7nV|L:a0H8 $pael&ށ뫍3;M>2 6@V~j*F(etE~u}#%/A涂ǩfM'=@H2're\`<WU . Hgz c1 rm}rB+SaM|Z2|5f3^4 Fu:}JK3*ĂBvw"tY۠'Cf5>RAU${P&T2d+4I?fMVdSZpX}!չp DHp(G_bJ(Mѭ#yأ%i G$?Vu`u -_5 sv4fz9ZN d}V#ө^Հ:R<m@`p ϡU)bl8R5+"cxb"EE݀H`'eP=&U ؀ R*z[\m-y;#>Ya~xg\! >Ԅ<`7!Mv :*$߽՘;֪,c1a3j0s0+~4VEIo O~42F`_S;T&"hGVǧ8[zв! LYWȋd4QUwck>ڽK\>3r$l $7axewczf Y:l+iZ*:,)HU{?q$*qޗpœ+_c$HOg9N3ebǘ9F 1^ ${Or^I/CtQRPDLI8?zu&R~' ;OD2vC]CXcS0?U&58~ג7=0S p=l'WO:Bl5#A` f ݙ.)Y10my>jpkG9GB q˔<'diCb[A&&xebNLr;98WqݹnчhtlXxeH2d nAGPibˑ؂wp\ݝI([ *LFGӧT57M8ANNq;xeoF^܄ "ĕwwkP J[$]K2ldQ=4(1"b` l(0ώ*GxԃdS}گA<+ V=A)zȌ!Ou*({6L`,`ѧDq\ .-Xg#}[,zAe ނO)#Qv%@1`N9@ <0uC7;[2s@a񖟨/' kA}~>!mzηc ;vGo(hwQJh/,-h/jM{(kT`cjc+ +w kv|',arcF lM_i_5s pQ7#:eAᶆ*$OgXRR89p@ '0t`oqCZIPdvB\'b8R]jd)c/Qpа0@d aڂ>j `p#\@pMÀuDfƬHK v¾`SRjFT-6 p`qZ74QL;[މQ\Bg;4(S T"3",]4a-zRk@!u;QJdRM]:hZ:PD!Quh ?ROSmi뀻[ )K05E>E!ۡ P `G'tZ+'G #>ѳ^hGqa#A$i-[䮙9fBdL +<^k7^HvkSb(-gt;JF&Oա; Y\{qLO QH%f$ZP~ܖq;ggͻ?Ʋ`{T+9 UX׺yGTV҅gX$oi3== ;@Uu ;Vyu3S 2iO㭈cx{8G`zՌ|QrKo{Ϊ| vtNWx4C2FjKc\gLs=jf=+-oxu-u7a9) D-3d@ :wehhU]ƻSɭG8N_ϲXʻhN&/vPSKt!0 1eͶ#om~KPJ{4|tγnK+Ѓ:g۫~_U z[3}]ջl`Tki;8$lF5t Rb &Ew ǹZ5j-j:*BF:ʞOny]B/wC>^/[vu -0ձ=U_&4ǟW TVv '1rAq%YCMMcro+Et$ؕ~ҫ }݄$1:e~y3hnZ2.Q:3GX 'z y xp`ʜ~DS1J1^/rF ;WQr*V!a[RG R]n]N [!>Qn7UUC ^)#մԔw_NHO/=Nu\- bknyyW4Xn__CI>e޳qS2'˵g/oj7)B*df*ZK< P~H%Aݎy"E7jjGw/Z' PꐵbJ!x>%zQwY`gi- \xk& /IH"a|{L5 ) q2#W55n~hH+!=0[B8'LNtyP.1!8p$vNKO?9C/ 4<Q`ęm~k_~Aw3%yZY$Y/~ O ^5Wǟ O&Woa"(:*sg;?_*ILWĆ+%z gW=e lC -E.?eVa endstream endobj 14996 0 obj << /Annots [14997 0 R 15001 0 R] /BleedBox [0 0 612 792] /Contents [15002 0 R 14998 0 R 14999 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31933 15000 0 R >> >> /Type /Page >> endobj 14997 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14998 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14999 0 obj << /Length 19 >> stream q /Iabc31933 Do Q endstream endobj 15000 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31934 20690 0 R /Gabc31935 20697 0 R >> /Font << /Fabc31936 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ dжYzr"eYHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#z cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo1T endstream endobj 15001 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1350) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15002 0 obj << /Filter /FlateDecode /Length 6094 >> stream x]I8rWlIr5зۃA7Qz/+l=J" F#>Y4sKu) C=~Y3|uq-FA;mbIgm«pSY?53'Hύ&;7hR&{@+Dm&a_@ mM[˟ޠkyWFÏ5޶#PJ}_uKׯOkvP Ȋ.7'#~{^2tv ˰ 7G)׉ q[T%ض6|BQϏcLIƨ!à2ٛ3=Ki_=5l P@W񠄒2,`ٰgȰ*0]ǧ2J !)0 wˊ-KkGfzoL 0;j蹍62{,/aQ'eYLe wUCy> /9j7f[YX("u]y Ɏ3Ub&1 (dB(b(E$Ek&1y.|Pp %xᦓY2η{P{AÔmI~=OY}6b-݉b:CCŭfÀ&ՕVI աOCMXn%MdFb>-Yj3xֈ(Vxba-wa5܄UTGJԱeNIk,}%lQfіyELxYZ)iiʢyxi6wMׅz>:yoĔWQ[wBR]u?frw:#tuIleDp9AZmj_"Vʨc+{X~-KQ#./zh l׸xF;zv7'.elp廼+,'6>-2${caglDm#'O1m䩏e/_Tp4sm +T(qu(4xaM@W^˿!cB3 E\WQBX")Q-wk(BܰZB|FU$\hI蒵 kbP`V!5-x./o_ N{0J E5(#luj,C*}ap'D )}!)q\଎ RE/yw4% mKkr~\Y| Llh`t¯1A]l)gWKm[o.o.%)k ;ҝzk*QtDyt8_]75!Kp pzcm6"EԂDo`܉]:,v ۠H3T&Ӛq~ԪrWkxic0M1;>~[~@`7/ ,x.8Nm iC_﯃z7Xtj %zc-3t0 f Ĭ9KR5 j nnȬ\~')6s[,hQ+VVQH7PPt5"U6U!!t?ߨ; QС-pwE݌PugI@Z =XQ$q[["z봻+ FvXЩ@zf UgqbcEB9O:;+e{T)> d"^_R qK}G-XZ/.7~;F~fCPy'P;~yn:l p*/ըn{iO}Fdݭx+[w@("WF/3snUmR'(|p6[+"ZŃ=ǰ'<IK#D /h6=?Pf55O ~kњhG'Bz .mc=հ.M̏ڃ;mxԽܸE Đ;ZCia#c{qU#dX~@B@8(9U~"CR  ]/4)Ҧsu@EmzW{ҍMSw S=!=(cX[%\dƼ/j֨V(ى[.u)@x&C`_h/r 7nn][} a `jrDg@~% !E"-jbƝ` ` OֽХ!LBX&Lv$@iӈUp 2^G{,cC[m]tUL.[ջ)XcnMŽ1 u!Pm ՝ YnwJ7EmXSbuU$ҭy|JdIFFiH" 4dW  4#*PLO ɩ@]@#0n! F#ݙ!Z8qM˜mSCJ*z扽9&X6JT@BQY2CIugi,;}>=`KO/tY!("С'Kc_zL7:CTĴ7" w+aEZv鈴 Wƥ֥0ߧaӰ]VV,?B!^6]UdNyp d{H6QPg6_h+ SפNF>*#. n(R nE6ǂe'ى5zc"Ğ?xh=1 zy$ПGB y$ПGB c;  z=9YǴP$p3ջEIS%3d?P\ ŻM521b<nvӨN"(ځfA$ m'ԭC'S7O1/(M .R2DAgPe6tMʹ=0lZɇNRl1`@PPHDVlvj Ըsok>جf˒"UevCI厠r ]h5t˜GI.g4pS"' psљqf =vUwz_Tu}GOnqm*M&! 6wZ?lй%Xx(yo\Y^ LW8Zy͸cXx!E>)FF|>btu-xua`caR4<w.v~aEF;ekma)5Yr@rGۇenխt_w#ֿ ݆jZl_NZJ%#q͉j(WHvPwJmIښv1KGwTѵ na2i<m> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31952 15007 0 R >> >> /Type /Page >> endobj 15004 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15005 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15006 0 obj << /Length 19 >> stream q /Iabc31952 Do Q endstream endobj 15007 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31953 20690 0 R /Gabc31954 20697 0 R >> /Font << /Fabc31955 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' [~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 15008 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1351) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15009 0 obj << /Filter /FlateDecode /Length 5135 >> stream x\Ko$9rW@|?ATjxaBm`/|Rw{`;[]Ef ;A-/zQB_1ݒ4u)ǯ??kIVwѓ ֱdL A؉9&]SOsw$B֙cfB\!UWSH 8svWke?xhT`W x\雂^>=ݔA=4ct]3R.IUTΡ+ w㲴iJ>7۷JZ@ {e>D~P+[W[降Ǡ:}U( [f$G1CI@mƤ/s楩 ZbҵͦgCE{}{5+ա*Kص:c`]+|o3x>uVzX#]S=xWԊ6?D__S? x&駭ىiɱa" $)>Hws)kfk.Ic9Ş<>[A@kiu˱١Kcބ٭b~ubѦc{:4w,=p_z]=TnQIqle7`&ho GnNq _jv(,,D2ơ` q>;0~V,7r(o[{E&Fug-@ɀ^؝ WNlX*UV׾w)Yzwø 2 .O(^-$B_.ऋGِAY]/p~$U'=u92:P"s+#Z._׌̄o Îޛ}IMb6xz~[~ɷP<*n5 3[LeBz1|@:¿9p:OB/+`~GXt+xT'`dRmISf F4+1ӻYhK*@c&HX6hh"JIJ$ CfGVSZ:$:!ĎLn(Z5!7Lx G Ұ3`8H.R{IM oo]p6szߴ[aA G-ǿx  &z&nD\KM њCZ+T?xҔ!l6 ?EE㼐% 6Zpֱ7H_d]pEW!6 jXF-Np 0uL_)ZLVRe__JJDn2NmKԷR܂.m [9A/ȍ+G>ѠZ7vIC42]~S9f+ǧyurg)QnS*M#;2EI‡Ur;2%q \PGJvgΥ 8%՟oǑ;LCfF؁!fޜ{8( At7"#q\uӴfg"*Kl$f.=Z@;{jq|逪oÅU}X޳HA"c?}ӦDDY#_QPH>7Zߍ#t-L ϲ)Jr}TP! ȧx^H}z15e6F_ȳqm=z 7jK-܄W['nc+C-Ga Ijy8{̸jWK udjW6se6ؖNGNpql1!W=@gD|;3z1QN8%S"Kݬ[ \gGG""}&AySݞLnGb!p@^~_U.=ͧdocY8~._x|c>UY[&]NX?Vv2?dIo7r?DmE< T6ز3g"L4HY ϳhIƛr0N'yyjF ZtZQ2ݓȲ܁p¬J =W>5 %ouPZËQZzZZl^=&Ji2nCÆVQnW}Jd1?8i}Tuwj8j7N@'I{{?}!LYu"‘2qw8N8QU\n ڡy79z8\<*9JqsjY5?!l] vMHzG98X&oo~J\S67jBهll3['`UMI jύWt VeU۾‰)rq@WZ uT`qll ˙IqX8Zԛ0H2\ת>wY]|轸d_$3(\˾ٽC쪫~tڍ )pOr-6y{lJ]4UWPSMSEA\n'K:5VP+xNєf~9E|E8#0T[e%K0bw3/[}V/Q*8TSח/pU}+6@V% I':K}CP685^O8ʎK|O8$E~ClͧY2n\2+?9|61f~vk]m`h?S3B$}'Ѩ.*wNDz:L*&˵7qsT& .Ww*+za܊[KcL|ihLE񇐸XۻRxׄ]Wbr{? 8k}MVe<6 _^;XM&+"5Ek>'3(DO|Ҿ.Df &/ OMjۓ(bryb [j]m\p/ 9aRrL#1/.|-\K2+v\> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31971 15014 0 R >> >> /Type /Page >> endobj 15011 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15012 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15013 0 obj << /Length 19 >> stream q /Iabc31971 Do Q endstream endobj 15014 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31972 20690 0 R /Gabc31973 20697 0 R >> /Font << /Fabc31974 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>X endstream endobj 15015 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1352) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15016 0 obj << /Filter /FlateDecode /Length 4016 >> stream xˎ#ί~#id 7`~wfd5dX*RZ$?WHzy)}jqv $8.?^SomfQru-R(IKyH"J+q0=xmiX'x`a ά*z@G8ǥ5(C_?'`:=&LEJHy@P_ /ݲ6Z",}HSOB#ls>7•^s8;8I+=Kjz1r/yqniձ<gkr;dRֽ5&'2ЈKFp2V5Ǯ.y epEX -H&yy  pޒZd̜{& xꤔ]xqĄ,8كD,0 dЪa:׽L[\3hK΂J F5Dz/o|0`($q(18cO(X@ZMF^ j"cG5]P#kW,X)p`Ⱥa?XBm7yr ȅ0&`Xx][)|3I5F>lQF =7[lٳ25QHUUF`\XG#J4mA,3Y8Mzs{G$w6nV ΫYfGKm\!Nh|!.5TUi:ip@Z (mJ~p)jڬ>wKl;'3 -ΥykF,#X9w.leajA'(rO `uHkx11% kjl Ǭ`(#ew"B;·Y-1#@5If7)!QrtB%@ Q&y9WMa. 2 K7SQ l@C!M\qNJS2r$U$`?^e+~T12$ah皗Nhd0أLa=q FnuN٨nyX4ήi/}]mj*ΤzW&}n!%F,%1Mz/v]K3L$#&խR4ZRbV> D?9q4!DF;Y]7GLiMވP#y4k$v9ȭe;ZɶhKZ$BR#+uBG(*W-*sb껶tH~t@\&1[^C q'( cr;TY659PCTy7 $;>Hk5i)N1_ j#r7 t s#12t''R])b1<Ȟ5mC ΖJam N)΍2:6m-axwSur@ND.F'u9ٗ:|-qS(.SifV ]I:]k9rvV?xkqғ[|YnO[{FvC+ ~cp]\(CQOVa5N=]ت֤SޝMx !_$E$Aq֮IHiW*^&* _}Eo5(ct`7iU1{)hM ĀaMB|GqZGl'ƴgQ37P(e^SW zoa~ƹֶxb53g !!&rdj,;ե>(KIywRCk gaQr?~סM!˿;_Up]Zu0B%Gcvh%D^_eutGQֆ 1 5w5~b8D~^09$QU /f䗯@Gw.۴@ 0[Ld װP!Qm7d+`ܗ:7A6evAMfa. m'ojnڈ rЫ ,\0pPŤٌ%џ@mTk+XrGt5j] ܑ5{΍-|wnO,BnBE⯥ _R G4bQ?P\T&^P'~8>g_p@W B,DNM[Wy6? O ^*2z2#G*/d4\z-ިf]R^Rtu Xa @OA s[&dr#, ) m۟jOȶpݚ,F։0ͺD| )b}ފ.hfaGs-oD*lH&=Mv=ςw~)D?~1<6^nμTHx q $j-+C-pK9~R+2/>-kS+Q  S1Lu^:Xu}x,Ш|q > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31990 15031 0 R >> >> /Type /Page >> endobj 15018 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15019 0 obj [15018 0 R 15020 0 R 15021 0 R 15022 0 R 15023 0 R 15024 0 R 15025 0 R 15026 0 R 15027 0 R 15028 0 R 15032 0 R] endobj 15020 0 obj << /A << /D (unique_699) /S /GoTo >> /Border [0 0 0] /Contents (config_design_analysis) /M (D:20210608081201-08'00') /Rect [104.1732 436.3077 212.3637 447.3077] /Subtype /Link /Type /Annot >> endobj 15021 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 420.1077 145.4837 431.1077] /Subtype /Link /Type /Annot >> endobj 15022 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20210608081201-08'00') /Rect [104.1732 403.9077 186.4147 414.9077] /Subtype /Link /Type /Annot >> endobj 15023 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 387.7077 165.6412 398.7077] /Subtype /Link /Type /Annot >> endobj 15024 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 371.5077 169.4802 382.5077] /Subtype /Link /Type /Annot >> endobj 15025 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 355.3077 218.6612 366.3077] /Subtype /Link /Type /Annot >> endobj 15026 0 obj << /A << /D (unique_702) /S /GoTo >> /Border [0 0 0] /Contents (set_delay_model) /M (D:20210608081201-08'00') /Rect [104.1732 339.1076 184.2972 350.1076] /Subtype /Link /Type /Annot >> endobj 15027 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 322.9076 172.9177 333.9076] /Subtype /Link /Type /Annot >> endobj 15028 0 obj << /A << /D (unique_640) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20210608081201-08'00') /Rect [104.1732 306.7076 199.4387 317.7076] /Subtype /Link /Type /Annot >> endobj 15029 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15030 0 obj << /Length 19 >> stream q /Iabc31990 Do Q endstream endobj 15031 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31991 20690 0 R /Gabc31992 20697 0 R >> /Font << /Fabc31993 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15033 0 obj << /Filter /FlateDecode /Length 2517 >> stream xZI$Wv fϨX~BJ;5Q/ z~y82;c, i04E԰H6-&GI*$< 8ZKL i*E^ib8YW(\ݬ hmGM8Γ~T=)|S㲸ܥ!۽o-ow %*WY|R SAZ,zL ָ&;INoNq%R ^|lߦKmOoWu؆kΊ.M3hV)BǏ"x,E0KAdB! Ehh<=n4Lަ>+Յ*~ξקWL*e͚KCC-S}~*@dhSBw /\%:X;r?{:2vt'}+R]R0dAe@L ą$2jhRa^yg-1KRfFyȓXϦ 1ii wd629)8m 8c[jsq V0B1>K QGxB͓ՄWr.N~7ZjOZ\Y njWVLƽXsT9\H,sXԄo%$Hl^1u6yʰlD)[:4\se{ikL9M!8xwx BՁu(ŠNॾ#R"Q8)ߋ !0t4R;W2wاv瘅\gpCLw .K:9ppK%H>d&of.n\\ou`$؊vP詴 #Gt vs+`B,p4^&`޹1t?IB0xf $ Gn?Qa^Do,,#3H"ڽ;;+{S*˅՛RS8]-򹾟~pF}(+q7Pfrza¹g2t%IbN\o[ZҢƼɧL'e <ĺmO(a cсQ|`f1eOHusШEe \&)&+? gIE̓c;08ѷ0CxE2hqೆ4?SVO rE?<O+d]7)É&(A>#2ڙ"qJ F3!wkG j ^<2v-z;Jio qrn$"g{T\ &+fz鹞&(7NuHyEj8W6lbC7f p/\?Ǵr}QK!r79XV2j̖4ג?Z^ =phs u2h&m&=qU ]`oy2G#)K; c-KUKK?RhkZd8 v>yYi&3limgoIT7UL`fI @e`  흈}f'+<+*NuFך{& GH-{%lמh)Ty$IV'~*!.|$sKZn?[Sc2Wx[Bk`ݰ~|2t(24 [ X(qxfHt;:cu #x Q d}_!3Dy'hYJzIC> ,@`"җe!s`.Gxp<Ót$\#6 kF}aVܯR׮:~/ N_p vg^2a79q%,T_ endstream endobj 15034 0 obj << /Annots 15036 0 R /BleedBox [0 0 612 792] /Contents [15043 0 R 15039 0 R 15040 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32009 15041 0 R >> >> /Type /Page >> endobj 15035 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15036 0 obj [15035 0 R 15037 0 R 15038 0 R 15042 0 R] endobj 15037 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 339.825 122.692 350.825] /Subtype /Link /Type /Annot >> endobj 15038 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 339.825 160.653 350.825] /Subtype /Link /Type /Annot >> endobj 15039 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15040 0 obj << /Length 19 >> stream q /Iabc32009 Do Q endstream endobj 15041 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32010 20690 0 R /Gabc32011 20697 0 R >> /Font << /Fabc32012 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ? endstream endobj 15042 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1354) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15043 0 obj << /Filter /FlateDecode /Length 4561 >> stream xڵ\I:WTs' r67wàOyo0p w?qђkagI`p6<;CÔC^bL ܅RowW%wYN= ݝv׹jM/3amM[l͂~[̤ vc{iLĎg~x'S\e4TYlvk4'2dZl4kx޺=xv˝=򸧎?g7nc7AwTMv`3z#>CqBM{"4ppFsKwh=\V_)6N{-{vܗvsk,u4(h5jC=ӯzl)'4z$Eqr.ah5v/D{F?=0|ݙ_|axzu)ˎHK(}=:?λ}LHI b R_n @Ƶh)b`/\&$b5럿[k_ZrtTl("-eݼh-3 oUEfa̖J[)4ehx[mDLq&YBb+Ȯ)M}HNNV4d&UnfZOޥY(l!8~yl/NLt7ڟ"moӵ؆:^jS%ɪҽLe.k긿pj&27@>[&U8p^P\'LsDu(3Vg ^c |)[[OCPAֿjgV_$!GvA8uU!\j|êoC]i)qaeeނZ~juj*Y՝V}Z!<`xgV3+XKr{6i­>m+}k>$/C6MIbo@ݙ!qepnP̽ ~%AOY,Hbs&>-Y}uc VҊb0lakEF5(pw3YCFW#![%W#3(slM>8x6\}0v)*v@ֱelɣkCٷ 2"p3]u.Uq:V* s7 )P_5ȳ p.AVuAWL_:۪kz=u:Ð nZ$;qu/%+E<(gԮyGB.U C>]a|-?R^r 7ax#U?f8^=@ M@҃=ȃ£|M6ROY5Vk穀cuKM?Yݸb\2yWl[brCdA@E-wqkU]"I Iv %j=U4*5H[Rڂ[g4MMA3H <7ƴ,%d)ŃW^?@B%.J@ -pkIŮ*&@{3F7*>ç")nI;eurj=+3Q .YK6VN2dSǔ=\⪘вhYp9M*GWM$omaoEcó.m}D_xX|'F\ao\a-(ᾯ0KᑁɐFM2v#,g~/h'5ܹ9kkp=컜t$m8R?wUp"$^G0ΰyurAf`e dg z}S|ҫG[\otA BmEQ7:U?mq8YughLA qS:q8^'Mm;pRPuo 2x~_Yv?1j endstream endobj 15044 0 obj << /Annots [15045 0 R 15049 0 R] /BleedBox [0 0 612 792] /Contents [15050 0 R 15046 0 R 15047 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32028 15048 0 R >> >> /Type /Page >> endobj 15045 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15046 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15047 0 obj << /Length 19 >> stream q /Iabc32028 Do Q endstream endobj 15048 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32029 20690 0 R /Gabc32030 20697 0 R >> /Font << /Fabc32031 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 15049 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1355) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15050 0 obj << /Filter /FlateDecode /Length 3716 >> stream xˎ#yW~=@n4C$A`粿^Ueg.jʪRQ$ŷ7x_e}w2i|oӳZ˗/SjI!Z+_ʿ2N)Oo\ ~] 'wg,?J`RAQ?+I/s>˿e.<F9I%G I Ih?"|*gX> @EI2=ua $. L KJ !ƍs"D! SW&fbM\!vELT88 :l-1eS2 u˹ڸ~$*?("05<3I,vٛ'PPHE' $ ^sv^wsVVΣՑUKnevNq1y#wb܍szq 3$=abUZX?zZ-x"r3:P2هE{OXQ=R\wrLZxnPkLEcnQ w5$%Ge\.Trr`#-U#&TX1,U1SKf[ d@ziHvu T&]WMxh5M8hz\cV|Y"m wB?&9a,tR0nTH65Zi׵\ZcpSS7C컂w_R(A Y0٠3dTPw9 ;@NR}c]GzLP0;cp+F5^eaT0J9pWai+kQhN0:HZ#I^sGm瓻ER!f  غs¢uI`Q eE;c 9lkP A5l "ц|HeuT)oy~7obE~2M86΋q CoM{dqIK0j'Q`ߪu2ZTTj{4f#[lb^NP({۪SB(@ ):ثD;ʅ!c&.tg;YWl^²K&0=}HNNr/տU#V@roU7KM_~k([̒!tIQ1sOqnq d7k5Pb)&)/>MvkY7Bd߶HLm͵W 1qN%#{tZsXؾI`w*kCGڣL @ D`(n9@B{fV@z`V"\pd[% mS)4O* c!$[F\T)ʄ`=*sp /Lꑏiaj?NM6ɾߗYL`(ϧ*ZU ޙwv> 9c춰9`qNcM hwE:h~.vxSë ɜXUc``E)S{\*bPlhָg뭅6^4M EwS\1swf GMly\J~8uâ3ujXu<@!z{D~.Le<~Wvܾ]KëL~ %myѥ6yZmE{[<\"ЪS=F>AyYl*%l]VHkWm \Gtzs6lgݭ]{ ?:bޅÇ\mg3.Ƥ_z@Q ǹD1m}fҰ{;T<=~.^:er2>~8ss2M}.NNV='=Ktoְ$eld&XХCK ^o`eo~Azo`쭕 o_~`8BBg<:LI` Pvۿ,|O tQ$D?#ڿ#ٵAJ[m@IW:+tXtC!I A̓K|`.sOt@܎_"u/d̏Z`Cn!N*@+E|q1߫{ LРhAv`Ms0# yF^~2K \/%߄rށb!Xo+~m!ʯ -tdՠ,'oXHC " !jvtЗ['c __LWn"v8ELhpCH~U&A_S|2bBz5n=ǻD_l ͓aWa> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32047 15058 0 R >> >> /Type /Page >> endobj 15052 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15053 0 obj [15052 0 R 15054 0 R 15055 0 R 15059 0 R] endobj 15054 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 596.1 169.4802 607.1] /Subtype /Link /Type /Annot >> endobj 15055 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (set_disable_timing) /M (D:20210608081201-08'00') /Rect [104.1732 579.9 192.6627 590.9] /Subtype /Link /Type /Annot >> endobj 15056 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15057 0 obj << /Length 19 >> stream q /Iabc32047 Do Q endstream endobj 15058 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32048 20690 0 R /Gabc32049 20697 0 R >> /Font << /Fabc32050 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7}  endstream endobj 15059 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1356) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15060 0 obj << /Filter /FlateDecode /Length 1632 >> stream xڭXn#7W;@`YM '' /yUE6ٲY"iY;+Uk3*x 5i}4SƨS5ZtPgNkN;h4}+O'SF[.ƠxĤcp+ -kk9]w~ސH o?οX:.yM֞RGW88K 5;ѓ* .fKƐ W]I+i  +Ϭ9 ԗfҢ#Tk6noogdGjBҀe"X =J/CS|B*HQ~R1Ϛ@os=/$d/`BeU_` l`}:'$V(3^e]YŤb;Z`݃6Gp1&ZF$"~@8ƎW<  :Y1gr+^q7ûٴ 4&΂4ceAIu-DUĐ,ŒٰNaobm#/ cM_ZP|-3|aMP3 VCHk}zZ@{3!]lN1lxmP kԹDu&&n賉p3Iv[xOXmۚoͲq ;ucV^&&(u-)`96UsMGhчsUXXHiM{ |S&g嗂E!`MdԯhN zbDxa7mp]Cd>f!?]W?@^FׅmYʛXȣt6?#h[xM4ۡ{.BAcqN23RZ \Nbm.Vl] ga80- į(hi"N=MHl$Siِz;L/c[#1#}fmz|;%-CTl]Je MS4=&)O[neTd4fmmg04V59J>RziC%!cnmFf_U  vށ@,~>8_0o DvIUdsʶ2sC$]ko[Ds!䘩[8ty\j.n.-e; acnW +j^Ha=HEo7T7#`#Zr7= iWϩݓ騎ӳ4C 0VXg\$pVG>џx> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32066 15069 0 R >> >> /Type /Page >> endobj 15062 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15063 0 obj [15062 0 R 15064 0 R 15065 0 R 15066 0 R 15070 0 R] endobj 15064 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 273.025 112.528 284.025] /Subtype /Link /Type /Annot >> endobj 15065 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [117.841 273.025 150.533 284.025] /Subtype /Link /Type /Annot >> endobj 15066 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [155.846 273.025 188.494 284.025] /Subtype /Link /Type /Annot >> endobj 15067 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15068 0 obj << /Length 19 >> stream q /Iabc32066 Do Q endstream endobj 15069 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32067 20690 0 R /Gabc32068 20697 0 R >> /Font << /Fabc32069 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15071 0 obj << /Filter /FlateDecode /Length 4473 >> stream x\K8W܀| Va^ 2x)Ѷv߶)2"HM?'5IwKXcyjrv $wq>NZMk;냙L"s/AK19Sts/"݅wR M_u]$.''mQj* ,2Z-^MLL3,[ ]V/ ^J&!~ ?/Ë$&v`$O(c;Od _b‹^Ts0 ?g}}',amC?30}3,oR9H~k~׉|гw FrwWba6o :v6f4G|@QC;b{4=) }lmsTh/o@}]w6 Do>5^&rp |N=*e$@ӞD0Rk owP=><V Ҷ:}6._ TdRPYA%&tG3L}NfMpzcu^73D™˄mZyslk8Q3Sv}#Gt4$s>]a VhT,a4yQ Pl2K ]8;:dIE9s!f0Wi\I"[WM2<ʇ+p\%G<̪peE<iXX`.E|,,`` *U0ahg&)\1 I^FYe,uم]w4-=ӓ r=o(Qmp#Q*UIw$IJ'g8]o3Z! qhR9- @:O}1b3@9L,~*(3T/@6&Ms5if.LL#ˮKEdzJ_zT1|ʻLPYC I֥xY1m6w3\dhBgv }5xrqK}O:lB fe\bat5>`ŏKn-- :noy[Îv~mq8{]e; m(O;w/ūae.Ѽ[8Z{yqϊ6 !Jdz>48@LB\V !V|S,|^W_$>yTi[է qk|.0;WPBYs] i&n; G3Lٽ?|ɗ7!#4,fPqYS_z=i~%Ҵ;{*~&Sķ}*0"c -;޹<_ב0}wrp FO;0ޫ4*.b7XӬjTbY~E` ZN7># sd L=|@х:}@} 6@L+81nh)j"N4 !sjErД"a ?L.ߧ19dN]퀄_L٥R l&RRC$ҞfhiY(`Kpᜰ)YEڔC[l):\)"%%ӵbiFZa!ʲ2h'iT03Vta)O3k ,4ehuMޒԄe`۬DbJ\\(M|dN Pjl*]Wn:u\/!YD[bE^n׈ZNǽ+UK*e yYYǵZԮ+Id0IkFdcMv9~.jVCf$ǦbOf+զdl&pG6q)𱦼ueiZlEB^m P܊z))ž@v9+ȯ=kw[naDŽ-. {a:$'RӜH+lT'ZԃNdt-VKEEnNxcq;}{ĽXgg.Jd xF-'WɕqX la{(l la{+5':@ [<5P Gw ~a)tKY>̵ދ6Oq-\ _ ڵ);/n8P<;-b/WM[\*8aCVͪ0.406-O`啶F@ .6.vщB3YpIl*cz5*?-7h >mÈy$񜠴ԖmRl1j1+0NkF~ж@ɞߒI7c"L[}صtY=}yS4>c$ ΅C T0$y{gGr]bLgJ}F6rje:eR:l '"w2نq~:ث=A18l֢ Luuj;A_DA+5{0$ Xo sǢ]&$(%ogMVRIg$4,_=+`YUT!rܢ OcwB:Wi)_~ėjkX~/\_g܈AfSū>B(q{h7M9o[fL$]eK: NI_:[\`[i @kMrl2d&ĨūȝBK>UߖhzcqIHRIX_Eyu>hccZ'$?bff@45~(Wn_4vK.ENa@nG.œ/ƒLC!%1I:1^9;:ш2sѰ݆yCxv8doV oM_`t#41Rt!lh j!0`<C6A^}~'Edt]7w[}u&ULh?'?266h0~/I:K:KF{]X1? ziv#BqfVR k':*tlf +~àPbƯƴW3+cGqk '_Ruvؽym%-KYL)?p2Ïຄ99O veMI:IPu4ݐ%!Jl` yыp2Dz5Z:8ZnQzVާ0~EeQlɤv0/ZD;.Wal8\l9vskO0ϥdû*u~g9Ӵ0-QpVlW4%>' A=Fpz98ZiNIHIJ'g3i9jͽ0,#2$r t1P ' rг #Q0 Zg(|듉Wu3KrZFcRlpe⎼YS9vOQu9`:\opb1v)x%j@ߪ=65pQ)tMEY?T=iTB[캚%ճ^X`K\$INң:k 2Ely\qؿ`_JNwTP26.K)L$DRp k"|I)SZ랖k"\֑T&xn2MrV)2+2)jR˒,qNΑ✨]eV2id+՜ ylj6뛜јֿwndlwUXF4ov펩oxN(q?|[ ΍/g>壸:}^Ω3n͑pW+ǦpnH9Mn "GN||#΢5#V ??+R8{(EY m}IGamKwlNO|Y=Sފ˭Cq'gu>]9~[%F:CzQHݵgUd,~(><;9Iheb> endstream endobj 15072 0 obj << /Annots [15073 0 R 15077 0 R] /BleedBox [0 0 612 792] /Contents [15078 0 R 15074 0 R 15075 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32085 15076 0 R >> >> /Type /Page >> endobj 15073 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15074 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15075 0 obj << /Length 19 >> stream q /Iabc32085 Do Q endstream endobj 15076 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32086 20690 0 R /Gabc32087 20697 0 R >> /Font << /Fabc32088 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?* endstream endobj 15077 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1358) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15078 0 obj << /Filter /FlateDecode /Length 5569 >> stream x\K丑W@|?BU 6; cè60sٿSRf*džLDJ  S_ ;%f#?Zw2i6BQ{Z^aٸ,uK9'_T^:.ZOբjXepZ%gPK>dlS2Re|$i=]KHo`zѶU^^>[~ Ғ4d V.,(]D7|J0ы%#Y|}!HäbXi(d!Y~A{;zɑ\(d"y $,Yups~1:,z'bCEwX )kC4{Շ[`@:E:VӻkUuPx)8/pv7i-^3KF}xjU6B 8Rpi! cS(F9+Z4: mK ,p'8 E-+Fn+0 :. S, e͹(ȱ 3>uȟm<gW9"uzWXSYi:"#eM'љW_KH+K uCL &a- eTA3/Z.APߏi1ibI0A]A,dPIa(WTaP,MU25QUH]3oEb/0C`9%Tt}<FGqɼ30⩆4.G7֝Pp @Cnt-c%<ǎ- ItZR 6GQ_4*D)˩|]LF7H JkؑE fƎlme.w'Ŗpϼw NDߴli:'KK3vOs{j19e_"U?3%Í#S^~.q=ޞ~Rfu.A2)eWʞzpS:Xv M H9._F/o\~I߁_OB+p (Ymв X5yT:& tiʹ'JW<̆聁Ha6򽍍t'8 4v^*FYJ;îH("bFW5^/ KeU; D [{+aDa×DJj'ʹG 5{i$ ȕ{=u1g=J>ʍe`P婏K?W!dIZ(~)&hEPTFtה2 =zW7p*r1 6>uKĢeLƽ}k_o:X:BEUh ' 9rpm3FTmZ E.4#,۾nGUv,pp^^w7Ćjf&IHn@vuyEv6LY1XÕ=0Ez/"lLfޫ\&ʼC@rNhx4r94ΖAǨ*au)HK4u90S>Gc2cXy6s6#Xǵkc1D•$b 3Bp5n}) ԧtdz K7հmZʉٙ|y4CԜ }Mjyxe0ZYbn^Zf_6+p+/*eY*J㫱(ة0OP1B A ę7W/C=là}HuDs~cX&eXUyg0Ï1$K"yUז?C Q[}Lޡe\WuR vTVل`NhnPZ:`nn,k}bfR]p/ ׷g-.έ)4jԵd3`PnݼI*` %)*تi_[0{KWݨD㶒cf(ᝨn%h3†AN<B8aj㞺O. vba9Bg1I`\/ >s:؆yAcB+w?hjI%ۆCtRnR&(hNēW/em6G j5evpT;Fu_>عv3C\Xs92*\eZ1ŠP@#a+fe<цtt9qц{GpE]*@ỸI4{Uy#un¾Cz=DHsڔ茪n`&b_Z[`tX3Į`̥CgOa81d2E;wڑ˒[ = ag A[vēd+]V&zE4"8}1h%-'}1)nwPXʡK<\Ε[zQW]lGݭדʔpR5DVelu%j;tnA\4!lJd$aWlqTli']A?hSP'[jkzdՖf;j֮i?+v`AZpD3M;U$u:Nntv'S\s^'vq ܆ПL nR%Ee(kg=Uh3(#A^f>ϰ)h`0"{[g7NWH9!KQ_<.LɌ)CaT\=cnY 3l)C&8V Gj8^ɴ4^GvM#.6[)jiclGMdϑibR K$.|w3d(50&T~S*bJØRqS*Ŕ#RTÔ1T>)0L8)aJaL<)G10TĔ0.T>)G0aL)bJAL<)0aL8)aJAL|S*aJ0T>)1RqS*)1KRq S*טoJEIA] #:(]TAp[Msd2 7`ܮ&73q7$Ds'\N7fʒ^=c!kՁ=m5ZLGf@ T 1= E8xt0 ]v?h!0Çט SC(ӛ[Txoh Ե@!IKU.AwxP hAqCr=UcQa}d-a$ajyvvtIXM@xV;ْ;n '!BU gG5ƨ:&꘸c z/'2 x&:4H"x=P6.T;y4* 4SpSPFƼAE}W"M}z:`_OهTX<]Yp3xMMOG.-Aa<)5sOH-. 6\~*Tbhk%K 8 xm9-wT4_2)=D=G9YZ_qED]n~5E4k{*tt)Çй|(@~N͟F6*yL+~Zj;X}c%ҫ Ġ*e, -,\'U&⟅SOW0-G[caUϝ0߸+a>kq{ۻt`{r㞷瞰}GMW1/S_/mblYP͇gr E٭OŞԃ=t]rhU*ڵn{Cc_O2]>7ז]tTKtZK|p{t?y4# 06H nb/ _/7G-ǏT#G/nZRsI~ HWe}CH>?aaff\j<+;198#QGœ!fRaٛDoM1`}Ц"Lp>قh/O% ZޢCܒiDA )b A#m{DHҮu\wmb;ryx#ib=x[jum^UZGi:OЙNZB#?ϘOn/rђ*rXaQ[O٩P[RbdQ nxl/ev~n~Mf;u.*}1fI<@dWKD\0qX)3d-37e QP1H q[ oULܓ5kKbo<]#4ۨw[/(|Q*@$lj5RSӥ OA7$ +]U 1}$֖1 #s^Ԟ( C©G 'pχ^|)% K٘0E)gozǥu/; P#k֕)(S9?lE:TL&, "8΅&29} ~k1ۍ@ zG?< 9aV 'aAaAN+@-柦ĭT@* {B8F8Bw vTns~2y̑SH 4kzK@;Wa0E~ o4]9^_/)q[|'O5[Q/oqk#_rRM-sZ9!" -r:Pg[;˘  B.QnJ endstream endobj 15079 0 obj << /Annots [15080 0 R 15084 0 R] /BleedBox [0 0 612 792] /Contents [15085 0 R 15081 0 R 15082 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32104 15083 0 R >> >> /Type /Page >> endobj 15080 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15081 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15082 0 obj << /Length 19 >> stream q /Iabc32104 Do Q endstream endobj 15083 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32105 20690 0 R /Gabc32106 20697 0 R >> /Font << /Fabc32107 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"k 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w endstream endobj 15084 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1359) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15085 0 obj << /Filter /FlateDecode /Length 4061 >> stream x\Ko#W9aCn !nxC,v֌7Y 4EX^~]" |T^5KxIo˟ov\H۷'!]|b:t2h!"\A|zfo+菴)! ~?/+#RŘ-!x^GE{1 n0@g}y xjpdb4҂u8/LA[skGebɫ<$c$ lh\UsP_1ѥW6 &LB=$&KY*J#y Owנ4>?^B>c8}]t@ziA \*Pmtұw{## ʹTZTZǥ51Eb'ʈ ;< V-vY["%us7la`,3/?-P0W};G qU%Wkã4Dmk6NyÕq t2 K[(jʬǍQ` JDuayYe6BڧkC5cpaf]zF9yPڮVkM[t5l53"nlUITL id=Ӳ̠]G754Uu? 1^unjpN iDH6LY!ZC#Ԅjvj7ޘΝR+jZ]!eW8bwE ç'01t5Ԉ nA3UJpQfzz9!JW^tcxԂ+ [75ՐY*SL2>#ֿFy^D##-V|}= qOO,rj!́`~q2W{' UO[kC 54Х9nLU,<&c1r'&A ql9ڦUb%_`W~oCF~;My5ypRG Ug_ +oՕ*Ҷp@[O=_c|_i`ĩS/-mv2]nV'~|dy2`|IdWX%k\l'Z dH0ֈja  Wvٝw9g`El7N^zH |܀ffe+&Ii֊A~3wb4OKS9N7Gj`9Rd!925r*[?BG?sO%}G]iHi4He"FZmjK?Mʊ>Ҧ^<ǽ@o7GRN\DmN=@!R$IwM1Ҋ";1$*.ūz򂑟u.*1M8\ehj(tۅjSSa+:*ҠSljUdF+J |$)m$?OvQEv7"㻞-}MH#^$E[2rH_!`MN6 jI(/|aYi3RxO>UNj@(A1a)(1B)k8וaR!1jݟ =HeƃIz_fH V9\ vgR0-];Kwojk?sx7\zTYחyZ9)ETjh[׺*AM6;\{8ӝr.[,G7h?HdX}e-Uɶ{XXr-ts^ʵ;մruP0+wFoyf9:[J b1=_ pZ~]4>T+,!v2X<S?36niiy'fO"F\L.abxeL|,{ܚ9e`q`/:p:lY"mәW][ĥǰsl;)al=;&{ 2wvy1i{34B%< "vSmN ,miUi@e4t5!j|%ΫЙ˿Bf{ۅ T2&"'ō0L2bt`=RSDwf+c@\3qglb⇙am w4·|"|QK6^b0KbiZ;,^yS&˓S}+Ʌ<&֖si'@M}GZ#r+=O"EY4Le/d4\QZy,5YKexOwm*i=$YOfWii_]JD{R{|gD<ݛH.HϬ5P\HR}o6X_4ADύoeqi,K" &jMv=+Yh%ܼ^t&'F{ \R밵uLC0g `Q (j6M.T LUcdMLbVIH|]@\R=hy[si/؀ʜek kcZ3bo¼ z/Doɟo}O~/ߪ,Pʳx[gpz1togy KYKž *eai럀Q+T@T}ĶEJ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32123 15107 0 R >> >> /Type /Page >> endobj 15087 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15088 0 obj [15087 0 R 15089 0 R 15090 0 R 15091 0 R 15092 0 R 15093 0 R 15094 0 R 15095 0 R 15096 0 R 15097 0 R 15098 0 R 15099 0 R 15100 0 R 15101 0 R 15102 0 R 15103 0 R 15104 0 R 15108 0 R] endobj 15089 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 423.4308 187.5312 434.4308] /Subtype /Link /Type /Annot >> endobj 15090 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [104.1732 407.2308 200.8412 418.2308] /Subtype /Link /Type /Annot >> endobj 15091 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20210608081201-08'00') /Rect [104.1732 391.0308 201.2592 402.0308] /Subtype /Link /Type /Annot >> endobj 15092 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [104.1732 374.8308 171.2677 385.8308] /Subtype /Link /Type /Annot >> endobj 15093 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 358.6307 145.4837 369.6307] /Subtype /Link /Type /Annot >> endobj 15094 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 342.4307 177.6092 353.4307] /Subtype /Link /Type /Annot >> endobj 15095 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20210608081201-08'00') /Rect [104.1732 326.2307 190.9192 337.2307] /Subtype /Link /Type /Annot >> endobj 15096 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 310.0307 191.3372 321.0307] /Subtype /Link /Type /Annot >> endobj 15097 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 293.8307 145.1812 304.8307] /Subtype /Link /Type /Annot >> endobj 15098 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 277.6307 144.2737 288.6307] /Subtype /Link /Type /Annot >> endobj 15099 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 261.4307 149.6802 272.4307] /Subtype /Link /Type /Annot >> endobj 15100 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 245.2306 146.4572 256.2307] /Subtype /Link /Type /Annot >> endobj 15101 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20210608081201-08'00') /Rect [104.1732 229.0306 163.5072 240.0306] /Subtype /Link /Type /Annot >> endobj 15102 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc) /M (D:20210608081201-08'00') /Rect [104.1732 212.8306 148.4757 223.8306] /Subtype /Link /Type /Annot >> endobj 15103 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 196.6306 181.5637 207.6306] /Subtype /Link /Type /Annot >> endobj 15104 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20210608081201-08'00') /Rect [104.1732 180.4307 153.7612 191.4307] /Subtype /Link /Type /Annot >> endobj 15105 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15106 0 obj << /Length 19 >> stream q /Iabc32123 Do Q endstream endobj 15107 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32124 20690 0 R /Gabc32125 20697 0 R >> /Font << /Fabc32126 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo9 endstream endobj 15108 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1360) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15109 0 obj << /Filter /FlateDecode /Length 2559 >> stream xZK W輀@r9f6z\/ٲi$3pے%#ER]o:M>cb?PWSׯTFcf,){_=1`Lp7pyx>i_ bR@ƹ~]NWGぎqpi3Lȁ.x}3 J0Y&v Ǘ=4/Ɏ Qcsw=s_ OBL&3 5D.i3?{ s 1~/NqyD(@4%*T^@ Ӟ5ʠ` a;W0!F 1d>%E~NE;OM䗗"G9}ɭʧm av=oYsBֳC&d-b(ؖPg&6PH Gdn8ؚ 8"MƫDm{Z-q#ձ7R *[s61WX݈ mV?}31%񳌵&mK!Vw1]>^cqIb<É2LC y!Zz~%QO[^uN- O'e';JjQ2^Fı:Sp +,YAe+1TnGEG)}/ eNxR1:&lsx]ųf~F!H:cmYoލf wB m8~x:eu amG Mn3W!W|i4'UQ84CDtB_C+9U6f(LahsR͢P-3@A:igr%:A 6`)HzZ@Z'y&Ice@GጳT:C:- -.RmգM`A8ebvQ&Gتl&/E&,TаXe ]ּ>BYK]r?Խe7Emv|KyƔӞv~n>U-9:;w沵]Ӱ]V}l(mۗU s x5Ġ}r0:܁rԐdh_HǜktF-!!-LVAƔB,! 5 ^1w8F.yeyO|^Qîjֱ^v;44C6=*r~C5lzjX>Rfl4r 8xZJ ۔HO AW PP}DKQs Zl\-:^"HkD# )}j^0PX=ݪZ)>]`L> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32142 15116 0 R >> >> /Type /Page >> endobj 15111 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15112 0 obj [15111 0 R 15113 0 R 15117 0 R] endobj 15113 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 439.225 140.952 450.225] /Subtype /Link /Type /Annot >> endobj 15114 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15115 0 obj << /Length 19 >> stream q /Iabc32142 Do Q endstream endobj 15116 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32143 20690 0 R /Gabc32144 20697 0 R >> /Font << /Fabc32145 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 15117 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1361) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15118 0 obj << /Filter /FlateDecode /Length 3673 >> stream xڽn$ί@wfh fGAN@ {^|tO4 {=GWŪ"WV3#gu zeYs){`L=9oaoѷ7A[m!jߩm^LO~zVh5M/V?I9lΖd/?*;>Ƹt,s6>EJs4&9:cd`1>e9(`=NL<ѝS&^,K`P EBoc>tHFHBR $ HqC.,#<I(擨- )9/#Ȣ:x<%Dr~ D "sضICU b̥֚Ē7\#`Oۗ30ڏO>Ec]y4l&wP@(syY!<:jr^X3g4[2_J , Kp,<9^9qG-kT9N6`A"]Y z6\3h!W7utcAT;Dv7ugġq?CsߤR55n!"m - wBY#s>l)׸zP)"nBwHsqnKdDmq-kG;-Q/HH(,[F$eQGnMGW1wb#x)xXV$Cy@:A|Re}ڍ9̮_Q}I485p_WN8{44Dzl;%V%u毖+۹Oa-Ol1XJ(##K$uBjg%rxZi߫`3Nw~|ŠxI·8Qc@L+L- D@0W (p !h"E%v o,@'rb ߯,A8YWHpfx/aӬZ2C!3 Kx<8B&O X^Dҁ4U*ڔ2\^}R@|Uy!37kkXHRA:VlIXIP`MC,#n%[ )6y% I-[}7Wi6kjШM]zf%c};"݃DTtԾ7"uoԼ5wWHLbZx-26H:-*ʩ1ʲòp2h:؎m>~0G35ssw:mm՝{ͷ+goӜijdV+tݜaک=%Ӕ0֐G7S{ua)l߲+=P[=AVU6~5Jv(v2=۲)2rr7&l=r(y5D3^ZNr(4PPsU5Acj'*9RϝM)O*Z+F(.\H-:}05=A.rS"r.gBZSտ %G!\eb1',xV%hU[ǭ{޷y8eZH^pП1WzQ;RS_ `V+t"bĂIl*xW"܊O/ t_ѿP8!sբӶvQm i`SBw)O..$ x"~mem.ƵJT-y- \ܕ3, BV-![GGmEB-_4p_^٬FЎYUzrE1{JpJ Dk0`+顳]3j@p;x f]kU}wu2euqz\9<ZvE s.QxnӀ8wdn-)s+ &v\\ [S4vҼ`̧T֞`2l_t]T߁ ZX][<(S)wS>_Oϳ@zLmMXGN}!΍@G "ēÀ(sӓ*v3^es>RI;կ4`1>7s yq Wᙝ/?fB tc.2}\(I, T˖J4 !.̎5H pڸ[+ƞNq[h?`WBnC>tO(~GG/x3hLwHv>xԆ}AuM,/2>+_mOh EJ!K+3{ğ$C l8Uke*&!#J-\ ֋x˰+35) ǒ}=|Y-mְ #zUzꌗDuV5*'rosoR\%,8ȍ&7Y .TV\FB{;W9(s~f?ދ~V?oW t w3is@/2Rj<5{n5޶cF tW5˴2upX.>>yIWD^N\W!6{ܺa`:t*윌5 ~OD)K~,OϊH/^6{2%۹\"4X|l?mb{鷟v3SQYӸaumkƂhnYv=n2YheLH endstream endobj 15119 0 obj << /Annots [15120 0 R 15124 0 R] /BleedBox [0 0 612 792] /Contents [15125 0 R 15121 0 R 15122 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32161 15123 0 R >> >> /Type /Page >> endobj 15120 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15121 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15122 0 obj << /Length 19 >> stream q /Iabc32161 Do Q endstream endobj 15123 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32162 20690 0 R /Gabc32163 20697 0 R >> /Font << /Fabc32164 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9 " o=kDN{r=C XtlP[QS)hG_;QOj3Rȃ+6+1C+p|ֻ^k: -?=%CO4i.$RG"erk|Z-48יq|mau3ͪ5ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"X:p94C|=B/} L endstream endobj 15124 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1362) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15125 0 obj << /Filter /FlateDecode /Length 2035 >> stream x[Ko6W\@, 0`[0CSݢH $%Ju4pC$;AwyxߊT ,p{hH:^!!rf+A ̈p Ǿ VcJz8-[M uXi@)Wlzt U,yT?] {r9|,<+&JN^MdZVL>Une`+r;`|sdUJYbPiџI+ObGڀjtbXrDw8l2e[#ܟ#_SZփ11+A-9]vTإj>+FfR\E  y #``s ;2֮!AlILVmh0amtOB Ũhhp'=:CJbkpFP?s(m : ˑ.Jd,"^IzKxxGD|&<83H<WX-#Л~D>v-1:k]{vw!gî B욫aW_Ƞj2op;q-SU:jX򔵻)_e)"SVLY '+,&j&2Y-W2Y-hRF%:qU7|Dב>RmR?]6iE*NoڝRRV 6__jetY-e]sl._ީ"U=yںi_~a5dVo(JK ù01tvOZhKްt>d*QyۀpUl07d5>/˯Zz]|uF[T9k (n7 q8̮gNӫ!q![SZye!%?O: *$W6h44!%q~dy8#zo_|3'^|ͥa7њP"8BEsbj25rE7Z~mBN]] k<%nr^ 2EFdYlj,b]\FU _dX;*HcX5pGL"0 / S>UɥJw\ *ߍ)}+[ŵtP% &RC6cU&Qa*D1V+v =b`~l5\Ufv&[l܃z-H10ɋ7o wbMt+ࣟ4c.S,Ds*~H!%3V>g?hs }97%EzB:|[!<"lN*娾Goȑ% 8F=&08c:tj(d]kX~ ǎoh(p1pzI*Wbr-{8Bg6V0o0~>#\A&Oz> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32180 15130 0 R >> >> /Type /Page >> endobj 15127 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15128 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15129 0 obj << /Length 19 >> stream q /Iabc32180 Do Q endstream endobj 15130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32181 20690 0 R /Gabc32182 20697 0 R >> /Font << /Fabc32183 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw72|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 15131 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1363) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15132 0 obj << /Filter /FlateDecode /Length 2796 >> stream x[KW𼀸| $萛rX4H=cFu65vnz|U*{i6xIʧϲ wi}0x>Ic&'K~E~Z~$דbZ>~\II(?o>EAy`W6Z?x蛴XzfXϼۉ`Ogܑ0 p?Y"-cHR>5~")hJp V >2]E68ʲDFX_dkP\ռ+\ͻU+\\4;Hp`QRt\o;M(%UwkG"`l#O[󏝷]eg!dCg$}jLY?Zdi*=lPdk &MJa'ٖƙe"ԇc!!cuKׯk %7suaXqVM, {4L [\7dLҽeՋ"H(> {`s'.D?!!uV4_AFi<^3A%|H.ʼn3D rf5^wYh ;.EjY%yL-s`O0!nF&䓊 d!+\XhiMA<:LveW%Ʌ'~{ 0% 4]E*K,PYbfT\Z\2+ˈ ^B=2keVJ-#bQr. ꃳp,#³2Y"q)Zy̡<=T\d,vRٍqٲ mq7Atdyvh DŽ]Vs6Zܾf6aMâ-,OwOí5\!>4tMX­X+YONRh[5(ʆ|S *l*y^}un7^"ð eFAmвqmFV=뫛<)jT׺1p-v'K.fKҼ}V .:K_=ڙxϱCLD'R<ۥKg;9e,E)yjh8^\Ptk#t8ap=U4d%4фr-azQrv/S=NDTasN;j % \n@xcm":"`)p cqä/.EfIVɽby=X^Uu[mob,Jf 4rH-Uܦ궘l]̸p1M#TEʧkãnp4кCK_ ~IA.=ـE:RvQ@l39@H+D} Э!.XP 6{vh\D& , ,PY&G2eJMH " ( Qiu&Ab%WK>_/7ϣ :K nQCM2M%kʖz>-zS4fyQV1aei~5Ĉs٭(G . Rn0ݰb^,^n#~eo#%HЮ xCȚ0vp“ uT(9fP ;HzϡI[(@ a~ d*o+!}+p3V+I^rwJT lG0![W: endstream endobj 15133 0 obj << /Annots 15135 0 R /BleedBox [0 0 612 792] /Contents [15142 0 R 15138 0 R 15139 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32199 15140 0 R >> >> /Type /Page >> endobj 15134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15135 0 obj [15134 0 R 15136 0 R 15137 0 R 15141 0 R] endobj 15136 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [104.1732 582.6038 172.3292 593.6038] /Subtype /Link /Type /Annot >> endobj 15137 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 566.4038 159.3712 577.4038] /Subtype /Link /Type /Annot >> endobj 15138 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15139 0 obj << /Length 19 >> stream q /Iabc32199 Do Q endstream endobj 15140 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32200 20690 0 R /Gabc32201 20697 0 R >> /Font << /Fabc32202 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 15141 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1364) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15142 0 obj << /Filter /FlateDecode /Length 1569 >> stream xڭXK7WlhY,10Ciu?_Yҫ.S=ե_ y9SєpQ;/ϫhڠ55Z_Mkch|߀ C{SCE_>I>tØ c=N|D~sLv$ ZN{'gpL!,LMJ k)gUpT%Y[dx.s FSŚEBb{ b#fb6!,O< BLkU2dP55hR. Ǘl!:.4hkZL:~Ft4^GJPSuHlކGtA(7~^_^1Wh|Gqypd6ϮQpȩT`f#d.{q4TScƊ[r6 ZSi23wvA"? lIMf*$~ii ߤO?Nߩy8au'>-]IN+>QN |e 1MPCz*֤k1"Z7br[DuU-|F/:`) }BU?"h# i-ЊČ=Sob,X\}Ϛխ'\v]}]fڛXȣN1c2ޏsaP/$<5ćK'BgqrΤy9$>.,J 6Բ5q`G T߱9R[e9݀jɘAg]6J^kmF \"}1DŽMSk|ۙ!جm> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32218 15149 0 R >> >> /Type /Page >> endobj 15144 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15145 0 obj [15144 0 R 15146 0 R 15150 0 R] endobj 15146 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 368.225 122.692 379.225] /Subtype /Link /Type /Annot >> endobj 15147 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15148 0 obj << /Length 19 >> stream q /Iabc32218 Do Q endstream endobj 15149 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32219 20690 0 R /Gabc32220 20697 0 R >> /Font << /Fabc32221 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lME endstream endobj 15150 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1365) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15151 0 obj << /Filter /FlateDecode /Length 4392 >> stream xڵ<Ɏ6w~$57wЇƜ (_'6nޖq9S"EEccb NxI._/,/`^,9Śoί/ߗ=ir|w;³$}ok]iO(doTzgJg,_||Q?h8ݓ]-A~c?Y5 q ֔hUfW[E%5PYiTgƽj ?o5>+S~}^">Hd9G쪋׈˿ SDKߟOBﺔڸ uuF@UxC#A K_}q@6kZm.RhzfcY Ng+|gD8s;[ @~kq;/:yr!Dv2~e&ρqmiBܕxϫ`uqҤ~^ 'ǀAFst1d"aȦX?]L+BPg sicT;@X8̶tl R0tgt:,1y wn&v {~,GϞN{ٳu=oh+ڥ445u@ktF5qa[(3#>!Г%c,ջ=.+Z5)\'j sKǝ:CLDBX]IK^ x'7v 2[t>^\5~ !< ,aV ]֏g^\ uAD,Xcx(p}IpԾ3ӳ, Nҷ$/K`INo*uiTf+ٰT_ܿ 64 pl.R_L(TA`EVF+t*U _/("jHR\-xLcݱxvv?1ygb3m+%%oKnKWvpE"Iet: 1&vul?*V׵,jpccrhwڷ"kfѴ辫~9r=Ͼ#O \\'0,jBwiXҍl*i2w?#|0lǍ"7z*PJ.i!gLIc_;} geqP6lhnJ|K'A\?*-@,71#K {y#Mz˯@0MFۖx`>tW46#,C(b Ǹ|G$E3oo}Y7hctu|47?SKF($S@-℥343Rq؅L /X/.2nK2rdTl\jCZKuݽR@UyRyjbp^M2V\F W(Fcˈ`8lVz#:[}ؓ2[}7lz ]QLwj&MT;x7%HjIEG})ҾQFo;!]ʊuh,26H:,*Ԯ(akܲ ,fWnml59y8' s0wũY{ԩh`:DG,b8l9 lm0֏XB K 4Vd=\U,X2c!xO07wX"3\?vv3I) ;sl I\U2>y.hc )r]Φ>G9r.[9s=N )I #Ӟt$t< Av(kQg"Pyظ`=WqlAGܜRUuf:}:5ZT8$#dR*ȶVmpyՙ Hz8ܪЪR&# ӲU_>SdpCWh{:[K '3Olw~ԅp> g; `ەAV3tx@1 R{ Ф<4✊ihsy恅x tZ].w>jDBg<ޭw8D’>1tW`IkC /,

    &E5y`kA<\p4­Iq/7Sd7XL˒^EKp#XEkA7=-vXUdE"4%L΋]S)ej;𮂬?R^6C[S))SXL{SY[Ǯb5d%@## %Z"k.{X[C(cuz\MybxԵP8}skpF 9Zkrܥ HT.t- DcRZB0fhaU0.m mX ߺrc;ƛi|ltXc/ٵx?j<4=Du#?+|>|&z@v#BBnJHl+;V~Lڟ\7z4oDcCz%)cb)?cjK@3M_? d-nGKאM͹G sl"ڞUd4O`MpNerҝȍ;. &V'eDR WEQ W@-RkPK!kϰxk9>theW/`InW{@a|/ـG q{^XD%LƸKN bhχx[ vš-gÌh\WR]w."'l@|[ I\}: RG f*"صu8PGS/wS>W[Oϣ@u",УJ1L0fkG\Fթ B1 Jtʭ!׆^cp/'o[iJMvL~f2 2lcv~[',3hn+]}bu'RFOX ,eK%$.;L(AbySRGϋ4CqԻ zХ>| S*_RGtbSR&YPW9>#o?U:UZ^%h}`]1U{^퉆`8ɣ2*D5\MՐ2[|j+sߚdr$TYe;@r b]Zh`Hax_6{AQLuAbaW L*W㜊+Cp:-sZ M1>DsrF'%| P͙8o\ #nNɽЩYxCB1008k$6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32237 15156 0 R >> >> /Type /Page >> endobj 15153 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15154 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15155 0 obj << /Length 19 >> stream q /Iabc32237 Do Q endstream endobj 15156 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32238 20690 0 R /Gabc32239 20697 0 R >> /Font << /Fabc32240 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZ„H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"^G+QO TϬ>JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy45ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 15157 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1366) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15158 0 obj << /Filter /FlateDecode /Length 2730 >> stream xڵn_QX}")9 rR 9}jf=6V_U'W^6gU X2YjaͪODTgc11OGN>ce[\Ugh GsT{:^xp"=ZI02w1!m?_ZNvw@@2pZJGi7i OASr"d/&M~Y$V_췳m5̐1hBhXpatTalZ\h_ !~^"|5_FFܑ2W]:/?A|Ax"{Lےvy bFM׳H56GZV1U|cÓ~Tyt}fpv5N@THD4i!H1 LflFR!>^&ϯ{n”V-N+W̕vf&Q 3,od9Qd_OyHl~)h4#Oh jx5Z+j7z[T1L9H ~w-\X3-S*;i$k9YMv\|ER3nUUa{^l )KM3@$d̙bZδ.Hhx Yj P i#s=nSizBn(UKE7Mv{鮹R֚U~7NiO˹\BP߼զ6tUykE2dGv6'8B]^'778kU2|4Wۗ`u%k\2'MR.R7J9֚w+^c5A@D'Tl&;h/b ΧMnT6GVtvOAC7:!(F"sF 9|ze/ꦑ9J79^n75\Y{c>_YRW赴y h'<~|h_W8e/ }ÈYmLXi&/U9 famqs˥nkuЭ]Ǩ_o (,Z < 4"Ix/ 4HQS%#SE/L~ߘN i¨M :4_A^\#]`v!w2Dd X" VrAa/*hՁ+T`4P*k1P`R!a>#e0BN n6Mʗ[Շ)_ī wRgjo;Jw{h jJ'(._ .CO =EyGJ8v2bT" 0m7zDH |UfFgFC67`2tlSIKhŶ:Ce!d A'3vf48*nS` -`x,&Hp,E?/h.VI״/6g$2rh0tnp4=hWdjC炼/"FɃ, "i8LQj9 /hx*#ftaNII0$5VLG gT!m##E2vn 0"`ϴ"&B\Ѡ;*'$('BG>ґua52 y&̑2Xe)dW?) `Ƙ㴖/⛟F۩>-15TH^ \}>ducP,X U){_I #$*;c#%v{3;~X!uBgBcsON܄V% m*/3!s@ u9]<+ޡV=~ILY\eq9oY(>Ό7x-tIo#̡A!:u/ &r%dns#Yv%' vBZ endstream endobj 15159 0 obj << /Annots [15160 0 R 15164 0 R] /BleedBox [0 0 612 792] /Contents [15165 0 R 15161 0 R 15162 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32256 15163 0 R >> >> /Type /Page >> endobj 15160 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15161 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15162 0 obj << /Length 19 >> stream q /Iabc32256 Do Q endstream endobj 15163 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32257 20690 0 R /Gabc32258 20697 0 R >> /Font << /Fabc32259 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 15164 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1367) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15165 0 obj << /Filter /FlateDecode /Length 4345 >> stream x]KWl@ ߔci9^ M `_Sŷ(vVv0X[,QW(_( cgAHT+:q$1g?J5Ze_eLOt LW"]O$\@;sxh&g\+W3+9j ~O{F3OpLriWp <#;I;yVz-@JĘ j;3'h6< > }D?(^Q ;~!'n]'-N/pBts5̋/FxXLGchi@3jS^ W8+x ~P Ug|_N&~yxQM "@ \oʧ/닣s˔=v>+\_L2n'0"0~ '䨒Ng_z''_@"#8A+}"St=D_mc!`BBʎJB3v熨٫,+r~v_녉q~ hMhE2 n0h>&?T(D f#w-CK[ƻq,5幈UjOl<^ n.NJ0lԲ-j kbhf@@O}&Dm F|p>x 8> ]ll[XAj;*!DZNg5SuLЉwfFL*pv}w[Fn@qwF5fl(\|0A~}e#u,CϠau\[ݨiT'7X8i?U ̐TSMFOϐ&z^?;t npG:zIV"i$Ĕ -"#W bhĨW[DkF +GB s/߽=ǽB^>B; ^{! p/d{^UBs/{! +v? 06b Zs,aᙵW2ҳ 6 QuY5UFXk*H%Ys! O8uZPq#4NB/M8n]kxrY!H{8~cv2ntO{{-.g|i 3DŲUnA/w,iR2 mZ27I9@QS679 ]Qc(sأ,~UU+lBO<ؿ_G@}}P¿ƿN}978D#7-;JAte)J ^ `8OՇXKs{_:AT׿?o[=.=#V PT..ͣݢj z;`z&_sC4`2hXT*#okt#ȋgy{kDhZƇsqL3ۉѱ^9󢔊UרC=fT7j"rl')\JtˉQ#@IA;k;iH:_H'H^bOZkdǾXrM+r>< I^%_9)U@Iс-.}j,\ѡ|RsC]}su;DU:b+kLAv ~{zrgKn`s˾Kc,ۄĬRRQ1OorSnr~.lfwŤ,l'Ra*u-Iq̽l:]x:O͂ Ҕ;qR9u'\hP oӰiz‚Xi5A:!($yBUA5kQf^Iߧ +G)W;t!\p6 #ܒq EcM k.{^෣8 sr>pĹZ)i`{|T"\Se{"KmxRz@Az,qW$neyƌɽrbE+0IJe'_xbSmL0N= %$Jpa&w ,A W‡;pox{G0w 6aD)hvwELT"_:ArW ~?eTPbrr$ 9qVb90XDH C} ]r.xQ Ml! A 9&TH"oGvdYNQ2$ K))bᩈ7rBa 7Z* N,-w2prK)i72x uNںfd6&kQZ?о{H&umT6zMhYRrGLH+8+mE!,kxZxLF]rq~lnœj@֐/Í>)pTqTEy9 p\A$6){͹:ڊj>5iAM4jC<IUwCMjӪ7&V]Mr!Ds!%PR]HM`'rو"z(/r*.ÏGz'w p}7'w8þ7FQՀ'c3M‹Eհ2mwl &5ojϥcˢdrXwYY8y'7,# .!9,ҁ]b.EGy`iic9+[}tv=恝f+Tow4;98d^uAe˼arʏ'z<ü*JkcAA܌&DMR(4qOa؏h2'[,KBl2*bvn[e؋5/Kt6W6Y(mJEQPT`$zPBI#@`}[>/VŹo4=ƫ0-HZzIrgǡjc-9rv)l(!:E }(ғՎy7͍qWpV~` ? Pndrl*jZ>y1嘓ART_e-tfEGoCc|瘣0愃Z@/] ^~AstzCqYP-Ip]G;6Wu>2Okl )Iq64'|.­I2!rIJ]^8')G]]x+P$rR(%8h-./s}OMG!%/ H)gX.8<<J,L$}2%0zfvq!ЂвO|Mz }Cnd3C2tR,ԤJ5 R=9}̃֘E,A]ao1N؆ = endstream endobj 15166 0 obj << /Annots 15168 0 R /BleedBox [0 0 612 792] /Contents [15175 0 R 15171 0 R 15172 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32275 15173 0 R >> >> /Type /Page >> endobj 15167 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15168 0 obj [15167 0 R 15169 0 R 15170 0 R 15174 0 R] endobj 15169 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 675.15 122.692 686.15] /Subtype /Link /Type /Annot >> endobj 15170 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 675.15 160.653 686.15] /Subtype /Link /Type /Annot >> endobj 15171 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15172 0 obj << /Length 19 >> stream q /Iabc32275 Do Q endstream endobj 15173 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32276 20690 0 R /Gabc32277 20697 0 R >> /Font << /Fabc32278 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nfUthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15175 0 obj << /Filter /FlateDecode /Length 3789 >> stream xIot_sQ/ac{ z(zr] $E-oidIIqg4RJʗ7 ^f]q":yiIU9Nk^;~[XB_~~`; pU٥_w:xo_嗯+8"a60^+匣#?OZ4Fe ;EhTjwǼxd>$#IIĆNAJ0'JyޒiK7x?%^Foú橮bG*LQ  Eu#n }ș nsÉL5wGMtʹ,\H~{ǫ,{#׈NKcI9bgyX[QyB2Xy0obހ@-\gukX0JidV 1g&ln!"5wODX3Ci  ''.TF{PǜKC]V]/JVm IMϸvpک<)U(~iSnquCT"ܐl*zћ[^To޷0VnQof^@AtI'w9'PY>O?SqѮlVqʺդL_JVe %ӣ \C߅Q,oѴc#Mp;ܚ.ZE=U*ܭIqaۆDeA׬W2&ͶؒٺK傿-h.--j`X{d|g\JU3s V5nB ;~A<#w];kf"16~4Fv}ڕX fmќ8U0- Ϲ2G=Z!Abxg{bR>P%-.<YD+4|LPz< C|'XOT ?F:{.ɻ9>tDWFDiIaNPƚI'MЬ$XuqOhdAL*ՙDq:oIj1vO[ZD-Vlq6RJ'nmZyݵmcMĒB$̯p4m: lf^tDD?+q]{_@+%X9 ځ\Y Dx =baBl vnD-8 y Bv$^͡ϡ$.6;E=!j^l2-uH|!11@b O|\-:$ޯC>T@'D}]z"ZE HanijN]5ߵSjabR>& |+?AC0Q%OUa=(a![ _0ŤKjPŚ0d)f;L5EtQ4 A`<}`b)tY<0Q_d#^%!NKQjSA".8ܻ`eVJԯc$ p&f`L1ԑ-  x1wHpȍlP45/u0H#d} | d7m3GC "RtE)'J'OFJ%mg$AgG<$4P0Xn KVxARp:T\ߥ,L?0dZB!:*pfژ-"/Q$[ذf bbFu"vFX_%dKe < TvNi@3Ȕ(Uv}1c):sn̼тnJ1Ngy'j0E_vO ǚ VHU6*@RC%Xvɨ2%perzq\̺^_Y3ɘfˌ}CMoZm ̝ϑOںZ$}SVV2fD$"i{l8oΖ|W%I5F0z)^Q6yeVMxfTjP?Ƣbw[a0 w#B\;#G ;wcъ4 x߲.5!T >3*VEetH"t8E)qtNU..WW o@`ogXdw^e91GEkB|ؘeNL_6CwsP q5ubIb{ZPA-nsX[g~r872ܮ=/#VS8$SR B{Vo}wx^ X8:nmR?5\ʁ*znuZͿ[ 8Վ,Ņ"pK:ev.ִUL;r{K )}9xGG]]_u,t|\c'嚳#nԟN}ztP;ӣLܴs{{_ߞkWZ]AV5G`%]QH 'LjwB^RG2ivjy)SH\tHB6{c3RXŸb'n;.7~0(]TɫXnpn.XAW5Vv2MXDžGw <#X\|3}., jdR"]@*19ֽ5eWul_SX?,5e.RiԢ1kvBUƶ>dǕ}bT`WV-F90Q +0XbnX'PlYb 'm 0\Q:Z[*SzBQ=v.6rolAc5:EWa*\e{%k&B}.6&(CyqU>UqX^8(eNFZ]cI7>zĴcFA x<({!,[b,Բy8 }=UۅO^>q 78blЄP! E?/(.iih τmB N BGGb-Tx]H7xy-XOqJuJo%krݳAĵQ#LM/HUxZ[PA<CLxCV2 )jg-ܶv Kׅ *{ڈ1Gyh"u 7R;Q0\MϙKxm}chVdn&?doAC ӳxa1scךBDoSqez.?N׾xaö(k|w˘=wI:D~^(Esw?pkx8pc\"@f`p So^eV}>τG$Lc:q7 S#F(!LC:qpUCj{N/MS[J,30~%`r=$z⬳[AO[Xwߡh endstream endobj 15176 0 obj << /Annots [15177 0 R 15181 0 R] /BleedBox [0 0 612 792] /Contents [15182 0 R 15178 0 R 15179 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32294 15180 0 R >> >> /Type /Page >> endobj 15177 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15178 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15179 0 obj << /Length 19 >> stream q /Iabc32294 Do Q endstream endobj 15180 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32295 20690 0 R /Gabc32296 20697 0 R >> /Font << /Fabc32297 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 15181 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1369) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15182 0 obj << /Filter /FlateDecode /Length 4098 >> stream x\I, W `{;]*U/3۱ѯ[U*")# rA?rMPx?_ۏYe 7G.l8?]8Ms~І oH"dNqGιBwh9c/7*< N/0:p#s{ -/\; ;8ӎY-*AK$4 %:Α#igJ2ihje\lp\(e\(ücJftI[cIFv8D2q!Ơb `([ qTVqR2$9d" 7‡+txF h B76 >N<-ځcHj[$)W({-m ~!>} W'F+(- .|*/74޶骀\+3zs" u28\)2;@}&38XƿLqc_J07U0\1w7V*b[Mܐd h}@y2)[6laK!L圚SZyA;0㵕mkx ."-/k AS5/s"wHS`F ߬@ .(_?_s'crvN)}ߏ>bǒhyMRMT1˼cxsYHq4ԕ׀PX9D<+>HxN]m|}teůc d??汁20#HmJ4WVdA(Ǧ(! \k!QAT,TN l΀!/}`Om--XԸ*;)&!eS'k,%#ق쥹ǀ2"h#r쌈-]/IzxpPܫ:b"ڃMa:Ƶ?L m|DUC3ʮRtc [a"gY~J׆>oz1SOc\UTJ5 ƁzfPDvUq(ȮOXQ\mg'l\aU@D«jN2s9DF`F'49 9e$W[X M*gݞQ܊۪20V3Л#C٣ʎFR$ &+wrIkm,њ9#p*=R J'vr68`LW7 T.6'Ll:2 C2(`K냗a[3h~Yt /p"5'/mNj]pZ̻3t8ެkHD27|"GgΖ kxAT)4W`_"'c}zwwپBeչP@v{WcT~]YX۸TUZ(Zh{>p;+^g 跛-:W|&*5hL[RY"dEtg:_)%{:FUaXD(xg+j[Eʊ#̬x6&p\4jC{;rR\<SC7/(ъD*3 =4܃`1RT+YxJ򃒮9{x߇DxyَLͶ>gt̤sn ,U1Q;'q"+~rIuK^gT8. !xH!?/wO9;SmhYXu|qfc'm$3Pذy%?*$ Snކ`ӿ}#Unͻϥ/p鿤 /IC'/TA&$>6:b(#ƭ*v6 s=yH"c&k pןbǟf{Йa"5;uL:.GÚ2=J`$4E%M"iBCes>yO|`0zwrS.-#ᚦFOr8;4a *rm[+v<ienA%؁3ue=0㉴,T;WH]uv"|.6:*m1Kd%s~I"һO@Yg(\f]kê`7]!`b*\qYql" ,+ƒMib7>zȳצT|M%2"|Gsru운=8k"? J?@^a+ 6ͤR.;i4 $+{{ӭ`6k5j%((iӷkMRkTdaVO{xjWEҦL.Tt*{ 8ݳ6hn~ }1>FgL ?B4.D5 X ُljƤ#z.0}RVG@۟TRa敇K?xցOG`6ϱ]LJ鞎"oOm2.=bsF=.¡$0IUrKk02b~?]F e$f> g>&/ &#f(~~plnNYpE"^tUXTxT`j*Q*Obkt.2U((o4<] \Ql;u*LU_v endstream endobj 15183 0 obj << /Annots 15185 0 R /BleedBox [0 0 612 792] /Contents [15200 0 R 15196 0 R 15197 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32313 15198 0 R >> >> /Type /Page >> endobj 15184 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15185 0 obj [15184 0 R 15186 0 R 15187 0 R 15188 0 R 15189 0 R 15190 0 R 15191 0 R 15192 0 R 15193 0 R 15194 0 R 15195 0 R 15199 0 R] endobj 15186 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 347.7423 164.1782 358.7423] /Subtype /Link /Type /Annot >> endobj 15187 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 331.5423 217.8802 342.5423] /Subtype /Link /Type /Annot >> endobj 15188 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 315.3422 169.4802 326.3422] /Subtype /Link /Type /Annot >> endobj 15189 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 299.1422 218.6612 310.1422] /Subtype /Link /Type /Annot >> endobj 15190 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 282.9422 172.9177 293.9422] /Subtype /Link /Type /Annot >> endobj 15191 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 266.7422 179.4682 277.7422] /Subtype /Link /Type /Annot >> endobj 15192 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [104.1732 250.5422 174.4412 261.5422] /Subtype /Link /Type /Annot >> endobj 15193 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20210608081201-08'00') /Rect [104.1732 234.3422 172.2742 245.3422] /Subtype /Link /Type /Annot >> endobj 15194 0 obj << /A << /D (unique_640) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20210608081201-08'00') /Rect [104.1732 218.1422 199.4387 229.1422] /Subtype /Link /Type /Annot >> endobj 15195 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 201.9422 187.0032 212.9422] /Subtype /Link /Type /Annot >> endobj 15196 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15197 0 obj << /Length 19 >> stream q /Iabc32313 Do Q endstream endobj 15198 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32314 20690 0 R /Gabc32315 20697 0 R >> /Font << /Fabc32316 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 )|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15200 0 obj << /Filter /FlateDecode /Length 2725 >> stream xZY~_ >(kocسtf^;RUw.UR_j]j o1U9-I㔢!/ ϴ|ߵaVJf|~)RRwF gx7Ph J!%;}/ia( ə߀W{i4{ᕰS9?).p<\tXgMq{> dZ]^<1ch{Bwte|s*.n*[C"4uJ/l˥zcd8oVK۹~I{`v]o$DUU VҘsW6}[{]+(L]2Dr2,N"N\A[Txa|G[B6@R' `i9f_kS! z܎萁mQԁOx%Kj \@XкH>ORTolO^ĔlM̱ŏؐߠ opҦDžvZ F3B.}L|dޣ2K|B$jXiT1&{;^y XE}!aMG6G_BKP*3=83dBUHQlCW tRz͠mf~T{_bTTeay k.ɠAu T4^l9ZO %ùG6 Ɠ K$ QQlB|jυ7UtbySɾ0kҼ,=dLA9U6$J /ĉnN=q7':[9^N iXPJqm0V~jZ%z;dOK|8>6h;5rkQguh6XFk}eChhذT|ҽ;{NyA\:;ƭI/[;+#KUo湎"eW} _p i}S)XMDcr4&Vҷ >x#ʃv8VEBfN6Pht)n&.$qʥ9'Ii냃RǿU::"B ^愝sjm{GeU\u$CمR<=ybCg%N@1#S~E~n࿸je+8;\ϯw4tzT5@90xFV?[jPdGE3HhD:Aԉ7P'Ex7 HZmUT6.![Ü;? k khR5VQv &T#S~Z in2t㹇y%9I{IFfzuBvW;>A{l_ !&Bwxe}S}/j_'.j))s kY(fZJ1F.~F4+f:uk]Im,vecـc?ށ`'BBfm>W8 .bÃ?y2 <>![-c=Y Ɉ]*@~@r&|ƎJ:'@j=TP8y̛Eҭc$Z &!X%¹/dp>Yhx }B oAń:ą 8ϚEDo7)C2t%nsMTutp(%גwK>_/ϫ u6&-Qx@ܩJM٘h 良SF/X:yh m.^[OMoч6" '5a9𮝓aڼz}yE6w$_KuDN~ r7j]dw5و r[q وVLWYD $y=3X`{ }/}xm\es+ F]^Yԍ3[HK@[ WlY]ɓ_A?"t&RW19o&* a4T@ l,CN:DD,FVx}x0G:RD^iu‘셰qG/e4 TG(Z*i4%-C{L-ACp3fIK1#mxθ݇H2 Q 4lLyN2MFЊbS,x4Bi`&7bkB7RW~ rX{[RI?@zf/WX?j3+ej;عcNgX@nBP4UeԘ5t>FEϗM t=WF!ݴ(ו40 4kzQ\W!1u:^Np= ~p?UbI> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32332 15207 0 R >> >> /Type /Page >> endobj 15202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15203 0 obj [15202 0 R 15204 0 R 15208 0 R] endobj 15204 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 400.925 140.952 411.925] /Subtype /Link /Type /Annot >> endobj 15205 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15206 0 obj << /Length 19 >> stream q /Iabc32332 Do Q endstream endobj 15207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32333 20690 0 R /Gabc32334 20697 0 R >> /Font << /Fabc32335 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f}#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15209 0 obj << /Filter /FlateDecode /Length 4370 >> stream xڵI$WY@/@.ç%C60AwlܲGFՙ܂d.h#|y]jY_*M~Y>/ŚOPcroOZVÛ-f[xVlZ/CZ`7S9wOgրȯva7Ti[,q ֔h?Q7Yaei3ąi eK:T˜QNAhwy^&ZZ?Q_#4_O9cP 3uȃ`Xw؞ Pu1H\0v!s"a <++v;֥Yl] M4(gk; yv v.L5Zե(+`3;"u|o0$ MKi˔Kf3@Y `B0( V|0>?/͖,^=Ki# A^/׋6(3 oނ ^`SEE9vce3!cـ! cZfJg&EfASU,1+BUn#HbN|t/!BbS :H5zCAvo szScV,שޫW}zT٧PtȤΤo(CJ@QSU F2n!_@q%B IX"Uϓ!+O\6\"#˥GĀEY%Q9x4\Al[ /c"=pN$[]u׵gRUaDT/e^Uۅľq B {IlGU :''[g())YV}N]Ձ"#11x7ʤ"3~Xh*oa۴iĩzQ6<{\v>g ;xܹQUevn%r6s?E$5,wu3VId˙7NOwl=ʺ#4oVSfO]+*7w^;hr+!B~6^ 1YBl ş;};>u5h̍Mɚ)wGWٸ>kAt%.ĸ%O0_"tPK٬V7 :[rb&$ m9Q: aC~!yZI M۠V71unY}Blfptej0 \%.8fCf~Jq@u<&1邃{lDFr79QUɖ"g@b"-[pC6 @ќ_/S33Y$h2Ҧ!ɲV;ȹ2Q/?̈ Ó#7@MDp~n??Pmh-T 9|968S8L_x)[z\hmw.Ghpe“xaHr.X7ݶ=A/֙ S^"_Ma\{[˛>AЄ0h$?orxpnŵLbb}K ^νޔ٫VZS0 /b.ͲZYV.Yf&k3exT-a;Ӟٔ-fS)"-:㯞[95X蔑QM;OmFc q*\J  &CV;Xcs)%A,x}6}!u {Avd}8q [%`Ou1 :z+8{Liqۘ[tL5dzr=b 픦- o*,0)Ltc מvQpMNC)] l-MHV.>QJ ,nEZqRhZӬ/ϪR}99ls55l'_yB<{{ lJS7qQkc~#?}"aN;OsROjomtq>9˸X5'G5w%s4 S5͑gǀ/ u!KQⶔJzM/ƙ/0hlM8$:O}–8-YOtKaxai.`0 oA9ALo.TЊ@CT]KD]-˻1Oȫ=w ϽzHuB@]+0=bv f0P6d<ʼn$y6NI9nZ0[Ͱw G[)b`-~j2u%?619.4 +s50'}.@)s( &ZX=Iuw~(oW;*W6IᵚqsI-Wp(u!rCEw __R{t>)H52׿Z댌x WV:UZ8E^' P꘵bJ2|,ԋMe) 3/Lq.|m|KedW7wn7[僢!-nđOq觏t>,p7Ω29<ɽC>ʉa] ~`4x9ġۏWiߟmJ>%u+͋釯^d*}Jer0×9;GwIѧ~/1v ~ ~IVyܴv ~ {25hwB!  $~‰?) ]+TLt9ׯ;}&YQS.Y[?zgW#N h XE-/ endstream endobj 15210 0 obj << /Annots 15212 0 R /BleedBox [0 0 612 792] /Contents [15219 0 R 15215 0 R 15216 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32351 15217 0 R >> >> /Type /Page >> endobj 15211 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15212 0 obj [15211 0 R 15213 0 R 15214 0 R 15218 0 R] endobj 15213 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [104.1732 90.1039 172.3292 101.1039] /Subtype /Link /Type /Annot >> endobj 15214 0 obj << /A << /D (unique_652) /S /GoTo >> /Border [0 0 0] /Contents (current_frame) /M (D:20210608081201-08'00') /Rect [104.1732 73.904 172.2962 84.904] /Subtype /Link /Type /Annot >> endobj 15215 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15216 0 obj << /Length 19 >> stream q /Iabc32351 Do Q endstream endobj 15217 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32352 20690 0 R /Gabc32353 20697 0 R >> /Font << /Fabc32354 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15219 0 obj << /Filter /FlateDecode /Length 3130 >> stream xˎ_M@0jI `{7֗ԋlRIc#Fl[dzWE?QU/_T3*x5Y|0ͨ\G2z :/km54A뭅n py Z=qVoeXq G_te L0ۍN0!u{i< 67fUL" j==dp"@Sp``ĂV dgX~Mp-o܊yucΡ@5X(-d"1A \_>ؿBc:C]<>)S̫>eߪi0IV\ueMZ-0ԃ';&95@bsu}H:a_Yu/T\mzmɢEJpڡ;\i!O ~y~eitu;j6u^ ;i09 V:ݿS."{]EGU1 oU&,8R2{3>\#6ߎ sK (^ *1HRY`u/h }3|ǖw9o[[J'ҷUi/meUyK2MZƦфQ ǥoF>rDr7oH6ԇh;pӮ$_k1tf "'"ߪ'MA7-LߴS~d NUwC_\3hw+(67LIO3߳p`.*۠P<_ ;ŲW :T`'5`+H\N}3IC܍]]d= mpG` y^Ut2l983h z а[NإuI!1N1WƼ!?#@G*<拰@ a0Z-6 vyqWt8VLtӵGxC ;,jS`jþud6㘯_COQ,E\;]N}:px^XSwYC7Se\.yh ߋ4oX ;;:;i tr}ҳQ%`*gIYL#VIiJ9C#4uh5 8'uMX9ʐ%|A2Mj@CY!^ǪD*0teE @Vtx,?<\MBy ji(nd@ĵbD+A#L {%t^jSiѴA$+S8]+C2aJɜVzf`h\j8/k{XK r3.s^,F>3(f)+߉QVж\ꚶL 2) &j sUnB. ^.ɭ*׈ɷ- J^H!$x$%@I>u#FJ]$BĤ 1O);>rrsb +`a gmIF9gIsO\ֲ$Jy ^e+ ~<}ϣdlM+8t01 L9 c4ޔfxDž[x $o+DeI3\~'Hn-1@[CjAS}pm> f^7l+r #Pz`9}w"?ZF}%r=+ f;W`S9Ll̆_L endstream endobj 15220 0 obj << /Annots [15221 0 R 15225 0 R] /BleedBox [0 0 612 792] /Contents [15226 0 R 15222 0 R 15223 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32370 15224 0 R >> >> /Type /Page >> endobj 15221 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15222 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15223 0 obj << /Length 19 >> stream q /Iabc32370 Do Q endstream endobj 15224 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32371 20690 0 R /Gabc32372 20697 0 R >> /Font << /Fabc32373 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15226 0 obj << /Filter /FlateDecode /Length 1047 >> stream xڥVˊ+7+Rz$ cEv,BV܄ EJ% -RSjoC*N.:mu""5tuHv56EI.cћJt:``(N/L\xHƭ!fj MWOEv2ŕTrVJYt0%"T(uK.72'eHT=WѬDf\#67խJ7-z컺NM }~䮺IF>gƹ=jSBUS{z`\5/{Fv;w4p &ui75oy=?[DjYbGLt^k0a[ u/89}ʌGWPJy\Z#XA)27Iַ0V.EFwVds=+t/ znRr٧aTuSTu۩[lfU^ՉD8IwYuk,]fsm /XpӚkB3YTSK7дXd);h#\:c5Vx9Զbޮf΂ x,e)=Vh`())D"Tr|2K.jD= B%prΉr|ow3MÊkůo<&r:GiT0D(d#ⴶnEN4vH_ _O%\r}۾7m*`zN}z{BjVǓ0k@K/: ٬+O_@NIG*QJWc1N\E|`:-:qÖ9qt KWv~D`3$ ,ɲD;pOuq9[#_H 㩶)%q=eFX:+5u/ NYz`, s !s P#?uJت[?= endstream endobj 15227 0 obj << /Annots 15229 0 R /BleedBox [0 0 612 792] /Contents [15236 0 R 15232 0 R 15233 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32389 15234 0 R >> >> /Type /Page >> endobj 15228 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15229 0 obj [15228 0 R 15230 0 R 15231 0 R 15235 0 R] endobj 15230 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 78.525 122.692 89.525] /Subtype /Link /Type /Annot >> endobj 15231 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 78.525 160.653 89.525] /Subtype /Link /Type /Annot >> endobj 15232 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15233 0 obj << /Length 19 >> stream q /Iabc32389 Do Q endstream endobj 15234 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32390 20690 0 R /Gabc32391 20697 0 R >> /Font << /Fabc32392 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 15235 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1374) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15236 0 obj << /Filter /FlateDecode /Length 4311 >> stream x][ ~ׯsqu 83g'@,ЇO&E$uv~TS|g5@Es'"݃=fj!0SGN [oX5!x&=$ubeD|QidƂ #]T9VE'*'{x&>&l[ ȄA;{mh!(\3hF0y6m}fxj7k ]M g%ׅ !|5nb{*. z+n۷,r%03a:Aվ( ?6ZYI5flVyި%u$iipfqŲhY5P σL{!S<Ƽ1Zx)z yAbl0;~}^]] q,zHƋ|VLVC/;O|K%Q|] { ts-`KO8|fEVWfYPP ]O89|ڡDߡ%VJ>Pbš%%p(w(C;Xq(CiѼ}:$U46C{`]p3M8ydSXmz@2.:3e2`bpǦI74s)ǍnAM|͋9 qAbov ^S~8 g)qs;'(2ޤkL̿@(m"a*bs|xI/irSesܜxgNӤ,&wd YXgS=ͅ^`c :ә8K#.^áSx*H0kGs's@D"YN0F/M2gs1<1bBeF=*Lԉl٪&+%ҧDڥzdKZ/o@s+MOJg^DTm|U3:mi \)ueYuH^se#$U~RӰ.kK&`7[ց=~rh[bey8 (LAGߨ#]4ʰiO [C[tc {[t?&Z$֚8c ݸS=>|BwVpqֈ"W 1n]F4cwd͗c=д{hjsRcXel5{@Ù5] hs^qMax)16g iJӬvw'lw3oq{@RnN.D'lT)A-#^ܵ +$B$I7.&7bg eݴ20IA8_7o3{fZ0w3PFEPR7ݎ+ڀej-"gWBn̿qmnK3{- >y*==tmޘy,l-[}Nh&ck9i+jgX1Ӿ<~;&L[6Ğ$dF͠A]6zZ#߫f=B =ہMlݵImtp6 ߪvdY+=+ դv;1-nqv[gWۿ} iD vV?HPa7zז-.[v6>.NmL\&cYwJN1sEj+G̽\Ncl#Wؗ ;~t&LF9Iӟ?'&,ʏ $"w> #@򙍧 &4EE[$'.* 4V CJ_UDڙ2x&B0"@,LT*!PDT?? \kh3^bFa7X8'\J0b9/߈[_vK9HΧ(KYP*EJNԗJ6⭈`h)&Bz+c<)0ܠN4S4QT)}Hfa5]jKRaPJ$.E즥~{JéudJ,bfbאѫ4c_h0982Qf}%۟ 6LTղ60JOf2Zrn"kMvmHY3%d%AFwdsf12=bӟDUVf2Vb2Ǹx_R^@]am| f uɻ7Ljƹx 5cE O֪)Q}+PVjхZ>XZ>uk76p#f}kYZk#>FP]bP7& JF1"0@O΢lqIgsx+GiE=ZQ^0UnfT˨j*67jX K{]ov0|rCP81;ٷxK鴉 ;N:o?.xO39إ툛DiL#6m@67 -l1vԸ^% iq=?`{0R@lwJR)[E zf\ՠ {MP=;GpܚI "@kvNb՗\\J.7KΉ7‹c)dWQBJQ=d 4aa 'Nc&ozǷqA0#glcLZÈ;Vchx|ۈLURqw68 {culhkmZQ:MDN,r+-E̥ud#r3|5#h%OTB9aZfs{j>S"ի J*qnn^i񜞥R*.{%zZW$%T'K{@JS6)roR49TI^M6@|OĦB}1dMR1qH&$"*OQ8TV b՜Rr^ ę)YB.}JS}f j}Ԧx#CxR6,i#>wTeޮI$QZm ~ֳ l"61|Br:vk t|SPjBe$ >^fvX_:)>#fkx}5Meu|~f'54߃<|و1gZt4I!r\O٭)]eD]!SQ/=nZ~=B0v?Ku`_'#r7=n"3\ endstream endobj 15237 0 obj << /Annots [15238 0 R 15242 0 R] /BleedBox [0 0 612 792] /Contents [15243 0 R 15239 0 R 15240 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32408 15241 0 R >> >> /Type /Page >> endobj 15238 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15239 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15240 0 obj << /Length 19 >> stream q /Iabc32408 Do Q endstream endobj 15241 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32409 20690 0 R /Gabc32410 20697 0 R >> /Font << /Fabc32411 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 15242 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1375) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15243 0 obj << /Filter /FlateDecode /Length 3906 >> stream x[K# W9+z?=>99Mv!$E=m,U(jmQ~o.Z]K~E\.EQW)͢}0fYJQJ> |Qo뿁a@R5ߐz["e`"Rowmnoѷ!_09w A3s?Sx]7_`^+5?9LdRre7JHcʃ߅r=tRTJ[3me|ls&0gL叫7$uRv5E $fWWIUl >Y) }{nr22,ceLd- N#/qHoUyT$64ڷ&A*ڤmQі20k̫kԖ=[y^Y^{]s>m ) ͯIa{#>g+[ ҏWVD^jf O"st%]eaI-\i rJpR&1XȨ O,Ğn$7|-0;-gBvͳ>f5_GSjmlx?vh!j?`s~tǽ>:YZ$(#/Lض(-C!p 0\Jn0L]+<}^VT,";/ KLR0%ô%K^%5(S#rCY:d)ٰzLjiJ,9 W$=NGS 31 2Zf(KӅ6mM XXDB5+8>Hb*:)&֤e3ٛCĬ)$OMA<F8v}4J`r+W> 9|Q7^&5t~U;xv~O]]ߏC `'3BRj pFfh_~޿JW(b&eӞNo#]q ;XrQ Hq"LIKXyCԱj[{o &*jehr6;޸hD)-놳Ĝ7}h2+j7gWvEa%D<.<v1fu<}dxFl{e E,a\s(SƚCM Bc@ʜQ>9>"p>@>;iUt ,T*$p1:赜adVnMPpG=lr!!qʘ1X,~o%5|=cjoe Vv+ôKXj ۍ=&2#W^;|݌y@Л@< tp(>ԔVZ`OwҬ12XPia1óP\Ogyz`Cй7LPl(ZU[<O6)LV >l|4i[M XxTḼ2nd0 #Ps`g#O'F* ~ |ĞQNɯ6a?k 8$vҸh A/8OO;zsA[c_~(?'-CT'GZҜ~nY n0C;؃x:skNbN쐕& M* 8HFArpDPUW[򪛸MňOcBD_|zU-$a̘\u+v<-n| I)d Vq{WD7o@yp522 tv~ncsXZ@58FJW{;o\q9tUUF/ϻ N %hs7&t҈Db1C7$ l.Hi}Q)X ԬηM519 Fb]kD%wol%U!Lq7_o0cx9&-cx9&-{1&&kIb?&M~knSUbR5m1)~)kvM$#,jMў i^uFڅ (}QrqVX`vvCûl7M`TΆ |zm'E?$H:&3"=,-$J$xQrc4$K <1q/r^ŘCv9{/Z\a߆4wFu&=#MnWJzL)kT?Sc mmU)pI^p1gsWsBcgfUڂ/>刺WBdm?m|G|"wwpT[iO$[|֙Ķ#yV.kVRۃd(čwkϲhܶc5: xq('LV 8`B6n}i&lS"ۓQuXUi}=g9vav\X xh)RbXR;f ylx51xo/`yGPn<4J ??C1V4 6Fa+"pʟ#M Yģ z (@A~*;v`.'63o?g<\ȰGleH2'd!R}XЗirϛu" ]+xDnS/>GyVu۔}'}\u{}zr;gs}zPѮY*Vq]Į`/7(YFm3DۦC`YhuNho)dg?s:=w[nV)tn_,jc__ޣѦ ߴ-_P~U-ZA.b96:b' 2S#W#x kCCƘ[& *1uU^ up!rcX_,OApV MUI " pK]ZUX%t9\l9_'>0/f`>3iM@ 4-nDJ:qJ8؝0PMC\~ ^Vm,/OknBed˒m`&ݳ7vX'R- +cƖ؛hыaMYh5+:$|߂zGGʏF27N|+5 E819TesAMFǕ[Ԍݴ+R⑩$HW/ ^4D6L`qs[둖)'^)=>3 O&/DjfJfz-ZS}.leɼ?-K<7>hhS*h?MLv=߂:{~mv0Os=R2R/DR(/D8d/Bp{ :H0\d8(?"{=]&*x~ZP6 e](s}{hoOG~a~ȻE8g PtX4O`Զ0<9QHr~br0>>5O/)X~=x13(y׽ǖ‚+Wtٺ`%{Se7lw./E:◔kAMdWY:,i,a^]U? endstream endobj 15244 0 obj << /Annots [15245 0 R 15249 0 R] /BleedBox [0 0 612 792] /Contents [15250 0 R 15246 0 R 15247 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32427 15248 0 R >> >> /Type /Page >> endobj 15245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15246 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15247 0 obj << /Length 19 >> stream q /Iabc32427 Do Q endstream endobj 15248 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32428 20690 0 R /Gabc32429 20697 0 R >> /Font << /Fabc32430 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM endstream endobj 15249 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1376) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15250 0 obj << /Filter /FlateDecode /Length 4074 >> stream x\K#Wـh0HZ99mb8⿟ݭfY/4b^~_"|TߖrM.,A%AN/ˏW K{V;.^V巣. ɿ*U qEM/`+mcQ^yB(!3~?-ѕ+CV<-JĤpG!`8u-,/6;#$qhV'(y?FF,T8k)CB.Clp=Y02ruTcǕH&˃vfe;DS"^EnycuaWgT`d?be*В  NqjI `kP4 ']9)S~XANӨvk`X Ya H-ejzbGJNw{en _t MV^[i`،(n&865Y3_uZ_0/e̺YW5HPHf$zP"6+F ҟD*fhCK ;{(P⢹ e(UDR:J&@) ol!.#א%^ǫteԱ t יloqI,detT4,^>X/ar#\q?x5"5kЕi&0Vy*^h0JZӨܺ ͢jf&0j[XfsHJ:E>^F{+oqPT Dg \TL!s'ʎA'&90{y+#m$V TɶERAbq܄R[@] EAz.G@?&9 ׍es@1(Bc۲Ҳrʃ%%DS(fAVTUg՞Y1^sf};"`vVI%-{Q#V{V/ )EKsȀlQ`t2BV6-,.?\ ~>뤵=d$TU\z T yãC4ɤbo"!: <7u.O@6q" PG:fvm*'SS":e͍%9d I$XeL:YWu~[V?T`ozB$SU ff[o2$Hs&u'5?~ުIm )4fl(cBt)7Ҫۼr:H5cT}zcd]IX tUB,x#y"_MkϛZx3+,k#IȠ"KjlWuI_C} =Z+TAwNxRj=-@aQajQTffvEvML8Vdd[2 p \Fl-UjGUiL\'`n ӧCv kı[5+qіśE}a=YS6w3U(*H)}7`SQ2]s2gk-D,c /kЅ(eoZ@MȤ(gБ .MdjTpzҎU/s:ea(^|cјJ f{їH*B <ɼc QírƘ̜6i7Xi;<SN-${)̲ w-xU6<2C/P4&(o@v\޹f]@]}H ǵԊx8Ѕ:388,Rp'0ja7cz& sE䋹 CWb6JիTozCtĤϾe2Zw~A+0~;{[lZ=QT5b#kMlH3@x𿊳 ^l^y:츊"Uu1-MSb}&8n}wŇl$ Q,v 7Ұꁳ4Bߖ7|o*%=;`k51(%[P+"xUCt /-FFٍՈ }YZ dX!@@1'\.;d9u3dn\ +}J֮KO'! c#F9q׺zL9XeУ8x⛌4p\6Y7#B:k,&@>$Ye]p7'踡N{a5ԯplrD#Go8˺ō7{s}uSLS1Mޫ^T;t~IqΣۯv vkw^Mi @:8/ E-/Z8JW&o֙YPVX~B|/ց0NJIQ 0nu5; \Pt@05_I Xx`]C b1mX> JE%Ay4>Z;E55rm;BMڒriP6Y;}f=yPvN|]&R- "7MDUt Ri ɶe9(n|R@%`(1Pqox+U01_a݊1R\uM-Yqlb[O3:{ا2p3q[P>)أ--p,Il_E˴5P$Ug(4/tE~\Dba@%\PqHm!Sof=CcuwFb8 _p.\[iEJydj|%I;G=2AOw|M_}wn+5i UZO&/cYjfJ $(۷oMVkTTa,K$x'G gYjRF 2U@,fzsۍ6nn#&=`Sdn\8H:iJjѧ9*'-NU1Z}. )C0R~[FǑ߉cu|jRʙ46s4^G}9"e2*@5 jl,]Yi恎o!9]B̟X}O~*Oܐx 'pz*Wz tz>rs9kO鞩 Ӑy U{޻t_3Z~I*+Ɇ%5κei"; LAt%%.ZD] endstream endobj 15251 0 obj << /Annots 15253 0 R /BleedBox [0 0 612 792] /Contents [15259 0 R 15255 0 R 15256 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32446 15257 0 R >> >> /Type /Page >> endobj 15252 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15253 0 obj [15252 0 R 15254 0 R 15258 0 R] endobj 15254 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 606.1 145.4837 617.1] /Subtype /Link /Type /Annot >> endobj 15255 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15256 0 obj << /Length 19 >> stream q /Iabc32446 Do Q endstream endobj 15257 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32447 20690 0 R /Gabc32448 20697 0 R >> /Font << /Fabc32449 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ|O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1H endstream endobj 15258 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1377) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15259 0 obj << /Filter /FlateDecode /Length 1600 >> stream xڭXKo7W`7|?C%YzK!'NQK~!6Am|__u:;t2Ekki4?NW񄱽qm3LȁwW*!P:6N| ^:uw;ad`pjB%4 u#|؀[ "zή-ڸϬg'ݮi8b QrtJ<6:@6H(p0{},mI:a2&&Jlk:2[1Qm>,1[pV_az RBeNHB<{Ybmh_g_UV hqAƭ)ml3?C;uW̢pbMnB>%d!7ЧT+|G+O"皯b'nH/3Ue'rѥxC S:,o^7KSh;LK,&og%O pT! Gɓl`d9YٕR*pEl< k*~SUԲԖ.A߿'l-5 Wa9ڷ l0G vSKe4B-aX3>su5Pm[S uB"g抍 dBγT|e~9cGNydH@+sB$:vy6yrr-_oW0^vݨuob;u oc8; :LS/i a,^4g:DSvj k԰Xa%sq-xEEp7=DޞOjșATZ}Y6FcӨ(Z뛀#Peo8E欲ꖅ[E #+'X*7]K(HYe[a͹Uj[Tk`IV [ wD>Ry2`Q)G:ϊ&uC17) c[r' 'G7`Qo%IBPq͢8uDkhjfql] pmӾ'ԥQӊ,b5բff#4N?Un"?H*BbȺxQcM{'cFkjXf0n5k(B\S>oH0Fq53!ɧ qY-ԥm%.4um7^q5F")8 o\>n$#_̶evo)T/J4zyЭ8Fy`,_,-tM3t or4XzM[dpvnc|{+Yx&Dz\K6Wtv$#tG< u!a7ۛ5ߣ-5']Z`JӥZlc xQ$y&[z-x,, kvDL U9qjcVϲ{ (g_#dCH46UHd?#ܵ endstream endobj 15260 0 obj << /Annots 15262 0 R /BleedBox [0 0 612 792] /Contents [15268 0 R 15264 0 R 15265 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32465 15266 0 R >> >> /Type /Page >> endobj 15261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15262 0 obj [15261 0 R 15263 0 R 15267 0 R] endobj 15263 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 364.025 137.278 375.025] /Subtype /Link /Type /Annot >> endobj 15264 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15265 0 obj << /Length 19 >> stream q /Iabc32465 Do Q endstream endobj 15266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32466 20690 0 R /Gabc32467 20697 0 R >> /Font << /Fabc32468 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 15267 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1378) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15268 0 obj << /Filter /FlateDecode /Length 4134 >> stream x\KW0|?aYd !IK~GuOc׊ Gdbb,j>ru˗ץ%X2_8|YVA琲_>.`Lx E?xwk8ru 'QnKYa+;ypM/=< w?!ϋѩdgx:[]~^_feiUg01w2WzNaì{28s)d]mReP(IgQpkf]5NVњiF7!>׏ܗ6|ǨC zix>?,%ri6Fcꔜzh.C3Lt #-7/k]\s¦,ȮPV$w t*|tݭ]'{6)%>,#tlkğ-9>P[B3,6Mgڱ[7SOAvcVteGĝЉgcpb!o:S{AN6?6t߮ ҧ>)B'AX8'F|`AG3SfQ]H|dUGUq:0s01{O?*T6NBad\e@vcYy;Ǹ3 ND%Qb`Xa5 J0$!; `CjJuʩ@;AAGX4&9.C)2R#Msq7N, hͲ-ӵxioPdj$p5TL4L1ZKqc[s aȆXΞj2FKIKnV&"B"k5ch(<6 G7 l[j/ J\vSiR{iIUip 0>Op;'qL δ|3^O,sP}8yu'o :[*Lxלwmj1r`@EC$Bc5u iw܁9'6!L)7=YI1Y]ꮭaygsc1'tvm;|deǢ6rr 1yz`bqӂiFӌѪ~59GlgV:͒= tgZ`&Y-SKqcps[ -Rwԙ ؄-˕@" [aZ`z3FF'_'+Y.F}HOI]k qbܽ5^m +00y}s* !O$]q{ p6.Y{qా-ږK/yHa{t幅d_G'qgH7]NO q![4\s gY[V<"D}#BD=/ y2xS/}7gL.H ٢ȝ bvɸ<4K0~6_G:/=҅,2 i8t{ޫsˏRFM%7 "n8u0Ev2X; B.9 endstream endobj 15269 0 obj << /Annots [15270 0 R 15274 0 R] /BleedBox [0 0 612 792] /Contents [15275 0 R 15271 0 R 15272 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32484 15273 0 R >> >> /Type /Page >> endobj 15270 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15271 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15272 0 obj << /Length 19 >> stream q /Iabc32484 Do Q endstream endobj 15273 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32485 20690 0 R /Gabc32486 20697 0 R >> /Font << /Fabc32487 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>L endstream endobj 15274 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1379) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15275 0 obj << /Filter /FlateDecode /Length 3602 >> stream xɊ$_!5ڥ$!`|*{Ƙje~oUmp7QRHOo_JB lQN$]e:Vh-^nSmJA^yEe{ىMTi `4QJkRxa}1(Oph";5 0לN2fݤ-,#wl.F&ঢ়[ox}HҊ׿] oC8n=@}Pe֩w A$(I?`0:(KHKAi'$7v靮kbzGh'f(RGrHJ&`;L0td 3?dHD϶́gwԢN@#n?^qg/!)48uvs`A8PDf5"{0AZ3& ӛ]\up.MGT %Ac!4 ֻiβAلS3_t捬tnѼ:iy$cjs~>6AehnJ +6-TPBAj;5qsоb>Mm$4;j;qN(81PfParȐ kdsC9U$ɅhIu>f`1&y6X!U@˨PI",Z ØشNR{Kb\_6 ρr &E^)PѠ'0)ۿsg6/;;Y=U>Х*jifX𮪛9Ldť_7Ik\zfi2s@9xbs85Ǝx#`f7U\7AvܪSkZ%*G]6ߔzr;v?%dsS%\{}]4]NN6ۑs"ȑ1rզXOKdoJ^LĤQRnPK:{R"CZvzRՈO "j5Q8Q izlֺY ֫>[ϾS UGf_Mtb8eޫO ifT.H&^8--ob NܗV~ǜ* ,MN0`j|7&YA y{ M=d c;t0pakeCE5ye~ &5JfGhLBȆL$Tڧ VH_Э/{XQ@4ό0qQᡞڔ~fKwsϤ,"!IdN r1{2jlCC) ̇ ,@}@G p]ZwL6Ce/ݑɱɱO z:)NWt1C-X)zJɑ|ƺI1W` |n@7*ho3ku2NDѺ3p{jJMWJ$ =MIS2:;b/KYo#j՝@!majtx'՜2;^eD~R.Uk5~oƏ!uf{%7H2{bYk2Z/X;[+R$puBs[aJbcQGn"#nԟB~C4+ac?i] ؟iYwE2D*Q1Lj!d}Mm2/<`omN;?yI҆#"({y~kv^-_6 ]~sh/Pp e&KEQzm˛ ]G,?ԾZ qu`o7Dgk܃_IiX2tH5hvDz"(Rꭰu>DzPٌe qeFEf!+Ou2*'S2u`/g qkk5p::Xw.$ R$Rhf]y30{y5#3r"/m)]Sݾ)=:)xeW{v#붊V'Zv]Gf%i_2( ) tI!wM=@EקgV ]˚ "Y,L>6ǽ4,bŹrVN j!lJzZ0ig ʦ>\DƵ"LJnW]#v`lXeG1 Qy͡y:-#IJO>"iRݥsKN&o` ao5's1|g X#Gٗ&Doũp Wpzq1ˍWЏe +P3c-#EOjj,XbMa>IShA񶦵@:$fhe5mbe5aNG"Y]T 4<==1 J#`;ɟd4Snk5ׇf _ݶM7q0 q4t9O- yO16)ڧuUu4áT&+ĆؾC ?z;tDa K?A jP!ɌAcP 'ɍ6Ġ N#P&J|NΠ:0xznw.~krTH(umD̠+@{vi]T0*%a{yypnr|qt7uC(Ld63.aMK\ہ]z0yMP(M;(`&\ a3.T-QWbҌ9 @6<8eߌ p`/ew]cF5فv3ߢg$kk+ckZ#)X:{[t>|M*_#x#hKDТ En5 eæ<HǓ  \'P>k# HZ<T@+%ɯˬ)q-ch0rf&{n15SGvKyejXdDl=4-lmcFXbSF9-g&/QSjf]b[6Tk3) ~cs-GS#U$m'&twamVr~KDrYa4NqxBrB0txjvzD>0qU#;a tpM>}:;XLJ<O$]ׇE:t>yc0G )21,L:52>@5#\/g*=\1M&̘3\>;zG \(gAI~_/\3Î?;W\Cm T@09Y~n.H+<! yCF>JBَƲǣ2DE+7$ endstream endobj 15276 0 obj << /Annots 15278 0 R /BleedBox [0 0 612 792] /Contents [15289 0 R 15285 0 R 15286 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32503 15287 0 R >> >> /Type /Page >> endobj 15277 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15278 0 obj [15277 0 R 15279 0 R 15280 0 R 15281 0 R 15282 0 R 15283 0 R 15284 0 R 15288 0 R] endobj 15279 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 193.2457 686.7] /Subtype /Link /Type /Annot >> endobj 15280 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 193.2732 670.5] /Subtype /Link /Type /Annot >> endobj 15281 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 162.7152 654.3] /Subtype /Link /Type /Annot >> endobj 15282 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 183.3237 638.1] /Subtype /Link /Type /Annot >> endobj 15283 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 176.4817 621.9] /Subtype /Link /Type /Annot >> endobj 15284 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 166.6697 605.7] /Subtype /Link /Type /Annot >> endobj 15285 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15286 0 obj << /Length 19 >> stream q /Iabc32503 Do Q endstream endobj 15287 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32504 20690 0 R /Gabc32505 20697 0 R >> /Font << /Fabc32506 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7kPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ P endstream endobj 15288 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1380) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15289 0 obj << /Filter /FlateDecode /Length 1279 >> stream xڭXn+7 +.0[/ `S Ef48u.`9ߴՀ5:{1b ڟc˲'SR^^PNS ~NF( a33mt't N; a"vڋlSRE9 9;>:ۉF\+%FN:̪C JFJ3Jmh]a ;%*~ rub} 0u O=0NGjS9ۢ'SPkWZK…{W* Z%2g&gBHQ{ײ"Zը\E/: ?l}j`gUTV]wVKbGҖ%*,)az R^0lEZG?"Uzi[?,u`hIW3mnH_`I>Ó^%Ԇk#y'h9fj嫄plwOsA,]eyif=9DdŒVy6έ@Y7ϗ=꼬Eq[ޕqG0:T6Ύr /Qy%-dZ)#N6<.y޷f.o,{.Ya6sҲI̔$}Zȍ+j>'Uaf""%W_sTE5`M=>YHND `oߎbf.#E7$*j`m2W2phcӏ/L~DCcvOoɭuH JsLikiOl c'< e Ƃh| q~~H7}s\w> eBsmBS*}+܋o/G37`8ӍM\\vhPL5Uo' endstream endobj 15290 0 obj << /Annots 15292 0 R /BleedBox [0 0 612 792] /Contents [15299 0 R 15295 0 R 15296 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32522 15297 0 R >> >> /Type /Page >> endobj 15291 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15292 0 obj [15291 0 R 15293 0 R 15294 0 R 15298 0 R] endobj 15293 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 372.325 122.692 383.325] /Subtype /Link /Type /Annot >> endobj 15294 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [128.005 372.325 175.283 383.325] /Subtype /Link /Type /Annot >> endobj 15295 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15296 0 obj << /Length 19 >> stream q /Iabc32522 Do Q endstream endobj 15297 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32523 20690 0 R /Gabc32524 20697 0 R >> /Font << /Fabc32525 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 15298 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1381) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15299 0 obj << /Filter /FlateDecode /Length 4551 >> stream xڽ<Ɏ;w}EP@eee}s>4~!ERRD*kv8CIqX̢R˷E V?_Y>u~M>&|}_ujxYނkogo^MkejG"oO޴@yߖGN`KоmoۢX24U;ZSYWeaS\̖O.P֤0K%N~_'IϪ/g0}YKN0,}^*gpL^zX'zY a$bEu ^:'PlsOB,#82* 4FJs@ P~GZɫ79&sGA7~\[܀ff(f -.Xh2  suFTS; :ldZc`|#u"MIfuʲ 5YLW>.H^##tɯd^"l`KCAv`H~TX @  QkKQ h#:` <IW_S!%?c7<޺ "C66)-?BxT>_AQm7z侠:m"XbUckq#o#*$G J}D02q@ -5)+<ɞ T'v~G3} 0F~&Xk]wwk"6 UNAsq/[V4@hyIL$6?TSıT?eμh@q}3+~lA0*DBYh)ٮzE?5l+5JKuꕭ#,f_wU Ce. * j:T0$V<(sGe s=xohPOy F~3]p[zh\B뢠g}@u\Vy ݋9H=.N'|XU@{:bYvZqnkbd$o EM >w"ϱ :ݪo33AK]!yLG?!ßA},'kxW0koziyާsO_nIsm·5R0.xZߡkC|Öz/FaƸ^Єzl;GPa"/*@yŢ w@e*` hGxfDBl^LrػWM{($AA☥[h4fZ=lnVc2<`RԽʻ=OPٸԺm(xʭz>Y ΫMe&L +.-^jnlT6j+W-e$eDnBS\l:j*ߨJMCp;5mVOFSUF5RVCo%ܡVERRavke~22hNjࣿG?qYOա\e1wRhs{;Vwv}juXf^>j_aVjìVkV껬VklI.WOs!#էў~х6Ej)&b4qǭ uUGaLݮ 搷a9[ԁ4~-a6[q&]UvXR; * hZI"q F ,n˖vMvw>r~ph>!8 #gLWйGݬ? 0BbTk禉9|FQ'0I"%sgSX!fSy9-80g/#F`{?2,'h<֠)'m*OL l(l3` Iy7M`զ-f̼q:;(e")5qfLs̄m-mr:­%A(uz,=hc?S*Pu5`|ZH '#%!N M y8' ^9XΕf|w+IxPBeB$> vzV*7c LOq8%qBzM&:\օd7GĒSO'ۑȩy8-Ff 1HTs0" ˾"'ϼ,ê~ikVo/lXs_',LW\' 17Tn\ČZtp6 1ϒS<9UWY\|-dP}x0q- f)vWHʚ;C`ys˕?h(5-eqʿq=B~n nnd;:ONyz_j{~ACؙ׽P1}&۳;XX7?lZ7]0mzbVC6|O_M"jC 0ɮ$]l2 h+8! hQrAQyRw$ ޾]/v휲L_9zهtB&{mJFUMv&j!~6`; -m&4Gc<]]T:$^+ړ[mgL!5 Z`l.VQA'ϝ.|b·qڦ&k=)9kK*uCb`Fδ^8ܽ ,$U{jF U&km A$Ӥ%_ϓ-V'ƕAN.tO{zxymO[,3dFG׍G٬nM&GMϴmxf<2n}*eѭ~"nj[h@- @L&Q w?.xK"4^h[*$359+x;a}j7};aaM;AA\!$&)Jʫ!j|_j%^?AN JCЪkIG[Jׁj6\]RQSoG-PHLju5o.kW7z9Hᑘpp<.!@##e+8u8˭EC+P T8pS&q DŁ * ΅YK4QUyv %\ *zmx Χg6J?\rek4!w!1E.jj(uZ\I%zQ5dbL,M787S%nxoVC C[mYLE}f}j{(aWT9Fp2X0xz;'Ǜ .Usإ+ׯG.Zg%t /"/WurElB} ;"ώ3 ,1EjWx5f}xY~_I˷Xl?xҾ^d$FGxĭq*mʑ]KU3?ٟymw,?_D r[n>Y7:]6ŝe,-̟E+_l74 endstream endobj 15300 0 obj << /Annots 15302 0 R /BleedBox [0 0 612 792] /Contents [15311 0 R 15307 0 R 15308 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32541 15309 0 R >> >> /Type /Page >> endobj 15301 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15302 0 obj [15301 0 R 15303 0 R 15304 0 R 15305 0 R 15306 0 R 15310 0 R] endobj 15303 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 271.1115 195.1267 282.1115] /Subtype /Link /Type /Annot >> endobj 15304 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 254.9115 192.6352 265.9115] /Subtype /Link /Type /Annot >> endobj 15305 0 obj << /A << /D (unique_239) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ddrmcs) /M (D:20210608081201-08'00') /Rect [104.1732 238.7115 179.7542 249.7115] /Subtype /Link /Type /Annot >> endobj 15306 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_ddrmc) /M (D:20210608081201-08'00') /Rect [104.1732 222.5115 193.5207 233.5115] /Subtype /Link /Type /Annot >> endobj 15307 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15308 0 obj << /Length 19 >> stream q /Iabc32541 Do Q endstream endobj 15309 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32542 20690 0 R /Gabc32543 20697 0 R >> /Font << /Fabc32544 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15311 0 obj << /Filter /FlateDecode /Length 3055 >> stream xڵn$ί@3@h@-́ &&ſpfy*ǷoU4R^R7 ^fS] <3IUA>}&fYG֗G_QEӿV׺,ֳJ*+( ts&xKy:퓇(~OJTģ?wb .ԄG1#vώ zٙ,w3́@c]s S8dنJr$B8p]U [ ]simbi ;cS8|4t<8 m@u*h"{@<\o\ Z07CPM H? -yNBx7Ȟzf ‚4W&VzL(o<itnH[ v kI mV:3)vfs3= (6%s˄g }c 6'ؙ-'ruDdˆN+;Ctv7ekY% Ċ*'(=Z#ci 2Hcy#t T.)ٚ.Z6op@))Z!E Ihu–_!v7O8ovbSخ5v&&ڡ4SJb>GgkϪ "TE ҁH&r1pT geJ,{6twWR WA鸻k_CF/wךQNG -ӦթQ`bآ!z2_&^/jYUzpT!TS81:t7LtV-=2\&I 8ygT 73vU%`7.F,s?bҊWSZ_Ȳ:V 8Φ3ږD'uw7Vz^MrGIh'/eæ%!ge͇**BB!Nsn;ݎP t j Ňdp܍9ʥi\Xs5;y#(M/Jqi\*$7ř/&MРtPLkUvvլ򽬶3yxiJMDF)Q{ۂυ%"ՒٽMsz5Iߎb /UNKl 1s kJ8--YXzmMqʦ&(gDM3PŴi~Ãh(Kc܍Y>= vi|LLv2IRRhD;oϾ)źZsIwcB|Z4WM&_,7V嵻ovjO\#g[sr]*scsj=M9S \/ިIr\u3[77j~ԼᕽJZ;f0eM b DSD>x0pFJJq#cXeқ׺oZ`=+HRg M ia$\P!5OAtY b*vf|Cea C- E_ȁrAЃ\_`=MaU٥N :ƈu7- ^C'GȄ+tlqMVkSmJo>M=vZV[="F׋^]:*7o/oz#v?<'/ѧqtQ:l9˯?_𕠊/m}aQTc^X|l~܍>9<~-k32G) /_W.W[p'M[g{Cgۏ8*窳-.Nn8.K_hvb݌)5_!ahk3dYH6kçNȹڍP>P<'> %h2@Z[$wx(:3hN{ng]©$'wБJv^*ZVڗ[0}l`<`R r6p8IS)@/:< :$ޣ:`O؇Xtu e@,DEb O!WpE2r~^a7g |Iل >s2xc bCA TN4mt\\c.ߌ |A^l@W w"[Hn=@0܇hhpLJ'?=j\'&:>P`݋e?vqlECV`Ś0ʶb4Dzn*;[ ]4nuRXuB ӳ8ԐlR)#\i&|qQ= }~-V:[Hj= ]vwրfnBiT-qDSmz\59ꪞ <}8&Gu\{s"ݹL~`-± r@ T(A B \Ok{zHreL{#B/0 cW@[CIóv ;|XDjPgh?*sz|i"Q N=%zPg>R,;W1I@,]4Aan endstream endobj 15312 0 obj << /Annots 15314 0 R /BleedBox [0 0 612 792] /Contents [15321 0 R 15317 0 R 15318 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32560 15319 0 R >> >> /Type /Page >> endobj 15313 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15314 0 obj [15313 0 R 15315 0 R 15316 0 R 15320 0 R] endobj 15315 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 386.625 122.692 397.625] /Subtype /Link /Type /Annot >> endobj 15316 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [128.005 386.625 175.283 397.625] /Subtype /Link /Type /Annot >> endobj 15317 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15318 0 obj << /Length 19 >> stream q /Iabc32560 Do Q endstream endobj 15319 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32561 20690 0 R /Gabc32562 20697 0 R >> /Font << /Fabc32563 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]aM mw/g+R\T1Brt~AG3cX-LB.FPQEq¨9 }' LEԕTx5s剢xq-lb@r2dv"`V_g_5kDN 9!M.%O#T\ #Y}ɾ 5Ժ@Au꣔xJ9iK[ZNߖj?ɞӦ+<ʡ|72THLJ\[-48יq|mau3ͪ_ l?7‹C2Xl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ ̠h#bwo endstream endobj 15320 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1383) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15321 0 obj << /Filter /FlateDecode /Length 4398 >> stream xڽ\[o$~ׯTam$!$/!)Rb]nsζRQNj(ӏM,~2IR*KݜNɻos חw֦t?X*~U7|U=:pl(u|uzj~Oq;·e_us^*ܲ .nn˟4_/ 05&! &ƻ)xgk6_;]~%3= l_Iχ\74XeiXh."C7 W5ԉ0Z⼤,UGb%rgzX6486X!48.Dwͭa]~jh6P- ~9eP⠠%* K{X%&_9S˄e?5k_pXaB?{|y]?gq.{bb cD~j0"wL)Α`y.0 rY` Ǜ!]xx~~s\,-DRAd:S ڧP= U+ArGH2>e,w . &* |ܨ1u^udV2UQ"J(3䁾 gtV!y h ZpO+P& 8E?&ݻЧ L۟BзkߞЯ{;xnaJ or@4QϺwevbwsJ-c_Qf+,G?HE]Y%{7־o: XsG*3K~҅F]紹4^ZD(OnF-1VƲ[H.e_Fpgc2-16Β;t{3OJDTgea1U:h ?p,.𰭬JC"eDI=)I7z }V"WW` G/pǍ6_BuāDShc/{"y&vqDQu򽋶>S/r^%lKW#ڑ2sf $+xq-]q]A6xhlm?`!]SkV4ayU*R\PĤoY -H(&vTC(b¼,eFSJJ`1C4SfUYZGʓPyM96 \r-(V6b{Vtwu+.4Ů8cUܴwφ>Y lŵB2&K''uZ i=y=N쐲i:Zƒ*EEG^x9zPC?rRP[fZ};Z&f~k6?Ʌ*\T.d2ЏuVVsb`M˜~.0 GQf8,:Wϛ9xaxk&wl'rYA&-@V68T, eV]67>xD? +CyMla_+-O;h޺wQ)%B(PbG8L Layw-gzOiU?\`ݞ36AƆq>^V#ϾG).6|:ŦTY4!-Ҹ r?Wz{5=%Ͼd *H@AV[WIqyޥ[pv9f:轢7xCˁTm%ZvO}CA6.Z=7{uz DE;Iӱ:եar"/mѰCD]rbyV!˙PFrC3{#Inv\dPW׸ Ҹ.+p3|_BB`} ju ]pC;5LƒE]鰸 ;;֥>fo50`'$<,xW5$"~;:1oTy\[4|@k~1_ { UB_: 3  w (w'h݉uo~*AO 3Q JWpJ Yk6C7aV7n=[u.9[ 6Q.Mr^\7K 069g\yhݦ`7QoM"3WYfI[Њ ?>]J]RƢe圻47=JӟeN5`W07!كÓ +Cb#C$lgɣ:]n;א&jL1b%6ȥpA\sE@fi9TΝ_IG:NCSnWiN Cr[A|\ MQtO%Ӳ,v} Ō︤yHwTUBsA3Ni6}yCߎy9<{E+=Y݆M~MNkHafF^9FqK@B{vUdCj,8rNhߤmȌB*Dm+8(gn#anrfM>&9,휖(99E32)m;^6)bnvqe^-A8$Ꞧ2qAvGSDA7=<ﰛi=IƲ/8QRz?]y{ІMت#M֚SZP'hNavgʛ1^*y,,ڢ X.W6B܅;SyRe9Mt h EM]ޠkvBu^*j|ؤ-;͹<&tOxnSKYjUЯiAf( '*Wmci.t`Aa}GLK7-^11ٵ}*/-%7zyx@ݍM6D:͙҅m)]'l۫c͞f5f[+x7޸]*-УvO1͘f5іr0eÜb쨴b U s=nInDQV=1Òh -Q53QφF"48On閙, ^Ees1w99qΈ,.EĝWuCvAM%1cF ԵOt8u Ph}n>T(*x-hBg15P~2?P]Wivq:ObHџ.B4-]θCLLE6D;"HgUh]‰o&3M$/uqqb6sĩkQKmU ;'A{7Bjܱ?^`1vܴ}q)ޯi^0\kӣĥ3ɨ{W+8(ٸC&XLMx+|rCm*;A)ػY`a*IDžol#(&bs3bdĤOK!kY`5bcgpEp~KG 0Z9T *峵t_? K?7s0С0*(PInxplEV!6:z0mD|Z>ZgϗG<io<,,)mx q륿iD Vx?طMguT~Y= rNBCTt?"Zl>y-= 7:EQİ=i$]-ջ+ endstream endobj 15322 0 obj << /Annots 15324 0 R /BleedBox [0 0 612 792] /Contents [15335 0 R 15331 0 R 15332 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32579 15333 0 R >> >> /Type /Page >> endobj 15323 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15324 0 obj [15323 0 R 15325 0 R 15326 0 R 15327 0 R 15328 0 R 15329 0 R 15330 0 R 15334 0 R] endobj 15325 0 obj << /A << /D (unique_204) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 246.5693 182.4987 257.5693] /Subtype /Link /Type /Annot >> endobj 15326 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 230.3693 195.1267 241.3693] /Subtype /Link /Type /Annot >> endobj 15327 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20210608081201-08'00') /Rect [104.1732 214.1692 166.5377 225.1692] /Subtype /Link /Type /Annot >> endobj 15328 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20210608081201-08'00') /Rect [104.1732 197.9692 208.5357 208.9692] /Subtype /Link /Type /Annot >> endobj 15329 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 181.7693 180.3042 192.7693] /Subtype /Link /Type /Annot >> endobj 15330 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 165.5693 165.4707 176.5693] /Subtype /Link /Type /Annot >> endobj 15331 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15332 0 obj << /Length 19 >> stream q /Iabc32579 Do Q endstream endobj 15333 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32580 20690 0 R /Gabc32581 20697 0 R >> /Font << /Fabc32582 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7k!thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$X endstream endobj 15334 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1384) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15335 0 obj << /Filter /FlateDecode /Length 3249 >> stream xڵZKW@H,ɉ7֗GulhfŪl4R^RW ^f]M^_^ <3xEF|MZ֟^wZ_.Z?:D* t^gaUTFx0/$x#>R>iaًhs׿iO*zI԰$?wb". /܏m$G힟Aeeg Z[#6p-`*% )ޠxt Vȸ2iGr3)Ɲv놻nkA5ھfAI̲Dkݬ&Y܌A +MIk_{B3⾉663Y,'x p(8Vvwe\J+֫3jYL+ <|^b P?cգ`7O,dF5(^_V0۷ﹻfJm-zR];?rڳ&Y/CvS@[Sp-Py v$#ݞۙhꂙT {Tn*8)U*8wrxBh&V%|r}ٺQӥ捯U h7%0g\c.,$\UÜN l#N#b1CVMכ#b "_1\1v+bU2-V5A,Yf3:f/1Bbeo1m\=*9<`%>01\|P-N(PQ`EL}/C5ƱknԺ݂.#U>]Vt9=@>MyMZ7>mJES\e|[,ּ*OHʢB++W"Z#KssUBׂ4} M4*gVŐLaOq5qSk`VebnVx{VG\j=Hk.B 2%_7KR&\G-nN~l[E<'7K}Cx@>( uȭQRe2Ow /ѧqt+}&o_~)/mzD$p%^Ý1>ׯ˻YZ zi IVh߀^ҌwLVMA`I&&"Fn \b<0h<~RGlTzg`Ĺ.6ޚ+oϺ]WXgqlRcG2NH2HVBruwa3CWϩBkY]@R􄚹sCev*ff>#^)3,ZIuQctdY|PyLWs @Ki 4[_cyX{~ ׮Kj"1'U0_j{qpq΀)5Le87U`g7V-jT17szt( Tfxfuٵφu.5 .". endstream endobj 15336 0 obj << /Annots 15338 0 R /BleedBox [0 0 612 792] /Contents [15344 0 R 15340 0 R 15341 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32598 15342 0 R >> >> /Type /Page >> endobj 15337 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15338 0 obj [15337 0 R 15339 0 R 15343 0 R] endobj 15339 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.625 137.278 397.625] /Subtype /Link /Type /Annot >> endobj 15340 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15341 0 obj << /Length 19 >> stream q /Iabc32598 Do Q endstream endobj 15342 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32599 20690 0 R /Gabc32600 20697 0 R >> /Font << /Fabc32601 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15344 0 obj << /Filter /FlateDecode /Length 4366 >> stream xڵˎίF{sb ''A S/Z-W&]U7E/hsK*v>d]:~Yt5˗_W_-_ޖ}:`5\kw݅'%wIN=6y~j*-4c|'/xD&Ӽ5<)zoh6@k~h@BA9زh8:py0(VI}c->DfM m\~OgΖhcWu\ 8}~&@ds&Z"YAWa؎L}ZxD%ȭ>է{fvZtMt j s>*sA|/Aϯ`W>"`,_HG}]+|tw6|ϫw6 @='Q W`*P7H7t d,Dx%V|Yu!K!gvl) UĴ@L޸$9u.zL^>G6\:F {) j:tɬPw2g9^,2G˜NYJ`~J P5bhV1 O쌸yгT}b(`6Y!j jT -wj 1RpyO`xl=$H(8/@g=f˜^y fXT .;0 q|J}}_ٙ~ LxV-G}ݷq^C9 ,'}@8bB1ܯl__='2$;EQ|W=7ġ ??@,Źp!?FR._F i0~_2]ɐyY246 ̜ F';G$`+'Nhbg3Ӣ<;lPJ)^qA1]ޓBE'I|twJgO-QU8=P }2;sG[w|<\XQ8,YY9FCG NwFλuBosp˷H%=0qKS?\Be #xM'f `BPpv ;"(',~TWk@dߩ  l1!`/n^Z2B!w',iHY] jծ۶#m@Fx*KmHayiνo1-= oU^dpQ Ϋ3Z&CŠ( (ob 'Tv-JoUg 6y% .I|ԛ4t5HCoTfꮭ`1N$qڒt/TtԾ7"uoԼ%w'$KYM2%ܡ\f^ץ\e_ڭe,;,,ís58@ :g=t>ۀ- ;[\fd1V=V/?ja|V{nV~V]VUl\HR?̅V?ԅAY)c4qǭΘ }z0'Lܮ ̰w93 eS@ az`ܭ aԊ&t|!CBERPavz:, ۲U`S \&b]?Ŗb|{qϲdp ?V(XHS/>%J>EHKo~4M+Spdrs~R~~!m!nThۊ),Ctm }?j\I1O}\1TP U@U:E SݩӀG[XĞ$࡙Q/ 9!v 1NVs6 8y^Rgb.FNrR%Ab WڻGZU 3׼TiR| uk+L|=&T+bYf-|jdBWpzKs:Uuqd) ]STަ@cR?&pc`5uƢXBpȌj3QK"(!Trml"lBϧlqVglc-(]Jj n]=ab/F C-dvْ'8 Nja+iWt$7m JR{nUY(U3Ke:k7:99;sC%o)/e9(Vg *<_E}4zĻ׌܄qU;mgW{3q|"uSQ`Xufo˄Ӫ]ܔ #2krHk#(#k'mawź$plIӃ1hȖFWW|Ko̚9j V'J};2( K-<]ѡ_[ٍQM [U)x@(q"6⊿ K2Џެ(Zb 2ߓ.7#* E=،1 +psU=,pn@~[TA+H? 7VOKʗ)Oī- GvuLmYUXGr}>֎@h4`х:Dq5>DT#{gWCv`&HlrA+`&ûn͖a,/H̠ f" \l P1Gz>:Lpi$`Vf1 |٣z+ƞNqsKMgu!z!UPS*_RGtNaA c0}Eu1M,|s}Fb?T:UZ^4>r8!pSrXnO4dJ8AeP!")Sq28԰p)Im}OTQ3ӎrI0S+q?ѡ^8ΆpC䋴\vKfDt@Ik#wtG,o&Ȩ5:ePY --zS5dLV24Ʒ&7 .>UV\Fu|{V9(RW^}Vj,?y8Ia4Ω2`}Ž\99YT 1[?RO|) >Ci}::~8JGe7@YƞvT,SJ̀a9008>-> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32617 15353 0 R >> >> /Type /Page >> endobj 15346 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15347 0 obj [15346 0 R 15348 0 R 15349 0 R 15350 0 R 15354 0 R] endobj 15348 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20210608081201-08'00') /Rect [104.1732 221.0808 168.9962 232.0808] /Subtype /Link /Type /Annot >> endobj 15349 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 204.8808 172.9507 215.8808] /Subtype /Link /Type /Annot >> endobj 15350 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 188.6808 182.7627 199.6808] /Subtype /Link /Type /Annot >> endobj 15351 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15352 0 obj << /Length 19 >> stream q /Iabc32617 Do Q endstream endobj 15353 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32618 20690 0 R /Gabc32619 20697 0 R >> /Font << /Fabc32620 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%RKh8rݛ  endstream endobj 15354 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1386) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15355 0 obj << /Filter /FlateDecode /Length 3214 >> stream xZIܸW<@)IA9 e~MrWw FDz||IVC^UkVǠKC^_-ܳK֧Y냱yzst/*d yǃ1(Ӓ.=a]8Dz0dL,.&Q_ڗ!/)FM !eM|8EJ8󽣲Ȟ?QfAأ̹vT%@EJ$x t>uqt3 \I}B-`PwdJbl/ R/ï (TԌ})'# f,Q4lv7$/i?/gnf&z㵀X=oƋݾ4Dv1 Q?r42$xΎ/gըsa5teqpI\:*rKwS:a;{9^  7tdCdi`dM1/5fZ$Vxx \ %{*{9׏ݶTb̮TRhyQ;*pk xՙ̭i֘M;f?-r & SXfkPmvX֛kVwoM"`G6^.ۮr˨u4Ͻ267W7wznXݒ=,dXé!E/Wq4{!dSr7͘9Ȍ= sCmi[GH}@ $`!݆W W`7;<$̬E 8MS=mMuZglCEv4ԘT˜{4=lY؇MsB)hŜ_6m4$sb0O)K^a_Rrޱg8aV=D\R|NejoLah4tF8'O 7{ʣ#$h )wq7ܦ)7|_&jU0{FW-Ϣ BC }l x ,?_'|M)o^%< I 4ٿ:|aW$ Vd;F^U$A7̽ К?|ȺJ`eQĸ 7Q$e E)r1DQ|Wybʵ`#|ۑ|#ёƑzͣpkpR첰 d_Av[zxmScZg^7Pf_t]mo&A(eéG~'0=1 H|GM]- #Ӡu?UkL>BPv\8oz0plp=nAͮ%D? 'aYu8xoy%TEjM+!}m^6_;w'h u'\BaI^?]9WY/{o|Q6E|/9y0;G*d[pb,/6yE,[z5XM.(MU_dJaUe,^]ʐC!uG`hiE@v&Y`M5nGj˼< J&-v}V\+>aŕ_ ΃3=t':p,էs:8<% _g=~Dy;ECvwJs&r 7j̖4k\FMLOV-h?6'PʠUXl/m3H7nɡ^$uDUi (b$c9]N2ĆzNĊP>Ń*N8"V':qj`/dۈ{C3?G<{IzIC>Xn+[K"3PAaNg=g|׻z|_MbePD0E2h Y+QS~67l"3O|/4ˣJ{_X Ui(ZY6/l79";kScp.: endstream endobj 15356 0 obj << /Annots 15358 0 R /BleedBox [0 0 612 792] /Contents [15365 0 R 15361 0 R 15362 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32636 15363 0 R >> >> /Type /Page >> endobj 15357 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15358 0 obj [15357 0 R 15359 0 R 15360 0 R 15364 0 R] endobj 15359 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 376.325 122.692 387.325] /Subtype /Link /Type /Annot >> endobj 15360 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [128.005 376.325 175.283 387.325] /Subtype /Link /Type /Annot >> endobj 15361 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15362 0 obj << /Length 19 >> stream q /Iabc32636 Do Q endstream endobj 15363 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32637 20690 0 R /Gabc32638 20697 0 R >> /Font << /Fabc32639 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7gQthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R._ph#bwo7 endstream endobj 15364 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1387) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15365 0 obj << /Filter /FlateDecode /Length 4752 >> stream x<Ɏȑ   Ee7|0$OaHsOl|KI`ZD[FD&#|[̢ϊTR Vio7X|u|Lnuۃ!<.s} %{1?~OsxKK n0⿖OZ>|R߈B$m˗%h_<=<5_AŒIoiMf]-0+,cq13\ PCe~}\u |ھj~@Q7'0}JOg>.#l^+Ra$""MN¶NH[*O#"ßHyCҀf("4[) 1$,{RG^Dmk'I y !鎥!h2R쨳v & ?TI90>'lJVs#i%^;@ $2'^)r`u)F;pm]y/=!A5+cd㓰$lA(} \ZQ8+iP%#OE~V& LCb =`JTF{zbZG?mqȫ X~_0zɳ+4lz06o@3>,>x./VCo~BONh Z5d i2тubmE/QAzX.݅&CDO <}ܻ-G xe&2R3uD+Svq┶ fĆ'Djʺ`JY,éijSz~OIԈe٨Cy}/QD܉(k~ O<aRYOz~<\{o`\DNٲ\ۀ qq|ňqߙng[rrQj/}X7q旁IlGu",* 6{SвڋVϝ~_t0G,/?]7q,@ Uݢ3 ӝpg#p.i ifg3Ӣ4h~^23^0}8?.ۙӃOMSs?Y|vyQ=2=߱aYCj@<+ErN$@pwv%:{V)[,LM}Y,'t #<4$`a4m' XȋmSw"`Nh Leo}h㔒XU|fHB^Lr8?SIz(DS@ArS=LjB&S;ׂVu뼝x9dTl\j]Z Ks=bn[z@AFU^dpQZ Ϋ2Z&CŠ( [/u4deB0`ˍZZ+7-c82N݄J)NA|*55Sw}Ñޱ6a=-]1͒WFS}G;M$Y*e,VU*}YU}dԮm6Ue?a? l,~W n-G~q' ss`Ϙ{RhhuscߛI싉I"v;x ՘xG hG-ŗDl9<Ƹ0C} DY-k[A)V.2^&|hv|t%WddK۞f&V); tPc_{&S-$wVw`JG`RU2Eq!Iʭ:i5^x3W,K5.* \5|&]T>;ݨ._oo."TDLx}UUCWj+pUS—w,3dadP*BڈHx=?mlj?: WV/9[cjj$5~Xʝwo9/;Stjr-XHKvByy'2 PWHugcO; ' 4K\nH7/tkgREr-u[:k/o~tn  /̜U@B>AOO!'WgLL :?p\ת~#~vչ-;l v& a_oPŴ <ݙ-r-p6'갧xnf' ee:DΪj>Q*3qQnnϫ :R(oR*f5Y2fvIo![ }N" .y;,[boZlMnc0 6Ч:cxk.lexxȴ;@]KD"r7# yG~乗pWWؖ\z߁Z1<c-j-I78npS`tb f2:J#,M jCwX&XQh i+3h#D_J_hP'V}.(sa ø=V[Iպ.;GBM>֨Ab0GN ԕM6c\RgN#ϴ#Qvy4W*_'x=hDw?1mQ7?_Q]T+K3HLIHZaTj@.p}\{~pCN(˭8i 4epqX F05l_Nة/RbB@v K23>zmXᄻNHڴtz.?䒰[ΰ4OD3?K2x*|PCc֊m*+~eXrU ) +Kq.|irHpAuRYe;@2 b]V堨cHahϲ6,&>9o~9p&76rf=qy8]3Fcp='Va̕v7˗%+֮=&΃;:tgB륮7rr2gB} pei@< w2倌}/}C'^'%yMۭJo R`?>K[FKhp_έp?0ol1ʹZ+TJ+s%xU^n;^Vo. e/$輿$]\e c endstream endobj 15366 0 obj << /Annots 15368 0 R /BleedBox [0 0 612 792] /Contents [15377 0 R 15373 0 R 15374 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32655 15375 0 R >> >> /Type /Page >> endobj 15367 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15368 0 obj [15367 0 R 15369 0 R 15370 0 R 15371 0 R 15372 0 R 15376 0 R] endobj 15369 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 234.1885 195.1267 245.1885] /Subtype /Link /Type /Annot >> endobj 15370 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 213.9885 192.6352 224.9885] /Subtype /Link /Type /Annot >> endobj 15371 0 obj << /A << /D (unique_259) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_softmcs) /M (D:20210608081201-08'00') /Rect [104.1732 193.7884 181.8387 204.7885] /Subtype /Link /Type /Annot >> endobj 15372 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_softmc) /M (D:20210608081201-08'00') /Rect [104.1732 173.5885 195.6052 184.5885] /Subtype /Link /Type /Annot >> endobj 15373 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15374 0 obj << /Length 19 >> stream q /Iabc32655 Do Q endstream endobj 15375 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32656 20690 0 R /Gabc32657 20697 0 R >> /Font << /Fabc32658 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (KΡ\j|=B/}>N endstream endobj 15376 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1388) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15377 0 obj << /Filter /FlateDecode /Length 3338 >> stream xڭZn$+l8@* Ѐ1 ?8.,iLf`0f\֟-X|d˵ll_͡>/߷qnn KۗT3:V_jqۗBIF?cGlL"ֆ{Y?[>p1t9C4i"}oV FkN~Mg'؋}&%{wMj_:@/YvB,Enkؙ֫/gO"n}>B!Z5]ӕJ4莧^GGHJ4|vNGU9RcM,mEm0G!bsSNWr:٠} EL3Hjq078]R;n<2]!$HKOΖ%wF颐:]X Mr7hЬ3%]+03|zA-@.{Հ$< IKՔ16&{8h5l!QdzA"bIH /ܿ7\Poqb?GLHl9Gя4_Uv6['qԗZ;Du"zjd&SM',Bڼн/xBmgn}UέWio&:wM-ek 硺xX4$J8sc4R>4R;vUv&fdi@t/ӓYuDlHwgY2;7I~$ v; TvSﮎq caRjY\5szv,S;hX?Ԧwܕ>>|TTtUʜݿY$m}`ƠCtzPҝ5b{3&߁!&>Ft;BjfԛKݱ#B:\h}XȐ6_<naFa2s2(ȈHw4h3r&\8[~STSܩIq,U%][ \m I@wD!eBT'ZEβs!;KMa;rXoO WL.vArӅ-f7a|9V]*h^F & 8X_VsQLٟ/٥J MjC.e4m!1=JC?ΰcf:c3j t㳰|^0=_CEHcqgd歙u X7ƗcϜy#?4|%@AR{x@NJb"RQ]&jw,j5+j'EwU>iJ}dQJT&Dpp}SZQ<``Lpz"ms `p<%Un*r hC1]vH1wX9+ }uJ=/!):P Ǟs[!f{~Pg| &#D6I&+=C;x >]6y_Ţ"A~14DS C`JNO5 OJ`Ak@fw JUPNj C^emFѿ]!)WpG;hAZH\rsI|a^><2wԙ[=J`9<vN G{x}8+~0c'fҘk SGh) ^Ǵy9G+h< r7KP;9zXS,LiBZ,eSGz@CL gz7OĮdu}#A߫O:bܵVriFIK%!HSժT%5u,8uC\r6K~E1ue7H2MMfΒۭoHV=+3 Cl^+)B^9~bd E1~/zgrHLJLic~Nlg|14K4~87.M`7]6I:U,˸9mB%fB 4(◔-7:O. #10dJj endstream endobj 15378 0 obj << /Annots 15380 0 R /BleedBox [0 0 612 792] /Contents [15386 0 R 15382 0 R 15383 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32674 15384 0 R >> >> /Type /Page >> endobj 15379 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15380 0 obj [15379 0 R 15381 0 R 15385 0 R] endobj 15381 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 400.925 137.278 411.925] /Subtype /Link /Type /Annot >> endobj 15382 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15383 0 obj << /Length 19 >> stream q /Iabc32674 Do Q endstream endobj 15384 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32675 20690 0 R /Gabc32676 20697 0 R >> /Font << /Fabc32677 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nΚӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15386 0 obj << /Filter /FlateDecode /Length 3741 >> stream xڽ[K#Wl@m`fd7 䴎3v/ji4;^kdUŪŇmoY. :~ߟvV}ÒC^z{0&G}pBiO'ן⣒,u'߆co~k&^{jI[W=bzן~/+&+ ;oQ%bPNGh6Xw^۵|\j5XlbS;xGc3&;d-K?'d)@odP:M/ܴR.ADgcJ}a8z{xLAs%̃ Pbl5 P@o>Ѣ /1RCB_d5m_ÂuQ+ P@H0py \>?89c]g3$ퟹ֝aܣk-ZI$_BnotLc'nF<%Mۺ 4eꀇd>xbcE96T(K¼uK9q.7b̠QjXQ O5^-5K[=|8\زTuj!DR;U#Lo*6s@xY95n!Ub@oh@rrg<5/m^7`,,q!/&@䥸 r-+nU4+s\0Q0#oZ.eQkءN33N" \Ar#d3C8MLe4oQ/e8Z1j*# Bp.uԢ|gA SoZ]RMnNHMqnDH3͊aE`EuOGIs_ui' /|_.jHq)M}GE芿[qDbBCw@F1#I -r`h Sy2X<&[Νtu< ݷv 090ڰv)3Y6(Q֭+Jd68oPW8y :i+P\d%B)1j~` QD &Rk4 Į6?Ŕ 1H F/<@AլnB()݀ĩrƍ9r$[,3_ٷ\Ml;^O#8d6WYَvD< C7Arc[j:ݔNrSAeu'j_(>sk1"^ÓECzktZ &HMϵr-@b. R] xK*UV.'χt sx62_XUv(psrsH+"DL뒫KݒW«Vf]:K UZqM}!.DBL CExh50\^NP9XGJ,S?6÷ &S_ǴyiB 0;F"pX=y=Y x\_uXd ۅAMQŀGF #EfH㺤VۈЃ* O}|cH-[l1Lh xeO|FX&[SSg~8:+=A ]#h |Íhv1/ȳ"b1t]zYr l&xh8,Ij9XZC+JXaش$45ޘػ@ti endstream endobj 15387 0 obj << /Annots 15389 0 R /BleedBox [0 0 612 792] /Contents [15398 0 R 15394 0 R 15395 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32693 15396 0 R >> >> /Type /Page >> endobj 15388 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15389 0 obj [15388 0 R 15390 0 R 15391 0 R 15392 0 R 15393 0 R 15397 0 R] endobj 15390 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 514.4346 197.6017 525.4346] /Subtype /Link /Type /Annot >> endobj 15391 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 498.2346 187.6852 509.2346] /Subtype /Link /Type /Annot >> endobj 15392 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 482.0346 177.7632 493.0346] /Subtype /Link /Type /Annot >> endobj 15393 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 465.8345 182.0037 476.8345] /Subtype /Link /Type /Annot >> endobj 15394 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15395 0 obj << /Length 19 >> stream q /Iabc32693 Do Q endstream endobj 15396 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32694 20690 0 R /Gabc32695 20697 0 R >> /Font << /Fabc32696 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9FGKHL_%ee8>϶g5ykEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC (KΡ\j'-:A/}KR endstream endobj 15397 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1390) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15398 0 obj << /Filter /FlateDecode /Length 1939 >> stream xڭXˎ+ `v&h Nf..bQcROZYq[lDz06c]0쌹9ec@_U~v{`qƆ21 :gx L9jg*LOƤ+ @.&?c yNظ,@3m`9U90 ؔs[X0y!)ϭ\HjXdQjM.u!L2ڼhcD4?%Du2љW*%dXM=*֟J\WhrdsYOdX}[@0LP[%謯Cyg3%?snLn1 Zfk-:=x1ЙƩ-L0S"@Sފ}ts'/ . }*-/ꌱ%QLZœ>]2WM"O15!P'@7f ԟ65Xđ)+tC&p|ݭ'z6[ѧlC.W]l3u5ϜFDn}?$s( `%Ҳϧix*oe_Dt[)`i Үَ$1wGotĪQMl. ].\=9#lw^>*ޗDD>3-8F޵2['dNQ[D9jcvU1MiZ|Dd)4e~7S ɻ`q|螫t8dr!CWf:Ia4m錚1?SJV m3_l@]+4.9Ǔ4 ҅˅*ebDROG.M|YF2_Jc|!c~2.ەv=׮VO8ģ09B66!;\EybϸXў'KDI.1L4~aQ}eK >i}w7XXͨҍ}y܋B[#]7CAnݧfC gEd T,pa.%b endstream endobj 15399 0 obj << /Annots 15401 0 R /BleedBox [0 0 612 792] /Contents [15407 0 R 15403 0 R 15404 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32712 15405 0 R >> >> /Type /Page >> endobj 15400 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15401 0 obj [15400 0 R 15402 0 R 15406 0 R] endobj 15402 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 329.825 122.692 340.825] /Subtype /Link /Type /Annot >> endobj 15403 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15404 0 obj << /Length 19 >> stream q /Iabc32712 Do Q endstream endobj 15405 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32713 20690 0 R /Gabc32714 20697 0 R >> /Font << /Fabc32715 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nVЎYzrv"i,䪍A ?wG?{`%( Zn\fsK  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYu_//d"Xl˜5_@KiܟBj2gԜo.9cDA ^zv,Q9 )evP(y ?`E ۾{wV endstream endobj 15406 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1391) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15407 0 obj << /Filter /FlateDecode /Length 4416 >> stream x<ˎw~T.рJe7|0|w04Y]Yݒa;J2Ɉ`$%K-;WF~&kɤJѿ/]4Z~uj]V~&dPL@Ojg F9`1%AP.Vt 55F+4j06`rq#76Gy5{:BH Э75D7ﮅEXTYgdS*u=;5/LS0{r.rDA$OS\qp < YP ʋᙉ 8ubЗȅFvMkQ4k⤵樍]=8nO*J_oi,8\;;23L[wk kKS]u=L&)smוYX An: mۯõXz(uOpwIzc+I,+"KDf|,˗]mBZYA~V5[=P=SByjC5Ž΃\k0ŁssǹYnsETA y>gV=Tw4n=CoGmGZAb3,^9RJꈞ~Jޜ2[}gAeK"q e;ؾ"[͙gn\Ueި㨇Vof}`U ih=,f`xZ` }3J qʾoxt!$zOQ`v<❀?A!}Y~|:kSU;\ # > E @9aQ+W7 :l;U|vI}"~J@2 ީ? tƣ`yc3 On\ `/{GĻ5OQq5i5,/UM/J+ &u(ܬA`.Eo*%( G W( Q,#da սFK aQɀMn -)#wVMqXw5bS3q8zfD~{ uQiߨ{c RC' Pɭ*ҽJnKmrj\b,kuNV[ bf 40̑C|:*RXW)h=WQ>lq\3[#%3#Haq._vm?T+->pcr2LF)W%)p.;UUvtUI ~r)(Hc~$dS- vAF{7n5L'΋3SEjR9D'>Bx7ڃ{·FP`'/u4X}\NE8nۺͼj \ɨ0p*J*xdLܛ< svefsXt7kjC%"AQPtT8,Su',ݝuFtjjށdiS1JUU\m ZUN=gC:8tg~~0ډ17Ly~_Ϙ_a,y0vYBuKY6VpMܗM&&:-|!bW?nm&~p)6+v?9v0Fo6\dg2뛈WktML)'r-la_Ɏ -q%`SMB4慼y1ϡݮoP*>IZzdWXz7WỾ'PB9LS]cT}Ӈ4 mta:OJ>s5N:,:zXKN;zً´Ij*]^TSTZBgI>Dk<R5H,dӪEns^ 1Uj8rnt9b(IRu\aS.Ô'Śx⹕hr&,УJ>LcF;)!)| "-r+MtՋSP{RTQMNq¤Zd 0lev~4n3^<dY xIL$rTBz]RP5bبW2pOl{?0%Fu{:ޭGՃ*wO<|}HklE TFэy|AuѥdMMY_Tt)G0tpU@SXG^( T|,7 V8©J,BD\[T`R xivK3[ϻ @s%|*% K٘bD#.{WdTJVlL(#tK6h2S&28} h1ۍzrG? /6{^LI|ZNpƯI p6N1> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32731 15412 0 R >> >> /Type /Page >> endobj 15409 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15410 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15411 0 obj << /Length 19 >> stream q /Iabc32731 Do Q endstream endobj 15412 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32732 20690 0 R /Gabc32733 20697 0 R >> /Font << /Fabc32734 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 15413 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1392) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15414 0 obj << /Filter /FlateDecode /Length 4141 >> stream xڽˎ#ί9h C&v^*-4Y5bl4RI/_e32xMtqxE5jto_ߞg=\ċ)}oAXTYhzǼ>Kž靜_'m;}*]A mb쐭y…; Y;mW}GmdS (:lm/3Q%`'TBF8̗G~TyנTT1<#,$};h 8(.֢[ r"506M,r iYf1^t*+6-9 P \/xEk8A]a\I0^8'x 8^c3_`|<øyn@7y3\6 QwA`V ٪T0"| 鲆v ƺ>F nM3Y0q}-؀svLcJhbk/Q?9Wy!(Axēhf٬ X0Xd }[`b%xQk ^|a,"[lYE|n`eCT&onIƐs ,I8e^5bg_] J=uKC]? /k*ڬ`d Vw^eZ1_Zj53jɕ dW't+9pxA4Aeׄi-+TU):lJbSX94`{TiBmrCDLHW@e&0hLfà 0$rZ0Z.xkLܢ7f̢X;2ֱO~ś6P%V.qX$,ӴZH=7 ;+96@yɨ6>X| OK𸊴o)£UaeJKެbx` $&-OC?WPwfZ2] ck:i-n X< ԃ]E3nHlL#u=MȄ0!7rOZdiێTy+̂d^8+&5Z->] RI %喾Eb|g;7ee!^h)]!^ , y3%`!K͇JAƃ]*nxкLPnj~T #Zoi]u ,EB* %v&!n"ŹĢ0XO+%fSbӒM\jVrNnIzŪ^ l?J iTwCqAk*;tFng\6Z6qݯb{5j]Q8HEXڱi!3 n6ʼnQkf{)e]Q(fƒ ΥnhM8z½΋늩^9cM|򱒩%e$Wr9[^[uVQe@ų҇r ]u~(Z'ɖ3*]OC0V2ѵ[6'.r,hHzzM\l»qY+ITmz\0Fͱŀ6(l] UeXLͩȖM~xH*fU3"]-CE Ʃ{MVhx^}ɉS/@=Џ/8S0-,iH8y2<|9&nk13[[~v#ה)g[#]319Gy@&5c׼9vmrfg1{t=ۜȐV j{ⴵܵۆ] oTpq܁oOuvjN]JPAB8e ;`VbHIa;ޗӏK=.wc.db=TzL JZzRvvvH;1^ƹ2Wuyjɛr0 }pŕ#wێ;fu+SYJk{O[=?0e;]K; H~_l \|*vV}]҃ˈ \*y$_YW0by.w1f>BXv$Fۙ^=I!Kzo3|b뚰HC e4=ZK89Sm"R5:W8@lYqT؁"E횀-uv<1(OZlh<4V۔P(X% 6ڽ2z.mDJ2 d; kQuL%!@[ʏKBc Ke/>c"MG3,@а/e}"|#mہ AhrKxw轉Hf%t8&e ){q0!Q,Jp;!/G SLR~X'&e*W_Rv @ [+j@bjÎ.0dcG*SdZu|:{X¨`ID>/|)yPC1h={Yk;zICN vM:< ! ^  Gk/꯭> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32750 15424 0 R >> >> /Type /Page >> endobj 15416 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15417 0 obj [15416 0 R 15418 0 R 15419 0 R 15420 0 R 15421 0 R 15425 0 R] endobj 15418 0 obj << /A << /D (unique_541) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 149.8452 686.7] /Subtype /Link /Type /Annot >> endobj 15419 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 165.6412 670.5] /Subtype /Link /Type /Annot >> endobj 15420 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 183.5217 654.3] /Subtype /Link /Type /Annot >> endobj 15421 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 166.3232 638.1] /Subtype /Link /Type /Annot >> endobj 15422 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15423 0 obj << /Length 19 >> stream q /Iabc32750 Do Q endstream endobj 15424 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32751 20690 0 R /Gabc32752 20697 0 R >> /Font << /Fabc32753 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7gethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$Z endstream endobj 15425 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1393) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15426 0 obj << /Filter /FlateDecode /Length 1237 >> stream xڭWKk$7Whm-= 9e`C!qvmb#_}PJhjruY1c7?/Ǡէ'SR^oPNS ?DnO+B+9%}z6 M`l*(,$u?GHީ(#?ٺdO7 2uNVj|T!x'*ҧ(jmſ_Twځ鵨(GpvxLC, z-BДT݋ rԘE7Chor^&%TG &&RK!/niZobdNS] 8`,BM\qYS#j:kAu6-=ޚŠLP+bhzcw}p w/37%y &?.lba]:!f~ʰQϴRI:ԘEӫ &iMnذ3\i9i7 ůgZZۛN? \"c>8d]ˆ4ג?FmHo*z);=@X0CfS#8)UTVCwVcdt<*WMG(eajT5gm`iŵDsD^ sRIG,}Nu]1:,B_ $r}ܺHkB3XigqxCU",mh.t-cVJh 6D:7i%ަfSz3eŒVy6m@Y7ɏ#oD׷AFq5xpI"׸5s9pt);)Lafa[͋9NsirHʕam :Jo <2lH_Re82"=>YHND `UkBqy}NH\H > w\$Cl~V=~ q̲uʉ"zisIcE@w "QBɸ[8=->O,1#>nhr*X6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32769 15433 0 R >> >> /Type /Page >> endobj 15428 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15429 0 obj [15428 0 R 15430 0 R 15434 0 R] endobj 15430 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 292.325 122.692 303.325] /Subtype /Link /Type /Annot >> endobj 15431 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15432 0 obj << /Length 19 >> stream q /Iabc32769 Do Q endstream endobj 15433 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32770 20690 0 R /Gabc32771 20697 0 R >> /Font << /Fabc32772 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w* endstream endobj 15434 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1394) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15435 0 obj << /Filter /FlateDecode /Length 4003 >> stream xn6ί9@+|?Àݞ$d/0szQT#Ť-"&Um1bǧ%%_/,_S_-_hr|nw;­wMr˗O_7 :7^=\5?X2<ҫv%ZSY~If3ՅTʚth v1HiZ?}Z= V)V..SD<#*k4eI ;~$6m =.vU`>cbarf . GjP=ʳ1ZTA 9/ ^OYӂm\ր,ܲ¢ +}OOۧmp}bA@p+r%DD n=?2fѕcpf x%|)Jd d:|xb|s_}5yM8&Zs"|ivuet0 ~H2y9]MyPa`,WF#?0}yRu ^Bz{0&Re5F>лm{! <4 ػYP %{@qv /UM|]>j|U.Y<+.ZW%cv R( |5G9 @zF<\VMI\6UFفxL\MޢSqnxkLcsL!|Y򚭇  |Y2l3]|ytR[xTY4ELzoN}| K73!qv0iH1$Kۂׅs\X{;~ZlM9fXH*Wԡk*Q&rag!1o;hN/LWMvIeA=1a0{8Pwm>sUMU)1Fzɨ7d_Q_!*0]Y]NrQf2۱r_vMT CJxlIU:RlET}_PET`{vx_ U_ rbbG$h B}v_'nQvo)7'/ 0[>-<}yڳ\u=׵ 8r/FgaFW$'66\]ƩI(.kR(Wzeoio岵٬N@nsl=$y|Un?$u CG@w:-|&&x-_wO)Tk/kMӸ㜦yagbi00;+(1 nz3=»'yO ['Zhʯg [0 f74 # XPvx*CE>v0,U7ߨ ';$6$xàQ6N=dVPcxBZLAaxfqȁ[׆ֺ~ARx)t5*;:bn)--HQ2r|y5ufVK(H 7( RQ-#*գWK2qقMwUHty+.4T]qZw:$;UEa5A$jy{H uBz?E'uO܋ܝ!e:4xJnM6H:,"Ǵɩ=w*@fv˲'XF lv- [NDju}V{^>ja3d6>q7k%0[ /n3[`||jldWqr#K}VGQӏl7jgSo9sNSn[oK$ < 8#9ۯ%LB &f]ry~kԪ eyoPUUv0}( (ҝNUE F 1bkp. ku{0Y^nKiJq[؏nf:at%X ¥$y*%qϕ9)_JָP4XbG5}>VQ{xdyB.*k*Xn$،XHnXe*1#[F](=e[A%g wGMJ&QJh4ŞxDRV"A(XUa#Bjew((S"ND9LēTϓCE8v4h i髗Oj׀5ă)Ε: sikMGC[>kbH p\ҹBFW&jUၣ h-;f@o|͕čJ‰yRm:MiWַذ=ښ&/(!o}`9($NB/,^ vaa''puN҅2MfrZw;ް8 MЕ5&09ab Vsmͧ5)|HIjWwSP#n81~&`#ndHZHa7 "s[^x!@B|ilK(2d_m72UCʨn7XFNp7Ub!L#q:Q(19sb(uײY%rD>s(&+Q?pߑцc_pqH>yOؚFn_J ]r>Bu{h ƙز߄К-5r,}h{#i\M0bu͢pݟ"6l [!\!;6nNP[hV&p`kR0LAb/bϮ;,ǣ*吧X9# ~Wi a+įrp-nMiԐF_` \P45CvO Ocy7W7PֹV?M7rPv5܀.l 6;q%OjkG .7i|O:|r |"^m_@<҂v,יڲ@+=4 aZ+rBw%dJc@H{;Bs5ǐ&϶Gp\ΰL\f˰yu/[fn}.6a֠^`ac0OQ0ʜMbVxL<2CK7dYm.PWțɞAqsK-Wu!Jې1~-/(z%5op-P,NpTGn5 e?͡:`:BX+vSHZ:4{Er!S(($CSP`R‡x|Ųch\HOtS '<i=w}=_j˥ڶvoj_=٣2A֠7;*[;]˖K:.624.8\Pc q넘{69(R~8ˉ>ϛ2Eb~8mR@s*'`:BOQ@׆>ѭ 1*fj7T_^hO^ **+^|JopSfݘL)600F y噾9jOG}阙ï]行˛Vnz9(??B  &K|o>(m$h8C^rjrZXPAake"Q;ݦ$*?^> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32788 15442 0 R >> >> /Type /Page >> endobj 15437 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15438 0 obj [15437 0 R 15439 0 R 15443 0 R] endobj 15439 0 obj << /A << /D (unique_598) /S /GoTo >> /Border [0 0 0] /Contents (report_route_status) /M (D:20210608081201-08'00') /Rect [104.1732 221.6769 199.0922 232.6769] /Subtype /Link /Type /Annot >> endobj 15440 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15441 0 obj << /Length 19 >> stream q /Iabc32788 Do Q endstream endobj 15442 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32789 20690 0 R /Gabc32790 20697 0 R >> /Font << /Fabc32791 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@ݦ`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1^ endstream endobj 15443 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1395) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15444 0 obj << /Filter /FlateDecode /Length 3226 >> stream xn#ίyu~Km9,4lx\S/>ղeg̠",]E?_gu X2l/_\cf&oƦbbL=30ڧ Qz10}40djqWX2vQUxgLOƤgxw1!l7i吗]+AEO'`L:|>*<? d|^a#;#/ZIAmTXcilo'7~ 1ʷ3# Jׅ`YkG zI)W!-ɖ{>Az01<FN p$Q܍,/e#LvAM;jrE҃l[fuMl-?a|i'"y TNXWUDA}8{ctm|!gW"H@ȏ߰q jq~@" DN{+ bud/FؤlVX:6dH $ ٸd}e8LQg@[Q;5xߊ%٢+ Iɓʂě8('IOi`jCNILԁ'ڼDXd93%!Y6;fr&w$fK[sYM`L3yfѿ+7Nż{F$2ݠh=mjMܧ.]? -w HX}SuADȃ/i- Hَx9CxDD$cen*CV,x~R UkHG1l=\_i_ r^d+ `pqa)ͅM>Pr-2}\qmƂƱV3Y%dw@ WcCI%cz8\$]SWQgD{u$Brx޻ш$YruoWywoz;DF9j#$78xNJ|E Ҏ%mQ^؈ [,]Ǭ/ݬI$ *` \ul92Al7"ؤbMecZ+$~b?c\=udUD1٭3+_=;OKćݮv9퉓) [Y+~y[1t,v,bPC.3ءA:v{T+qok~ժ7W^]blZɊudcas Tb\r!+)fL#f8`ڄK;K9vAN\1*֝$$z^;ume}}Bq$HeBcg3Wia"?@_Dd^5Dx]q|&٤SI+օYߺ(tA}[Mr$Gr*)X3wg!2)!e U,,_>,Sɿq{Ƀz2$ \P7DpԿ [N'Ln^K/! _{8?wabsqD.'_rШUcv!K>d0-C,Y R]EX+\8V^.Uem+.dMwE&h9)΍7.1^dVbgfٖ - &5$x$+/ATF)üMV^\}t6D r% \xIZYLeNJJHlsM$yFֱQ0c0;Kp.u! z2ƞGNUh!&0b !Е !z j ~'&1)-B"s3s6Y M#ӆ%g, ' 2wQ endstream endobj 15445 0 obj << /Annots 15447 0 R /BleedBox [0 0 612 792] /Contents [15453 0 R 15449 0 R 15450 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32807 15451 0 R >> >> /Type /Page >> endobj 15446 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15447 0 obj [15446 0 R 15448 0 R 15452 0 R] endobj 15448 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [90 278.125 123.198 289.125] /Subtype /Link /Type /Annot >> endobj 15449 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15450 0 obj << /Length 19 >> stream q /Iabc32807 Do Q endstream endobj 15451 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32808 20690 0 R /Gabc32809 20697 0 R >> /Font << /Fabc32810 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`ЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?& endstream endobj 15452 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1396) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15453 0 obj << /Filter /FlateDecode /Length 3962 >> stream x\K7Wk  03 7'rlXx/WS`RGT?OoiLx(vrhUBA)(sy[L"u}gvO}w:.o]~I~_صWh:*信'&xep5z Z0?LE~ȈYGlX@5H.QяZJET8i.|uR9:)ޣ{&3 bقtk.mLؓ!?k@X|.! &;W=רZT4\2yb~Z%J, CCQQ3iY׸Sa]F+NdwE! @;^p^Ò3:\.8&LiyM7nfڮ`꾀.[MV/f{mnm1bvb:y$7&M@оcn3_Sk׭uPu].wlWk'n92cvel'}nNy)b澘;k2ʾ}fC0w+{>dRic#=6r>O}QYLX{ˁ)Mu] XrѶwʒ?tu|n]E&MoGuv׻ugc%4 3+gKMgaMxM]h[bSח~5:XYc ]Xߐ^?JbDޠJ"?q W 07@F3\#9E %Ar2Jӿ"aeDMQR9$Bh dW-ɤKґZuG3.x7}P}GBn\ RwBn+:*RVjZ:֞Z*XYYJ\p:1TlQ5\\S`m%CGFXe[J+E%cIҳK[Jo\:*WĮo<Л4yh{ 'z7emh*XT:겖l NN( d2 Mpqa>4{TvTmKyuuB-f vv.9&+0\=CRy'آ#-`[`;-n[eˉ|G'2ۭo!ч7ˋ|G/2PƗqyK07*aAdIh8B/Ҹi0~#.-w+~~~Y~{Hѯ_psu\Xtr|#i;zVQlS`O+ F\EJqA7PZfK`,$̣0KҩXN4aW6hÞ6h+ .M Ӥr~V*_*k %\(gV&xVBU&uqUv[p(&a}rnKVFn( ώ-W߽QR6_q d%c[Ii,L}%]vqMԿ1IQd뻓J6B+ nt^1⷇ |F4@ؑ J\>8S^khhĨl^Iu6w xTW 8+l9(<. x]_K{%YƬ b11BI^ʟlJpmO0&ꅦ (/Ug&4WqO2chChiwh7'6Z~D˘'b\q6ыEAeX\xе%%z֌=.zSF?5r]R6݌n>|O˟[|,mGxD:,S##m,]ƽ{In~F=wLf vd5ƐX1FœnX&8`@JoXle[ݨ9|.I>9; mb7+_1u comyX,YڿV{lP@짓ٌw#Dn51M G0~!#Ύetg\&$ezp|fqF9>sF c~1gǮnǒk\cZXXg! PcO__5uB .Ų"MVC?eE%L8DpA \ѮO6/ jmOb{uKs d(s; 8QAEֹR pYVt MGm5XQs=yIXL#6A7Ok|KklT RF:,p梹tMM?C&GұUM! #cA˷ .zm(J{AR})Wj!̅?JYg=CY#Iu/ؗ_J;*n_PbTDH'W G,?koj|ᒍ 7iHӁO.5jVDU8/R++F}VY{]1ELY*υUo9RdArvjrͶ+z)zG= ',& >lI*ui~@!S\}px}x}\\4TywZ=jN] Sr6k͆5)luGL)nGmBm .OxǏAp D'a5OGv'V>ï\ZTdy]Ӈ<_nu7~rw'j:zҏW&4t(TVCn?:P' xOdI#2('-#Bj06-T~I endstream endobj 15454 0 obj << /Annots [15455 0 R 15459 0 R] /BleedBox [0 0 612 792] /Contents [15460 0 R 15456 0 R 15457 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32826 15458 0 R >> >> /Type /Page >> endobj 15455 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15456 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15457 0 obj << /Length 19 >> stream q /Iabc32826 Do Q endstream endobj 15458 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32827 20690 0 R /Gabc32828 20697 0 R >> /Font << /Fabc32829 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=P endstream endobj 15459 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1397) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15460 0 obj << /Filter /FlateDecode /Length 3659 >> stream x[K# W5z tڇh Nv'?^T=v$.zlUIERGJK-|.3-G7&]Zڇ0)O䕗o_J'yj>+5* |'=<4R^vϹqOk{²ih ^A|VD: 矤Rayf|.-<6!U@fBrRr[ќW[LCPl]LL*Ἄb! ^Iuk~y6vժ.SO:8Z##/ #^]n_a>p_=Wߣ_Óx*LGnfkEl)nuv$[ k!dh}7I8XM8x8gB*;=OY(:bt@#ZaK7{TcqeFwZ(^#~l\ ~ud:hb@ ]1H+ȥL,WR:!d xEL+I.SLFhx!N [et Z] N_{=ٰ4S(] ޵Μ\}6۲#oNfF|ɣQf dד~t00:џy` pYX ]ŰڧBVY4 $LWFy5FBBO BA_,a7---%y;a.YZc؋Yiu|;9qi$y0gzN<63YpqZ3"@w.=)td#>[Rv}Pm)PCM.r[bXOj$>qQ>NtYF2 ˲g[Jۡ(Tls `O[8d˺Ϸ] @{ZȾv2(6`l):~Nlݳ= }lFFm|ZH"IS}.Ea]#>X۽LaΫE&aH@(̅DdJBrT]åW4EmFP%a52ȅU*J^o2*͈w*gk56Jϫ*s|/} b[#`way9sS.'MfLY^:{#Ұ^U5^Q5UEj.3֕6[U" nfJ+1#'VN /ԑccɞqVphtsOoU~  Gh8hY%od0< 5+l|3'k4Й{K^!rMT)"(Y 8+A&c"([ )J<;'e\yV4׵;ɀm)]щpae** P9虡 3 e Ik\#O%Zf3\裙뽎bZX-/\=Z!Z{A͉&;e=Vl 䆻fS;=}pk7=lJ=p; 2^#lCV бh)m7m@ں-bk';)=qn&ofGf$+8K RW<|I2)_DEBɿi`?r0?PAh%/˟BHɥI<u.Vh1?E9$E lmgotࡳ3ܐ2!SGO`+xND~Үct9\l9wsΉ̋5 ^.nJ=stH (8l90 D0[ /[,g]wX`9R Uf➼9~lZvbMaOOZS"Y0pHeQ0._>pt.n-|1q4_G}/s\#HF'5=&>PQ01 2br+1r:u˧)\ M c|i4 ALF2ͼ@Qʡn8/EpU;F S+TS:ϥjkaXo+7W_2\܎.}f¬]eQ endstream endobj 15461 0 obj << /Annots 15463 0 R /BleedBox [0 0 612 792] /Contents [15472 0 R 15468 0 R 15469 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32845 15470 0 R >> >> /Type /Page >> endobj 15462 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15463 0 obj [15462 0 R 15464 0 R 15465 0 R 15466 0 R 15467 0 R 15471 0 R] endobj 15464 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 359.1462 138.1357 370.1462] /Subtype /Link /Type /Annot >> endobj 15465 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 342.9461 150.0652 353.9461] /Subtype /Link /Type /Annot >> endobj 15466 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20210608081201-08'00') /Rect [104.1732 326.7461 139.2412 337.7461] /Subtype /Link /Type /Annot >> endobj 15467 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20210608081201-08'00') /Rect [104.1732 310.5461 157.3967 321.5461] /Subtype /Link /Type /Annot >> endobj 15468 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15469 0 obj << /Length 19 >> stream q /Iabc32845 Do Q endstream endobj 15470 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32846 20690 0 R /Gabc32847 20697 0 R >> /Font << /Fabc32848 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ \4çCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15472 0 obj << /Filter /FlateDecode /Length 2663 >> stream xڭZIkd_EA-|0sҸкwlWUڦ)eŖ&^T3*x it|T3{⢵SF/A&fQSߏg/p+'j8 89hS Vfvha dR+k`9m qKy:Ng퓇f~Sijd$#m6Ex-w~O$˧ yq$D#GΐCpMul{hࡸ1UnaAAdCyuBޑ~J]: %lBZlL/D6'anvxnuȘי;.cR <\b߄r-%G\Su/la? R X8'b/|hwuc٢Bg c V3J@zTz('q3U#, ɆDMpt%bx߽\Cͬąaз àAI@:ޫx覿|t't]IkOUN݊| ߳훶 ȀcUAJcuG37\lv3OPTmFVR\ɦ9v/E;!v5TE)^=3 xV f+lnlaq[*lWhr\.G;B&"!5"gzL-2yj "DPZaƄ-pz:+;9) Fޖ4JZTIWG֢?´hc+m?xIg^ y6-+6$0E=б_M d;kt.Aq}Ӊ5Mҹα(ZbF2j_rzb%J&M*T$UͤjRyH;=$:3# WZ7{n̼oU:Wa;qdjTjh~HzlMY\;k1gh35t, ᨏjM^mm~cK^L*Rk_wܢ퐆Voʹ!ryS\鵎Ћ:dLXDk$9PD4%}B wmvDYJ3i䁰Iֱ>Kv)i6ʙf)1r6rS7XUaX/;‰SxGћlzHԮZ:Z D 52 @y T%aLlbGW6B$$N!G{JPBu f2-ZZ+DwCqP7଄&?fHWN0VQp/m-qq΃||YǯʪW]byH<zQ_QpΟSK3%yu5gqORL0$2E3 s\Gt/q 6+ 7qkQ!|~[ ᔛϝ)k( xd3X?(~xn L l@/`S 6ӪUg 6{0)N4Cv,Lmjmg,,~ӰW\y1R8hNe __ a )bUZl¢Y}s2DW tX"HҮ!hXrsaG+- *=>)u,.-Qs6MkGռ^p`i0qR2Xw۩w6ا&ra[e|r}V7 ݼz49}ZxP#eSVLc+r1 #H:3ߋ6eldF `r}Saӻu0W&O0¬fRڲƩGn!uZ#U N#Rw[Z%\G$9'a-e@<񗹎މ?m"QU@:eE `V0K2|E@C|NIJP.^ŝY:Rijl5Y`S^ BFǽgC7mDJr2uHRFEYt¿`j!en\$kZ2v?mGGew"z 0:g Bvyz>=V][D@6İbĹ&%ŵsl'Hn%4ոAM~ĶPؕTlzR}[;R‡K첲tm9I)PĨŃ+bP< I;C0o@"`brXHe Tzss ^O,')S|_0x'hIr1nRZLt`ڭ⋡"3PA|SQ=§$i\_!&^'HEcX)s5J1axT $;s)lSfU`/ϗ5_e!~ r]pvIEv5XT? TEc?}* endstream endobj 15473 0 obj << /Annots 15475 0 R /BleedBox [0 0 612 792] /Contents [15483 0 R 15479 0 R 15480 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32864 15481 0 R >> >> /Type /Page >> endobj 15474 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15475 0 obj [15474 0 R 15476 0 R 15477 0 R 15478 0 R 15482 0 R] endobj 15476 0 obj << /A << /D (unique_56_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20210608081201-08'00') /Rect [90 230.425 154.724 241.425] /Subtype /Link /Type /Annot >> endobj 15477 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [160.037 230.425 192.729 241.425] /Subtype /Link /Type /Annot >> endobj 15478 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [198.042 230.425 230.69 241.425] /Subtype /Link /Type /Annot >> endobj 15479 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15480 0 obj << /Length 19 >> stream q /Iabc32864 Do Q endstream endobj 15481 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32865 20690 0 R /Gabc32866 20697 0 R >> /Font << /Fabc32867 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7kЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4~m~oC2kh}'2g("_@9 ?ɜ Fp|s(dWLj$x2UPcY@wP&]@p94C-ZݛKT endstream endobj 15482 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1399) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15483 0 obj << /Filter /FlateDecode /Length 4468 >> stream x\K6W@ ߔAm@n bO,3 \o=d[n{n-_ŗ7^l2i靜4f)Oq$>;iGuct!ZQp|m3οtSQקjJ,y5=Dٝ1O^NϘw|~o75Vw0/d" QYm#\MD3M~d=֏<^yg(5T]{P_xJHW^_J)e-2dTc$j;! z'r 4xg5^OiJQb_r5 kmF7P{ U8 8}>)U:7_P V`Hp`o2{G5TY"yώ.'2+W8Y#)d-Nyub>X&O̿wV#Pz?cBOvo9@hv?MYh*q[0ةH qf]6Ў1Bdލn潋6yxڸ'yaEm6V9 +9B$eg朚ReBmkGgUr[UΒOJ9Tձ!!Wt2*>E'93zBH׮N3f(Wb{nk+mט6Ν0Mcb`MOݤ{堅Pa8Sg qU·aq6'jY B0yV& dWR0BWm{!={䤴 EԌVAFm跊oC4)xe^6suriޖ{;@,k?lcq Ep!?[ekr}&ۚik.[mMgkbɻlMak>[7mMgk⒭&9W$& 2wiǬ4T OԖ0i$g]b9ky egc$`pd8 Xȁ&{t Ʋq- Vݬ2CF@ǚ4Me`^ Ưimk~jL>vsGr4sSO/\r.nE-[nvD'a4=#qy9N ؍&hPo $&䙳_8f!)fu7+3?u},35[GNkKkwM<Б9>lXV(B n:+M5<&ٟp&8MZjxצJ)_JFs\t%=7^8GĤ TPr@%P"WfGas_7mltjs8( ;]Sϻ@~2&g4CЌgcik_3{_> Ch ljpL Y"?k tq);h*%)o*`#m1>C]0a5lPu!bhOpIs~!wjjJm]TV<)+6P;k]ad=4N6)m98]'dL>G={A9iKxiO;GGeFmfsmU HuZn+j5ʙ|{9ho}i@Al " 0^dLZ1!*>3'N5C%H~>l`L >cqύM}J; _̠H`yT$/>+`?P7& Eҷ-f׾"4r)E6D >z-=s /4P.&"}డSc-̙BŒ̇`":`DYª؛8§>u[TVKkbc w޲#Ut"UH]zwr!/80c_C!ѣ"Eq&DD{0zmKCqTy\=d9K Q we`JT#g;BF08aDț^h83@aYQs"|$D޸ J6(j?DCD@[q*eDU-Hi.Bb?\t&]SQgrNo]J/P2&{䝞| -V]s^R'AEg@R6&SژbFQ\rr/UkgfhRU,LHS*ަlIQ*& -K>3R*GVM$Qom&Wm`ǬA}ͳf܊>\Hf7_~RaoIǕ_qCr8O5t4͹-9iiy M3B8t܆Љ"s+w2n#b+?WY endstream endobj 15484 0 obj << /Annots [15485 0 R 15489 0 R] /BleedBox [0 0 612 792] /Contents [15490 0 R 15486 0 R 15487 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32883 15488 0 R >> >> /Type /Page >> endobj 15485 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15486 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15487 0 obj << /Length 19 >> stream q /Iabc32883 Do Q endstream endobj 15488 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32884 20690 0 R /Gabc32885 20697 0 R >> /Font << /Fabc32886 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7&thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jl?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMQ endstream endobj 15489 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1400) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15490 0 obj << /Filter /FlateDecode /Length 5479 >> stream x\IcWl@4ȔJ|0)gچ5@ץDHOYVI;K;W\' Io), jLR;R+$mWމR_VGQJxUJMK!uK+UV縷†@HI+Ϥ\;uVg!(+% P*=*lůwnw܉.u %aHeZJmvcK"y84FơL |feI,68F[RL9N-/sKc*Hta:+Qc@,_6/};&LS( qYjzcuYk_1R̕l<,9/eLI ̃q$`UH,#9l7{SnF$ ,.B2 Fd 1L餤`v D=ia*=eQTk r7'o{1mFes߽dK(Br֠ l[OCj0N<]JZoKٰ$pђ˕q$ŷ"GuGhYmC^d}!AOl,, e|N&: ؊Y1 ᪬OVЃA.@olIXT YGB5>Sm[jlg^'xc\SߑHs@"Q%Pz̰?+t[*>wjW^Ga t,=d%XB1 m YKVd` kba e/\Q1ˡ ohYa0Ǥ0v`*:V>nf􂘨6ieUPSPueN*5"OSǒ}=&uusu IzsG+U¦nD< ֧&#Au(4"u# ;Y0ʧ!wU(uj6tπlxݭͶ !8ӝ&(~gqɠ?ټUJJ7e|xoDLoE4^H?lϮx3r%D1%?<' !<ˣ鏟`=plF#H@i4`2)w c-)$sgd #tpʡ{L{;{oBn'*&<+ia(Zn/=wK:n`.xWh 3X-F udMՊ-k,o,L (iTO';"#5&+EoTί%x~6~?D!-bdN&9T:F9YP@W-jCQ[HwOy o&LtX^]c_(zRrĥ^ӱFF]_rx(Ws:0a0`U+b4{8]Z5c0J$o1H45"1^%!ςk+7LJUHH-ys2 s\JjO2JMUߴ]DQo \OmrUKQx:E~H;L\ہL܃Pl`ԁEẀ%%<#V`VxZCo''NJ젥8lT}C C]"W(޻e|̍׃_[vSْ[.m,uXpP$zH>kreLP-Ņ.aw4"#€G>U"JUՈ1$K!:P-ZmK`S@@g ^HUb>mPXgcwaw@K 5J(VxGHB7/?cs9cX%wr 5򡮿K{hq}8(w{a>DM1JV| Cz*(WUG;`F0@ldeIYbr fÑqIELvtl}a֎/̦.RQ`w^(gWy*+=Ht#t~/9R]sQN++`68W/F| 窧n?osc1b,7CJ^4C ]&v9O,TW=&8(6n}K*M,ia')dk OBjl29?VIn3.Q2C4 :gypP~x h!|goxߤxUL`>ǡ_PxMM3SS'Prp1`PǕXcG"m)&2ܙf4XlO$ 2>|k*Xoq<N|2#(8g>87KWTGlcXyn{⥍,)Z#I2XMzI8v-sKu] ,E-? endstream endobj 15491 0 obj << /Annots [15492 0 R 15496 0 R] /BleedBox [0 0 612 792] /Contents [15497 0 R 15493 0 R 15494 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32902 15495 0 R >> >> /Type /Page >> endobj 15492 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15493 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15494 0 obj << /Length 19 >> stream q /Iabc32902 Do Q endstream endobj 15495 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32903 20690 0 R /Gabc32904 20697 0 R >> /Font << /Fabc32905 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 15496 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1401) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15497 0 obj << /Filter /FlateDecode /Length 4737 >> stream x\I$r ]/TfVthN-'D`df{xRBCSM~o;Y_ ?abv W۷߷m'8|/o߷o4 S|: !tVm i?qtoOeʖ]"^L%&4Q\T[nUŭkp0[Yݭ w0l¢%|t!%#;St}k"3Qds 3,xj2C?]ٞ}'Oa/eڪ>Ub'< 90L>}Ork+Ȫ2_})#r/u݂mSd4A!oq3;2(l)א{)xj72\eiB $StwŦeFl~ #+{U2nye -sIY*N@u(c@-vv:Wv?kcy4b`/HÅ#!Y0-(l". N8'"i0.ǥ6D\!݌6ڶxKJE$IA/8I' u9h8>nZ6̀o+Iķ"+EmxH15?*=0.w[@&3D&\e:@E6rpL2Kl%n*߇"y͒&9ʪ[nHee$ڬHa܆qi][kwFe{i 3Œyo+{ 쵭>.(hH:<ɫB>85"" l{+n/iHxf 3/0)K#W;5,@WD.)a ~ mFpѼ?;Yd(F ցC;## QVxIF?8+kV$4b8)ôn KnfQi [jMOcԭvHh۰㥚)V̈'"؄vB]Ԩ4H sqs"M 2U|e9=U]IAb y!Ȫ6\9>w,O-xb#=[z &p t~p8L4 V/tTsG""2 Hf+= 21e&kNJl~zKZc&H뽂.)dfK fWbgv)ҖLNbD(K <"*%z)k!ݭۥuƘ$K5qHeSLr8DP`!Rb9NnG].)%5j8IuLZTMy=5e 7ˈw5 JP%? TS-`B-<.2>M&)`+ xS˄C->F_;*xeV[pM!Ts]4pHv"wb׋wv"|:-_=l6+|]>VU`dGl9 Ҧt9gNŚXGa9)@(q6Kpм%X(U:ƿJOCOcNӨ`>zz=* 3_.XAK}艭#sxI&. CV/> V ~``w\uyh=U쩌T\Rr)FtJˤlp)e3]3PI# ȟQ?,'=VRQwʩ ƨhgb`bӝ3޵h7A]$tEST}lJn^/n\OtwcŘxׂ~Iz|P & X=b}n{DT{)P>[7)MVw 6dDZkՇ_x 6 иz=VWSN5]ͨ}!gvg 6vj Oi6mqߟSRjGy)8P#Px2_7%-x+OM"lB8-T A1ts#f2Sp 4m@);g8͕i6zb }52>h>9_b JSwXE9#JBm?P/lb̻߳EmQdnvD_>rWhx#疿yjNF M\/mrJW}#XߜwW'XhУ` r}@}Ci.A&MAixd\ v^DDFC\U2uBMU,½9ilώ^8Y+_ ;m=氿HL~d{ +,Ed {hOָٹ=)d7sݱ/ۡ|ʙqJH5Mvk~PS%TOp,#rOe1pq/D>jz@gQoe iʧ PilbA ; nAMg5*v9l6R5 nڈ8WQJҔ|r^䤸Iap%F^$WIo]߰c0GRqM[Bbk3H~n%uز0ݴ|=ׅo{ |Uk[*/ZX%.vIZO}Gqk5ݚ=w2?yMTƀ}WȄ<i!.9M>f4XWש}'itrʗBY?ੌsuW*NyiqR~䳴QF۶Jyf:NjW {|8HALvlggrsƱD[`%7&OSLF_˺zZR "۟iNY][QeY"%'oys8Vȶ 2ڡdlW`э[|Ϻ[p 7{4%E ~?&2Z࣎۷16Ԁ;k%[ Gglu}S޴TFcdpO)*#Ǒ{5W:tXNc}zѽp!6ij k% h1v—FM#ay_x 'l"|^|ßϟ*o xl>x6^^pna/p𒾣k2^~05?mwnMt_@zI8v s}ɔ; &/c endstream endobj 15498 0 obj << /Annots 15500 0 R /BleedBox [0 0 612 792] /Contents [15510 0 R 15506 0 R 15507 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32921 15508 0 R >> >> /Type /Page >> endobj 15499 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15500 0 obj [15499 0 R 15501 0 R 15502 0 R 15503 0 R 15504 0 R 15505 0 R 15509 0 R] endobj 15501 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20210608081201-08'00') /Rect [104.1732 558.3308 226.1467 569.3308] /Subtype /Link /Type /Annot >> endobj 15502 0 obj << /A << /D (unique_405) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20210608081201-08'00') /Rect [104.1732 542.1307 239.8747 553.1307] /Subtype /Link /Type /Annot >> endobj 15503 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20210608081201-08'00') /Rect [104.1732 525.9307 163.5072 536.9307] /Subtype /Link /Type /Annot >> endobj 15504 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 509.7307 154.3497 520.7307] /Subtype /Link /Type /Annot >> endobj 15505 0 obj << /A << /D (unique_406) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 493.5307 197.0132 504.5307] /Subtype /Link /Type /Annot >> endobj 15506 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15507 0 obj << /Length 19 >> stream q /Iabc32921 Do Q endstream endobj 15508 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32922 20690 0 R /Gabc32923 20697 0 R >> /Font << /Fabc32924 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15510 0 obj << /Filter /FlateDecode /Length 1840 >> stream xڭXKo7W`Y 0CѓӤ(%̐$jc;g8$DҼ~|ңuud:<_Q;>-CC6vjm:X#O~PA `75\Gw{>j6-G H8 }u_8u'K~GS?0Yͼ,nGʗ,}$x靽H}C ]R={N:3/e%`LB|&k!ZճP_{iXyg W_1iYϓtuSeLw1׵ix{es/@XIpǜꋶcYϟ:;S5yKz?P e0Xnek.ޤ ͛^?< Cx(d9t>^ާ,x= ~OV?C9`5;81lCѝuH8&A#I.]x ɡEǵ+RȪ@Uw a/t"9ljw䜃A']q^dtv'$h#Y%HޚzVǽuFxnf|0i+%&E J M5J 8uHr$ o&'kҪI}[ As;їZH&fR/d a!KMheQLB{Wc{rSU :ʔJգӿ8?$v({ q񴔕◲n{h8'QuxV uxZy0f#0BVbNi{\!93j()w$(|j}Kg|sVo4E773]3ͱdsn:~4 WF(SJK2׆XM-X{Mrq['̼]K4"Uggi#mTb|ѴSMQqB.Z/v7 Q#PH3nV J'=:+ƂUKk(?pٕ^mib!ԝ1c2ޯAGrDF/HMK|X 3 ب4C⢑hc#-k8YWȗ`l1'_/o(Yi~G`8Im23h7HQZŨ/WycB>M-yѲUk&Ķ'^.jiYH銺e R)KsE^)i\܊TTDZGm285떵9q&ѯG /כ9 UQ>d$®.Ip=f|e8v;qx !\BL8 D5$kdU-۴ uԴ"x^꒙xdOx5leܠٞ&{LVCxyŲ"xݢ.0niM-Y(bZSlH?EŁD F&<.en.OL]F.yjj:l6/$ %SR ol>l8`#o'KVsL\itCU֯X6\"_w|yЏh~q/+mc棝xׯmT,|n<`11,zu,2u>=z#={iIqv` |LN|/](Cgﷇ=+8}3wxJn$]QROc 'k:B l=vEn:H_'uS@E *rι?m^Q>ȫ{; ͍g񳉋NM*02L޽ endstream endobj 15511 0 obj << /Annots 15513 0 R /BleedBox [0 0 612 792] /Contents [15519 0 R 15515 0 R 15516 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32940 15517 0 R >> >> /Type /Page >> endobj 15512 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15513 0 obj [15512 0 R 15514 0 R 15518 0 R] endobj 15514 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 377.525 140.952 388.525] /Subtype /Link /Type /Annot >> endobj 15515 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15516 0 obj << /Length 19 >> stream q /Iabc32940 Do Q endstream endobj 15517 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32941 20690 0 R /Gabc32942 20697 0 R >> /Font << /Fabc32943 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 15518 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1403) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15519 0 obj << /Filter /FlateDecode /Length 3967 >> stream xڭˎ#ίu&I#Y #u {^|u41UMf4}̤_i׷))u2'k/SM_ަ_8'Sp֧pTlvo.[>>ţFm:ۃoߚIqJۚ%'0 O?3udF8k3 K9>{q>yhGw8ہ' 2_DLCdhІy3 PV3AEt.8f4mB){BBpL}~@y'#Jqh&Dvv |^`C/W܃)d yN_Nh ,9'&p{!f[5Hs`nt`<{,Cho5|1/MFyY9mBmkgfcȌ h=Fj=v.E`oU+;J)y% ɎMJ le3(FD>3G*}ת<޶|qςtp/tzjZu=oSW)NdmdkdqA}ٓN'*qb nÿyw,ꭞv#pz6# z(dj5둹zb(v-DQ"G-rbY \SSqGo89["J0[fyHfM]3Mm`KaϬcd -h(,yORӆ3鈢5Anwa|YjwHפB$(Q_N({h뜘>ȱ©S5gya WÆHSǥsuFlnrq<!1p$} 2hswNْ֗>c`!tu0kJB[aN@Ry^SRc~q;`BS/F:(|e XȓKs*} oKRpQfv$8$yAZmzhh 6sx4{T?jB"CFtޙh ٯSyTTCjKػRt*r$8*25Kc`Fcp^ 2bVz(XG}͈ pukݒFӕv -*ry4&*8m;]R+Vz(#ް6`ݟ n${p,%Hz%RwXD7.+#TK,,T6 ۴݋T!!ߒ;6bpKc^ut;:v0{v#;~;O:m yEFֽ|06Y, a?> 5g9O ;ȃQ:L SM[]^C ' j+ x2f1,[9Pk9ޕ[h7=;S{t79gP*Nr %~n11|p]f3d=N0'(e/zY^J2M.ɽL4MSt=g+[0YKӀe& Tzfd 2N5xn@<4iO]~O]SrrE ר/!$ G˔J"469ڴB\@Ib E;i=rC@ _lF! h7G8n(:ZՌN(*dڂYuϳ̠\D\Ւ^&)cw*̬^k˧%ڀZZ洤Q1In;{Dۘ2$*rӜ5(=x{u+i}_0[t PcCf1#WB %K [;HW$*5}P?١pS횄i_n t3DfCl9QdW IJ #Hd,jcc=P!IOd@ig׉}6s`_$Q>92m.Wm4wm⌊ D߻q#BTnų\޹b&VyYUQ^ykLfk{\G;aMG\qNXbP^c=0LmwZ=n8I72lޅcrNT 6oF)3>`gXT%RQ\!k!<%A5A<=yۣ: j] <5{!-~aa(zХ>!|;§>*|mKX X&*ƒ G5b.W#k\gd&c&F \-ȅ"\Ǐ3ͬigEr}g9R$7*3z2A#L  W֫xv J257p׻\rK慌SF9-,[ŨյfY!!Z-ZS{ي-hfљIZ&#ն"hco]ioEC ݻ*bcI~zsW?3i@sZU6 ,33zm0F-~ȅG>"xą*eǷkVaQʖ)~E*cיw(Bip}%NPjH;d>t=E >j[ WWi0ǏZ&BQw kp][8V_YPņ,} u`Ro%A&m׃8KF.2wQZ;z endstream endobj 15520 0 obj << /Annots 15522 0 R /BleedBox [0 0 612 792] /Contents [15530 0 R 15526 0 R 15527 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32959 15528 0 R >> >> /Type /Page >> endobj 15521 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15522 0 obj [15521 0 R 15523 0 R 15524 0 R 15525 0 R 15529 0 R] endobj 15523 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [104.1732 292.0692 172.3292 303.0692] /Subtype /Link /Type /Annot >> endobj 15524 0 obj << /A << /D (unique_657) /S /GoTo >> /Border [0 0 0] /Contents (describe) /M (D:20210608081201-08'00') /Rect [104.1732 275.8692 144.7687 286.8692] /Subtype /Link /Type /Annot >> endobj 15525 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 259.6692 159.3712 270.6692] /Subtype /Link /Type /Annot >> endobj 15526 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15527 0 obj << /Length 19 >> stream q /Iabc32959 Do Q endstream endobj 15528 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32960 20690 0 R /Gabc32961 20697 0 R >> /Font << /Fabc32962 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( C͠h#bwo1` endstream endobj 15529 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1404) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15530 0 obj << /Filter /FlateDecode /Length 2818 >> stream xڽZIoW@=9Cqh\S[/mp쮪嫪7egIŪ>3*x >ߦ%+ExXUAkS p/p&կ@E3%m\ZGODZ;zb(px=*/ &ckU992$"JL%& ާyLO|ٳpLʳ#Ut4XJd8\&_e+<՝i&PԵl x9%Qڳ hUSVXMVgbʞD衎q->^vtT}9k\,_]-:1z9%N6aKg=bxA9зzDaTd}ز(Kymn&&U>-!AR1Xl7dSL]ca2T9 clѢȗ%_`c(XmGޣn] ~ugtƋ<.@1J4qq3nxV8[J TP@mvZԋ6SsQ nEp/y1..0y7V\ݹE۩GUf("7U?,U5| 'y&C0?TGxw qZ4%u}*h6ŀSYB<x-%}^1L9W3@'ź$ 1t] ѭmhIvŷ\rMW"!a62#-jI!augc`I<# jfY%[*pg^^ VA]*j}& zʒTvAf7,"l-+t3:AhyBԳ-уW(<`B@DQB4*G]ې ,-Ƅ!R}Us:=UYW=}W pL^&btKe[8'Ɗ,z8gϕR"4X`fmZۯ/%UW: ]kI,>G7kMq3yK(.A0@ fwx0\ =>Xy ݥ%bNbA[mPjgݡ;/ eX[UeqJX=.\S꫻R/6n.f(?[KP kb ʝY|qBB<}f`'9g9R_~R4mG&H%Ch=Ufɸo?++U2qW8c8Vڑj"vZ.Yڈi[ `fAƐ$ bdʩkș`e (݅wBp|`r:YHAsŭOE}v? @qHdu7$FY $8퉷JkеDA> '΃6 s%dv"倫P 8vD̀liNr7~Cx6Op[|PFqqwϋFZy"A)J?B:HU%LV 3/jhk'tkES\@_Fbǘ0dPB.iz zUi J^]cC͐3.d04DAvOܤ}ɧ%«Oϳ tU,mYʻĝ4}X +vz. %G. tGh£XW6ĩ& ga:˰-2mގcOMvw >e"L+r7jE8'Oe%4kNd3^+%=d#ϵoڳa]Vo Vfe|ש?a3 Vzȓy$=:)-YyTGx4zvo53guMRvH'}A2܀C\^D4t}P@ ,XnAE@B|'bYFhoXQ,:RD^m Y`HRè{!Fѫa눖M%LҔjot#?K4~s L-K5-͌/'k_;cY݇H2>.Ұeȥ 3b_X> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32978 15537 0 R >> >> /Type /Page >> endobj 15532 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15533 0 obj [15532 0 R 15534 0 R 15538 0 R] endobj 15534 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 82.625 122.692 93.625] /Subtype /Link /Type /Annot >> endobj 15535 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15536 0 obj << /Length 19 >> stream q /Iabc32978 Do Q endstream endobj 15537 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32979 20690 0 R /Gabc32980 20697 0 R >> /Font << /Fabc32981 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ7 endstream endobj 15538 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1405) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15539 0 obj << /Filter /FlateDecode /Length 4533 >> stream xˎ69@9zZ60h+@nIaM&%-WU=5hH%߸xp_xIn $;;~ߟ WUi>pfxfu:S>p$Unms vJ%$žLΩ dRmlq/b3FDFʭ0D?r1 JΣ?oq\#O|>>q Gw ~%+<3?nPYpOS/b_ %!TqPJqDɷ߬hcSZz"*4`n\5b3f2S* tEJOqc }4+]@xdLsbLANVÀS|*Cԇޛp3f<: 5uG=0+0ҖBcy}uCΈZ9Z (RЍ%@p+j|" eu'&N8CEEe*`9 z0.\o):x áU9)hUo.0ybEdS`OaDAMv0.P i5Y' يtl tm1 "F* `u aaxVr".H|%p/LmR/yhW R،<& ЕJ3d\-*3f1 lV*l6tc >D3/mO'6PKmXV TEThO`0_;u<x}EKAuimo/Ȥ'h< 3ݠf}I:ph=;lH H8h=0a! gU9*-`Oƞ)晞-0Ja?P pXA\+SEa`.ѵr:?j.*Obҡ&=p!17mB҃U#|Q]X-8RZ hTo%0BEg Pz.gC]j-8KKpxv.#|Q&C D*`nUohKzDi"Wp-tC եki]02Tg{0l9ms{cx9`j>s;gusa>ss~} 0P33Y:Zz2L ?5^/DzucJ+M`-R21dIS89(\":`K::m$TVz&/ Ό~9%ʍfۖԺ*N&Gi^|1<#|`x}"5 u` xlcȂH+:Ì}4Na| mBORRFRWZ13b5 >t!>DßE1޴a\*~k)0WO~YsvA IPjX1q:.5~^l&Bğ9u!7cv|b],`m +ȗ2U==ÉxƩJ&TvI(z;rڿ^ï`G1mZ=vH,nَg;ֱw\!O>]By5 r^|.,褕֞;uy1ܚZV 3|.T.J<^j9f4ϯxwhpWN› o'-?$1h$GADGhoD(Yjbt$ d9ߌBdS_NFC&6u,dZTN9t8'g!W)i?q1m~3  m!xh^ì-DUj'Hʩ MI_l"x[u3i$ Y:Ad@QB dTUu FYVVSA *ʱ`B@A~Ǯ'-@F$E*RXCWwyn[ !yyv7(3hapj$ k䥄#KLX{h1΄Cs9J.5(XR67-; bR(a*@&Q咚wVQ]nDS@h} V#+u8/XsjDd)3C+ Xɬ(m)Goڵ2`^ hhpcay|ԗ >+q\-j5su +y*-1/XڀQm4Z2;6.04ME5UfM EV2; $ f_`6l~ff}f5{ٗݪםFd^kDnen#֮"Æ<І\""=HݻۖGw]짽3q^2=F3 ~%[>[lſ o3ݫ ّQ44ۯ>fO߇|Ӽ44+n}8:͞|XvL,oIJfb;[gbw;V[}8G:͎@6ŝ.l'Jpt=)Ht]/]Z\ waS( `kٿ&)#hգfjNRo> AlX ڠ s鸤 wAj x<}(@[ 0'\Q lyS,9$Vʍ;(ë@Y~wS Gzӎб9[b*_η,97Ga@|Zǯ wj$YS ~) 2.'׃sUժ % zh"~Wc''X\̈́ف|#\rnBN4/TFϦ ^S~#l`vBBijlHi,w,m#7#TrwS^"gK Z]产&.УqHaJ4ݸxeA5t*@9x#w+7`0^{0)wG{~bu tƴ㿱 ݈A yMayl"v6*+t3p럷 %Hw VB\@=rK+ːkVOp9Օ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32997 15544 0 R >> >> /Type /Page >> endobj 15541 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15542 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15543 0 obj << /Length 19 >> stream q /Iabc32997 Do Q endstream endobj 15544 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32998 20690 0 R /Gabc32999 20697 0 R >> /Font << /Fabc33000 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gyMU !xkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lME% endstream endobj 15545 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1406) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15546 0 obj << /Filter /FlateDecode /Length 3587 >> stream x[K#W@4d#it99mblRU,[3l8Xh"YՓE_d}fd2|߯?'Kc䧟.*4Z嘜Ik/{~-̓/ ,Veb. " %}E|z˱< _a@'Ošcy?Ç@5!+6*]?Øbq*p<&R`XҨԙ,IK74 Lڄe]8`]!IptRt4t:)0gtέ '09}6u S5'u<Q>%bUlU.^벖'`AE|^ƮCbh3Wm)t2!ybF>+o(dHڇ`Dd߁؁Ͻ$H2r5Yg<85q֌(}Q EL"N0__ ~˙3O9k\Y1^oa"˯xX /` K4 5n̙u mcwܒ V:w0uqj?7, AI*ϪѭJBnYIqLU)US ( e it9*Wj0sTd%r, s<36T%Z)AZbÒԁ 1zFIį̴I<]SRI+`ori̵f4ǽumAstR!%'iA ך[ͩLj<AԎ[53:++mwp+Tf[<*Kz< hAWqMpFu#4֒o Qaٖ۠(alU&ۮ[16,4fXռzs6,j6'7x,&`AA"ڥ݄GF&}} qŵ,n pBlVZA,9˨ B{t2 ʝ%K #@LIseJ6R,a$@4veE(/8E%ӣ4{CS|w 6s RG:UU#y#l;V](SlUM`z61bfnţf *Ar"kS j13l0_4q~@qs &r7_b0/bQlXV5EKh" sɤwprF{֬aJtxl|f/n m4W<ƆE"vsOv iGK8k+T%- fڔHĝ8+JKuvLsLjG&MnmL)Z h.noo7&0K[Jpה2agJgjhO}lW060Bxnl>ch ,3zYwf5M:^/deo]!؆^o|OWrx\z twb.~Gf#]MN-1V3}l޽nQn8v;`͒[d4m!mR@nG>v]% C>l:(k"Jy$SնGX-xTޱd>ɐmM?(Wf,@m'Q8rf8}c@K_RS\ ȿI["Ji 6Sf v+I ѐ]975蜐Zp)__\x*SnL&dIO"%b n PH "TNT6qpp؎\=4xVzxeYܤ8 PGOڑ(} 9a_j| r>O 1֯- mrߚvAMoʶ.pe"M3&rtfҕ  r8LqQRC\ #epx YCxO 1C k!ȚOCeHqj=O< >j 袅Q`а3c55-R\./pJHJWB`Gb-_*qAS7v+ׂF+=OMU.2 *Ekx[FqaHM/8D2rwen0*gLothT4|Y(%f7[;ҥ#Imڿѽc"=A w}due12=;h<[ QT>rܼ6HpAvd2;_ jRϬ?v(0G?\K9Fꡗz@"z1j<> \Scv^XW,t;O'Xc^(E| [_x:pm|\;}y#=s>9r 0ڍvw`쳆|YgOWCKݧ ~3< 04-/D dL[*QQba8dČ7&0x=Pc|cbK4 LOx <]pvuagمT6 颖E? endstream endobj 15547 0 obj << /Annots 15549 0 R /BleedBox [0 0 612 792] /Contents [15555 0 R 15551 0 R 15552 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33016 15553 0 R >> >> /Type /Page >> endobj 15548 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15549 0 obj [15548 0 R 15550 0 R 15554 0 R] endobj 15550 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 123.0194 225.2172 134.0194] /Subtype /Link /Type /Annot >> endobj 15551 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15552 0 obj << /Length 19 >> stream q /Iabc33016 Do Q endstream endobj 15553 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33017 20690 0 R /Gabc33018 20697 0 R >> /Font << /Fabc33019 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ D endstream endobj 15554 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1407) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15555 0 obj << /Filter /FlateDecode /Length 3773 >> stream x[Ko$t~@n䴉ދ~fHC6Y$H_Q?+|UΨU6XoLgߌzuq)砃zz&f/WA[VCmӺ@3/7(ۇ@1f ?jaeNƖB`Ƞ5\]Yu|Tn'̓z٧9Ñ-;P E9$":0vƆۃJg"{xm9mMu lLkYs+w;r "flaO bVPI2?cvݰ}85wt}܅_e6U1wOƹPX('ہ\Dױ<:+ҷM:ÜtFRDT"`ǧ|9ڷ#uѰV93lJG`rӃ r,/.#ѓfv'"ˀʚp=ѬfU\Lm|/&},~XqVN׵p 't jʂ݄𴲴GoK4B4fc#\H 쒒[~ њgKw F郑^Gڠ= ҆l |SCT͛VGز*D'62dnEPq'k$30sߚaw⒪^QuUpT\65$ax-ΐrN߰̆t+ rlѶ~ﴟoZe-f-(vALHAH}azӭ9Sgv X 02$|Yf̀AO֮0NqfuN{s4auD<|)v.Ӽ.ͦO?\j<#u h(bt׏i n4bn{-ctvM!=R"̓yNK+'og R6#S1;%?Z)dH + =oUzFk^RiIBݷ)jt+צfЂHmc%(u}A`Kb:ȕ|9w# Dh{n Ƀ@XhGC;5TAA􃇵}ykq$ᇔ*1Y4w/RzVZy?H4u%!AA ^+T/}_ͩ@bѾЦ'L&>V|2LK5񏹛 ? xLUR>{pAu]ξ[O Q}Yn a*j*pGTl5f0*W8@Rhg;>1miK㖹-#xTA52L;QZ`iݭνq6.\p>P w,fO*ʨ,c\{O1 Fs<(PvTwgcJ0*IdjRE=L<Œm0lםک$di&8m/)ty;7l4P1"97*O/o-u"RVzTXA;VԄL?pKɒX 2xRoG:i-Lwp/1@.Y;d$9~W7r)[mT'4zO@<&\V( <ڢiO:hcŅ9m 7:G2ۀǾc mѶSlEU)+ԿxMtI5ܹ06,|M HhKOp6 ԛGsDN0H\9g^lnkz,uջCF>~kߙNb%`]zջ=0(x7ٜhZmqcsWlSBKrmO T՘fNcaەnKHHjN>f/!ksZ%:Pd'] N$,{<7@c}\wF$٥[0D9o#P掂 d.a@Z,Ls2Ƿ䰌66FF99%"= ǜ+XCOSs/,sEYn5V叛s攲]RGkf<>upviY;0puL=wX[췜F:inGO/ӯ܋_ѧrQ;QX~AW=2=#+O9(l^|Ge7テ!Ơf7yܰ5JkϑVՏȧo0ߖpt VВv>:eHjlu 1чt؎ gn|J}=>cd=dho2xO?x$c8&q;sڹiu'ZH]-6^&Aۺcw'p/Db(PqͧzEB½%yvLm3CxJUc)PyV}<#Is^H?5޷$!F0t@cgнk=3U(USEu[@|p Noq'9ZVA<xP'b}%xSD6z5zޒKV޺}V;ՓoW%l׶OV[/n |k0`ٿ@Lz,b'~;;A #;>dW xh'Ƌi8:e66\,uN+D泩lAv)Cg-O(Bz"aFU8{0i=yVZ}zue.@{j3ܧ ZIxM_ϧ#wv0bEG2 (}v;xيLZ !9l+W5+ Haq,94P;IUR&2L53 P 8{MBHW/ ojĮ`eٮשu*Tew)]IxU )u˚=IFIUNRRkk`]4KX2ID?x&1U VgjOצz4tuSQs@0YY14%'z&bK.UG U-S *//6n)6ɬek&/eWĖķ7MJSw;ꧡ0MVf?i_X#&E^= mEb%SĉP9wCQ1q#.Qc,# sV8>m0y{e˻>OxrDK^#iPQh x|ga]ypb}yD<h~'"1R̘}3<̏gaM%j<8v͞_a:no~0EUPc?d zƃ(/ /׃8/Yv#6AjSwl( endstream endobj 15556 0 obj << /Annots 15558 0 R /BleedBox [0 0 612 792] /Contents [15565 0 R 15561 0 R 15562 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33035 15563 0 R >> >> /Type /Page >> endobj 15557 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15558 0 obj [15557 0 R 15559 0 R 15560 0 R 15564 0 R] endobj 15559 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [90 310.725 201.1385 321.725] /Subtype /Link /Type /Annot >> endobj 15560 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [206.4515 310.725 239.1435 321.725] /Subtype /Link /Type /Annot >> endobj 15561 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15562 0 obj << /Length 19 >> stream q /Iabc33035 Do Q endstream endobj 15563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33036 20690 0 R /Gabc33037 20697 0 R >> /Font << /Fabc33038 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15565 0 obj << /Filter /FlateDecode /Length 4221 >> stream xˎί賁i& ,c7|rc6t^|uh79ҨlX/ɢ49#e;}~JU|S?M?d鏾s1Z07ꛭoA['c=zl'(Gv2ۋa7T<9>Y;?ǓfNmc"ңH9"1~A*@w3s|&.?q>O"MD f8"inQq@|#X@.L˜u|+} AAַGxȻ `$@q a"mό'fhtL9bdn!yH_S;& 2E~6 @=&YV+SJSU.TtY3rh1Ҁ 43FHqmWca/PGm KDg2&<Ͱ( UV7Ⱙ14,ש֪Uֆn]wث i]emٰ_$IMr5 g!t䯀J__ptidJg*xhsFZg4zУ#[M>P#s9-2W:皎WԫASi?htZzPqL'wdi|`KDh3ߟEXt'Vޭx$wAXy05;FRuP3' `D3δ:'.j^H@SQ#nkXU!2aT7 &mn/T!g[5Ǜ/} ʫM5Q b}lb$ XQaod(n l]KNog}DUܵO4G[_ضp5UhhllSY`X gϢ*u謠)+:vfc6^[ Xݿma,p^hߒ(+_iG@ X&[Q*wD"PNX4 / RJ/!C"aEMr jc_PHjڮ<℥#4u3V}38vPƥ+U^;" ޭ@Fx KIayiy^-qKw$H+& 7K~618ʅf}Vz (X[}ˈ`8hVZ!z`*%G]^XuB|*U5S7;7j TkGP,@*i_O׽w=&e:4xpZ_,"ݫTlvm@ @fvͲZױ |,Z+Ug ;a>a=W4T}0wRuy\!Xغ*3ՖXX=.j%VVVwV7k ꝜHRDzfwu"EщVjBˋym)uA0,3JJ0O ưqxͧ>s679 ƌl 15PHmG`Ӈ PtRVMZ 8le"rMVqv"&% rx&ғ2v; ڶUL}:ԴJw%̭.=LlV6fd= ټ8 CaŬy(GxK&1ǚ!'|w7v7\KK0.un!3rbc hAA8/"|;tIzy˘w-Ή&HgxO4UM<=eY@̇ +dmLd2uJᛑF+jFAK1ߞ|isV2u +L߱a;0s'MgX"NE"}T=L^S8l`( ֪jckmG%|t[lW>V\{,ieJ[e3ӥa<'c%Ei7lQ=̑jF5Jn~J*q6"'X4bHf{m/3V/lV\Fl,L IM/F }Ub(|71"υr˳wcX{U zuBzmjwC'_dL)Br`=)C&GrP7ȧq Bx!&msyE=8+z)s]8N)ȹMdX@MVAm^MC쟍Mw:]$`L>ʼnTgȋ$4yiwIх3QNWR}5&ti6/(p _=6@A!g^(nW-s~:4 7KYX}ɴ|bO׃i27F#ͨp:Sb'C dXCLlT;@11•pc= m`OVAƩ>K?xK~WhR?_s䰧Cv-Qӓm>׌%;^',zOB ]A5z^lhA)(ZD}DuAuE?06ҁv(`%޺)`m5`o`DfgNnsAa SogNuae6".G4y &"xlHc?\[r'Xڞ}E؍3n1԰,W\u Db jg)l{^-h>rH pJ5֭^ycLpɤ0b2ـpP}D9z,t f/hZ4r}X\IACrNtʌs6̟8-6g,TLDJm@u&@t$]J5oSO7SWk [vuLmEXGr}qSr2"Q#Ukx/CJR6yBz]s0Ù`(OH̠ j \,tP1*0;>0%l#k!%!5rv+5بU2@ެi0@yZbw+Q,/R9 ;%LĩEp<H|,S×!%Rv %ϵXz"/ȨՕeXQYk-K*]b `YfsK T*lH.NLv彙5 )t~f;W݋>^`#K.w'֑s1"6xAsݝhGLH9/~@K.={\JrN]./񕫝œi0V.`EKUa!O{nyfxWt rk˖K޺z p1˟z +cn=Iwu8vroF=^C zvk9}/W|_4LnX9"zߚzPqXv"6(ַ00YV_?r endstream endobj 15566 0 obj << /Annots 15568 0 R /BleedBox [0 0 612 792] /Contents [15577 0 R 15573 0 R 15574 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33054 15575 0 R >> >> /Type /Page >> endobj 15567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15568 0 obj [15567 0 R 15569 0 R 15570 0 R 15571 0 R 15572 0 R 15576 0 R] endobj 15569 0 obj << /A << /D (unique_565) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20210608081201-08'00') /Rect [104.1732 245.3808 154.6302 256.3808] /Subtype /Link /Type /Annot >> endobj 15570 0 obj << /A << /D (unique_566) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20210608081201-08'00') /Rect [104.1732 229.1808 153.2277 240.1808] /Subtype /Link /Type /Annot >> endobj 15571 0 obj << /A << /D (unique_568) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20210608081201-08'00') /Rect [104.1732 212.9808 163.3477 223.9808] /Subtype /Link /Type /Annot >> endobj 15572 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20210608081201-08'00') /Rect [104.1732 196.7808 153.7612 207.7808] /Subtype /Link /Type /Annot >> endobj 15573 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15574 0 obj << /Length 19 >> stream q /Iabc33054 Do Q endstream endobj 15575 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33055 20690 0 R /Gabc33056 20697 0 R >> /Font << /Fabc33057 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7MЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛH endstream endobj 15576 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1409) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15577 0 obj << /Filter /FlateDecode /Length 3157 >> stream xZIo#W<*@`Iu` ''=A`h_-\K%[qC]EJZ*KF>ڧw2iRq|hx>׆E)+ZutHJJ=:jQQI|RZ9(u9ӿ-c^ ?cIChl4N )xZM9ar0)sV.:..4ŕ@"S~'P(#U܇p۶ ">A:5<,}aE˚Aj YRϟ fH;:]$DriG->DRŭy?vb `$r-A8̘v܅}'ϘEy9cc9`M˄Op1p5 lA h`Apо$!Rh'llƺfTdx4YEۭȡlOӻAhGUKOѭȁGJ!ǮD alΈA3f0q,&:-Հ2Cݫf{ZU@:)Н`c5tZ%(ڈyT}+~o e)%wO ?vb#zR-5!-٭kףp-zёR;T5 8 Gq9*0Ɛj0 n fذ5g]Ts0oM9dj~M\܀qhOܿ,A οW󉌚?\ހ maG_J5uT>{!̛yW&0ӘN>T).Dk }ƓvN$o*ك7bxaTI#9/VghGBja 9,S?#Y!N!r]PG;VkvdNb(ͦ7)L!C]W: 8asT~^Q:,2{mg K!TzO.8%ft7ކY]1\[ "#wrǟ ߝ;v(˔܁E/ xT$Jg7FMahbt_l9,K4D<팁/dl2摐p`Q1pt J,^6|q12d9`ł?CΠiAA@vm&`M5nGbͼGDu1p~luΰOͶ)IPs~l85Mn:3VS%΍vnetpR! YhpLnva!ֶ~H J;ȍ4H;aß>DHnj_WO1C_"+ F*Bc(zb1'E^TeR(IᄅRTe8%1<ԔΧh2nMJSh!.Y [PyJyyPr#1`Μz_>/)Q*Ie.>ϗD 8*[% /vCC\F>x]gXItkSh3綜k`WeeC8v/$Sn@!.*;u endstream endobj 15578 0 obj << /Annots 15580 0 R /BleedBox [0 0 612 792] /Contents [15586 0 R 15582 0 R 15583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33073 15584 0 R >> >> /Type /Page >> endobj 15579 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15580 0 obj [15579 0 R 15581 0 R 15585 0 R] endobj 15581 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 400.825 122.692 411.825] /Subtype /Link /Type /Annot >> endobj 15582 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15583 0 obj << /Length 19 >> stream q /Iabc33073 Do Q endstream endobj 15584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33074 20690 0 R /Gabc33075 20697 0 R >> /Font << /Fabc33076 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 15585 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1410) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15586 0 obj << /Filter /FlateDecode /Length 4185 >> stream xˎ$̫ d ֱ`'IQUU3AJE%Y^.fTui}f ~4i/,~:&[>.ir|nw;½}OŃ7˧O+хe ڏWWMoik,U;\),Q? s['WuIK(kҡ1˚9qZ'u<5 C%|vp gcOڱ{<P||7xXDPk4P͚l۸ V2, C24ɀ'8c>?kþ'&#Fq9m"p)mR۵8$q/Dʭ>@09VDh#d@"K ZYJm>|@5hoL~fqG4?W.]q8s"Ct<ʠ ~82\cz~qo&% sf9̋!u? Vu!4ˎJ d[?0wv=&>5F>:}^]Qg@)1TtELh|<ʓ5(M]-(ВU1LaD7CX``V=y2^,ջf.)?zԣWpޢ.ιMEh!nv'T'zQ0;Af˞1=Y MHtL fl2G2lr-c\v/!;T0ϬqC"ҝGmj5d';kG,d^q;1?bw!-qyXEɡlLx!^0?2fP&hA/`^j@]_&PzS7Yo7շIOXx퓺 -l1kϬ~x *jp׌Gx6` M6Vq3B:+mh7F3tMh}<24E^l7#sEyTꋀ5}Qv^)R+!J MSO-M5^'c*fZ J Ԩ[sCk]Au\B⁌t5,;S:ުR[Zo5^9XDfk :ԙZ&CŠprM}:^2b!N-шC&] b.o Mr+ΠC]cxl&MXt/TTj_HIo;MUաIƳTl6u*}YMɨ]Uc?`߳ l,~ nkl+G^Q@I)*F J@;q𺅼a`QͬV{V/ju{Z[X~=խZ}3Z};;MVMO41&=&pꌎU7 (LI]АeD1 "p'ɨį%mP`3 M'=+> jr [W55Po8V SC)u6!Fˡl@r8 othszrCs5&|n9XdwO(iCniZAtx2ܒkW#(65SӚxp#4'R;+Ҷc|BYFM TJ4}mp,S[Mfe WFK>f zѕ@v\ksqRHnaiWFN#$rDEEvJN@&JV\aTcO4'j5P. Qvȅi&nĒT5Hqz]⣙s\s#F^/|B9H*`]"L=f#N<$w6( fz봄.ܱtɴd[wY V4bjJl5&hS갚pF]|Z# 3sזS=k:={' X*P7BA&¦S3 >J2d2W!o2̐n][Y@yr]1wH'[qn}E%aɣFK"?~"*)!.& tVJ^GCu8,liYSZ&b2%ٴʶ:hjN\s:͐"T q+Xk|cje^o]mpdauD 'e:ߦUs2n\2kpL2:+l w4PVa!hNָ"Pu>fH})IB-_jTՈȕU}Va :,¼֖6z׵͵5Y%E ]_5-!(>ޗaNd-F` vK }ՁrŰSX.;*SBJL؃&X.CN8wCB!@uԶ%[ ֤8&ïKDQ;=6WvwH;Q#ڕ1i,d_ȪzDVQVDlnvdīuޕ MMuvh6e}9 iR,qکwT*~ /qT_auEGG 浔HΑ#es#]%s3dljUijDj{xept" ' :RnX#1]f75 Nq=CĎJ̛ǭږn-^ژX3'oLؾՅOz@Lku]}ܳiT5"(ޱw3 w3`@(D1*1HæF:Ns7m-E6z/T'Qx{X 8={ڹG$ #/nj."pI`bY#-:uj+aBS~oPC 鞎ceib_U7&'P3L69}/,KyJ@u՚ޫQƀƦX)s5`32 ˪3VH9iDIn>x; kb n5WZE) ̹]Mm,_T~Dd{PmJtOJ.k&s|s |B^m_>|*+e-aw{j*K1`:D6ro5ac>-7`ҵM^ e"uM!ؕ Weg%LzOXhPrOU 8aQ, ̐?dDFߐ~=JH (8htV0CܤwQ<W SUARG  HG%?Q] wihzsj}RL]SSr!#c-[+DY5^ܟ$)u8\e&DK-G1O ,M*?7Ω"]ō/`&|XԎx hva}? }\E  =x0>>ƙ>|?ԘL!6}d9"R~{UN_X |*σ:`YDX-FU_I endstream endobj 15587 0 obj << /Annots 15589 0 R /BleedBox [0 0 612 792] /Contents [15595 0 R 15591 0 R 15592 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33092 15593 0 R >> >> /Type /Page >> endobj 15588 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15589 0 obj [15588 0 R 15590 0 R 15594 0 R] endobj 15590 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 436.6423 184.2752 447.6423] /Subtype /Link /Type /Annot >> endobj 15591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15592 0 obj << /Length 19 >> stream q /Iabc33092 Do Q endstream endobj 15593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33093 20690 0 R /Gabc33094 20697 0 R >> /Font << /Fabc33095 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$L endstream endobj 15594 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1411) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15595 0 obj << /Filter /FlateDecode /Length 2315 >> stream xڭYIo6W4P@-v< t_Ur/ zlP7Ls&ESMYtz1xvuoȳ8;'˧uZ眬=yy?0EryuH.˺C/@x t0 c=Y\bcXӯX\k F G`xϘ9ZX֦@\0<\{ʴ\=<8ȵ,N壕AV 'IE6ܳ0:\_(@=<8>ikHAѾ9}5Fhȱp|e3TN._k>d>w)7`=8(Qc)x_?o^`ƛ?RI'U)WƚI_@xn_z [.(::Bۙs7ضFKUF\8]aYp34U4dI8xQP|$4Y>,N+ (.WOYoσ˓Օ. ćqš(w*eٕd A?i%_y"ܬ,^PTqefX 7jfG!RI3OWpv)/t2-Sp ĨӜCJs>|3# -Uv}zW-RC\:q4{?"yv|5|Eڳ}z=8vsT^׈r˰y n'^Vd] i.0?mXSS0x;L7$?B6;Tkܴ3ռ bm;SuZgV9RIP+FPN[~Ǚ{:m=:z+[ Vܳe.!qIwWr/TU^v}N8@nn fgY FJ:@1g|3;Qx6+ ꕣc$ZD;eCcNd%¾MyOBmjI)3ʚ2 (49 -0$ت Bt]ؕ^ABމDL#rf%?_L#}_єMWѸn*.neWVb0)*ET-FLJ kR}جAͿ•TkiЬ΃t|0O㻣[N:Sƫ t3gstp4{oYCgŕ>oXduȱ^io;i4[&֢P$383'fL Ox^?I op辥$X $xkqyƜUagN-.C]NJW{PщO|0`:A(a~9TwEE\U#?xL(֥`\6 endstream endobj 15596 0 obj << /Annots 15598 0 R /BleedBox [0 0 612 792] /Contents [15604 0 R 15600 0 R 15601 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33111 15602 0 R >> >> /Type /Page >> endobj 15597 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15598 0 obj [15597 0 R 15599 0 R 15603 0 R] endobj 15599 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 292.225 114.9865 303.225] /Subtype /Link /Type /Annot >> endobj 15600 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15601 0 obj << /Length 19 >> stream q /Iabc33111 Do Q endstream endobj 15602 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33112 20690 0 R /Gabc33113 20697 0 R >> /Font << /Fabc33114 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15604 0 obj << /Filter /FlateDecode /Length 4349 >> stream x<ˎ亭{}(GoM2@AVssE0`fs"%Q.U{Y3n[DR|a4;-v: MLɚ˯s1OZ4'ꓭOISb4U2g ZO`^ԃ'EAC5?/^Yb(MA`߿2^Y;ܭYgecߦϤ7^.0uN:5FxpGSi2 BxZ[x+*8e~# A mY.©5p>5ؚ[ O˿E/Q4 ygBr|O%DeW-:˨Y(,t=~y`[us9ꢋh>h`hh^p?殑̫oѧv@hi2~kz&{/{]cG^/ʗ6BS;9G*Ϲ'*{ƂD.\i-VHE Sy4x# 7 p-.zK'Roӈz~]}>!/<8 mbgAX5{ꚽN[Z ҬOŸX,Ҍ  T,X2۪3q)rrTΙӪYM9Sf(,Xf("BCC39[~.ͻNH B'k$ U8I; DLq.ĐV;d)x3PifBAqaOi^īC8\A &TՀw# XD(bʆ-χ1x A+SzEP>Q斏vULBұjaN0-0xXO!ZN C!Q{&.\e^Hwz; W~N^룘Q]$B]#,1c:—VbT)Vqddf:69g[n0y0,FtVI=>ip 7ltHIaGñ)Q% mxIO{S B3=[C[rB;&&׶KoRu}7i QA= %]m25Zx;HF؇iAsC+Bzl+Hqȕ,Lj3_;F[e|M  p>ܒS{nٷƘǪbyK5^aA$ňARd^D8wff7 e)2{+f|wܘ1%FÉʜ)5K1ϓT~mC,oG;T1pn Rumlî{7[>J}]|f^esl3l`*Ⱥ 4C6s8}s۲8T?u@yc(x%x!_>if\I]zb;)N|:߿Gr3x)։Er OM&)6w*B|Ч!PͲ=7?ޏ$;^w*NYܬ*WR~K^M& qɯ))c?Ox xkn3 D5gwE O( d\&X&[Iv'2y7 e_}4By {Nn^2y0jC: aX0ŏ+q l2֨9iz-pd5BU.w$n Rҩ:*\V)*B|WHZJHK Uó &4KI(G?Wp!*+NR[ 53q8溕koBb'AIn*MrNT1 \jfjq%vMkuF?ڞ 0i*[=,Z\K#PNkkXT:*}[%Df]P{k?Y@gv+ ";RؾWƒc7N)UQSO#^pG@%e\+n1oD:յZD==Bj(Z}~^ln U(P{ZV|P/Kqp1[ LiAaˆzh>02> e7<0%Oԇ |Oo<..V< [Om|wbɞi?'pw \}xļ!p;zC;vK]8G^iԭ^pA*` 9A?W8Z 6 y?AX2a^3<+=@zjha?-[/o{ȭ`CY0@S#-0nUcr<\ahpۤ-V6V7:%qsm_3f1k̉R\90럠ǖ3ʙ8)Ccp85?TmvOr]۔"N*U`m.{bv 3H 0q)&LJ'A-'ޥF̂qc=tk[v֖.1uʒzs(*wt(m"2JE`^Lloᇋ1QKj%e 3{{O)o ;^mCI !aZE3\J'pwܲ 屾'ܳL( ULZCCP˱`% ;F4Ph>ŝnzaNV(]c\T–(r`Vը^C[g6΃5cX3Q(v=_zj>.z^,{MԶu7S\%UE'gi[[ qD=eEz& ?tJXs{=dދ*`XX97Q"̈buBaYMD7QZ{}o[9E&1a"*QTY2gʆ304ep4 NGiQ:灹1)#Z;l|`Xk|gx1 c#+WoX9Vse0Sf4&Ho ~,^kGܶu2c{%ֽw`W(ckL> 6`5մgr}mrrEF}E,G5sHO"o3 kz06XAx0E 푱ʮi}O`ts!BT&W`Ę"l'4NoH OMEWAˇ=9yO:o /݊ m>5V0؅k V}cZ]r ף~99$B)V0aq䂪wJ03"qМaѐ\)]pʸyhgCXAT/I_9AW jQ9܄n#)_c5n%ye~ 橖7NܮzQ|);#"A%*lʵqyyB<"~2ȸst%Ԥ|B;'O]o"+6 Fe?PS endstream endobj 15605 0 obj << /Annots [15606 0 R 15610 0 R] /BleedBox [0 0 612 792] /Contents [15611 0 R 15607 0 R 15608 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33130 15609 0 R >> >> /Type /Page >> endobj 15606 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15607 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15608 0 obj << /Length 19 >> stream q /Iabc33130 Do Q endstream endobj 15609 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33131 20690 0 R /Gabc33132 20697 0 R >> /Font << /Fabc33133 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 15610 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1413) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15611 0 obj << /Filter /FlateDecode /Length 5287 >> stream xڭS¤-y ~\ +eiR9:J6R$@R崰6 >ܣAXH:4"ʫMٔLiRE@! 2CSBҸUb~bL91ݦj êxk;@ǃ"BZCLpXyiG,"AQ!'*)kw2z~ |AD#Àٌpݲڜ}ܳLV_ ߇&^&aMjMbXh`#N?]N"ɋ(|agޅGG0(E4.l]vGS!GfK;J-b5>l8C2EapFRS>z=Y$MT=@EͰ/M[8RtceO˝I}h'ϒ+}OH{tpDBwr?{vmRnp"(ϱ#~l^.iy$5bu6}|v;6[ *㉣MQ( BcM[(b iDkxBg( s,x_k(Y՝0C E{%Yw14vƱ(g̎r{?#gv߅!d!bm.E-7@8YSO{5^6铨*+Lr{l<9ADc',n3%'w9vG$zd/P=Be%]=9^i83J *{?y#~ybpb]:6yYFxE@jio *m|KL(d F U`ΰ_Ƌ! AI۟GJJMSu0Yݙ^Н"I'ߏdQuI{ko 3-L`gg57Kpl!ٝB_rYjd&t~SޏRٶͮ/p%5;: W5 6{ fMEʙ\u}uJ'e>Zt"` _L)nWS@|X(k;'(Ï.N-6A[f. =n"]̅ A%jUJͻ˹4wJƀnlN˙ xJZzT<=o͖\% ӹϪ"|A7l~LA|j5f *"Ľu "a-)vÕꆑ\[o} #Iv$Wyєcyӌpz1R|y ~$whyo=2ʎ,&^  EY)s%$(hz}E(YB‡.Ov|.j#JM~7 ':=pr˳3O 1 @,j@QaTup^0!SrKIc(>c.Fwzii}D' Ԧ }I c +nqp8\"i& B4vf1:g\+<< ]k!qDLh0BNXj NG,xD WOJKX*M`1 }vF97^70/Ǧf g6So}!Mƌw $)6yOacS ic1]{צ 0q&W r "wT‚8W)CTDz(Iק$ȖP!DdƔ61ٌP 8$p0#fW Lp<٢nVeOp ~N%_{/ADFD%@De(Z~T٢A#2[nec &ŬyN&@Ct̰PY"lPhRY`)P`',< CcPF#_zm $.⚀ N&Jw6v{cGY VA /N>#HRqgآl* J $vuA9M@ (HYPݦP 갫SyǫQ5b%Bt@-%l @{m"lx\ԧpS `}iNNjwX|W!}fviW!&z0D<̵dK G6Hiy8dk^QZ>`욏ߵ[4"t.,kk?\kxǐhӹ%GkR*7(&o,:p}gΉYpER+&Ŭ!ff))@_5,w&%s BM9x}AtvX,Jxđ FweǺNOy !'h2< g(DԮjE8}Z||YD7}p}6<:OX൑ȣ|cLAh^`" 84*or>lOލ/XZ1P:O?!K/>hNF`QԈ(pUz-v<, z5]O?ǀ'+,.ݿI';ehcv(DrJ6Of~LwlbcTG/3tYXnj 5= !H5R &[f]p2ѧ +~yU2SNaUarhJ! qK眥Cafdki1t9pb+H_K)d[ R}cJC`3zԴr<_Dz@,ogyw=(1Wm'CiZ I?D;,JiykޑJ MA74S7uz9p^ Ks·El [ )RŵnXfgaF-x(GRG^ksI||'[.u mw[f^ϼǵ ANA1J+sOg͏Ğ=Jbrz^SֻN+r`pfo;gI N\kE'DKlCi}=ۓV9pUƃ‘IU]Z;cSY9ӹ0^>g>1CH:?pK r~1;lT<(OnpH#`![Rɶ+ۼcwIpn?z(:kfLLڜ=O(@E9,j}Yrq!=@`mԩuєrFvp/n)unMQ5|;^[ReU,K4ާ !'"vlR ⸒ W}t-xa./c΁ȋ $]ܥz6mȑkuT[@3N |υ*l<4$m75k}}Z!TM@b,Ԅ=kMdrD/;I঍8`P2cUoTvA)ci C43Db[CAuaYz8-OIz#*3cƑ8HQ݄ɕy[>>Yc#ƫR*]`!AU4n\kSIX-0{p(tE\7[ ybV6c4li~Mu^FymۻJ2 ވR#_C/m. eK慔(6SxȾpyфu:fmؖe <Ȅ>m}يhfIYJDg Vs^}9p+q%=`HL@!0y}}o3 >W?/O]6y+hcY\0Ѓ3-{/h-ݵ^}gÈ@ T]~^K> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33149 15621 0 R >> >> /Type /Page >> endobj 15613 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15614 0 obj [15613 0 R 15615 0 R 15616 0 R 15617 0 R 15618 0 R 15622 0 R] endobj 15615 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 383.7423 145.4837 394.7423] /Subtype /Link /Type /Annot >> endobj 15616 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 367.5423 154.2562 378.5423] /Subtype /Link /Type /Annot >> endobj 15617 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 351.3422 155.7467 362.3422] /Subtype /Link /Type /Annot >> endobj 15618 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20210608081201-08'00') /Rect [104.1732 335.1422 212.8422 346.1422] /Subtype /Link /Type /Annot >> endobj 15619 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15620 0 obj << /Length 19 >> stream q /Iabc33149 Do Q endstream endobj 15621 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33150 20690 0 R /Gabc33151 20697 0 R >> /Font << /Fabc33152 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15623 0 obj << /Filter /FlateDecode /Length 2789 >> stream xڭZKBa[ۚOƌ e㕯YIʊWF|%E[m o:nsVǠ)C.//YiflDTyq\W[9`@ XAz28c3Ϛ&YXl=ќU{ғ1 8и,gO׉o[N"El,Rp]yQ/|ND`YexԳ4H>Ε M47Jmd-@69F. (;H `DkYM"?].x ->`F,rލf#N|8Ślj8Q[wg5v`K4 4%wO5'.6kLױ%HMB /cܶ.N0k7_B6{pvƝijLb"*4.geôYډ.[T‚eqY949ΰUj"iG[t=[g=wZoU]<:>tM\AE >(ls^dŧQ9HbJbPyBx#wSpMKyF7}TW9!pR ~NQ9%}*He6 Y2n H:Di/xU(RyZcxC&GIGxR?fZF h?=~gg*s4l;@ S@`XIٲ('~/f\^Orv(240= fOLZ87%-,P ^!* W,ZcbLB*VQLA :fq-=;WQ̤CpJޣ~%}_ GZ7*aߢk"^ qu5djͣ< r(>a/9(6[M-PLf_vZF>>, ,K+$ $'E ((,`8vQaͱ(Veɥ6@=z#O5C$ϕC<}2yPX10pC+1>ަȯ 1n32CH^L / 3UKURT_.xT=WjD?@xڻR_LjSz{dgm>;>}lg3 ۵#||P]]?hdt~Cq PcTp2s$Tp{[j ;8*87 L]i=Z}Z4A&+2&k`rΧ)obgmSza1X2uSB"'\"arzܖ3;WRW2 -\!ìVcE,W!yF_ݚOpdHƋkOPWp!+7A*,>/hBjK09kIج'tpnٗ>yyo?k_Œӿ=xsX`ۻ~kt>]a?c rϸU"ɳ?9X,#> s9X2+7i.79m=L{!Fo= \-0DD\ɩr h0 m`huTGi^ mnZŠd=GLK>tskાa?ڹO) gS /EF'@%#ЕEĎ㷜ktV-)TpX)C헬|5yde,nimՀdnƅuUޠx,ΛX`O!A Q2NZ%DFۼ<\KY" @x^e&ջVgiyH (}&1M|f 04?*r~48fz>N[Ʋn=P3ܣ 6! r]ǴYv}QFCgA{8 rZ%TG2{~7.%'`#Gd7eN fvu |G75f1FG@2B? .NJ~#OU?S{Zfh I hK*4-e?NmdFHgЛF^ Šϒd(^hXlǀW$SD'#I-Bǣ7ai#zM3 >tb:U/l> ьLBpsneu~Fw`,o!oh"y4$Ï4쬜<'C9Qų{NϽ_Ί&CAZg K!pg߾ ;?yl!.H endstream endobj 15624 0 obj << /Annots 15626 0 R /BleedBox [0 0 612 792] /Contents [15633 0 R 15629 0 R 15630 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33168 15631 0 R >> >> /Type /Page >> endobj 15625 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15626 0 obj [15625 0 R 15627 0 R 15628 0 R 15632 0 R] endobj 15627 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 192.825 122.692 203.825] /Subtype /Link /Type /Annot >> endobj 15628 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [128.005 192.825 158.849 203.825] /Subtype /Link /Type /Annot >> endobj 15629 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15630 0 obj << /Length 19 >> stream q /Iabc33168 Do Q endstream endobj 15631 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33169 20690 0 R /Gabc33170 20697 0 R >> /Font << /Fabc33171 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n! ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15633 0 obj << /Filter /FlateDecode /Length 4552 >> stream x\K$ W@+z 0 䴉֗ԋRwWO `]RHDQ'3}6<=y77q<&ϿOхhߦO(xrsy>D~9/ߦ^?$9|0+tu02)^)~^~02BS҄t /2*_aDA G4͘<2 vY翗A-+9/,M@ҳ1'$)YE,1X$^(n+O.k .,Bi'L0-4HƘA"# {g K\ SV`H@`c2 1+*Kao_^"c|^@Ǘ BO%Z}O&QՙmhF;]gXm 繽g I+ϯ$r|4LlUXhaiUElvY ].H> Amט b DKz}N<=L+W-2,M`rXsNxE~rѰw0Ȣ%ߧ$1B٤$snhU@SEW7V4WMpE; hn{sckAtRnu/fV)9|,]<Gj!NEKzh"K{Zz& K>Ig69gD+2kAg]F>J2kYmFzCeʨe 0W"Z UqsuTnK P5(joBYoA5m^H@ 30t{_*vwڏ^~}vWDtz=W܃iz}nW܇iz?<}3rH**R|$ -TZkNH<|SDo8ƺE:k]2? 0a$>Vdy٤B?;#L 4 7͏6 E`\8 aEMOGZ U)/mi&eԀu>":CJ0RV.0ќ/ ݘ@ 'AsI -?ePҳEbx<1JUuhQ6w7XNI i"qj"k*`,^`dzNi2@C{AS \Db)}Yq8D̙aT'1i}*xi= E.BAL_.Փȸnj!}#:au Vh%فerG9|y;V\NRc*27zԼژN)+:1mw6Qqþ=%> ֌҉"@s/8]msuSo,ך-Kt?`լ5 T,Vq6N"ep6:_9] qLk\-B * X^XGzr xsՙE5_cz";s3 }b2!]Y va !N|@80׆9lCeW g4"cfpYg~ Gi6b1w|\?q-ٝV~z6~~[Ǚ4#^Z7,oaM eTТ=#LW8.&X$(s|>)lס x5>ԊzdVm4u}o 1(͖w-p16v-M,Tiaķ$"HN\TXiH0.]|;-V~o٢-- K8Su\BT튦 \ViO-՚ь/x10 wT$\N0b%ĭ-ڤHΧڨHYTԜd/WI[iK1WEWV.K!k u˜,&c[PfZJFna!6KҵVZ"H5h۬Lb+ g7M| VAVIJ\*V]sCot޷Kg@(C_>=̾jcEPgŒ*5,&e uE:vm\dZe5&l-{Zp#3#QDkdͧ"dQ(S0jc֔Wnj-Q:vΡV:d-,UtSՌa ;ʜU5#TZGNTT؍\H@Ż:ޚt XZÃjyۋ="Ah-J~h޻0"oACCt=ޭ-G2}J w]xC-P=+\<gۈA-=S.C@XüGf/,%icg{?_,#ȐcAF8k4>}s101p2Gi!SN.˅>p:TK:p1owIѰ3MkP7JI=7`QefFV6 MHYeP^uyPhvn}m (M-VXO܅4VZ5m0B2hboN%l#.kpv HU/FZЮڶld R'+uvN=.2 ߟypToM挮_t|L[2rrI2徟L:':tN / dBD' )nRCKrOL@RI:C"JX%auO(YOHIK2b]#aL8kzOF@%1$~| U.2.>BH›j]m6h_nN--L^Peu𛦠nzӌ*4  ]{ :240FeMT9 &&:fĻ |i-c0g!BD3 j>T`Q|i\h9˜ < /"]`#}s(is/|bҹǹ3t?e W~[EN+<^Vʍ=ޠQ!78X0ɬ~ z] }NF,u:WU^:,jĕWc"m+N~p`p5 HlŪ,G8Golu` *w@<BHdhhP|L@_j-deCBPgpW CtW><  T1tJ(?@aSROD+ʠ%l\҂H{hE]R(UZ0K.O%;Z §R.j=I GqE1M##ÉMzdHQLIKP #VxYzm=Eʡ|mr'6?vf)Xqh&[+ghZؤί?SEj˄Mm+.3x / r0#A"./Z|Yl 9Y x,t^&➼i{ߧĨI5!E=ot|^*D3-HgiG'N \t&[Sd/Pt'"PhXu! ccuAxĪk;%-rJDbjN6"bo,F$ɿ`]TVI}ͩT4?j_9S iQ~^8LJ&HIWܵON٘՝Tbi7ԜRkF5De8/XIVybRyƖY{bвT~KxJ|v˕#yѪ h5lWkY ė6(&$>6ulm뫇u\s\ӎQl!0^i4G> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33187 15638 0 R >> >> /Type /Page >> endobj 15635 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15636 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15637 0 obj << /Length 19 >> stream q /Iabc33187 Do Q endstream endobj 15638 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33188 20690 0 R /Gabc33189 20697 0 R >> /Font << /Fabc33190 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [nbжYzr" YHUC.$.p' Nf2j[&!#(H!`a9 }' #Y~eNW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hG/N٧j {Vă;6+1C+0knT-=&MW4{rCodE#2yk))sm.\gy45ۏ"ÐL$Sl)l,Rd!/dyE tw%BJzڡP/T;i z7}3 endstream endobj 15639 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1416) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15640 0 obj << /Filter /FlateDecode /Length 4577 >> stream x\K# W9U!!i6b&?"TU{[ЋȏE/ GLfmݲ|I?~7h|_A*W/ߖ2eI`o/J] Wʼ.C%b>~ε]ŔޡµV//oSKBe\ER蠊nb.`ۑnN W|PuZ[!;ׯLAI]A{yQXn/ͫbi/ ̍5w"}aCr]X޽hdp./ .! nZ<}ҦQT LhRsp A"ZPs:խ l}[+HH Lp"X?g&@%V~7g\+jK`}!?Xz-jek+"tjƒ|P:C6^V0;'6H&߀u]hoI aF\R#VעO+ 4L6e{_ܜbR19X r8Z6{n9?[*u5}bW+]P Pm y HߛPfE]ǖSyf'y90Z2sW"F"^&sEr]5tGsѰ!$ qaeT'NuQ'F芶? W hmUG57{Zr`f!&4CT%AAS-/\8{C+}ޮčuh hLvLTdԶID{U$/ \LmI=SLp9w )jW( uTp4;"wg~ i w@-{vPIWUWaU_!`L5c]ISV|2YYoz43K_JuYuF^՛%Yhaثu& FdvB-xM!husC%/c(=Oiv,P9b=S+p:loV+= @4)*i>H3;Xgfhl 7|лEルkҹ *uof.tH_N~]Y_gkg:YF]Y?Ti@H#ۥ7/}F6 9=|ӽ^?OÈO6yM ʼnH[EFl_#9\U(sW2Pa[..ng@>m\YI1LN(LYM )RZ0٪EU:չxHccX 2w@F{)آ`6ϖiF aa15W ,<cgc27Zcu Xfl &F԰al4`;Wi+E s^BBlcdaBnsa uO1Hgvm2V%/C0{6]&0C,Nϣ/w2Xm֡^gլC3p,1=kVn[1mf <2x95OB_uH?K7NS5[&*5i8P! ܛgTx"l͵fϿu[K*moA r稡6"LwX`' n 'WL1R|ЗC?UIUL5mp*~.)]3Z]n}Ǡ#OJN\Ht/_񉻏wcVK94(`^=^{ؘsRˎD3́X6u6#r4p X̟ ekt)'0']| i[Y<ԫϴNx^geuarb8?HXs;afv^}5+t*w@UUڿg;M sU5&ۻ'e؁˚RH;pc66c]3 lX7oc#[WͣA+8k{?| mlbJR2ٝh%6? ܡ}mKvK`9os#>g01?J {®WeEQG+SL}Oa{d4ڱSF|c֝wܲ$9*H<Ƃ.S/߲kѢKᘯո_쉉5i þ D;[{Jk=g #>LPFރ90Զ$|AIYL s{dU%>Y;SA;Y0i]`0u?dsɖd\˯;*BJ~\|n4cљ;\M=&XS(@v{Ȕ;3W 3R7&왓{r-2ZdZta ivvoH9TSl^ {i@سWa5qڲ9vOZNTFSEv'E2҇;roM/29mkT{5uj-h鞙 {!}vbX~A-N4-bp'TcUvrIzpF#,{0jwJAg{Ǽ`'S/RT\?~-9* w,,_bcɆEnU R뮥A 9|yb뻸;$a]jtYJS֋^Rn+|27hʭϱBm_oR@Qݨv줤c2alnVWX4uPo.Zb'ЋOTr2E}\P(]L0.Yfy#ZLWR94UQ+ޏ%7@?`u9| =&||ςx袅^(/.\FY$/T&~vkPuc#Nm 9 svG++@MRTn$3^֘bw T~cHΊJ_K*a_g/8SOQ8L4>飔l,]ƌu)j(kQ_ڕ {1W^ V=k֔)pLy^Ek/C10ɭ E*AW*LLc=TV |G—6n$0F9V+Zl%_Ih%S`ט4?)qyuh#xޗ{LIHӃ;ܮrΛJwo=wtQ W>ܧ{¾~:_=l<֬MN,%0m<>{[oZF1 \5u;vrˡ˧)"80z7߿/塎0z`3oXzLVR&Nkx5yJ\w"?*oaRY/ [԰OY:>CWc endstream endobj 15641 0 obj << /Annots [15642 0 R 15646 0 R] /BleedBox [0 0 612 792] /Contents [15647 0 R 15643 0 R 15644 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33206 15645 0 R >> >> /Type /Page >> endobj 15642 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15643 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15644 0 obj << /Length 19 >> stream q /Iabc33206 Do Q endstream endobj 15645 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33207 20690 0 R /Gabc33208 20697 0 R >> /Font << /Fabc33209 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 15646 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1417) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15647 0 obj << /Filter /FlateDecode /Length 4503 >> stream x\I, ׯsV/@ci9 #؁1w)jTU==b?tFQq믫Z%;WHzZϩ5*<%?-7cx!YN헳T>JyJ^>-s78\X?-o?US#i i3~?F_nL"(Roti Q%wGY*Wioldw;hcI w(ǁ]` m C UOCx\u<}ZTxoxi5g:OETZVB.aM(ӔhVɯ'mK^W"(ZRTBWR)OA͔~҅>w3^?V:mUԟtn٪kmxlB^eC5}PzÇUZ=^KnΤp }e(,5i9iiD5AMA˖Qx/CV,@`wx[yz`\E2:Ƨ%D//*fBʋ#Uj]S`jΘT]!-G8,+HΑfj&LFΓZj|Z}㥉3n[$SЋV^oA$dh͡L ;jg >K`մyxG@De26tCcGe #r5R|Еg<.[[Q,T!}+= A*TOۻl4t"NZݔfVqmM.,o&+J{&A>D1P>(l܃IwrbSng2j` wd,e%d,HJ)[<ir$fȲPdB Ŕ;ఇQ*]Ϯd}<0@Krna@2s{4CҕM=r ?@r'X#lOvV/K4wSc>D P (7I.F0l <Rڊ=FlV6pu j !sVr'ÔmS.$p~lsLqJxv\;VX[r֝u.à ƹ5fͅXp Y"-iBT;Z%X,ѹ//"mTD Tv'=sW&2V܅}bz:`HorvEAVюI`D;v=~ے2֪ivSVwjղW)ז. 5v{`|?%~bںWMxVl'bǖ2۰2,c \ ߊeV, ]SdvJ(Jz>W;u=su]a$㺂 +۩cX[~Xz^>\*d޷~o:Wzו^a$7^◻O IۋL}鬏.qpb1/6rn�\U65eh5]G՚|?GQ#SVl)M8h\JK`:x~=xOA;v}jMni6k ZPuhO`%6lEk<9k~M\C|wRנAcx 7PP%Ke.*!bTŬ] L@g;{~- y=ao۞o^ՆWt $:"hK6"r[EAyU^EC".Ky3Dȯ T'@L!:፿V$SayMtAZKCrEU#d.+ztL\!CΗ-Üs ]ܕ:q>-MavO} 9$xX>3cƣeoeGsOpqл}T=;&W[>|}JїD`lTUNηҪzN'ƗSC+مEhO%կHX(|0Tl>6G_Ȅ"rHK@ ig.OC$^'[Qt9 = pie* %L$Nm¹OT̐Lv=+| ]_m~=NL9Zs)Ǧ8a>G8dR_'mMb!u$ϒ֒`aAUb0>')ؾK,8 1I.`pYJsr]<^/WCH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33225 15656 0 R >> >> /Type /Page >> endobj 15649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15650 0 obj [15649 0 R 15651 0 R 15652 0 R 15653 0 R 15657 0 R] endobj 15651 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 147.1612 686.7] /Subtype /Link /Type /Annot >> endobj 15652 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 210.0207 670.5] /Subtype /Link /Type /Annot >> endobj 15653 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 225.2172 654.3] /Subtype /Link /Type /Annot >> endobj 15654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15655 0 obj << /Length 19 >> stream q /Iabc33225 Do Q endstream endobj 15656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33226 20690 0 R /Gabc33227 20697 0 R >> /Font << /Fabc33228 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [naVthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15658 0 obj << /Filter /FlateDecode /Length 1255 >> stream xڭWMk$7Wh? xl!7@!'o!WURz<Klz*I^J*U;mPS/ozNQWG*߾Y:E>nKC6ow>6AATP%g}|g]|qB:ֿ Pm"gAϿApJkeotk Tf61X)O#ӣeζ5uAxM]V״L;^? fczLmA;%D[u]ɝYY $WQ%idBISG}ҒB 1c2Ϡ㨢Qo:`xidji,*gvqΤ1X&vlk k4eZL-E%p7=o _.3?xdʎ4k-?hFH XfשmT^3* -_}i6?RnyrӕsE4Κ[LUs^6 fu,v|Wqu5Ox2tNVү/Y}@,ǸwA]33Xw(7Af; pdkh43uV/ҥexQYxHuĮhZI 5kz 3 Z&)BhcY1$\ke[c7}&0Ɗficfcdqx1R(;я~#8:M1x|uߺqt)k%[msnw;^*i& 2Sqz̀|C0+ɰ•DBS}kD]"F BƝGjrPp75+\cFzMc|_gLcul7.kvW4PHuS0T7$ -j{<=yb0 h$U 4~“8x^ŀlMAǦ!6{Fx,|s}bvP8b^b|99L7~vq ?ePvӿ# endstream endobj 15659 0 obj << /Annots 15661 0 R /BleedBox [0 0 612 792] /Contents [15667 0 R 15663 0 R 15664 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33244 15665 0 R >> >> /Type /Page >> endobj 15660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15661 0 obj [15660 0 R 15662 0 R 15666 0 R] endobj 15662 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [90 339.825 120.844 350.825] /Subtype /Link /Type /Annot >> endobj 15663 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15664 0 obj << /Length 19 >> stream q /Iabc33244 Do Q endstream endobj 15665 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33245 20690 0 R /Gabc33246 20697 0 R >> /Font << /Fabc33247 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gHkCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15667 0 obj << /Filter /FlateDecode /Length 4367 >> stream xڵ\Ko#7W9:| $ $d^`rٿU[Vv0#fWz$5}$¢oSSSTX%_Nj>jf:AJpecr\r_{R'ƳPT??O_w!vI[/[BϓᖜQ&VW/? =ifN_bfR=b?eeشz s2h)|$u"Bw;;ۖXuM'ٔs#ݟSqC-1|rUmEXi[O[Qڬ&h!<(i@jѻA<\1`xćyh\0lz̮8jNu!uj;lUDfE, \s9lU.p6k6AB s܄9[^v/~i_S<#:!A+4sJЫS \YYLi5{$zε7˚"9żpށ*sc5BUd2eaP /WYMOe7 G{{b K99Mhj)R}h1:O06$1=ាvN i5 ȕ}ާȝ7:$&0rzWTۃF:OŇf4G0lJ4fOilm3N۱C"Plf*MY/ Op$NL@ xbZq{v.U"ah>cǬSVCV  Y@{/4 BS'Lz#]OJDNA 5B!lEA͎XOv[^3j۫ҧE;7Tᄢ:P*k<\SٖIyĊ}Ya|0&E٧iu}&9!x[S]ԥ%ǭmH]kզaIBw S{'RP&s̡;OYd:RI}&wL>)BxlƢcZZ(3?{^ #V@k1k#7Od 5%9ja^nƽe3KݩVa3`q0GWA` ._74bܼBӅuāDbJiM/{8aF򙍣mDK8" +EU&HD$iB*^xA!a` ݮ][IՇҞZuC3V;6+ƹ`U n-Qvd˧(KYP*MJMҗvTBK4hF~*cep}LyF3YSd]eLf)O3XZm` 0,u-ޒԂe*&eGW.é}ݨ**[ ĮeOv*MNnd{ndY_k{'vLdղH6pJziY[B^rq} j^dv2 b,z7Ѿmpl(vI٢ڴl=v ܍JPƸ4x)Po2ԉ;BmGK- & +|+fT*{n*rUV=jߪV-PVیjIVO -SHM k1Fp0m Ż-rmL{nAބ[|ش}BJFICϞso@]ˈ)zSyKO'}ʭ$~F!\HfK*Vֹ-ҲΖ~Z4 m,I֊ tQ`oV&4U8 +Ba G{t zbp"ʛ=#w*wœaP{!5µ |;׶qIuQJv) !`h^p )|V*wg\]weo3Q=FUSh RcuyHy%u欬RXj@ۡ .)Pʻ5E6B=Y괷r@ 6vzx)t{d ^`k~'h5'q565oEu 0fGso -V Eag6K̽!*D wU{qÞ5(:ݗ Xa B=U}qdWxCK7524X)4JM#ЪwkM?`O1^jhć ñ6H+\:G+vzÐ1*8G]灯zغWl2qv~\E4ЛQ A F>z!ơAKkFh!%[r8hIkc<ð[Z2H0#6DE4eQ  2g(C*@( u|Cv&24|nbeiZliHCV5ǗNxf[JFӪJtkN}`ui0jl3!zT`YSis*  Hx|=bլ>Cc;{u9 #lZdd6{Jzɣ[χgy6l$ز]9S=gnL6!NMlE^djxHl:n>qC 0 eLFLh&SjNy ㌊XK8 t=N8ځtf8'^@JAt nyE+E}2sӑZuܔ]fq!H|uѤג\q׶ @ YI z^ڲDor_*Y2YzAtܥI "fO[2\NlX%kɧݒ;(S+.D=IUZ`GqYm0Ժ%9:BxX!.FH B*2Rsmmh"ӚhSi/mܤyQ'ک&2;uxDn舼B ̘;lC2#λ)c00-?ހԺ;;rD\׈FccY(eU`hTKbbJ=ش:\*n:;oa|^]1^aZ2Sgh(.oh.I4i[8:Oe2 2]2=}K캚%=gZXK|T8thǤ/ñXh>HXNZ89ŧcKK fi@p+0<ɻ'g>x|rO>aOSH/!ΎB̟X^4i$Xp(t.,}w9Vr({FYCy1]:Og\sT4WAH^>?Jݵ`eR\!KO< n,T^J{ endstream endobj 15668 0 obj << /Annots 15670 0 R /BleedBox [0 0 612 792] /Contents [15677 0 R 15673 0 R 15674 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33263 15675 0 R >> >> /Type /Page >> endobj 15669 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15670 0 obj [15669 0 R 15671 0 R 15672 0 R 15676 0 R] endobj 15671 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 178.7501 192.4702 189.7501] /Subtype /Link /Type /Annot >> endobj 15672 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 162.5501 169.8542 173.5501] /Subtype /Link /Type /Annot >> endobj 15673 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15674 0 obj << /Length 19 >> stream q /Iabc33263 Do Q endstream endobj 15675 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33264 20690 0 R /Gabc33265 20697 0 R >> /Font << /Fabc33266 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ?ϡmтGŶ$N endstream endobj 15676 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1420) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15677 0 obj << /Filter /FlateDecode /Length 3591 >> stream x[K$WP( - 41=p0 7ɞDE8 EAuJнNi\% +0<ziР!qrD$3\s9I"v˲0)RY$UQΆR@ %hPXcm9: bUI_d0PpcZ} gs ~ٽk@("~Lbu0hݖEb3K6' V&\_toMa%~<4:ʌ)#MJ0 \pygc6ެ7:1})Y.[m_ڻZ5.`6r5J*Ot`՛^A|[I v_1㎷~y i.k֕Q*AUeD6̘s&/ϥb›xOʵPZ!INGzqlҭgõ` Ma,%q7vF-7ݑ3kݾ?ϋw{S3=7;mo'eu":A;ΦmC~㔺?88VͶg+houݯn|*F}(ڴWϿeN{XNnG:ݎaPd$:Y~lv݄Yܤ-D37"F93D|s2C"ͅ>R܄ M\;:kbD-\^ۗ J4l]ݗ4E,57 N׶yzE;Qij>w&u;=4bz-5g<2m)DJUkVzծOwtYTsZ61qz4@ T7 v6 E'J,粟`ָ9XB-( [iK;/߮}/i[&NOjz׭r@ cM'b\{Z<]z[S=vd#]h{V1]+;N!R5Cu#--W8gټQleR('YftŐ+`:x/` => ^@ȪRb'n{oquORܫ$;o~l$ݤ(kݜdCwwtov2~ّLhEիj9NIR0iC4;럿K^gbO828t*9 ѽ^ͅz 9?{@ö(U0GߋtA ~L;(|v臚 : 6]dOBdz <)֭Q0|Vä)5ﳳMh-HMZC;ICv<Qf%q{4}94='|vt:Lj@KMn pd~w*hFo/z.:&@"ԣX!;Pmyw0Tc V!u(0/V'^z]<:s[TK (~Cpǽh7ׇ#i#&n:w kȅ5VM[!d~2}8(h";#Aw!q2v'1ݷQ6LaĆ b0~ ]TYX_5oL #m&d#70ݩ '5Eqɞʟbun-2챷ꚸHC~C21ue7&>Ui󦦮PfΒ0히vhp/nl+GQıfD3GJ0m{z̭E*:25d;2M)tPu̇h$!Xy {m}"7z \M$>̭Ce+=Km ElLKo}tiz뭩 Hn%q2Y +>.EjLpO6_G܁Tnz \VšJ]H9W8G' O&B|#qs 3WNaAG ‡ܲ`1RS:>ߦ n 0; ݥ+lXv`C> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F13 20737 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33282 15684 0 R >> >> /Type /Page >> endobj 15679 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15680 0 obj [15679 0 R 15681 0 R 15685 0 R] endobj 15681 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 292.225 122.692 303.225] /Subtype /Link /Type /Annot >> endobj 15682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15683 0 obj << /Length 19 >> stream q /Iabc33282 Do Q endstream endobj 15684 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33283 20690 0 R /Gabc33284 20697 0 R >> /Font << /Fabc33285 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v~1OEҤYȵ7-$G5U|t%w JJhx&I 5\fP=(%ypf%)Rwm[;0ROMˡ|72ْԑJnRLsVWӬ.~7‹!H5h}g:g("G :gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15686 0 obj << /Filter /FlateDecode /Length 5431 >> stream xuaNBvVHʹV[ݩAXi<↧:wnO.>-_}?HY/^~Y Y 9#%6? aV[E^feTଁ{T*~'O^*ȯMG 6߷,?yIH TxU*8bPj8,qSA뿖IsD9ѯ" iC+~1ҕI2S4A%2ڠi1UV"NDap#iqQ髣q} bްv `B ht0Y`-H؀rz#ȵk h+-e(?˯T j,ҀF:r GoϯJs2ݿwO GhtwޣznGa=CWa> :'mmL M3Jjb;/6/+gL׻>O~B84}=܃ { q~2` b("8\U%l7d lf%n{$~csE6Hk*6 w.~ם3XuJ,K>HnYM Kҹ8Ppi ~/ W7S <8X-Ķ8aTQl{)X5C Y^saȘԉުWua(d707*FT〔BՇ XPij^WmW`TۭoItk#l]U<[#l]U|ٺUx(D7\298KAD/m&5:"8A)8;cNd3]H6w&+ i)d//8s(]l(߷{NXm _/Jǽ{3畨C?41wѤDZ?7\4wY[s(R?/, fCSzHbgAզ <𭹌+fD=?ƒyJG7:J;kʐ4]Ɉn}ˉUT~[GfcŘW4YUp-|YZQR(+7<|<͘zf/1u۫|ϤLf9"7" .|bpwiG<(bZ%ž|nC\-V S|SXUW@k =֚z|گZd9 B^X!x BC13a+7%v=ʏNaWEuRm M1]+ jLĵbB*]OX xm0l=1{RtTL[ 6k{ CpewB 5--l~?ֈrg.ǚ/_Sf5Fvn|_c ]X7_;M3 I;ɹ| |~KSi1[B0^T;t鿺XE4 о$Wbg<}ݎ-WWu|>=4@:sFfd(]*ih s|#ҳ,^:Gl~\bYTyh`tE݁e}YA\ Z-h2Ӟ`#͍ iFWҜH5hW7iL2yt eSNW)1s0Mo1i`%㌯$Vrj7! 0E>68GŮ=\]"WSη`ηrg|hַOnF}(6qNnҎ@20a`Dgg9T̀)9-$IObkF|F6JvRА:"0(0E}bB_j&g+h^j@èګӴlsY&*޽)r@RDOc8x1{1x_ruEqjXBKT=뻊u”q 9$ +{y5blhd{.r0q4Z?XI9̲vd0x SҸhPEa!w` k$>to0x͜\N[DX|c^p6D1lH<"@ED"; UvFZx_13OI2ʺCtӣi᥎-X0)y %ꀗ7tz\;MS /ST;El2۳O,#a[G+!iDLuS5Azoc0_vbJQQ-5DW6U"#:4Ǡ _q-V1~.JCܭGу*-Wآ=#oL7kjZj7R* +\/ YKRZUOCR{K퉒{*B("5<-Xa}QKeT|LoDD X  SNOP ldrs٘*сWoQc~x*Cc֊+|Mc^b*Y|[x)|o|C-"v`he僠>Y/|ctq|VN> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33301 15691 0 R >> >> /Type /Page >> endobj 15688 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15689 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15690 0 obj << /Length 19 >> stream q /Iabc33301 Do Q endstream endobj 15691 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33302 20690 0 R /Gabc33303 20697 0 R >> /Font << /Fabc33304 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 15692 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1422) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15693 0 obj << /Filter /FlateDecode /Length 5282 >> stream x<ˎ$w}E dXP(2nŞjw0a|X/"(")bb NxI.oߖZglJӿ:]c.Z5oOZ[uK{~jտ/_F \i]iOD/z+\gz)j"%mma!˚-!&bfk*>Rhax(W퓇yI8mpdmwx>+@ V t.88 a%xgEGi]|}+W XI0A`uJ$][s!^y:";h:Ml;Bv6,}O}#ySC9i3s n5ƕ&&!!\y̶\] 5gXx/Lp嫪 EV%ŰVzzJbWW/aM?aȘ(bj4U\HPEw!,ѯ>%R,phbn!g fZd = j=Zj!\<^dk: ӄ*# qE0֬ fj e-EXj2+TLjnۃ|D;jq]`ޗX) %597'Vk,>z.Y @B EPή`7Сť0T2H4@FSo!u ΌwN)gk  -hT)b,8Ry%r ػpi a@QZҟ::q([PXWV6ȩ0 dZ3}@j@'ibL0:~[~wX`ŋq^  SEO{ ~'Xt]X ]",-0GV Ȁ ʲ͂!T`ĂmCf;IṴۧPX2L"kP,٭:"* % *CW(:.5gbb4Z NWVdZh|$J2Bwأ`Y<$l&58$?:e4Z0P+Bܩ'_" x _$S :Eq- yX 2D-vq5x2EZ]yԔC0) ]^X%9`}h Zx8wGzI"}G3vgZ =Ԇj8"웎5,K6Oըa={=E%neR*=5M}ccʸ %]77ֳi L(9BПs Ya;@{EOtz"nRO И:3Pn#+ڀϗjɁ5[{`4XTǔAhٶ$߰p[ p\o |[Kb |55k(;[S=$2$Bz-AYFxʡC2Mݦ&' FEҡZÈ3˲9[T4)Cf1oZ b"?le]%0.2!|1D8zS.pld(GR62j4nN{JEv P>iofEFEEB|Q{A=WpQ%Q D(ΰM+&`B*j}7CsLըIu 9̱ 8ލTLsKDIW^&8[M#Ty= VJe T0C6&B!SQiv 2|&tͣ6Q]~@PnnFeHV`Hna ܈_\( `20 7{pcR nQ|R <܌ߐvEb\1[_6Wen#|t;Ll9z Cym5vx`LFְGB!N;KPݎH6 UK[fզUnzhF7 9OHO_;Vu>䌭hJZK,gusZ5j= B[k{w tMFǨLX٨P- @Yr1Fkdc\lŒGl(de$HHATi~+FWf"M8T!xӮULƁ8&,0 w&q>  j-nGǁ65ꏞƴz<0Dz? k#[v sAk)~+ͣ]YR?;a ydOtzR) E ( U9g;K@H %%J]T8c6:3Fp8o3ec6Ob`é-s`y'ڨ8F3s^ўY?q6K].ۂCa3erxiY6?q1xcDŽH݊\hQss\Da"nH$i܈%F{FTsxd6q`t 袑vnDGSs|.ؒzaR>qFlr3 nL6lᐓVqȶC3 =ھcڸV\6.̌QgAW_""4W-B&  R!4XmI<~3Q7lm75 k: AƪjzB/܉]k WI&)P+920Ayᡱ”8À?ݒiTgQq> ޱDfbn"3ۡIh*X\~FoD;KbnZqs;W.ԡћ 1bw7m6j .'hٳwuT ]eB~@a]rsԠ63QcFmAvun__(tjt>7!S =aBht^W`zks[Ub~F*'w<(=ջv8nH1&ΛUFM}NF0ihÞGIžؘ;c %DPKϞurG}zO Qxe<% ೸;$D8w#k}k8}[xAk{jx,+qJ N6>sW{H&Yh:W#$\0_Y8)KInHb^la(:Hq6Ѧ71prw6?meߧxL﷕!yj2g'=*]h<@(7^<ٺZ%f1ݨFGz4tzs8xpqI8KuRn/]{p'\f0 ×&\I ^5|IP/p 6S0`L 6dmpAS!cM-NxK) b4V ^4ئ? pHȋ8F@+VN&`صtIps|y"|JTgl (~Sdy(NeԠٸSwWJ!.Ї>bm*t1g &C_Go;19 AD Xtaƌ< 'zy_u+BX QX=4 ,ej]_;@!Ԩau]lԫ]xX%٪tCKh&sF0.%bCL7TC_@gA|3|Y\rK4()}`݋5:f؆RAχR/6X.SM,9VzcsὭL.>UfTFu2ۍL5 ) q~ 'Dя6LڿU 8 e%i(z+l, 0JK!Ь?0p,!.J? endstream endobj 15694 0 obj << /Annots 15696 0 R /BleedBox [0 0 612 792] /Contents [15707 0 R 15703 0 R 15704 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33320 15705 0 R >> >> /Type /Page >> endobj 15695 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15696 0 obj [15695 0 R 15697 0 R 15698 0 R 15699 0 R 15700 0 R 15701 0 R 15702 0 R 15706 0 R] endobj 15697 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 201.6332 608.2] /Subtype /Link /Type /Annot >> endobj 15698 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 581 218.5952 592] /Subtype /Link /Type /Annot >> endobj 15699 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (delete_reconfig_modules) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 224.1172 575.8] /Subtype /Link /Type /Annot >> endobj 15700 0 obj << /A << /D (unique_455) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 191.7112 559.6] /Subtype /Link /Type /Annot >> endobj 15701 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 209.4047 543.4] /Subtype /Link /Type /Annot >> endobj 15702 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 516.1999 165.4707 527.1999] /Subtype /Link /Type /Annot >> endobj 15703 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15704 0 obj << /Length 19 >> stream q /Iabc33320 Do Q endstream endobj 15705 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33321 20690 0 R /Gabc33322 20697 0 R >> /Font << /Fabc33323 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoG endstream endobj 15706 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1423) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15707 0 obj << /Filter /FlateDecode /Length 1643 >> stream xڵXn7+x6m 99)`f$ =j"Wu:],Ugi6kk撲xc+Ľ1!4x@y%Pqn.>i|2bq(;m*ɄNԕwtJG :"d\Nsld+x@j`VQhdΓe/}6)a 1hWƲ l}ݩ?}wV_kZ>}ts$ڤgZl\ZAD~ . vAA lI#Eڏf03ze22$Ni\FNV[XA16AX!if5&[ӜC6NOΦHQLLx*GA`ԒHRzW-hign$J(a6%ι>ZP>JDNr1ssxK<d@IF) A'W k s_V@o m;pgZLѲ:bgjb#j0!HS-*16+O5spZiK6 -ᰌw;<ΏFٳJZoXHA^)T^>bfɶVbb&J,Ιsu5faM-T$ :>0U.ںي/:ώW~F"r6XzQ"|&f: ]z٠˫sꚼ~yeVub!N˘!΍=PG^%ԚkV#\KkOrʪ]8iҤiKxjVj3pϖKYPT:w|Ya(hE  pned"F]1f^EqE23E7LZ;5GpQh&R|oM]v"]zȮ5~pScibC[ZIHOI‘tٖ6'x}v ]O':.ygc|IV|Uu 6veJ_qǴypU҇> ڕ͏uٯ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33339 15716 0 R >> >> /Type /Page >> endobj 15709 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15710 0 obj [15709 0 R 15711 0 R 15712 0 R 15713 0 R 15717 0 R] endobj 15711 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 258.925 122.505 269.925] /Subtype /Link /Type /Annot >> endobj 15712 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [127.818 258.925 238.9565 269.925] /Subtype /Link /Type /Annot >> endobj 15713 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [244.2695 258.925 276.9615 269.925] /Subtype /Link /Type /Annot >> endobj 15714 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15715 0 obj << /Length 19 >> stream q /Iabc33339 Do Q endstream endobj 15716 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33340 20690 0 R /Gabc33341 20697 0 R >> /Font << /Fabc33342 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 15717 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1424) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15718 0 obj << /Filter /FlateDecode /Length 4289 >> stream x\K$ W@UV0`{@nC:vؽW[JE=A =|}RfY]ކ[])X>IJ瞇QKxszr&??;*ݩ:uMR.d_7mpҖ%O!2+9ILo,L4~D̠ZV q-Leyg(d]&WHj!'}br)Yhl{m@~E*чBy,|,:_ކw@jPRMaÏ pUl]y`GOvp+t$;>c^CWgB:5)B 1{T,Ɇv<}7{A) 4n2GHΆЃY_jsnk0A@YJBb?͠7x82]y(34C~YЉԀi>Ұ3ٲ$2}=.6]^wv5qozpo_+g nbDXXwi ;OVږQxEUmD;,v^7ze,S6N+s\AF&9{7Er8[Dk۱uܱd%2=&x /OljԒpf{be=q2v2șҾ-43}ZN^679jD)U2sANj72AŎb ڭyًÖmᔽ\ ^>𨴙\x?&.9Q9Es*; sx@CO=ъD;E+>,ڡ/Zhhţspw ɧf' Z;!sjEvAS]GD3Tw߾S` #h |aɨgϔMKdIJs iK-&1ƾ#M"N ˉXO=nYe("9'KwZbk% t͔fwƊ&sj +'j '*KaνR~1b[V|`|gwNf)}deJU\f⦻%< 7냼=r=7iRZR^ֽZJ-M#HYDjdJP%$^RN2.viZ$l2l4M2)~/*emcEO"ժdm=p;8x_S^A]`m#԰ks5 L*US4=Ʉj/s/=w-n]`[\뛉dFJ43R->ՌcA3e[?hKn[\#f%]\<= \<+ ~mO';&ׯq}naރ[<8_->{p p_0.COט3.E3Eb`MPBjvSfZS,s[4ai:b0't.gz:lqaWgvuur"+֊ZWX_Mzf:Lr8:o{)63~^Kҽ9KNsazrҥ&%7eJPy&{%|YXͷ:Ru>E3?#Rx MiX1&et~ P/]NMq`y|y;9|g#ה9JT Vg~ Q3@g_eN2<pSX s(hBZtrR0ʼ{D5丨O$ROxO'@S[8=`Nu)~Ae!"@xGPE6@ ʼd`g sN!I d{1C9C"E^2 F &ʒkUx7n*XaOA19-)#B(5ʈDmE\% @ ƭ6Qdf6iGHY7}kU_}V(|7W|Nk^*8?)! Pidvz26ui &c֚͐سBAOjp`}GMl>ވG]W\2beQ8b7l.!j7 Iu;v8%s:-* /V{/JCͨxeT?UffN1TOe4!O&-^-W,_Pqiiw80/7)_TeM/z`n|_m-(,}^o5(|Zsd&Hs1]>TјUM:ugh\ r @4 j[ =f[GoEg>7Yקa9GheuB^,>A̡)(*ǬZ=> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33358 15724 0 R >> >> /Type /Page >> endobj 15720 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [110.3665 280.9462 272.9245 291.9462] /Subtype /Link /Type /Annot >> endobj 15721 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15722 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15723 0 obj << /Length 19 >> stream q /Iabc33358 Do Q endstream endobj 15724 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33359 20690 0 R /Gabc33360 20697 0 R >> /Font << /Fabc33361 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM Z endstream endobj 15725 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1425) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15726 0 obj << /Filter /FlateDecode /Length 4120 >> stream xڵ\Ɏ+t62(PYum|0|j{l5fXKʥAN$c}z}QN'$|m)ޒfQju5~I|EJ(.34bP{ECZyF,}J>h#h#VIc#^=;&]1q=oNQd%^n:gÔ1Nޘ3Ũ[VӔOV.&z<\:lPlh#E=A0qBlGE}`S"ߜ^Eҝޓ)߬D& G)_sKܑ!/`NDѻM2 *N˩{K@LGCT\2êUmHYf˨^NPbxl5 Cz)f,,!$|6д>]슜; fΚW{\5)Q_h!B;R1XK)h&.%p&_}SHqH8T"}_pz2emzR.!<1Zzԁ1$/2KFITܐttixaJ0bfQg:,Vl@J ռTQT9ޏŐ<%{8Ҝnp_0']]ļlzl:rcv,8nm6gbjxd[l6CX-1'VrTDr7!}-RJGTN 77?\K~rb KKX^B7}?vnǐCC 3Zb}En(nRC@βK"եԣD\;['5L`gcg}VB>Uc׺_b!ZLӞNo 2ݕb?1iIJɿwk'^oY4,?$ZkiSj- GDci !A;l@5$;VHyùr;#v B†92ZM^ ,ah;)ɀgM JxQ'B7#L|9(Γʉ܄Rّ;`WO~U1AkJa;D]KÕV!0Ϧt}"ϸS}pG€A|gT_p Fb_F7ߧs`N&8\߹r`;Cndt9'?P|ۤ%6!MU*&myZu?%o+EszuMKR347hWU_+VfǰGj5(-W7}y큻|?m|f\O#)`nlUr0o[5.n|JPր^vrEXS:4.,PB%PSnx.kPȩPlZ 17om˸`ԖijԎmb뭢zZQ,ch/Řta6\/jK:$,br\A%wIJ{GcT;% M1Lu[&Q 6p}>U3/ч+LJ;:.%m0d%L-geMQG?5:+t+ȸ^Qт4GCxhl&ɛǎ ֠fC^q_]̉{֣ZCU65MH~w?W/5""ۼ륰5/ϳm[|挚ͧ@$PQ:?YEiik8W8֤*[:Vp=m\&SW}$:^.7ejJ1? U=[,e'WY[z\$‡2%5BN֪uܡn#qnBkv2m1J;Pkp$cх[kN]Ih7G+2º Jg9LT;5g ?lx1ˎǶ)5OՊtgx{!OaMh=b.Gc rxM.O3XxI?)lʑX6YXَnƬYnTݪ.c%*0?|;,]9Z9Qx$! f}6p7k0;BE)<=b??n}(=g{]c"} dNSä3/[~-=ZvgŸiv_jVK\`WٸPKuw/7ݢo_zbK'OQ> <90<,NP\,I;P~UJ[r\Qʱ;ٴhD*{EÕ61qM:+  :o]A|.X7%@O:$gV MUI " X8Qh1qV \lg<<0y1O~[umZ!-#tOe4[ROd~E| nR`'Zֹ Ŵ II{̤k֨60;Be:I䦅4c؉'jp=L2bR)SgIpDڽ&;7|g#:F'PW&țwڌoxVu%ҠwQ,{^;'UD *EӢ|dMԿũS'_\ҡЕcGbm$^*ׂUv (zB,Ny<9bkAMFŭ jn.呩8՞HWgM[OnٝZ$4Enw_lޭ`t:ѵj b[&y)L,Krs_Unyq$8'RYeArtbM+X {߲m"&Eom|pH`w1ǐLZ> &'-~leq^*&熺'AjLSYhD'Җ>zj9?DVi]c~4^~޽9g 2P tX/lc!< $ i=ï}_u^5Cha@d`餺iñ;g+Gl #"j*քʜ,?M<XKʑkAiƲªw~ B.Z7& endstream endobj 15727 0 obj << /Annots 15729 0 R /BleedBox [0 0 612 792] /Contents [15746 0 R 15742 0 R 15743 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33377 15744 0 R >> >> /Type /Page >> endobj 15728 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15729 0 obj [15728 0 R 15730 0 R 15731 0 R 15732 0 R 15733 0 R 15734 0 R 15735 0 R 15736 0 R 15737 0 R 15738 0 R 15739 0 R 15740 0 R 15741 0 R 15745 0 R] endobj 15730 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20210608081201-08'00') /Rect [104.1732 261.4154 181.0247 272.4154] /Subtype /Link /Type /Annot >> endobj 15731 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 245.2154 175.4312 256.2154] /Subtype /Link /Type /Annot >> endobj 15732 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 229.0154 173.3797 240.0154] /Subtype /Link /Type /Annot >> endobj 15733 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 212.8154 195.1267 223.8154] /Subtype /Link /Type /Annot >> endobj 15734 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 196.6154 178.0272 207.6154] /Subtype /Link /Type /Annot >> endobj 15735 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20210608081201-08'00') /Rect [104.1732 180.4154 160.4767 191.4154] /Subtype /Link /Type /Annot >> endobj 15736 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 164.2154 145.4837 175.2154] /Subtype /Link /Type /Annot >> endobj 15737 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 148.0155 166.3397 159.0155] /Subtype /Link /Type /Annot >> endobj 15738 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 131.8156 164.9372 142.8156] /Subtype /Link /Type /Annot >> endobj 15739 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20210608081201-08'00') /Rect [104.1732 115.6156 195.5447 126.6156] /Subtype /Link /Type /Annot >> endobj 15740 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20210608081201-08'00') /Rect [104.1732 99.4156 175.0572 110.4156] /Subtype /Link /Type /Annot >> endobj 15741 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 83.2157 165.4707 94.2157] /Subtype /Link /Type /Annot >> endobj 15742 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15743 0 obj << /Length 19 >> stream q /Iabc33377 Do Q endstream endobj 15744 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33378 20690 0 R /Gabc33379 20697 0 R >> /Font << /Fabc33380 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nbЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?: endstream endobj 15745 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1426) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15746 0 obj << /Filter /FlateDecode /Length 3255 >> stream xɎcyk/Q@5@nCS'=AP`2ru0Yᶥ'q'EH 6gd2/El/_^NQu9i|' 9}/?>;*hףj J*g@6Y;OZG f{>yXl_듊vx{&iN3Z oTǸ.a oo;`FfHH![XY ܝBe~f``$.-,֮MPbIԽU@c}骻LzdMS65Zш%TNN[^mbϑ%gqD[E]e/Dy*l:B&ͻbh0YR&G @L81s]V%v\JR%m DCߌkX2jZB`9.[ ql3TgF[Kgav؈Al{/HX+řƦ=7. Y1y)b3@ݰGQAg#H^Pi]uMm'ra7TnvUلFmpmA4\z$4dK@хCԣw{K{*v1ZsGƊgNI.K3ٴM2 D彚0 76èF<lxպ\0( a.2:bYcfs8ȹ/ R>4pvy;!;ŋG͐ꐧ[TB҂.ɂ0s>V65kd!u76LgEayo8 8~,Za<3qـi* qIp[2v|D]ceL6V%8n(*Lj ¡EW jAt:z̵cny,w8[1ZX#n5pb+S-58 ք-ָ9pzܩE#V|7[gYwuF*§lܘ@% pFTk{cUov^ nm$hW &Moq- 85xwG\veM9gwf[^3 M :Y E" (y7EEU11{ymc c+<.0<%M4?ۃsӯ5nq!>7wR ]+ {^X/ohS-o i CʎU7N&ê=PוQM!0Wsȱ{Zx)dk[o"j~S~f>̫fDc%EcbV$ƏO=zSvnشW~C\ _ҦuNZic3sT;,jO%JkŒ.EREH7v2M#$fP+?1z3}oe5 BB)LCu3y 噖 Ul|WC`l_tvf$_`s|| d1]mz k;|_S9UxŤ)-7z`|M9lvDe+K#g ⃬)IKuMy5:+DW=YFbN;Us 7[JṦxZQs1jޡD] #6 .y߅[\57.t %i\ˑ ]liXu_*@"vmv \~ -\?*kAp)}Ror4!xyW(;Zz: J6`?!K%L ]!iDkaA%- Cw_:e.*`x*SU&eP^(v!ZBL3in1bcCG6Q% Ŷۊ1dଚ(zm&;RX ]5nRm9d`,%5"?r'yxψ2d֝QP"9W]B߮9~CWB}?n!+WW ;6f~6f>R'vUW 51R:-u ^3 )5LWc z;U>]aQ+^s7]kMqj=Vd̮svYs{4M.:ӿ4I endstream endobj 15747 0 obj << /Annots 15749 0 R /BleedBox [0 0 612 792] /Contents [15756 0 R 15752 0 R 15753 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33396 15754 0 R >> >> /Type /Page >> endobj 15748 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15749 0 obj [15748 0 R 15750 0 R 15751 0 R 15755 0 R] endobj 15750 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 121.025 122.692 132.025] /Subtype /Link /Type /Annot >> endobj 15751 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 121.025 160.653 132.025] /Subtype /Link /Type /Annot >> endobj 15752 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15753 0 obj << /Length 19 >> stream q /Iabc33396 Do Q endstream endobj 15754 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33397 20690 0 R /Gabc33398 20697 0 R >> /Font << /Fabc33399 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!KF>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Q endstream endobj 15755 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1427) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15756 0 obj << /Filter /FlateDecode /Length 4343 >> stream xˎܸίyV{؛w 7<K~?UŷDu5YΎ%b="Y\r?IO<$A~_Ά+?~_fy?ܙ1YTYvFb4wfsB;!0/#J­0Fƫ7bp JNN_f'L8~5i,MT= nQpgZ= x`w UPמ\pލI5x:@S? ͟,z5@҂?+oxqƗ?v\ 9xe?%QHhe1rB~`Fh8G}_L(L"Q=K: 'xGh!zπq y%1XB Ӄ>JqBL%p5NQ#y6C/DZt50#>|z=jFTDjDաF~ĝ&m0)`'n~7'}7%5:2/X=_$ڬu$g_pO 96*iI ti=CJJJt,)(TqpP_BINdHN#F8ѕNrS]]vۺ DNՎ-#6B'UYD,v N;ZqA#1hNWj7-0\ Tܧx_ [ Nk(3yȌGsN'Rp~24"97rt8Bm&Kc GCPhBT4 pDL+~ I+ 0W4zsء~ 0WpEX[5g S.T*\A2wALdxn,k *}x[VJJ+hivy_+7ԓFkj&4"W5̘@3eR+G&4 lQ?⨬AGe}Gw;*[qT~G9*[qT~lQV06M9@i,Hkhb!-ސ@S\!gzF C+ \ q= OQR4|\ 3*+ZcT5`4eqqʍU"sKr\$Ve)4ߜ=x=i03wESe ;KdzzIBCO}lLx"-GUf^R2IJ$ j2y`.un|nWC6+cvtGYʌn06g>Y/*S$bqAw;~.nCܢ"ZwqǹNax~ rc2zsQCTjmGqJK$RL7SJ F_.=ePYe"ܬ*0sR^z@uPMDTN%ʢ,"*fm1">޾uFF=i,. ;O3lc/]UfE@w]}6v٬z $AMT""0Jҍ|J{'qTx;2M(F2M|P?u'X?>wv—ru7M>XWB5p$gEo/ckCmrTv ¬J2 \+h+O76ھ>H4y5,T/.d[;̃omw*ԨVGi{гEc/N2}LSz=gݘ2XA?A]7mf<8\N*y}5k4ɿVm}m XɶmuZ,ݯDm ֬ZFl_ v⅍~fRš}ln |:DKK8۹͔ߴyrqͷ&L]2ǿvME8ϓEx} *.W\$rSi14A<]8ڨ[rTP]yv*s9]̸jlqn-訛V]*ѵע4 DXVOpI"1rI*`_9Q %_zaN4x352y$F: a5>P4X!Uy|H?\i-,) BT0P!KĮ}dI󁂬d%.r BoiJZb!d䥋LJaƙA: kc0K/IYNX.)0b}׈ БRέ%_)7QO.AŒ8wV{l8PTLg3c>܍^ip]׮')`L 폑նWWo,E,PFVbRiĺSY^k:Kyt, t"˰Jd2ɩMsVypAӓcE،'g-ժf>}]LY/\uy&i-E5뉚!j֕#fnNAl]o6nۅnfZ::t@`t"*4XWfa+Rԫ^Vɲ+$3aϲ,kG/c/r=m꺸7c|*nv&nvx-SS_Rn}޾d/i$Mṷ;a{}ə}ə"lH6{, lf۽d0a;uܐ[sMl/΍a6X^ˎ1{yHv%m {xZ3zt%ǐ a -y?=+I?ͽZ+ [Qfs-i_M^MnpqĈ[L ʆp؇jЎ>f26#ڼOl6&"`{RvPwwmݞ-|\2vۊ* ,=`RA(jĽX [-+L湽7)uQ3?aD;)ckRpC*R!coTGAcQ{Ϻ{s8#x~"2LW b-!6`EJBcT4/QǷK'b0.,H<450>`T1͟?!qT*r>Yן݄!fNQWb+ĵC\ko)%DY}21^>c⇵|zIC_}$0ymCˁ$NBq.Ku6#OtvcƯs-e|h ;|XH(3VW H?$.kפֿY$Oh8$"Խ:/'I2]=/"N\EdATPy1fvљ  % endstream endobj 15757 0 obj << /Annots [15758 0 R 15762 0 R] /BleedBox [0 0 612 792] /Contents [15763 0 R 15759 0 R 15760 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33415 15761 0 R >> >> /Type /Page >> endobj 15758 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15759 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15760 0 obj << /Length 19 >> stream q /Iabc33415 Do Q endstream endobj 15761 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33416 20690 0 R /Gabc33417 20697 0 R >> /Font << /Fabc33418 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `XI*dzQUh^@wP".*x P@E ۾{w endstream endobj 15762 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1428) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15763 0 obj << /Filter /FlateDecode /Length 4150 >> stream x\K# ׯs+z?4 !%JTl]4ܶTE񑒽UBokVgר}^|R )ͪ>~vR)myxs^>kx󿀬!@RkMa7^Vcy2C@0ĥ.ݽmxE/mO<&gd(_?u6 uJeUa)DIXIDbrXҷ>̻.&7o/gbzYõ˶4&K5hcUKZ |B&*cn2)Ф:Z-QWjKۼ\ tVQھB3U&k,wʊPW@i1 )UU[IW^⻤v'Zlbk][,,Pl,0K>=4!ӊIFO~b@U= _)Q)f ]$xgDen}W9?+-bֺѡ&jn) nJ*x@ץK/Kta6ǨZ/"=V+|) )7ߊNWN&RoVj|T(nglA^!Hy|c d ~W m]Hp:1"meT5scc8%:#[ W ƃy| ZF(]Ksײt1 QV.\LcQ4RS$L8*heQrf^XÁFHuP55E` e.o E[3l/ :i W"HO XAP?σ94;װG7ey \Yhsg<6W%kQ8(8A>A@e`AYyX81_ q1at`Kcs%JI'^9nd*s(*e1m6tZn}{(0(E-m hɂ^N+-g빖sMy鵩[)t;cwBܤIˢeAϊGWgl6̀!>]YtIWDS Zu 8VUCͰ%Z)5<|k U [VYa6!HϒK7+vRbRcOu7Є!up^:3 BʪAAw 340l)~$QSkﰲ>o|=_ˣ7ҐbQojGsaEa&=jٙզH^̴ۘJBΝH ^T-/]nH.z^4)@.J5沚Z=?RESd1j3<]BRMvA䮜j +;ZUN 9H?Ax7A `^m$ofj&so,>ntl7 s9]CyjTiaD}kLO~~9RHZ.Z*~||G2t׋]+B(:snBo-; 爳׷m4agv:P%A^^o%JOxu:,g Pvf7q6i Pb+9vx< ؓ6gn+ FSP!+$*Hp1p:9eճZۘBRY'ѾMډ~bn3o=2$qA;n݅y%ȧ |\0W#1,D120$"Cr/9(7Au?&z3ֹV:X-?# X9A{޷bc/kVd!M6 <@NVv:o~rNQPmqɍs;O>] 3.D(gwVd~0C۱SŒPŜ :\x%ׂg nDJ6!rMȹP(T8VJB؟7;x3<Oqε22QfC`w?úM,Ta yg$=%/\"`)'Gai}v uTOS0lt@̘t<8@GmU%?:e A#,Džu(vN[}\O(H@NlD>>zf϶0v0cb<% v7ÝyZo=<ydºެ'vov6ՉF`C G> UPn34zn1p"fw ?%ڊ?YbyR@Í:YOAǏ.K/=Eq!u0kaGrl fHVK[tvUɶ 7L|S6}x>8`gvm}lzSVd,]#{άjNepy(Ęe@^Ap Yx=͕rb*9;9͙kTv졳q3$9Y&`]Kߐ|bHM\##bkռ[A(aj|'a>J'@|((-?B ,dO-0wjH`hݲER~,"uq{i(=$ ~bys/;:Pʾ W[K(Y_O뿗_V#BHNkh0bN8{E_Ek]4˰XZog̉@+d$pA(I_V$Sa YP~]k_ן)E X [^2iJVڵ BB/[Ӝs̗^Jp 1!-#>M[+h~n$+x=aP&rk{@pU Woy&(T=̌]$U`&YZv)LY4.6n.6u>ėB2bQX,kX&FvVhWb0FJ\Q/.{3~sZ&_fuXnbUby^oyVWأ/-p,V/..]˪).'8KC+BG,Z(+ KL,;RHk!KBbY3NR)XnBl2 j2:J2%7#wUJ4rn|ɒwkxPr.'wsZ&HKjiWj{ꜵfJӅ,(M-zsi4]˒H4usKjQN0Ѱe]}^rG_6n0G1W9i[}WˑETcTjko;#$)hPI-L18qػL9q$N[rNcpܳViHǣ~o*1U8g Tma90_TOʿvW}WwMqt'Vwx9j4^8nڞC(_j'k{ҽUٶ`yoրUܭ߻e(~&fZPv "4.ZǴ endstream endobj 15764 0 obj << /Annots [15765 0 R 15769 0 R] /BleedBox [0 0 612 792] /Contents [15770 0 R 15766 0 R 15767 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33434 15768 0 R >> >> /Type /Page >> endobj 15765 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15766 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15767 0 obj << /Length 19 >> stream q /Iabc33434 Do Q endstream endobj 15768 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33435 20690 0 R /Gabc33436 20697 0 R >> /Font << /Fabc33437 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuDT|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYc#x#5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15770 0 obj << /Filter /FlateDecode /Length 4237 >> stream x\I WF]U]h Nf'?6Jk~ <ԫ-K"#)ۤ& >~559;- /I/>n?Ki&%g'T~<;>EB7#ekW-m}M89*'qCZ*lj:1ALtO>,2ʼVVYY =破 Rvv+_V:r̶u:'0n\i#|Sqɤ+[ lAi׺]U=zLmg#p˒Zduo9x y}.Y }>( S|PD N݌! >'|َ5A{׎[,ү7Y,b,œK,&|/80{bҮXGv#0yC؇Lo1Y.ټz%6WCьٸVh3GKیsk{oCI)]sAzap1d@[puL| }P :dX3aM"Cr:Ү'  X?MyK{R ~4-ƫ%]Q%Hϰ *FiCuT(qYs瑤H#i1o2ms-XȔE #j#4f "Ko|#Cl_/{';yDe U'85kJ#)-: 6yHcNeENO㎝Yւ M grv 2gJQ4㎏ӂss#Oiև:`Cg%)NJaO R*5;6gǻ|蒲p(u(QmAl9B4MD-\UNI/탼t;"hIS\Rܝ2+3(Fk7m5 Lv A6pjh1 K- 6<~$%K1`p*_˔8=k@+' yg0JeB Ks `V$4E aXÜw_Af)!TcFH&z^0qBèق)P _3x=oeAǔ5Q+4 l=g0&9u`%f_L1cV =+R_LY|c!ӂMMZ!>m EeYWR(7yB)T/A-沆ϲY!$266ڄ)'*C0@|킗!/(tP4‘-h jm$@h |TʽBkxTI FW!I2DY!o) @>I%k4"dy/K\z#^T4XcFbTK`::؁ZC  ^ 2z ig7Ej¯}V9FUp ^Wdpe+n{GD~,QN;40bՇ2/uV #N9rwck r(,@%>FBYR_>Y< q`%\CI/!nuOGl\c`y06il] |څ1s2;k0$&0pHbhS_JDyFH ƨuM킩SI!V3+!!G UWxOJ'O\Ya= 꺿l1ka%7q}?ۈ $kTOJW)%50Nӕ,zPr6-f?KF%3_mgZq5 <ø5WDb* ҧ"U7~zId"2ExDͳy3?<@ޢK:)) mMVZ f=ZiQ=¾=uհiKyVU:5  _}j?dxTیZ RQUнxn5Ց/vbwVoDҼʈM)|/rH홞~ Ʉ1-&aP5p¬|P4 6Lؕ!rXW).N6*دO_+pkVzw LX 5߯sOVE[7j驸cf=Myat-' @m.JYtÊ=!$q<}Ce*;AS"& XA=jPҵtԗ!H+縆Q0F"&9k65 dKӷM.C'4"ƣB*Q)#KlddUpU9(vlW׸^y6ҁtz>פAқrAWu (mz^rٮ%U9ojd)I<>v#LsƤ5/XX{Ww`ۼ(vƊx-1,.m"4[RIDb Vڃ^eQRzџ9 6M<{ %;p1[o3a2'ſ kЦu)GΙ]S|4wo-|[U&qGeOHT[܎/msJO$NT!\ޥc  S{4\_5w4 FgW3DiTڶSw!cUAqYǹ>zVUllY'^ckRX)n{OALݹj&w+ünZ&hfSCi{@b%cFPKܙݢL*ĤEP5v%련 Pǀo8 ANt2kP\¹Y.Xu53o蛙ՕX b\*VWGNUmlSu}{O/7dӻĿ *8 nӟ0K69B`,tc^嬽ut^]ֆmanNuDUF0+/2 uu3ۄRc¬푁m󝁡54UZЎreKBݕʧW/O_X|%MFʾorXp{Lf$P\TL{M]ӮrM'Ce\ kH?g t5Kz߂[9@C|6Ҕqȷg|Cbw*yiqR ҂+>s+*0+\3S8HW+0ǜ$z0QWnٝZc4&r^)=>3MnMLFVVu*/t:25EϤL,(HOϺo88'Idۀd2Oö6ٮoA]pn|dy L=F1 y'_\xz% ſ"5uj Y Ĩ|T7`rl[ +`W)X%Cdֲ}i3p?ݳ4ӺƐr?{α9SG 2P t>m,L/t yF?]1$}O~O:y%3f+|\nwcO豾ȓh@_tsKwʌ+WtٺaQD}"kn |CzI82ĹVιn$0.jٿ endstream endobj 15771 0 obj << /Annots 15773 0 R /BleedBox [0 0 612 792] /Contents [15779 0 R 15775 0 R 15776 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33453 15777 0 R >> >> /Type /Page >> endobj 15772 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15773 0 obj [15772 0 R 15774 0 R 15778 0 R] endobj 15774 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20210608081201-08'00') /Rect [104.1732 582.9 206.4347 593.9] /Subtype /Link /Type /Annot >> endobj 15775 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15776 0 obj << /Length 19 >> stream q /Iabc33453 Do Q endstream endobj 15777 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33454 20690 0 R /Gabc33455 20697 0 R >> /Font << /Fabc33456 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7DUthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 15778 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1430) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15779 0 obj << /Filter /FlateDecode /Length 1566 >> stream xڭXn\7 +p1tQt)qx!Ed4Am\뒒)W)=tN#jQ;/ԐA;kj.!˃Zƈ x?!}߀ C{SCE_>i~6aNh;2lc}+t2aS4>B.-@X 0GiκjݰWNyO$Lmgw}W:0gL7i/^[14~;y e'4v9]mMQcYhDHo2~j78մt&UvL _xN|]>#BZ{sqwvxw xyӂ}ECr㡣k̄ؐy|fY2ڡ}g6vvyK¨.sδZSpJr"xgR%A,QseWG ?QϢu8e%HH8dhOPӶ`2Y{f&˞BZ;Nڮʯkձ/]q%iW|$yL)-ʣ6 l A X⏴1G5ތ]%SCaoY,lK0 6Ƅꪝ7 .rem3nV LF$wX yꖼ }]qWߍzgL{ y4njxzS#WvK`t1pNr6hQL!cW(ЖNp`ݝzG2]To pYm293h7LQaeC467p Q)y ׈GL():o4>6N[jUamU]բYHF ]W-i zFɯLy@yi-2Mhb />E y]^Y]yij:CK%HD7yHq3>U僿 + U&q:58]<ù+]Ľj@c#^bw?[Vz .ѿ=ŇgL±ylOrϵs6Gۓ4.P9G{g>91 hi8IW*2x>:竸]b@.=9Hn8/1 TldO9YF픣[Q1ȫkc w#ҙN,Ac ե/; endstream endobj 15780 0 obj << /Annots 15782 0 R /BleedBox [0 0 612 792] /Contents [15790 0 R 15786 0 R 15787 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33472 15788 0 R >> >> /Type /Page >> endobj 15781 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15782 0 obj [15781 0 R 15783 0 R 15784 0 R 15785 0 R 15789 0 R] endobj 15783 0 obj << /A << /D (unique_56_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20210608081201-08'00') /Rect [90 329.825 138.07 340.825] /Subtype /Link /Type /Annot >> endobj 15784 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [143.383 329.825 176.075 340.825] /Subtype /Link /Type /Annot >> endobj 15785 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [181.388 329.825 214.036 340.825] /Subtype /Link /Type /Annot >> endobj 15786 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15787 0 obj << /Length 19 >> stream q /Iabc33472 Do Q endstream endobj 15788 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33473 20690 0 R /Gabc33474 20697 0 R >> /Font << /Fabc33475 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1T endstream endobj 15789 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1431) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15790 0 obj << /Filter /FlateDecode /Length 3871 >> stream x\KWlI{ 99cL%?CjzfSv+_=X|^7]묎AU:~9S){M E\Jԝl6߸hz4e[%i3vR]I|V_ &+WCoI)x3\?Ь4~џcc)X6Ϗ:xGcܳ19 ]C\'c 1po2x _}ᏅnSJ΃zEV"&Q4!ZߵO;ee(0> Mm }8|~6djg]& .4qBDS("PNHx% H5nLcTօw1rS `Mx!]!{zAD,Aa_^yZ qOa@֢EsGV=Ou+~g es LkCK5B~YSWut :cH, ֑>3K]B- *Pv *5K`7Ğ1JA<nI(kgiu@4STqoˊE :SUl U/(aZvu(Z6U̼!fu2vEկ)V||uzj?z7=ߡx֞4cH;54ָ)N6`VJ>f*j-< уYpYSp+^Ӛ0S/);J乖cEBd(W$C,SqH&EQ@v Og|GW3N7sY Z!xa*R=?rXC$Lӂ/1#TyA ҧU3;7 DC%K 3䱉 kxe\ .BcwŘwZ̐A^sKza<\}LQAo,6 ;mxgh OJ23]tGLȧ߭ǩsc|>0cYL%v,omOQO;*}q$gKw2a9ضabzϔ{e2v>{3W1CY,gRӳ6 -yڪsg,ÌrG}W &zwYa 7P,5Gv4_' $Ӷ-Wpr+H %EƂWq@|O"0W`e_s:谤TS< f|ak(a,JBB7~/'.qy .3X 7 X.a4U)JIa}.k/bԀD*V~lYKB M,lա5\|Kv 4 /Rr-J/>yY%$.Eٕ~ԛnT5JCUhRffjΦ8zfZ%2=FSd} .e6BU kctRZ!j]֐2u׀[ jNf ǁbr+_ p T "oIfLZX|]ZټZ r| UBizZQQ JVPՈVy-O֊^W]Y_wϭ &,-#;Jw{n 0(_GZj?gEOiʸkXÄ4m'Eܮ% oZ) MwT^xU;<3"ꈹB  rpSlq^,"”IeMպ;\֨AccF ̕GfcM8eImu˷- HqhzPa|{wx-jAL_D޶|AsRM/|suVe?T@:WF^!d{)| -vN rXiO ̒ yTXg#C,A`HR‹~*%bbEI8S !՟^(qIRx?bዔ|ܒaFZ۽+3Q .Y+6VN*d c^TY.]qUL,V~aĹ&#Eջ& R~PSݰSՃ1Y.|+[9'iʔ[\m p4.yxGW qa 0K8˂%.\>%ɺi>)nkܹ}W@Gw};_>滑Wp"%^gWWFOG:s=h^Q qvcNsaE0&ons myBiĶLJ{uN}Y`+8Or 0g_;dϢ)Qܱ&Q&iv endstream endobj 15791 0 obj << /Annots [15792 0 R 15796 0 R] /BleedBox [0 0 612 792] /Contents [15797 0 R 15793 0 R 15794 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33491 15795 0 R >> >> /Type /Page >> endobj 15792 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15793 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15794 0 obj << /Length 19 >> stream q /Iabc33491 Do Q endstream endobj 15795 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33492 20690 0 R /Gabc33493 20697 0 R >> /Font << /Fabc33494 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?$jQ<<9C99 3/s#9p!gf \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}7 endstream endobj 15796 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1432) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15797 0 obj << /Filter /FlateDecode /Length 4475 >> stream x\Ko$ W9@+z?Àmr[@fRԃRUw3nzIE~Hfuӛ?'l_n޼ےSq~j7ǣ6H଒^R=n+\;+8m{ 4Umhj{ |5JO8:~(-3\9;i/t|೏\`e ^o?)k5ILHSrHb{r]o{~ڏϵGN˱ZWifڈX=Bߗ@gלWmhFU]cwH8ǭ<'g:$NIMpyƚCZr\EzyX0V:dFTC}H׾s4Y~&*pPP9H 8.f)QjM*h Hئexf3UNѵ1PELTۧL, 􁚯v.Toϝ Ҹvh+y𸩮8kQ(j {lו75Fŗᆔk0УعbPR+?wpEԍnfE`!Pԁ #|o9;T:eN=vi/H直FhDCXh>vذa }a2kZMg@^ETE>n .CQt\GM=h@3]+Ndʾ8EyڃYk- k[x,.WXJ0'0jU=.W1 ,U8 \ٔՉN/9Őwrpc}$U *7UR[(:A'eƺn6=hq@Ca_)QC(࠱zp=U?: UCYTqm!4YFmh.LɲjI{FY+Qݷ {e~4u GPC{fhuHѮ" Dbېn 7QF844 kQZPn/S^FD%{HU#z8R@ ;_*;/O05" ~ 8X aFzyL)M.-Phj0pl[ ăB_mzY/ȠcN|}v yU8^MjZ fy !RKo‘< +cxJ%qN,ԐGPθ+WJqrNw9}E"L:dAaDAK&7p1- }R9r@JZ֓X YӒ^@h 1/bMC-5$&hTHz<Qg *ԃ&I ̊jg=?R?2<Z]InQg[xbe 2=,yyf3=ۜ$A_7}'ޚaZ08 .М`.EA?ng,[w^/cͧjKFpHn3ㄶJZצjk!aMtWYvYѴM^2cEf|,2\EI5w '}iwpnqk;C&ux-ymo/[4tN>-qiSBfA͍#0%tk.3n>$PY/+5Ũ[7״b杚Ȯ4[tM$gi툩(}Th -mٙ0,\G0|ǫ%Ņce<`ܴ{:wڼ}WvmKAAcuv**sX|l}l;,v19Vjw-T.mwiv5r(MH2bA%L6O*@2,-wg-yt?\ןKZCȫ1Z>[kHRaݍ+l]@ c՚j,+jgv :OomMX6J1%26LK sŜDp0m EjK3WV?aT fm 6OQ!vrZ^]uZKvkѻ8=u/3cRUζֹF* 㲌ϸvs^T58-Wx BVR >m;˅@:?Q?;M\7*[cSO~Mإr3Zt\} x,u_Pp#}Jb l&5TBҥ]xHjz̓׏=2g-'Hl yLB`Jh+Q 'ZJfE8UmY l>pgs%)X8Ge?6\+EWcNM*䈡~q/-j]YSyR72b_5[]E8qB#{aͮPG3-H3W%kׂB mv@LG4-KFӎק"˛h/9N3{X~BmތNhpIߪ_KYٗʀXc'|%YP.KXBqlR@{`^򆶄Tq@+1H |-mRn9cWj:޷EAqGCjqE8ԽiQ~hm(rUr|Ix }tbZ'iW; $r.~)0Wj4gGh`4CC<">vgo a;Ԃ(bEo \[iֿЏS;'$8Sk]U(!dXT[ eymE[R4R|PtpoZľUT ኤǂEG*abi\M+GcI\2\^;)yG6VuccuKZ/L}> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33510 15805 0 R >> >> /Type /Page >> endobj 15799 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15800 0 obj [15799 0 R 15801 0 R 15802 0 R 15806 0 R] endobj 15801 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20210608081201-08'00') /Rect [104.1732 451.2384 212.8422 462.2384] /Subtype /Link /Type /Annot >> endobj 15802 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 435.0384 217.3192 446.0384] /Subtype /Link /Type /Annot >> endobj 15803 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15804 0 obj << /Length 19 >> stream q /Iabc33510 Do Q endstream endobj 15805 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33511 20690 0 R /Gabc33512 20697 0 R >> /Font << /Fabc33513 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7(1|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15807 0 obj << /Filter /FlateDecode /Length 2270 >> stream xڭYKo79@&0@ {rҮXHK~z$ˉvO~h8cwW<>Lfudoǽ7g!/l2j-0şS>A!(Pdz+ZV a_@:٣T 6䃭| gK;N\cYrrn3$v倅 @5 Fdmp9w"V&|HL':P׆GA0E$T Y6ڽ>d%8Rz\Qϵ,uvqI5]Q'MA=츳NӐr5g #gNNhl+ZwR[ZD'+/O̖[Ӝ-ze+lQ$0(@;ֆIiWt77Z$'J;\;'Z[oM!Kֺ=vά}&emy$:tꏚЗH;tYܲ@:tuە{ɶ|FVj^WkZ_;D#_%}Smccu]`hA(hq;CX M-Zoy]BTs1-)죉K^dvO* ~T'=%#w\R>ݾM(Y;~ C8y4nS!=HP'B f i=_׹t"<ӳ;z~14~`O)JwJ^$ nl9!Z`'.1d&ap't1vIu,Ano./4r\eMF3z0aN8,pø`M o\I'd~GКX;` 6GdT{i bN yKKዓJYʶdjB|6qA+<=! 'S_hy2_W)L]=HOtI%v& `z[%.7? `A]uoBO;7 ӌi~$ڲv|+q~BZ"``4D%!qm:Ԗ%D#:e mQ']F2giG.2?BmK,)q |]'L;w*Ac̈Եn`\ fuKW)]@4A\UL3 U^ =-+˕ﱝ ox!YjF~gCy}0r(iˎ۠ & nclJlS/4|IM$#՗t%kՆt9۸RAZjT MzSѩ7-3 Xthm_Akėn #؆Pu#9U.!wPK'A endstream endobj 15808 0 obj << /Annots 15810 0 R /BleedBox [0 0 612 792] /Contents [15819 0 R 15815 0 R 15816 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33529 15817 0 R >> >> /Type /Page >> endobj 15809 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15810 0 obj [15809 0 R 15811 0 R 15812 0 R 15813 0 R 15814 0 R 15818 0 R] endobj 15811 0 obj << /A << /D (unique_56_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20210608081201-08'00') /Rect [90 248.825 138.07 259.825] /Subtype /Link /Type /Annot >> endobj 15812 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [143.383 248.825 176.075 259.825] /Subtype /Link /Type /Annot >> endobj 15813 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [181.388 248.825 214.036 259.825] /Subtype /Link /Type /Annot >> endobj 15814 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [219.349 248.825 251.854 259.825] /Subtype /Link /Type /Annot >> endobj 15815 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15816 0 obj << /Length 19 >> stream q /Iabc33529 Do Q endstream endobj 15817 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33530 20690 0 R /Gabc33531 20697 0 R >> /Font << /Fabc33532 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IVL_%ee8>϶fEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM \ endstream endobj 15818 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1434) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15819 0 obj << /Filter /FlateDecode /Length 4305 >> stream x _-|g ^O`Ӏe~-o8; >`~Ϟ˗6&q9j>gS3iMO :L3<wL}m(vOĀϑ!i=p t⢻0M2<ٷ. gOpnGdnC% L:٫$d]CB=0YHD:J8N`1f'OcGoqȰ[ք|2A~"d3Hrr*Ix%9$H:xt a*I0.Y`bO3hY3-b;Zb{FCN e&4e؅CwNoxC6.`/cFKƝvi!qZ ^ϱotWo`Sy:A5<#*@b7b!}1shKT&,zcJo4O$)oFBocXaȉ7 =:Yo,zWId~[E(6 2zy=3'21?7s\^^3<iž^ɋREZ%ʒPYU-PPw$Es oPϷZ(+}_2j3x%mCl.:Dʐ*6^253a`Nq} 0\0/Sj468pze˦  gՌNTSE%NC$yFF+r5$%L-Y6QGc2JDLi(v)U8A,}-MJ;s,{992HK!GP٨,(~޷e/fQ崙Wǚ]Qŧ`]M$b;)5Ҥq!/@`8#K kR\E^Lm#xU? ogFMU}ix*C뫀AyjqU ny$x#zQ P 8aFojYU QƹUMZG[Ӂle* JRr]nbn[!Aʼrh& 7s~418e2$8P^`i!gZ.%[8ɂMnĖݴwzbQNUTBU*jv-C,]p[HE+u)ҾV-wHtbZx!*EګT-k_ df,+u Ǣpsa\5\`^@\' jӲ1-f*Ue y1jepU#X[j^fگި7v-ՃHRs"-CHkQw:wˋ<ЋA יP̈́=^" 滽H%^L=zo" DfyYg&c˕<ҕHy.'>:mf?ieצ~9nv͖vw:۞OL-m%;{}*p7%=›mlΣs//=^i3~Ѓ@6E][s7h"$<%FFRҀ8v-'*|aI`!eSaɢ%%:gU:nLѵ:Nj50"WQ %hl]ޞRUZ5[%lcM|*;oLseN0 '/jN8v2t LAm4˕Eӳ^bFzB-}}o}+:;R.?uDٰd+0t\극}2 Reōeod,2#r\}HaE\Ė*]_n #1i38QT6%;ñ\:ڭk!uE= ct~+z =/ap>a}x/f\bj5yhH[0&(\@O{2`ykNUēA8m?Ňn9cJ^b 0)!/ ԏF4;QE \juHǢ3 >L'RPmwjM%NIuUv~´Qnjj+ښJq˒u_Ni&>z"qrDCʧު|KlQE T&:W9Z"j55eC3&ajyQ-u3jz˽"`SyxįAM,!CD\S d0qDa}f.}wSS23+~N|Kn)[G;"kgdTjJR,THZmɥZTY}.]L,78I^UV \Fu|{3f9(jRh~fMD_ԗJ1*zjيU 4I%jt8˄^: yX~1ц8 i̥pe{Y)R8]mȔmV:vf )z f3,OtI>7>ȣ<$|A>ÔRƯ`0&c%1#i<7ae{>քW> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33548 15824 0 R >> >> /Type /Page >> endobj 15821 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15822 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15823 0 obj << /Length 19 >> stream q /Iabc33548 Do Q endstream endobj 15824 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33549 20690 0 R /Gabc33550 20697 0 R >> /Font << /Fabc33551 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 15825 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1435) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15826 0 obj << /Filter /FlateDecode /Length 4068 >> stream x\Ko$ W9@+z?>䶀& O'= tKGJ"Z}_9XDoM/R.GBYoG!yZ"|7vpih@҂\:M q+F (;O&-@ٰVqp܂xtrL*f)3LcH# $KrOR2^lR-Y,c.`ES# cmq<i^kC'00"_`:^n2)cNދon(#ķDXB7o(4J $o-9Y% 5;Cݦ ة).' M;6͝; "?,b' uV S~;>3i/M +$9EYAMH\ 3V~ o9MvW'x$t{FYѠgPޡ**U$'Tm bw&`V%B:-tPv0AQlap&R˷L7ȃ3s56Zƺڬƫ5{f]],h:42>le'x^&#0N+#6jK.Oz_ u5Zy탁@aGJT)KndP*6fR).u1w 7C. `r8IdB/"E_m6]߹5.1w@{pƚ[P{GԲMV$}$s+p_.ɾc4{ DY{r-DQU3j)KLw8xBV1㣞sam{aQNd@FE=QS8*wk0N`:`$#Vvi|ZZf91̶)H-fA^-"2 \hkีPW$+Z(-!J<|D|0ÑQf^g2q;UA{)'@/+6Bʏ $aWgh:\; y5BA2%Hv6DzŖr{VǪ.mByd#6CY5굝)Reiobv¥9amsá4V ~, c NJؖ35+ *m.a,üR5 smDGLMBTv6 0: ` 0M@B! @>lA"x X@⒋% H*9;NsPfi0N*rֲ,q,@qN"B(} gX>{Y88+*f)qSs1Vr]/c7]AY5M{`-K7b)K ɋp܍GiŃob~DuSd=h:y#\a*I]dtȄo& K=gML6*v^\w@=d*7sn]Xiȭ]=@HZ9(@ae2Փ MMUsPg"\KM0aë}{0E[ȹQXzDtLۇ:K\ڢ@^-]:0,)(>3i+HXp;D6Q aYY;==߃K-P>)n%-^Ǯ&+*nߝ,5Y1lǭYH u=_ j>o 5ۃr+&Sx35Q_Y.N^3-ߞuJ)ؑbvxg)yvBq=b(<۠R3]ctp Z <,t$%tSiUPTlI0fBU"vr)9 j81pIR +}H=&|_)U*BuB m(1 ȟԏ=@pK:n|ͤlUNc>?+{_JJ pU2PCvfZcLWa9Oo/yMŸ0;O[Ab&ń,^-CO &#^,M(Ȩ>wz#)*^si&Zi 9Ou?+֞XzwTkM7 u}ᦫ\ OSg=6 endstream endobj 15827 0 obj << /Annots [15828 0 R 15832 0 R] /BleedBox [0 0 612 792] /Contents [15833 0 R 15829 0 R 15830 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33567 15831 0 R >> >> /Type /Page >> endobj 15828 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15829 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15830 0 obj << /Length 19 >> stream q /Iabc33567 Do Q endstream endobj 15831 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33568 20690 0 R /Gabc33569 20697 0 R >> /Font << /Fabc33570 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15833 0 obj << /Filter /FlateDecode /Length 4612 >> stream x\Ic Wsk/@]>6@99u2f!$KL[$"?.jm'Qm_mܬقK+;~M/UpUp+!RnċʽnY\g>!}32!pc@ŗԱ-t,sZ߅HtvUb$RG/i/FR*^1 *a4I ClgܾZKf?h'w8TԻ}u2łQd(o2Rh9`bmfl06C2I `rԈaFFJTĸkb%z>@K"e Akxυkkԥvx-ΐjTۡ(7kMNQFx%q).heŖ`rD7{tۃ=;Fz#^xtv:>wX#W@Ui0~6`,By4ScM~>b:?ay/RfOCE;k,_f|6Wi(tzjv gC&6Qw H)5SYsٔB7 ]Pr4RnvbS"Q:M]!SjΡhZT3!y0;d /'aHs B|+] ]Tz[ꉩ{'Yx>wTL]J j*5 0յ:®΍Jfذ`Fk׌X?]ah,W0\NIeSi Y(CV݉{m^|Lt z]/ONzr;y (,96^JkyCeWUL|iƵt.1,Ɓ AJS;f;KZr _N"%4l֔uU/]$-'M1 r5UwI,p %Y<d%{OUF6Ʊ 95{c`شX= ЯKH1IfEQTUѬ۩FנȺjՒmI!.NhdE ٗ{!UA.j@/Y@0ewe8v Z\ e$VfELq=b;Me5v/6-=2X]p ".'+ٰh@fɽ7iwk㚠zՓv}~\Q=\,i%j;;_`T]Vu@yTѻX_)XCJA ]#X~S2e`Xz-=&pU#+QFpCOwPAT q5;[7lغqNj5w*"7ҷyq&a ܄ 5YU, tDc>/%|ḳ xdEg"Ev[ HݔlMsM16N"h@;>cNGsՇga1~!G_—>lJ~`,L{AD&Sc55rm`I֖ʹM75;8IA,tb4mu"_lt4ev0D''ōO0:gsP0< 7τkmBn`:K sKh_i[; v2M)oDسצT0^mi `$+̗iѴ_ӏS&8S__ 4fy$hx37Bv#Ri8[!gOG8e2qDLsAIFJJe ݴ-\#S+q(z:uH-D͇+mƾD %Jy⣽#`tXֵeYR1J[ڷ&y*)9e#S㣮[-emId[4ٮoFZo{n5D? }.IY˯_9e3#p1"o/LۀhpK3tܦ;eƪR8!12MD1P:U7鬺p]ͅu|h+ti=/?Gϖ.x! T3-1XfĨ7qRog36> >>/ib]W0z`1R#4 F ) V?8̸xI螩 F ^rZ+e9yL,DPg!wK‘k@u ˮy nsKV?x endstream endobj 15834 0 obj << /Annots 15836 0 R /BleedBox [0 0 612 792] /Contents [15845 0 R 15841 0 R 15842 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33586 15843 0 R >> >> /Type /Page >> endobj 15835 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15836 0 obj [15835 0 R 15837 0 R 15838 0 R 15839 0 R 15840 0 R 15844 0 R] endobj 15837 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 269.6538 202.7277 280.6538] /Subtype /Link /Type /Annot >> endobj 15838 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 253.4538 208.5962 264.4538] /Subtype /Link /Type /Annot >> endobj 15839 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20210608081201-08'00') /Rect [104.1732 237.2538 215.7957 248.2538] /Subtype /Link /Type /Annot >> endobj 15840 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 221.0538 212.3912 232.0538] /Subtype /Link /Type /Annot >> endobj 15841 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15842 0 obj << /Length 19 >> stream q /Iabc33586 Do Q endstream endobj 15843 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33587 20690 0 R /Gabc33588 20697 0 R >> /Font << /Fabc33589 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4tnC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15845 0 obj << /Filter /FlateDecode /Length 3189 >> stream xɎ^_bj_A@Kj  ɉ'~V )n{bիou2;bO_:glpJo^>.Zì˗o{ma%Z[ܣ$.m7 \_,~;B)j#.?Lfwgwa)_h8';8mrಸ0 >e\\|P Zнhmಖ$wMh2m 3:gm1k#_D: wg3azЏ S~ -YX^d} 0Y95 dK>A4b*}7,YoaU|":>10+5vߡ&j햚8Ÿ0^;Z<[jA ΰ{'4ȊX4"R <- * BmiBa߅ـs4_U ;\jcY#9|ɠ~%AچgKu>pPD2֌7dN+l=t zʏa' GB뺜Ѫj!f ~c$Peǥu4ÇX#½mb#&Į, TYWjPܑevPbIT"xZ89}ħ^/:BGj&BNlG +Q,"EnDLWѼ%xI}۬7e6)ADYӆoe^1#FOь)9 QbcѢ*&ع8+)yR2_x<9Ӻ69=oqޯ:LR_.e6\RNX\w" jpGC.+y H@2V5 ?@[Z|q͢ %7b:jC4f; GJOqt6XyHN" gF1\9L A-EIzeblgIXkGl![zQ$inHeM; ZB#MǜZ;2nv"xKm/`gm1]%!b~ÌٍR`Aty.W1ŁV:IJ2 إ}hkH߬w)Xoںaq9ϰ8e>LSB(iunkeN:H1XVEywbO IIÇ=x1g7z >./)h."¹e>#3%$iG[a  #+HK/4o[&`@ȜIFF}%qU q̞y0{7$mdq&-D*/AJ3`4IMB\ 9a0R?7>GֲdDc"Zͪ+⽘ުQeYW͙>j~!]eƨX/qrM%EĹU,f?^סiiG]ѫ@Y~txZ7^KS*vze"TߋˮcHukĶc~璮CalEoP}so>4oƬ)leVf% Yٸ/?Ovz3v}/wz׏jg78o2`ݒy)8Ѹ ." ätUQB{[mkGcZ\HZ-MGUȾrc5ƷmLK7XgUueB 8-CCc{'g4N0gi>^GkWN̠{A.rЃZ:@hsO Ge7zLL:w6u((bGc|oCyQZ8Mdǜjk;bţ3c6Q\ƅ_&?Cu`z &tg𼛐AKR3Z0GD]G]oc1|@^><M3e.7awnh5L/]_S&\'&:6LOB W@>V{քN~X2u6㘯?Ւ &E8M\Db>mggXS𻞲@5wH/,@؁{̩._?[*gae9Wg:!F[lMY()mYg4U-5uFM]V#[Rׄ%3$'T/#Ӥ9;MĪ @'Z6PdEgH X%fxW`':]gՈv#GJ@ 6=h7 s6%\i85'[\~!e4pn.ai>ai=^}a48i FGYyNrKmGF `be1M%kUJhqBkg "+Hr#eh).+K_|b%)$zNaEj ڜ6־iL\|wVF>RUaҢr>QkmyM·ju<}d=m$ҍKzIC@f`6<6}g!S' Ŋ>^Np=GCz+ U˜,{ 8'&}#J@[O³o|pg l\8U`9=w"?_AՉ (_yryCr՞[gٙD A@,]4F endstream endobj 15846 0 obj << /Annots 15848 0 R /BleedBox [0 0 612 792] /Contents [15854 0 R 15850 0 R 15851 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33605 15852 0 R >> >> /Type /Page >> endobj 15847 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15848 0 obj [15847 0 R 15849 0 R 15853 0 R] endobj 15849 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 263.125 122.692 274.125] /Subtype /Link /Type /Annot >> endobj 15850 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15851 0 obj << /Length 19 >> stream q /Iabc33605 Do Q endstream endobj 15852 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33606 20690 0 R /Gabc33607 20697 0 R >> /Font << /Fabc33608 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}$R endstream endobj 15853 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1438) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15854 0 obj << /Filter /FlateDecode /Length 4342 >> stream x\KWleIf 99cL%?({zHbbH{6IÿbS3SS6ߟdz:?':`5<\kO=;UR;R-O:RgW8PN;znzy:NOSо?rpo?L'=%+=kg\5K4/?);Ь4~0n2#.⧰I uZ3\G og9z.Ag }@8Jݔ^ϭBgcNV[D%HK€L)׉]*Mz},s ho**Q|(`7A΋oѧ~y2i4$U(GyY҂g` >C?{NOڄ3?/HiD#Hn7,SDh4رfg}35Tf[J\CzKԃmHeWZ^+9 7>ݨ:6UnidAg-;U(h*B,L#Ne(8 3@Bp]g(pj[~ ꄼPQ͚"#)^5^>WP`U,XG>ċ}ņAS7qLL/\@6ǐ̀c6ˀPg,WCK1V[OC6Z7K틥"[hώ[ꎀ4vqJj>\`&@z +cy̐氡(2[ k9 Kj,ʙevFwsuȕ6+-Uܥ]&-wܛfrZQKND@8n NHIh;%YxiwLq^? уU 2%격X642-G-yjֹ##1W0yglx2(pk6@5Lb{^Ap T(Pʀ`DΊ nQ`hȀsKٍ}+kHF^@)YR:BAj1DT8_ZERԱRmG27aZf|QܙFv#*W/b~tM0LI[F)C]wt =t6<-{n%PEx*Iv7ķH/Kmӱ(&)絔qQ{ " 9$3d|/Z 棰ߙ~X`k{R6&{ӻ(*хBA2Z՚c}6zVZYi ƥ͸桕G>߇ _&)pT5V 1cP~j0ژK\F~i ^,|/->[sגgqan-G^jOGUGm[qݟxMߎKyG]^,PǰZW2{l&/ 8c7Pb~Uq#ধ *.1+|J s< &4&>Cх>uāWLIWHJӓwk5v+ ZȓmUEj\Te课HXg &ڠRee3*%YHn) #5nfoŬ,\&|Ib5o?n 5ɨrPjEZKR--X(_UPXЬ)!018j V+kl~(=#.D{JOYg }rUHlY|7lۺ(,߸JMMwn \͌˫{aRj?E'uOj^bPRVCG w$"TgQʨ d>5d-O@F/]55~z y8'LS*JLQ2YT>ƭ iN]jzEEU{~Ge2B-f=`[f.V/-I#>Ȉ,aFD>ԈN#ZRZq^[CV~Q7*;n{劼P>B;$o7:$o\GWKdwWp4@McVg<ւ++c&~oLg͛J1U8jSJ?/a/T;N7otAunĖC܊H Rb"Z!FY`8\-*5ZQ^[E\p5f/XMCJPbS!TWx?؍C~yY+ ,V)-8i NNw*1H; cy1hF(_ ZR /MqрbN雇Ry(AUQ)6c^67^4`)L |1b%3wʖLFxiӀFjS*+NTY gp3*樌fحM4hHSP&~**j>ZD6-lFtRWkE|Ɵ!b˹).RyI #AwSUڽH?FݘhZux Q7hQU>:J|5g0MͶyӒk6@mz ÅY @L?99I0+ٺ1DIrlnA=EݷN"x8D:9ܲ9 q/*FZ`Yƒ;pzdlWTF?c6bEZGoG/j 5uvTCv  AtťL<}V0Llt`ȴniX)}JgAiֆ՞ntS7C1ee 8|F0tsU"h)N!ki7^欹*)>ԋIi_imTu0WFs-SJc ^R&cpni@,sv́{l¯(pgLvu9wHv%ILBMƍ4Bˢ&_cOd%ZᡬRZcaR5 _50 'JLOcp~յХXmWҊH9<ӮM5sO7s.̫5RZ𮮢.rQ|>Mfk%Q  Vˤ_CETaցNf ׶$qh208t-L61Oӿ!gMV bBP9B+</!()sz7S`2Cesi%$u,Xg2@LtCSjuF-:ޭG#{z^P>ZM6`.Z2Mr+)$k*RgʗJHJWh>r&?θ(NMYaMIKp8We& *EKe. f85l_-SSSO% U̢1TJ͔JH7:O 'G,= mZKv"hrj{(%RlUْQt\\I*KSlezʈsɭ4To*l'H&?:!&uao*EC ]O|-}oD_ԗqǻWQXI 8-bèEr}whh *MY^/cp9xΗ#J܏ ^)Vgő#:q.!5\K:8ip08"~`<!~8,.p/[OȚophO`6kzށ/Yrapw7Gz~%U+TqʾUx&ØvΌݗzV4׃: !;@sE^?o endstream endobj 15855 0 obj << /Annots [15856 0 R 15860 0 R] /BleedBox [0 0 612 792] /Contents [15861 0 R 15857 0 R 15858 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33624 15859 0 R >> >> /Type /Page >> endobj 15856 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15857 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15858 0 obj << /Length 19 >> stream q /Iabc33624 Do Q endstream endobj 15859 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33625 20690 0 R /Gabc33626 20697 0 R >> /Font << /Fabc33627 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ |W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 15860 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1439) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15861 0 obj << /Filter /FlateDecode /Length 4429 >> stream xڵ\Ɏ$9+܀h_Gan$0Arz0lMRj2jTyFQ\)YlljB[96gxlJm~o:6%w'W)}"|E‰۷ h+oܾ=5|cǓ|p $dJ C:M 'uמ8RFI3y*uY|c 'ae? 5t o&= -:>TK۸7iKetO2ObR%ݚLZ-ƪ&=kRү0!els̟CaOʃ 1&p7K启$|DP.S +u|fuT`i[”ٱ31vxQ9“:!AțJetK("Ha)@- )f8*5'؄zo]'R4@5SR=i1Xp Ա'iS\o'Aba5GN"a%0b;eYXKTUbi8V[SN'z"7xH[(V&m}*f#+ r7>e?c}.pѧ/>liI;}v пf+>9:N u\3CR}u؝lsQf5ϾEu%)X We~>vu/ cv(k,y])uFY)g}2n|.DA6;Ù c{|tjBJ_NJ*=SC_ĐPiE*\$%zg|weݡ7ߍ>%t|'awx 0Y U3c͜(+@ؗr(^"%fϙA7x>@088k[7 ?CL0}~o=u?_zvOa \tb;$OV g/fY+g> --+;hi= s9֪<JǺDUkNC'@Q>AbDOPjNY\YԶ+R@7)T-(J  yPWݐ UэNД 1cnM+O;= 2e'RT|%;ʭxQA!v1+Z DCAҗ+-sߪxǪ[ă aۤ4{ =V$Ƹ.s ll r"`l_`:n/3 +m)Y 8>C݅sݐy=Zc]ݛ"?>u/^LK9/=͝X:hI5ЩZ% `}mOVuEjjOn^@ &sm^9~˹.m 39L 6jnJ>ւnaR+]kO pC6 NqL"y{u@QH J3/Xk%Q [нG |kmpAOhٴPYRy彪%*В=ue}}4) i-FGDr36ƪ t>w+8{SR:VsJyc\Mfn ]n~9 <\bu'و{`ơw@'y$si pH*-PJb~-ʼn[#Gï!戼r~!W}ovܐc8ҒQ":l6LQ{$} h®m@!uڞR~S{%y)md!O+`2 ]TAFZsjٹ!F5З#X4r=$.>jΨC^ f1JEѥ =fP^5_PIs$CKx;]n|O5*+Hۦذ/03izzmRs=U`E{mtSb➃1\ۇAp)bL> لGN=ɡ8/{}^MH9r;R[vң:DR@wML']QWPfϩ;.T}ۛ3d._ଶRゟ iz9W%5]#:h'o+qfļ=# Q|nfu}׹zuB1h:a轞6rdJn/EQi.QifoTv<.v\EHh ně '$\vL`y&u]O28۸4"icvT b:Ki!y݃~zEs{h`CIƝM m4>1djǪ/uSAܘQ Q:z}g6jt $G( \~mEudI;~91Aj% ֈO`W~`5`d 4Wzs3؂}{'R;'R }f=N^_G(8,fz؟hA_]~Z>یqcJ'&mź.)V5̰T6v[,eo0kh)$SN.vL;/Bp){ǃ'YfF0 .K3?w:f$U;##;L@XIO8Fw/A77IaGgpΈN|! Em5:v fӴLE^%%Pf-[w_ht>P)KG nj(^ĈmV6 IkH1nOfӑ:b U4PEXM~Dy1@I'Nt?Ņ ,>pvl?߷֔qoQXyU%-.GHsq|{~|xwM{:vp@DIxh'. xYRAy4z~QPQgҗ5Ae䬒oMg ga8?$&"OAG99/݆l)ce"u 35AY g4c$sELعH&֟g: v7gI~OO|ڔJWxGSl$E?\&]ӭYK9IWҡЕD?w|v:[-XWn]zzBf..Ff8U9\Вic}QMۻ"%#i>֡|67k=Ғy |)@ >^ϔ;>3 OC$^։qVPO4ӳ?2:XSхM,@=K<|THqNfm)dSm]e]p5>ξ[p L9s))c? l/EhW'Hǿ+-m+y&0׭[i3Xi[&yUVm^2V[p=_Dx+|Z<ѽe{npʙ*@= layՠ2/W/7l&~O~Oo͓|SE|4 ANB(y;~pl^XpE5[F /rLj|7guN ϦbkRXdbLqt-s]#h"{#rPa.zٿؖ endstream endobj 15862 0 obj << /Annots 15864 0 R /BleedBox [0 0 612 792] /Contents [15871 0 R 15867 0 R 15868 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33643 15869 0 R >> >> /Type /Page >> endobj 15863 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15864 0 obj [15863 0 R 15865 0 R 15866 0 R 15870 0 R] endobj 15865 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 153.2886 145.4837 164.2886] /Subtype /Link /Type /Annot >> endobj 15866 0 obj << /A << /D (unique_606) /S /GoTo >> /Border [0 0 0] /Contents (report_utilization) /M (D:20210608081201-08'00') /Rect [104.1732 137.0887 186.8932 148.0887] /Subtype /Link /Type /Annot >> endobj 15867 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15868 0 obj << /Length 19 >> stream q /Iabc33643 Do Q endstream endobj 15869 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33644 20690 0 R /Gabc33645 20697 0 R >> /Font << /Fabc33646 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?Z`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1V endstream endobj 15870 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1440) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15871 0 obj << /Filter /FlateDecode /Length 3601 >> stream x[K W@cr@ANNA0IY*TE*_𒊕gd2/I3#?}ﺨvhtYg ~m ܇gO}@ty36AqʺP G`x}40*YOa59=&Ky:퓇AC~ 'fĩ.Eh ,6 ~t_#WϰSy_>Hwc lիޭ=udTBeJsc9W<^²ٷ;.50W]~j;UWDEݍ8V*q޷z2' @cA\(SӺ#9X{X=M];dT'˝d{*@碓u2*|WH>vŗ6Q{Mɥ)xdZ`َ#gKquH x`}n*%U)OTq='CZT:q!k3ŭkV_24**C<5q(W,=W9SBmޛِB+-$+E0=PFͪV6&P6$mU!NwN2NL:gT N;q^iWBQ S١$ Ofv%ɕf օA &jkGk}=@E$)%85z-22w1h؈Zq L^# 팀Ɵie3;1eă"wιyjUS]i aB_Քd0y+2W6,*ML8Xj瑫:Wa̹ FxJS7۸]>^Sz8EOyq0uC8ʚEػ]Zy<\x>l3E4 ˥v=3b9^lмx RJR&wNG~34-ƷY' 0A.0Wt=\XBYZqݶL#y8|:ž -}Bm`d^PHv}EuJifʙv[pq>sWQamKZ:\sq܃ӽ@2;yFa[3d:wcj3hL/~ ^e$pA 5n*-ݥrY&JUQu54cV/ym)T*uXE3z5ǩto@[1E; ~*sL朆J0[#Q90:VyP0[܁==*nW#ݤh%okZZu$w̺^ eVΒX ͼ<(`lUJ B2TʺRed&@cJz .,^X>;l5 x#S{8Ki}ÎW&ޭ+I}t+{m!o!7~wS7)]RVHXZ;df;֧ -Ve/.Hc7=Ayt19'j"5V2XB^g DLc7]OW>/ѧyt؍*L%Iը~qS$BO_oco)a9O7=N=I3\ n(Z6LK҉`.S±&38nh4Q '(`\,`G` XoA.wP9îvC4&d}cⴸq B`OUS,LiBpz"H{D#fs!i㮇*LV.J<3¦um%`*W2M#iʪ\Tj*n=v]iȒ_AQL&5U!>כC3M&U@m:K2nܢ zZ.UG9uTmڣlGSĹnDFe85`-ۈ{Z̽E&635>x'M)X8C4C%&!Xu"cYGw=HOF> Sժ l4mNf)ZcL Ӎ(x֖K7Z/]e'A0Ʊm\ {&u&j?Oy%yk*dD x+?(P IHHZ ͅZA, RCbVm_WQ_`a6?<_s7{4_Gc{J!%FCG 08JMuXx~OϘ \OGvmX*M0ϟZ{g)IHFP728~8w=87Ta-)R Z=/]'Ҿ $ʆ%hή5EviQ@`fSw endstream endobj 15872 0 obj << /Annots 15874 0 R /BleedBox [0 0 612 792] /Contents [15880 0 R 15876 0 R 15877 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33662 15878 0 R >> >> /Type /Page >> endobj 15873 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15874 0 obj [15873 0 R 15875 0 R 15879 0 R] endobj 15875 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 96.625 122.692 107.625] /Subtype /Link /Type /Annot >> endobj 15876 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15877 0 obj << /Length 19 >> stream q /Iabc33662 Do Q endstream endobj 15878 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33663 20690 0 R /Gabc33664 20697 0 R >> /Font << /Fabc33665 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC2Ul)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 15879 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1441) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15880 0 obj << /Filter /FlateDecode /Length 4508 >> stream x<ˎ8w} GO?F̭g `N۽T.P}_$ʖȨ],0HHau;iwŸq׏.L|7iwt_~MG?gCxNVÓSpidȷl;0k۱-v_ҽQߩuA`ïN<+kg̃gef ߺ_<]gjy8.C5/ZV .![^AT*kD-O!1f|mg`˯!- M=vxƽ(F)A0X+LoP-$=t_Ŋg ~,9O~~) Հu-չށc{?4@pwɻ+"|{f/ lb $<ߩsE1MdܼwH n5f).yxۣ=4}0!8'ǒd }Eky}Gw5}45}03_)BuʺmɁt/ cM*44o=!ݯcBaFPhlmC8id6 †5dnQ}q BT[-{"MJ ZQOf(2"C\o-LΦ F2c(<ڌ(vP^z{XmXmQus~3äb0qQۿT,FDb~&d_ji1S?SV抐%++NzM!S)tFϽ[lB͠d DonmjI.{;ZpY׬j 8d-O-`iX0> Ƨc6G''o\am8>In" b.Msݚ&> Q?Ǔ?|8%@K>Qb2z^B3n'aB`؊iY0c7xy 6]``'ܠ{e T`(J?8ϬF2u'f9_c 3~ь(Vaj}!ϋ#{f4@w[5.f0EhC`6 ^n!WcՆ43Եjl#"sKJzR0mZ嫁GUKӏOb5$Ϸ%;y -2)IaZ$npHC=,[?sguKUYو~ξ3JBOY`|+` {Yjq }:| ȶ,\ȫH+ښz]jn`V~DO Ea3!iQn_tUA(|F`&'Tvp%%;^|$ae)CY&{׋v-LqR}3chqf"hۭ۾EGFK4 QTT%=Dg/H%#J;"l`.ᗨ_"g(V^Fu9>61k!,ѻc앥ʝRi J'HH%ujӡ`! wi_nBlEruF3k`bsU5;Cdz}̆|ޫH$dc;EδJ5΋gVHam ۖ^iaK[{Xjk_zl/;ۍ]g _=h±Xشsi5Cb2.{mK;D\fS/::u[m[slѮlZQWIPr"5G\Ғ_Løm\2"ȴMZ ?$KѪv@W|GDw?o/??o >ze?*ZZ`clPwݜ-1,…`2&]S?dc9Csz~9XvVDY 5^*wKd wW {2Kc[Z ̠lAeAזO=Oɡ1X.I[Y̛ZanUy+A+xIsz怓3uA1.2Z+^Sd;\SZL}i lgGl=޳[7T[I=ʠc{^[GxXij}ʮ>\z\ K("-#G8#q1M:G0N$b;:Xtţ"TaWmI]gQ*{~wrݷH0+|B@|x8Zo/PD)}iF;<@ϵ .C$f$EH2f@vO3 39ddٌ逄{W|P&*ʷcbα6(Yj+@@Id)d%.r [CW,p$+_]JBa~N,GC:eOj L8s]ʹ4VJadaLAb͊$7-;+M}p1Nk1 \ԡiyn*U\FO ޒJK0iOڞb-݊.ZVц*.XI/T*Ҭrj{k R(Y Qh'n*,߫hZr+U<8TE(fbn s%慨X[K?-ju9QgZ5Q_$G:j;=V}[ b[.%j@sRj_VuAZ`DYqef<@Ӓi"Haêd]VwFƻ}vd}!^hZ_?Rذ*&6dT|¿ӑ'#G6o \}[W7MOog#́pَ Kn=RsB2>2W;;[$yɷJOLė֖Zly|Oj u'\D0۠.ɎGT/`kf@xyw+b l&-BY.ְup:k9q+@=I *0H&0, 5*þn&L6E܋(增tnB2$hK>L^V|n_}wq!AF[:w] T;XW;@aܥ1 3lqՁ(T79؉jU),?iA4varQ1\PrUWMPqWf sqBIm%TT⅂Xqk7 ̣6ZIO@w*m#7#^ ͹Zs\"WK]J=r Nfpac%Rrlsӌ/kX9\)CtHӇ)"*ENj0^D[O6qQߺTu&t=-X toB7`gv(TLx;ɨ lyDH:'bm2LB&fDh5l;")aQjZ])C#jiԤS/!-KUvϰT%-uٷ hi]nm0̀FN fbKJFUǠbQs@,l<xD$L&.>tk‰_M9*O #o :19D}2q$S*Q3RbTJ#|/ctn]^%AQ8YL endstream endobj 15881 0 obj << /Annots [15882 0 R 15886 0 R] /BleedBox [0 0 612 792] /Contents [15887 0 R 15883 0 R 15884 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33681 15885 0 R >> >> /Type /Page >> endobj 15882 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15883 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15884 0 obj << /Length 19 >> stream q /Iabc33681 Do Q endstream endobj 15885 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33682 20690 0 R /Gabc33683 20697 0 R >> /Font << /Fabc33684 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Z endstream endobj 15886 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1442) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15887 0 obj << /Filter /FlateDecode /Length 4222 >> stream xڵ\K$ W9@V h 0==}99mb8!RllN׋HHzsQO'D|c))<%~oO7(|=j*Y\7YqR)&>kw $dM& $^7|Xkib.Rx/ @$qwwKбO> }n FWJ6k0=+Wŀ2h 2|M|t[_PC2˚>l!|^'z{8  = +QPMP ud~VQ^]E9C|N^jZ{hNZʇ2kHr5©,P'y¼Kr1W[gP)uiɞEs R\ ֯!Qaz)An<dTw)H t-m6B"mqMp\0<J0k#W[npv-rݜ6jVKS=⊢چ1nSO~},%> ?K+*IyRݩ0"^Dl_[AP5H7v/ZOKK(]$dj H~hݔoE TV션 >LI˦leXށ Nayl =B+(M]Z '+__BoE{YLh͋Ypf^U[Ñ]Wt%B޶Ee@jټ=IrH%[ϯ B\dtj zcרc" =L=u~xq@Fqyf`rP-r30iQ@1q*V ΰU.BƯ]).˸}PE1R֖c2[pmWzkquږ>/Mk[hPq4Mu(}yR4i`ދ8HZveבBiƻ#%ç[9]+]H Xh+Xo/T ŏi|4}cj2׬R>{;(]TK]CBE⋐b Ek/Y-LpO ߁ Uj2 vS~:rT6C'Ͷl 4=&5U +sg  >3tee.ϓ-˥nUZWqza,& yRs)10RPa=`amI{}7l#tۣ6y [rŪz*7]ڄ \O/α$|X/q{+N]@;E9_ /hM{RjQ[ ե3y\Wvl+ƕ7Ujoe"ˮ>k /wJSӷ_N[nnSUj%ԅ$;cq (ͤ]RG- _L\eH1/m,2 :XA;"QNƍ;na]}fKbk߈[\-֪w TI) I . wIaK~Rڎ:eT\ֈQ RYc#U񶊯Mʹ҇Q˶Pջ[C;[tɛSGh՞UFkKgbʎm{5@*%TV/J%}p^knð:}s3h6;j!߷j$cv<>ϋk9rٹylwْ;"ewKCCa(vYoպBXMUEֽ=\}o/`:ov2}r7Z_Vi"={g`hؾ\&AMBnܲ[&Òz@q^L9/vib*_w:9OlEQȥt{buv=c%Rx4{Qfw\>ܰð#-5fXvͼ6 'co! MőL uYͶG쬙QFGHb$*=kw^uj.By\*NʶqұJu$ъIiLK?-.NUx=H5C¥K}~ ȓL;Hm ݢ5~[+\ % Q@Unz_MGcYD1@oQGT w/öbW6pxHt-v 9e^N6PU҂H;thK]+@p!b0xǼ_>|K2]'nii*8&ֹ4'JO%)ox$^B:4d#9)r8zM͗u>J)a;˄=kI,L42$rD]M+e8"'ڐ̡8,-|اu -dFڹ;ܧC3i ƈT_\qGތi; Vg"}4=GoyGMxw4 0@b|tM&O_NO¿:OP=hU*9 "+ROK NҖt E]M\29T%sAKFǕQÍMۻ"<2|'I+G ,e4-u>tK YX랖LAބǥL=#v`t:g G&CQkTtaVL=I-ODj2F\Fjl*{ Ǯ6nn~Kɍ"vu W-TXhhbu U ֲ_ү\PmmClrXd@bLW2MFJ|u _5qK{nW4|khoO27h|S&p{9 m"L3fB^n/y%/7E>!yg >.w4fkk4P5`<ǖW]Ua)bqYugc9(!@ĶL,KƑk&k\}f¤]\eq endstream endobj 15888 0 obj << /Annots [15889 0 R 15893 0 R] /BleedBox [0 0 612 792] /Contents [15894 0 R 15890 0 R 15891 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33700 15892 0 R >> >> /Type /Page >> endobj 15889 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15890 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15891 0 obj << /Length 19 >> stream q /Iabc33700 Do Q endstream endobj 15892 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33701 20690 0 R /Gabc33702 20697 0 R >> /Font << /Fabc33703 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBhš;[TqݙVUjj7ze_Vp8جax} -} |ﻒ0Nˠaz^">17^NT$MZ\1h!9;Pьb `6P\0&U$c"KS¯"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG ͼOѯꊏM٧j gV#ج$VM^RuTkcb:txzɓ#-IUrm.\fy4(//d"Xm뜡5ڟAKiܝBj2gԜΘ9cDA^zv,Q) )evgP(y ?`E W۾{w ^ endstream endobj 15893 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1443) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15894 0 obj << /Filter /FlateDecode /Length 3657 >> stream xڽIo3_1p0 ؒu-&E@}Yd}5䐏|Bj}2'JNo?g`_n2fzMuqzt^L|ƿK s[&gn >?NaП"ql\M͏Wz3uǾ<&0eyT7'|% vxG c6S~v,s:ze>W'ېD@ʮͣwM\NFU`z̈ hG1qOps ( ~ Q902\)3aH(Qٲ _h@ (YV]dx+'"O( ڂFV|.B릊i1yi3@],/LCcP.࠙a[eGĆ C xdn؋YXM yYctΠ!C61jų~>ih=LCB@hVe:wd:ѩ:9! L8$6볭?m__J< }T@3o"ԕ&u}}N,{bs7u2mq#0KҌ!e"qh2vs\BfG$6WTT8Uhb"K'Ŝ(C ?6:裌U< :ꋬS7!ܝ=9"ȚtkmBF5<4zhzU6:zA7k>{yQ>orÛɑ-؄ed{K{|E%X>Ǿ7LBȝy`4sR -ysz욆&x?H )㘉x@VCr!u(gS=hZ .gU|ۅ= "k G$\ eTC. pd#2uYڌr7&}ncE)#ݜϱlgxiВfR!Kpe\fKFFf-dșF &ax!01WN/^+|gcհnsF~X>9=ZH7zēN(pis.e%+T 8k6ND1:RB?-Lk,W)((̜PZc[y7*(@\cl$/@ ;9g/a1% :7Lx`3ɟA0)2a}u54G1@KjMgpř?lt@:7^!F)M kG 5unhKPb% BhTD[ӄ8J5L 1iIq;$PhPy7&#Ҭ gX5fc`=䩘d&Vy 3yZbGEOW w`5=I&i(1k9rjḍ1;zyTk ˎyDxam'P!ww7S1곦PCS([}QJ:KuDz˴VAlre:Rs>ʸkk ;fs2ֈ\58E\ uđ;\IlT „ĶcZ,]63͈L)<0WrF^1\򦪔K]I maQlyfs 3!㭅@rpp9S\q6~|, ;vX}uHـtܭI{޴|x|vt+ ǭ59RTiT9 ̥;i̩;"Me񌽒Vs¹>NqJ_!)'Z h 2IdVRvf ys䞭|Q=zPWR.pfGVLm]:82Aw+mJB6U -f6ݩ`e'˷1cz5ƨ.vۘP*)с\;O΋&؏K˘="d91Ɗ7P)!K۫ 龜j"qFolkW:]I9r8çSdG:)aS e[}{'{:Bc\%(7y?+w37Ħ>9$LIL˟E.R|*E  It"q.뢓qn6|޸fZe3]_XLJn YlˋK3VW5c `vJm"ڱL<. ʇ8%B䲝LQY*u=/{ʴkIm3T]qTNTu>.'9~r#qfɕiu0{"Fb?8eH=(UL4u~'d s!Ӌ qrek顓c}HBC3~K7< :~ý}HrZ{7ͭuÝ76G[!HdyxW0 /g\UqXg/ܙ??|w޺CO/&& ]0~ JBF o!įg}X_ޗmcaNt6cf6ml_i9sR>A^A}%H; a*KIx{xjͫ/lGn&y²oTvHz4&9)747rmG-YKg[i@1lE66wAMn^,f,}/#R53 5".6:(M1VrO,\1pP%}{HYzDJN ^8U eۣ:9 aqeYvR{L`Wl5|hX/i$䖶#, љ[)#٭bZmgYAχRkX{/زM,͕787+9b\P ULF{t|J{&ϊwq&sp;1Ϫ8>2z!Ϳ %,4)->KrC!P=A71] X2o̍~uU(YR Xց:!nu}x<} {L<֓q4,RLCHmkL ٢B1_?gٟg柯Ng~O~Oo+Hbo,2_=x_٤9Uͥ! ]'<óPa[5,WyN"krJY҆K!zg"3m`!s@T\ endstream endobj 15895 0 obj << /Annots 15897 0 R /BleedBox [0 0 612 792] /Contents [15903 0 R 15899 0 R 15900 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33719 15901 0 R >> >> /Type /Page >> endobj 15896 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15897 0 obj [15896 0 R 15898 0 R 15902 0 R] endobj 15898 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 319.1423 166.3232 330.1423] /Subtype /Link /Type /Annot >> endobj 15899 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15900 0 obj << /Length 19 >> stream q /Iabc33719 Do Q endstream endobj 15901 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33720 20690 0 R /Gabc33721 20697 0 R >> /Font << /Fabc33722 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQ&thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 15902 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1444) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15903 0 obj << /Filter /FlateDecode /Length 2932 >> stream xj$_ Ǿ(PUI0̩cԆkVVI-7x^}KoH 𕊕6gd2?E/_YN61k}Z.Z_yZ?[[m³֏^>Q ylw^T ދf8u x%xsrPuY37Nz09cdV ÜH8yyĽ740@.g %D#ߘ2:|<0)efrNz^4.u σIوWD+X&~EK hu8D7RkTgAIJ)b!;^T+:S'R蠍XDT_H$^.2kn9·nבP/XL raUkce䬊 K߳":U.e|Laq"Ю[ {{{XUn_@bTta5;j"vt=,e,jWrxܢ[T4Iy6n*i8 Q=.%O/б<'kPm)d˯ݮN0 Pl` 8PW3jvhǃqΚ\5s$T1\y>Vˤ ݋lo (gq]ft :rT/0Mxd'l.I;Qj36I3MD ґ||wrOس2]- paJg`*%4+Cedܺ'WTd>lS<:5Rsak 9^ z'{z`F~Gڂ $fcᶑ,rù&ÞΏqZ-}iɢRP̣iدue+<Kжv #H*`bi^*$>zm*;[ ]4nuRXuB ZBjHN6O#@T@#%|gek_-lk @ĨSqM[L[q~M]y7 \(.mά 9.V>RJ5յ=D)7N㹦~X_s7WN"ݹL:Y [c2倌}P'B O#> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33738 15910 0 R >> >> /Type /Page >> endobj 15905 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15906 0 obj [15905 0 R 15907 0 R 15911 0 R] endobj 15907 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 377.525 140.952 388.525] /Subtype /Link /Type /Annot >> endobj 15908 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15909 0 obj << /Length 19 >> stream q /Iabc33738 Do Q endstream endobj 15910 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33739 20690 0 R /Gabc33740 20697 0 R >> /Font << /Fabc33741 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛb endstream endobj 15911 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1445) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15912 0 obj << /Filter /FlateDecode /Length 3917 >> stream xڭn%ί賁ns_Ag7;4K~?qe2߼&*bu1R痥%%ןikOSߒ-^iZ O>קړmO^SG%sMgʌ><R_i Hj/Kо?߿^KWzθ֔h_Rv0yh'DrpP#f*"LHvt~[}?^c]P[pdX֧q &hN܄ GKy:g  ]JԮfj-T'ӕW@"c @7OK"U:º=P{mZ#-[9w bG)=p;OMߊO?fK M\Uk hնl@9h.p/y=O&h_avD( PYAUa!ȟi1n󩾅^~ pFw ϛww6 L<$YW6q S|ufB&c6ș 'd&d,߀AelVpMiR|,蘳i^PD2~nIU%`G% G7v{V4=**-~M-'a:ND;1 ١?Zg]4NQ-^1Q qx;G8iA/i^t)=x )hjNdhoT%!fk?|0wAqzgg`qFO}G:#u74WUꡩTƓ'6n@'Mܿg@W=#CxY.8l %y4%'>kJ"=QjDgFFm{ᔥoWTٰ,;xlĨq~vث(Dݰz`;~,_-\[hE\]X G<(s!l\ERu"R̬Jq.wfTm& X6G )D ߘL/Wc.s']'Vyvup N>%=ߏ[ު~i,_`h)pБ`C+@s؆wC c,~T7k6N)aR !Bm?SKF($S@I=i@@tƫ`۠aVc2ȟ<64`?2Ļ=OQq i=,/-sasK  2 %yY[|j̬ɐ2JByMm:7 X{l7",K@I|ԋ4t5HCoTf]Y11N$p;$ pFFHF58rwB$Y*elVu*}[U}^Te?a?6pkc^ || N :g3t9ۀ=;["яcnr6lY< j `5g;O +ȓS:\ SBmzo . zS j˛z0PKf@G׌*Q;}Ӈ Pz"[ F˖X;ӘpH]N=1 /'Pc c,q/?JY8[>rZRbJqMj4$ْ\g4/Sg4bԝL{^t?U'>yr̳$rz%Y;'kiisE .";ɣ$0rCePpa=9̣fPnЈl"aI;)mw58tsA%;z`OCRs||vefn)N-qчLuVB QK 5JM"kd)2i6x @nopx-D2ٸ@`[¼4+6/K S> +P[îἮ9yN:RD|LH)\Pj%-Ҷvz/}evo":|PCS֚ 6k>\MՐ[€Ɨ&7Y .TV\Ft|{U9(Rg^=~Q~?y1 ܍s* uҋJ]bN@c} \X{q_[΢;^[>z:~u r٫}< +s)$GGAw x'ʄr >Gmgr &Zp dAŧv{SaPxhĭEw׆Åkí8NB|V Ϛ`۵VDo r=β'<ﯢYhen endstream endobj 15913 0 obj << /Annots 15915 0 R /BleedBox [0 0 612 792] /Contents [15922 0 R 15918 0 R 15919 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33757 15920 0 R >> >> /Type /Page >> endobj 15914 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15915 0 obj [15914 0 R 15916 0 R 15917 0 R 15921 0 R] endobj 15916 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [104.1732 339.5384 172.3292 350.5384] /Subtype /Link /Type /Annot >> endobj 15917 0 obj << /A << /D (unique_662) /S /GoTo >> /Border [0 0 0] /Contents (get_scopes) /M (D:20210608081201-08'00') /Rect [104.1732 323.3384 157.4572 334.3384] /Subtype /Link /Type /Annot >> endobj 15918 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15919 0 obj << /Length 19 >> stream q /Iabc33757 Do Q endstream endobj 15920 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33758 20690 0 R /Gabc33759 20697 0 R >> /Font << /Fabc33760 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=o=kDN{r=C1ycXtlP[QS)hG/_;QOj3Rtr޵n6ZNoK5m͚ˡ|72ɢԑH_%eVK2ufg[XL[nC25>S~Y2ȚC^B 0)xpĸ!ld)(` hT*VEA ^|Uv,Os(RSvPBNs(?ډ_'ŶG  endstream endobj 15921 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1446) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15922 0 obj << /Filter /FlateDecode /Length 2318 >> stream xYK$W輐( |S{gmwR֣{flMJ)SR/i oy{)orvclڠ5&.W|=P}mbF)_E~OYku1fK$w؃tx(~ζhm>u&'KnOE/[`#pGdJګ# mz ##tU6n#9'WE,2(inH"{9r!IC4vܹfAtZ!Sb- p",h}q91Բ]L&&xMSqat [,\ыKahlAqaM΅69\)=5;7F9fުq6uDAl}`W#lm Zg;яΤzNGir۫Jk4lCj5.%BbpxIxbb0Ŋpؤ $J2 ~#ygw#yS]*GؖZϜD t[ 'H0ZELXOt s$IL",BWE}lR@X&SH2<\LJ^hE]i+ fט簭wl$A‰2m7u+ʕ7ޥyeit0%ORkgH zQ.7 6OɝthkZLo9q1%H&5 W' 0pJjm B܃;ngWhFRRMSkORoyZ3N+c-t $́ YV7aN% Km=v;g01~,FҸ*}CPYy2-֩jUȭey>_/ o%k}U4q/7Co4rn,wSu?ME~s@ }ˉJm$X%HڑiāN<ȑq"p%ǵqbM'#<(^q 6=?n䩚?$~OIv?k)%<C!h?,2a,|Hdiƾd 3ʀC\' ړnOoO|-q1CB,##SLol=o/dKq.T{BTfuɨ;OAcQ(զ#~@JҠI`JiW!&fKl`,,P3g'P5j`Λtkox`ŽT:T~tV/)`Bh(Q /3TB79C2A 2 Zk &:Ng>ru\3<vm3./p6Ϙ3QAZ^J~@ba2Ot6snuH.r 7ĩ%5q2 z (YbwsFUNW Agaq2?m&5=w)|a@KŚҦ}RudUֶM31ʷP& -/˂2!XFwaL dxG*{R~1T(~QhBLNYLvVꥻ`Hi% 2CaN/4H 4%էM#|xn)ʂN.8Bc)޿~W:8#&W; ݘҞJz aEP}\(ȇۭA8a #yҏs.W-mJJjqh`K&֋_{P!ϼ {{8z$3U6ܽLM(X|+ /%7?լ;`<}8M녲0( ;twq5l36sTGmN>/Ʈ< X7CC):l] Z endstream endobj 15923 0 obj << /Annots 15925 0 R /BleedBox [0 0 612 792] /Contents [15931 0 R 15927 0 R 15928 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33776 15929 0 R >> >> /Type /Page >> endobj 15924 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15925 0 obj [15924 0 R 15926 0 R 15930 0 R] endobj 15926 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 334.125 122.692 345.125] /Subtype /Link /Type /Annot >> endobj 15927 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15928 0 obj << /Length 19 >> stream q /Iabc33776 Do Q endstream endobj 15929 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33777 20690 0 R /Gabc33778 20697 0 R >> /Font << /Fabc33779 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQ-ЎYzrv"ir\1h!9wr ģ$V-l!`aL4ECID_E+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI ]v4Lm)1:}ɓ#-IUrm.\gy4t//d"Xl˜5_@KiܟBj2gԜo.9cDA ^zv,Q9 )evP(y ?`E ۾{w^ endstream endobj 15930 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1447) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15931 0 obj << /Filter /FlateDecode /Length 4361 >> stream xڵ\K Wy ͉ /{z<n$HI}1?+~bK3KK6X↑/˟b嗱[1ZqY_>__ JR`ب<:=32V*Ǒ._|(joKо0[ӿiTe[,M;|[SYge?f3c3cV/i eK:T8 ?ܽj;ASO>yhOA#W805b&B8{Z(>f׉~T㟞b,-[Q/?0@![yt1](}$ J#qɋ4(=B4'2"b'XH S#fckCRjm<`gbΩ/?#!"*pTSŁ҂eS5;g`w8㍱ >0sQ {|6e 6,m**5p("]LtLf?p CCH^n]T~xbȲEG-hHn _̗jϘap`H$q3au.1 ]eMdLMau)ڂfe$d}y({ݲK1EH?tiY8E'u/ mɵ>`Sz10-שުW}zV qCek*Sܒ $C㢏g Vpԭ,ng8g(e))~`Ҹ  f3z NX6y{Q⼦nq@x)ϛK\Y2zF#"'6\2{xxRPD 3 Aw%6^)=%d*w{@d͛{2GDC8>7N0 nUoNnٟc7=\ v~{"uC=މ 7=osg=[;TJ.-ۓMW]TofL)/a0deŝzX &V} =,~݊oUYU0o|;ܦîg<%Z n-?bG+)3#vO3<3t?g :L!bX\yo0E}f` )%wxpwÃ$^PȦQҙK=LxlEkKa Vz\@4U.JIa}ik-5Wᐉf- <WSefLQÕ5V|j̈h8ẗ4Wz!BoaNđq4,f8]֓FiLt,s3)lz /G4d{adպYh{GtAtײbt>oiMܢ'ޠ- y {噟33V/\hA]zwmc>i׹}3x*YAQstZ*~Ft,0떣fX;ʻ5x K1.8;)8}GsG/!9sD@*0Dc:t8ku%c@V JD&%1CnЫ(/~c**"B(%)ۥf82*(l& $TYi;Ӹ;sɘP'p0\P &bqn jpD>M:2n$!H AsR7Ό6ٚ .|e`;`r\Byq3Ԙqtl1؁,6GM9dxZF ֺ yp +)nG_lWA⧅  ޴ѻ!w-0<5Kx̞[/sv=.fϡ9lxCjZ鍫w=4 9̛N$ x+lʐ5V4TQnDѳ&$PmEniqN~gӉO4DCG 6fhR^@9D=yB",O!O3KPr'nЄt .8ܻ` : ۃߪ(~aKOz@F +` ܢ^0]-LJdoMasmT2=F"Aa$<`r_H^Ƙ`4!吆<T[m`5Sj3nHB)TP^dI=6ƴkJ[ vp4q$a6lI,u[. bWRuR{ޣ-OzR"n;H w9H9/0JPuxcVn}9Av*0sp7TW}>%9:`hs8n+ix(yʞ={8r65^>1[LG%6*T+fm?ZMp1:׸h2E4 ьJEǦd'Tk<"-qQln0Rq]0/y!M054e -{_QI+"6v-]&Ypsu|ļ3J3e+iWViA 72ZƁ$D(/!̀/] W(VmZ*9䄰a }F1"c8-fA ba'sDa=|!9b}%/GIu]w~ë|Q`z+qsI `dw;?i4!܍s* 2z9#Fl/?Qo!~?q*Rm} i ʏG^|MJi'ODk ؓ1ǘaXpǦU{~߭h9" U<?sz^twe2#+Xo^`ή^Ov`^ig@Jn endstream endobj 15932 0 obj << /Annots 15934 0 R /BleedBox [0 0 612 792] /Contents [15942 0 R 15938 0 R 15939 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33795 15940 0 R >> >> /Type /Page >> endobj 15933 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15934 0 obj [15933 0 R 15935 0 R 15936 0 R 15937 0 R 15941 0 R] endobj 15935 0 obj << /A << /D (unique_6) /S /GoTo >> /Border [0 0 0] /Contents (report_sim_version) /M (D:20210608081201-08'00') /Rect [104.1732 314.2846 195.7372 325.2846] /Subtype /Link /Type /Annot >> endobj 15936 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (get_primitives) /M (D:20210608081201-08'00') /Rect [104.1732 294.0846 171.9332 305.0846] /Subtype /Link /Type /Annot >> endobj 15937 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20210608081201-08'00') /Rect [104.1732 273.8846 148.9102 284.8846] /Subtype /Link /Type /Annot >> endobj 15938 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15939 0 obj << /Length 19 >> stream q /Iabc33795 Do Q endstream endobj 15940 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33796 20690 0 R /Gabc33797 20697 0 R >> /Font << /Fabc33798 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQO}:c֯޽:H/ jcBrt~AG31H[&##((BBq˜Ti,M $WJ2%ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 <~UW<7!fZ5Y}S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwoeT endstream endobj 15941 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1448) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15942 0 obj << /Filter /FlateDecode /Length 2939 >> stream xڵn#^_b,:ր99 w?mJe8ݨVE>}c*S?T*Z2?7>icFGӏi5\ysv\<<ӿg KH:r6 ΅ ;єhL&izk?VS $ D ~2PoGt,&N RpV ;qAQh[A \:8F@h +2yAɁ`7fAVT)Y_f{9(vpRȹAmb<#"Uy/:67gGnUծv0Zt ;JUMe4#́'ڬ#Fa⚐-vxȎA3 uץUg -эJ7n8 - `o(|]jσڭ"ВX3WS&?B'ƱXNF m_vmL iWGߐ0Lo Cx71Y$J%:GwC<,$ЗF։2g6YwUXv[&=^}hhv:o>ZQKi<ȅ ͉[St^:H}ȑWL N@N*V18Ү0IіWw Z#H7iԲEGuKnrDDxq;cki~8.L(cyV0^Q]s\U0 &j'gXy:'nχ!Mk.31/!-Flj;͊P4 Ɔ+ "xRGem}Kd7%cq6ol0N!`Z&@PuA%<cc̈6=ED. Lgbv]Ou&ev{Uv}B^$kk5{Sƿ4.'PEs p~&6w:%jUΨ.1.2j*Vטeh#3Ws#XcH6Z#EdqSA 5@~~s2>8kLǡžOSu*86).CrWhb ~+_L^]'s_iiL=5+}A([;j;<>8Cyd}r⧢.37Eʓ6{BICc۝QZ"e7m"q>{[V\wZAǹ5Q >j0D- zȈ#<hqѯ 7r(S~j﫴.5 i .h'C^`c S}M}:fYq5*ei-Kj0߆%*/vi-|B$# lV 4JR%r2d|bOA]E~)|t;+KnI{|mUy SqT)1ɘ{aj~ܦ^HP rs3r ~f-aO A28mAè+r;̸] F3חч/0M y nWg@9Hf JS%YOSg`i3ݽJ@[u^W ,^^ )֖Ee2/"{ Ng ^tU]G ,ysnGy yp_:c[tyQ>̀w#jh> }n\'fz6NaʏI$Zª6ا! K`/^e(K!8 p2KHg5e)`k1˄X ƿdD+A)sKofVu?3H+6^>q3ڣ/VH&OjmIIiˬaeTF+nK GY$wgT/+Ӥ_uNebU3MC#(cyDy 2By OxiuQxA`S^ h!FǣZGm.4x&N4S2^ɘ){tzfdh+ȺaY^}a48a('߉QN8|nwM3&:OڋTc"ݸ4Lrr`-#v: r@*>v瑐?O_'Db_gƬ2`9A6TEQ6$PrLJܼ.|n<FYUYw/ `b XI#o_R!7:cO$v]QYhL endstream endobj 15943 0 obj << /Annots 15945 0 R /BleedBox [0 0 612 792] /Contents [15951 0 R 15947 0 R 15948 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33814 15949 0 R >> >> /Type /Page >> endobj 15944 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15945 0 obj [15944 0 R 15946 0 R 15950 0 R] endobj 15946 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 319.925 122.692 330.925] /Subtype /Link /Type /Annot >> endobj 15947 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15948 0 obj << /Length 19 >> stream q /Iabc33814 Do Q endstream endobj 15949 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33815 20690 0 R /Gabc33816 20697 0 R >> /Font << /Fabc33817 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}1X endstream endobj 15950 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1449) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15951 0 obj << /Filter /FlateDecode /Length 2976 >> stream x[Ko7W@w&0 hF9bOڋ}ٿ⫧GY[&UX|d6IߌT ViU_N~fzwu~I>&7=NG[@" 'Gӽ6 ;FG*-osDޚEdV4GR->(zZ2]2 u(EgC!jwaK-;K"XG:߳~0Ma79-̎xL< "α!\aȬ`so﮵0k@'c"ǐ =x|QD|gR5^;b cd9;[@)`JiBƊe\ZVYBnau^%ǝQ*khpFq2%@ʩiW5;}ޫ4:jqĿ845UwR?] jq,cL%N4GkNF3#Y&lx$i1Wp+໥w3pg乕*uTY!1,­a=((DR.@f Och/Ôمb'FSlUnĠc|7^P>n2O$~_1lŸ\"@弇&óds3{^@C8>7N0 kgA27$7>5DBD>,B__Jjo? Ʒqmi5W^#V͵cIGs;3@x}N1_0jv i Kl9V2X ]_3ʿX0L/.\ SM~vwE~-}gTiܠc;^䝹pSrv%foIa oQrnHҎ4]' $mѾ'05DM}D<ʂlߑ 9qXW_$' &ZR~'pPHHiW| p~R%iPL!:_ґkLJ WuG7./Xziʁ@|ڤְ<ڳ^ҝҫ`uM&  \y5TfvdXq-\]}ZjuW_zWګ_Z`VnBzMCH?B6KR]DnD^X~s4d&.diN^'n*1 oiOM79LŌ Ii[[,@Cހ]"S]fFLi-mr ͱ6YGwxZ~.9xs.ɏj9ݍOZ7"m0_K/:|Z ?JwgQgi!\~_!\$ܧ!؞\w&EyE5|! }'X0lg&νjE C 9[eڬc\QܮFrqE "*#fĞekNdr+%d#j1~XST/ ~%gtVfXGj!lJ{PU yr#{'.fS-&>ce,tJ. E[&"G1aic[s䲍 PM:3޷EC!V )tb3R[ m9^Jih:1L:׎MZ"9nۃi5 `E]Ќ)&Hl{>'>A=fAz;$m;*JCjͤ!H7\z0}5a>j#><<)Yl/~?/HyL=~eC]~x˳L~o䵮ih U?hs !;y{A19Awa}.xpgWn7wv{"Fd]L-L endstream endobj 15952 0 obj << /Annots 15954 0 R /BleedBox [0 0 612 792] /Contents [15960 0 R 15956 0 R 15957 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33833 15958 0 R >> >> /Type /Page >> endobj 15953 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15954 0 obj [15953 0 R 15955 0 R 15959 0 R] endobj 15955 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 410.825 140.952 421.825] /Subtype /Link /Type /Annot >> endobj 15956 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15957 0 obj << /Length 19 >> stream q /Iabc33833 Do Q endstream endobj 15958 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33834 20690 0 R /Gabc33835 20697 0 R >> /Font << /Fabc33836 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ RDӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽?7 endstream endobj 15959 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1450) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15960 0 obj << /Filter /FlateDecode /Length 4151 >> stream xڭ\K ,!I%?p%%AtOOuWUՏe1bOoK3KK6X׿/,_u~M>&||[ujl JԝLSӭwfz@E]kZ2ˇ Iy #WWM_uk,U;\),?YimQtQta-%&*vnQdg4<2^U6ϋIO܈HY>G=B_&kd,E!s>yxUibG3@I: KHiyA΀qM(IlxŤƔ5_ ^s̕ yNXmk%ԯ_Z͚,|OUTgUkcWKP0p|\>j|N +0*/Q=H~<{V 4voͪKU@ է)! @p \e k Uʝ!]4/n~EwMjA,QPN녠%ux "xҩ ?807+ (3z(6Fž O4VC[\q1y-To+[Cf[wثš5v *S\ $,SQ28Ɍi!Nh`ɧ{5C69(g+=C< у;C֭rYˢƏ9`/-[!ThĪؙ`5[|3ad0T`kv(vf/sƟ >P39sEm0G*Ohy5Dg#3/A{s}ֳϬ U}# i(kzAM0( - N`EDM#Cٵ`n|6q8Qe9dCR !p R{};Nvp,8^usf!~/Ya/*= F񱻲ߧw#* $m?d,]9BVs*7/C7*rSjΝ$w\[Rz <:%5؎_s~e.ABl a4 $_ 4Sh"/uEzg_}ol La$=Bo0&6J=B6T*?`N ʹzjBkKa Vzo@4U.JIa}iεo1Zj@K,[+Cqf- y Ϋ2Y&Cʊ˨J[+?4ddB4pMZ+a6jaL^V KVV?0ԛtYw7R33uײ ͤqn|}AVK&:Z_O7Z^ݩݵ؆&TI/ctRZ:MNVV*d~2 X:Z>W6~q89:3~ܝJ5PǸ5xR@aݛ |7V{P/?j@~^juUzЪ߅Zm%C~)1q1q3֡DiJvM C5T!A1+k 5(:7ZF,gu-V|%)xTnE_eN:@3ہFg`joVu&卭M>@:vc0n)Xd )3,+&r2R_þn1Z'Su?F#;SpnYާxpo񈛑f kYA٫\^e|4P荰^e)4QFi# /k'Gh`;~VeG@Yqs'q 5w^2/0*}}qV=ٶko  gYNJȡ)BxkÒMH]ݕ+'q`B~tmX 퀈t" &E Ƽ|a[`X tTտ5;ELa>}%VV-rc._İqi{W xv2֭ (<7h Cr[{"P;OJn' L'#ӹ4@/i$kL Ç_C(rpxxrCVx5,{8GjobH >.~}gk آ`L:&6CBWvj³8HRbHZ&965IyjƕQWAb\L O]^J-0q/-6:mGk16zTхR΢[!`8[SP#{ҏ.7w|3T:&S HJJzz%U &Ҫ\;x҂6A>̒Oh7`r S?R5v*/k~S 6_)Ih5Ѯ> y/J|q_h ;d9OsM-=3$5~/Ap fUs"(^ =+H}gJL)E6 ؎"[:8vZcF1!S6USܽɭq7a"n˸iqr%G)0-"54RS!t1F8%KjCFܜc#K5N?%*MnY!5OvfbФWfwc14<ؘ!O dNަ塖̀r8?5yR8n8#f@=kApx]%H8@9Q&u|y55ɏpQL,92x-܁Njx9X،h1RkM+7Sɍc7i iWM5Pp2/01J=jOFqC] #IV9kyjQ=1F V< PoF @V/evo o3[Iv?&\MY =  X#69$fCeU3wh#2Jy i=ٓl]5m6L2&&PՁ_8%Psa eOh[0 Tk<팅5wc0,HWqIk<:eܶTUuƸmWÅV4؟CH%t| ZN';KΗ9O̫-70ϭ:s UZ`Gv}g1#Q>mS;VEGqM ch!ַp&^$f)y7 FfDn#B >!:]}bs'r eNb(<΋:C%au:+-okԠ1`^ys\R{VGvaMƷc|Q"UoEW-p >̷+.fNg^ܞaOJ+p\8ȣ: *Ekx\ f85_P>QKĂ**A*J3>R  _vy,H%[δ4wd0VS[|ޱ݋HvF>9k֕ 9R/Y.&,͉'F D8R\P]T6N\Fu|톽Y5 ) ~ᓌ~d/'M6C:_$&\yhSqe8vr'?Su9D*,. 0mEoEڷ&~/"/\?Xç$~rL)6i#/Cz0!{%GWxjV endstream endobj 15961 0 obj << /Annots 15963 0 R /BleedBox [0 0 612 792] /Contents [15969 0 R 15965 0 R 15966 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33852 15967 0 R >> >> /Type /Page >> endobj 15962 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15963 0 obj [15962 0 R 15964 0 R 15968 0 R] endobj 15964 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 174.7162 501.1346] /Subtype /Link /Type /Annot >> endobj 15965 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15966 0 obj << /Length 19 >> stream q /Iabc33852 Do Q endstream endobj 15967 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33853 20690 0 R /Gabc33854 20697 0 R >> /Font << /Fabc33855 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y\ endstream endobj 15968 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1451) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15969 0 obj << /Filter /FlateDecode /Length 2012 >> stream xڵXK$W輐z?(Gׂoc|0{{֘jeRʚef֦ =xB?k z7ǬAKCSWbOcO1^[FTu3)h_ $P?gl8S&XhYl#%JS1 Wrj{Կ׿c yMnظ,@3.rFWxՎ*PGEFt͹Bmn,oku::EV=-|aMj:E,OȃȈɊzHnЊ`ݩz,K7K>W2ޤEU,Qq.sWf^+Pocz6s#=F5ӽ g5N R%Dȗi_kMzR{a7)_2 'K̏-n E;ג R:5@X5oʘQ fu?nK]G3M*OU)azR޲0ٶ̦ЛI#lcy x%fEcc=Hx ę:X9gC9 q|ޑowCŁ&b"Ũo'7MemԽ7MamP6b\NB-I?IKa:rcJ*r> T ҩ^rGpt;Y.Qu[{]STnGtk >v[C9CsdZo Oۏx,1إ66NҍyދBvT5tnvjay98D݀pYT&D=M.%o- endstream endobj 15970 0 obj << /Annots 15972 0 R /BleedBox [0 0 612 792] /Contents [15978 0 R 15974 0 R 15975 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33871 15976 0 R >> >> /Type /Page >> endobj 15971 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15972 0 obj [15971 0 R 15973 0 R 15977 0 R] endobj 15973 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 287.325 122.692 298.325] /Subtype /Link /Type /Annot >> endobj 15974 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15975 0 obj << /Length 19 >> stream q /Iabc33871 Do Q endstream endobj 15976 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33872 20690 0 R /Gabc33873 20697 0 R >> /Font << /Fabc33874 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 15977 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1452) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15978 0 obj << /Filter /FlateDecode /Length 4201 >> stream xڵn$ί~H2 䴎K~?U⫻!zizX$ߤ ~_d;4VןYcWBǃR?ʃQR}=?E;6/Qʺ )(gz.:h{|Dcۅ#{(#&ze|##!ѳ~ !XDD'= %GB5y`XaKv^WpAj(l_5(E 3!fq $ ^#|^GgQr>(Qt00o|4K^%b}@2p|"0Y+Dd=,-(f}2mKydNhCq簤xK- >ᬖt;È0 9Xo6*t;}9caXHI1(᧗pbiȹ5@'`8 mbPՂMJ1Lio H30ƿְ ,z蒋hEtTVQb[}C,扼9;*R͸OdD]X%Am},ۘZ%a+ U)в"*0XA?E~_grݓ[1+tA/–3Ÿusټ#p_$~7XAvG3ںo8PXNS5ݼg!qrWgda0yޝī ":63T$1(U}|p+hxG4SL< 3W#"^6"b}2bU#}RÔ5x9)NeH{@yc~SڬnT㨯oU~ox"qRyOQ`va– ٮSnD|'7ҫws#wu#㘺Ӎn/?Kn(ٗܲpM.w.6 &B%NK#q8HݨP1^^hD#K^Я8B* Qd 6s! `m/EX6:AbʈY~gU7$%YRGuh˚eq9HᴈN ,~J#:FbktM|(~igf@:'-$@p&n<lН\wu C)7@b :H%/\M=1И)N݌1$6Vdj g*qIULP Cը9ܐy{T}]@e,70Wɖ5 __\4W]/pu{Jt%e:V;)hd{Cz\pU9~Xjobc&K+J*U""&+j/ W.tRb̥HQu/~r93%@⒍,n.3R.ZrK->#޽+RJVl WQc^ YϬJ&,EQ_HTyY%vPdQwaf?1ڋ>O󙒛4$1_1 S6'H5$<zDUpM^=.!.w-ݷ:rkOꝫ?\ pårb%vFBu Q u\<)xe͎O}׫'~m _'s%)Xg(*K&Gzד۱!u05j:{u~G-~a, Ӑ."~:3vq endstream endobj 15979 0 obj << /Annots [15980 0 R 15984 0 R] /BleedBox [0 0 612 792] /Contents [15985 0 R 15981 0 R 15982 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33890 15983 0 R >> >> /Type /Page >> endobj 15980 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15981 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15982 0 obj << /Length 19 >> stream q /Iabc33890 Do Q endstream endobj 15983 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33891 20690 0 R /Gabc33892 20697 0 R >> /Font << /Fabc33893 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJ^Ā0dD/P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15985 0 obj << /Filter /FlateDecode /Length 5759 >> stream x<ˎ#9rw~E ( (IU6|0|Ţſ+̔T.z4 wk}Q' I/ߗڧgKҿ?*.ߖ}7R˷ƯRB\t˷eIEB_˷_VV۟a他7xM*v{Y-%+q-LKxͨ{x_G>&1rP_&a5&($-/ p}YԹCPWiJiZ|@#^rGr|e@-IJ |'ܧewNQ3˥={me bt-ozʮQ'@ ~䕯pnj`v1S"Ryi0oH&o 3`Pwר?u`YVݒZmzph[2v52M]S!!Q_(D>rw ά* 8Je^>DǢs ӺRb(vPi(D9#7:Гf5>Cy< -q  . Q,o d}eز&|vm<8^D1u,+ č~([ ߉*IĴ|I_W'=ɍU2$h3@8(9X=,U^@XU1&aP|%&pىuJ}ۇYhc953$DBDv#/t9Ѱx`93JXLLB89 $njMyڮ&~FAdm?9׶́+V:~ gaNU3nŏ8UVv Qy%DnokEBN΢ "$/rcL[ vFT՜UW'R)uWp@#D9Y66'Yf۵ﴎ+p8ȓBM2(yaE6]aqT|x\-l " Z?@t.[ Cb=e!6b*2陨Wd b8"'U4X\:/!3r!b@nHH*I3VvCŤG҉2`ӤU tR7]>*tH2lOɛ$;6;)9ugD>l7Ͷ3QcFKZ*62ngE$ [S-|׌&Xxl]"U b94'FǭnfSqk S$pҾ;nRNw V.<=weE1W%ӎ wNafZ3S`L*MnDC':KԭnR $0F6I4ajФPg*S6@3H kЖ R ދ0 a2Fm I2 T^PLxˎLJZP Z^~ +gVuC/܈h`}ZF1sGn ~wDk*ߪO=f-cC8ᄌ8IYax4- , ^H#%!ܼ# {Ѡ ;BM`cNU<~>^ j#rds ~N@!NQShZdFC>i Vi8*PzM%csu1 ;Pq5pҮѮFWIw;L^&8cL?YRDߐڪqWܘx&jx q-!ǛnQ9!Usgd6?5{_VP0cźU&Bw`-ޮ6zKKʆ靖OQ/ H (&@wR/` |YX [StH4lZE8 "YX ~E\, Bfxެօ:amo2-N- }@AD ON(-An)޸ waT+z.PRʉy $] ǺN iZON|[e뎁(Y.#5!/`,0J0':b#t$#* kaBȳ&l&sWC/gmT$]ha&Р k)MX &eemA \;7Ý4?==b2F,Rf$s*$T{>WHW ,%%l4{m{VT-lD%XZ|.lFXdX~g\!| 4 !ɒV*Jo ӧJ˷L˞=u+kO,F 4(i @OsetɡÂxIfF~e`68W F39ŚFSMd4anfE&G(@uflK`oXBJqMnT R]9Wu/d9۽*n{GT1t8h${K6f7i5-x^_A(ہ$ Vs,#"bG &:EՌ}xCHEӹ{єP ټB <%t}FX9nU)cZi'%#P$''-FY*| n.aLB`zrSsN1jeODl{ j*A;KA΍b{_4]Tj-OS%V=wQ9~jfcQc8x6\#اk `cܔj%z@0 n~3Тb} {^knӈ?q`u dow5Nh4Ε+ZÓqN@ormx&x{B9:b9&L{v)i+%Ҫj}U,Nmb6|v7 ErX}\\73fYh ;=W8:$je`M{ d> 0`Ѧ1i dAzJh{@$dX5}?=Ek.H?8p*+4!)ƚV!疙̩r{zV4;@\J|X9?>֭S :we^&1;T/UYw?X BVO쥴-2jѧ<jxc'%D5f[l;gkBOR OL˭G]5pN܋dWd ^s]Is$\$~FQ (H-P`VU=Ե\zQ)I -:>~b1U`mJ,sd<(Dlt5iInl,V5@qTz^Ңf u(IU.BWqW#x~2S-vS>D0lk!jeY9bR&zMPk0FN4pUa|bse艊ᇧ̥bAh <&uk<nѸ8=Sz GqA_;L]aֲZR+Z&/QH20M>S<>ai}2kx-0\KRڢc+^S.ٗKqď9d9wۏ1^$mZs\128I`Hi1L4^AylC LbFo `qŌ#,UfsJND$uA't8;C  Iq[=%[|"8aV(Wg`ٛBセmR{Tٗs]_+stZR /raR. iNwܽ*d)O1#RIJ{!(t^VE.w2kJS8R:nmз6 鞔;!h2؎L܋ ϾlL`3+ Fb,ёJAըrr ?k UP7$% 0|ڙ,Ei w6-?ɽ5%o`&d2_߽2y|AStbMKZ5ٗc`F ah$ k"eW'UW޵]zcy:s9_7gjK?dm\.,3^#xJ^]..UVɋJY#x2̯/ng 9ub{i[qa90%b{eAr܍eR6wol\zOpCɐ [sz[} >/WmrL>4&G< Q)~W$'nEHGҚs t+˝ӑF ^j*=0kQIh o]W0:CL? Tnrc\ªTT _PN誩ځRJUo+\lWTTy3K7i *\Y^ k,H-?W,w\-\Mȷ_lV6GDj&DFi1N 4ǞFK|l_{RZ0F8dREXo_*v >8G4V`/IaD.9KbKe J6?<,uZW{4_Gc;9`08 zFú b; #-/W/H}O~O(ߺlVm,J{Jt2:-ЖV5xkTFWGl;0:gs1ƙgNM9?*jZ{NbVjiDeȹ:n~8Z>8|e x endstream endobj 15986 0 obj << /Annots 15988 0 R /BleedBox [0 0 612 792] /Contents [16000 0 R 15996 0 R 15997 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33909 15998 0 R >> >> /Type /Page >> endobj 15987 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15988 0 obj [15987 0 R 15989 0 R 15990 0 R 15991 0 R 15992 0 R 15993 0 R 15994 0 R 15995 0 R 15999 0 R] endobj 15989 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 287.4693 164.1782 298.4693] /Subtype /Link /Type /Annot >> endobj 15990 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 271.2693 217.8802 282.2693] /Subtype /Link /Type /Annot >> endobj 15991 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20210608081201-08'00') /Rect [104.1732 255.0692 148.9102 266.0692] /Subtype /Link /Type /Annot >> endobj 15992 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 238.8692 166.3397 249.8692] /Subtype /Link /Type /Annot >> endobj 15993 0 obj << /A << /D (unique_609) /S /GoTo >> /Border [0 0 0] /Contents (reset_ssn) /M (D:20210608081201-08'00') /Rect [104.1732 222.6692 148.9487 233.6692] /Subtype /Link /Type /Annot >> endobj 15994 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 206.4692 180.9312 217.4692] /Subtype /Link /Type /Annot >> endobj 15995 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 190.2692 225.2172 201.2692] /Subtype /Link /Type /Annot >> endobj 15996 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15997 0 obj << /Length 19 >> stream q /Iabc33909 Do Q endstream endobj 15998 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33910 20690 0 R /Gabc33911 20697 0 R >> /Font << /Fabc33912 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwod endstream endobj 15999 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1454) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16000 0 obj << /Filter /FlateDecode /Length 3063 >> stream xڽn#ίyuf X@n!i \S/Zmy&A[M6Y*VU6?8U9cЫ)COU[>[ppc&a(ʙ%c܃~7'Sm<@=0(`x=hg/ Gԩ/J'Ț51,$}&p3 јx>([1#_$ф`d 00xFk(# u4oAˈWp.k:egDH Z`D U;Q;_U9hMњsUcEO$lPǸ2|L/fW˃[;M,@ $j|x9\w_\/HV$ʒ]h~c]6eؑnڎfm Z\(u]щ6QuE6ng!4(F"Lp>VmJ|9чMUgi=;edi@PnL.UO%ĒlRovս[zfRJ45+ajԋEͦU* r7;`3$˺\Fezx?\%8սzemOK/`(s2~\O@#>{PHװ<;$h FISL""&@LOsa;ł%e95@*b,:lfBϠ\QUQx2-OEG6le~s1>4#P=GFގaӖ 7[5{r}" e3 v`qmB=4~ OLfsXʚ/QgeУśd xq8ssTm }zV.!ZI!S›eMlìxI/s=e^!Nd#f޿!1cϙdCh׉Çѿ"o-w wl2wP0@#A@{d 4J V' p֢-A2!Á_{|`ųQ.%LA'bRZyOСVIGmj>>sn1WSZ]K?nuwY 2$ JZ?G~#/hTn(ܭh~|\R}j;۔9%{vJaq_G| !d1/?%uQl >BPc`cY2PmL_i,ŕ'FdU'b[,$1cBnx2x<,Pvx2Z$D]G 9IM\D?A<2M3e)7a9Jx?LRxfs#^qǻ_0'l{碡'ndS,t۩>6^/^a3;f!pW9үr$_"_.!3sKl)jX&_|'5=+ -#ZMS]-dۙAS` DM8:e *'IPN8|nwE&dacKӛKkj T5ѶC؋9RWR%W+)o >jKPZ>ۦЏ O5_tX^l qs_(;2xg)L:;|P%>szE؆a[CA,C `> ]d'A*d3>r} endstream endobj 16001 0 obj << /Annots 16003 0 R /BleedBox [0 0 612 792] /Contents [16009 0 R 16005 0 R 16006 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33928 16007 0 R >> >> /Type /Page >> endobj 16002 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16003 0 obj [16002 0 R 16004 0 R 16008 0 R] endobj 16004 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 386.725 140.952 397.725] /Subtype /Link /Type /Annot >> endobj 16005 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16006 0 obj << /Length 19 >> stream q /Iabc33928 Do Q endstream endobj 16007 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33929 20690 0 R /Gabc33930 20697 0 R >> /Font << /Fabc33931 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 16008 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1455) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16009 0 obj << /Filter /FlateDecode /Length 3573 >> stream xڽ[K$ W@zK46||GUW<*$"?R%O_';7#/nz~jb*CO09;=>vA琲^aP[͵-KF/7jWI}9 ^hB 4Ci)htvIvJoO,?ٵL|c %(#'<(sg)>X\{oEA㻓Ͻ ~gjc.[~apE2GtrDS 7|Yt :,_xn >o{q8!VpIM3DCs(|X?uġ_A$x$Q)$99R&gu)9T#t( A=K->RɃy²MӷLWAif,`: \`*G}Y| \PDf1gu d1 C9j1@gj-*3^\Xsc"o8^\O0M/Y },Kmrܦn}w ,rNTc펕u,iVbDt(I2xuE<ŃV3r#XC4!ܝ9JѦ9sPbG ⺦`5:Z`iq]1_X:[#*APINuῡ8w/P5N|Mk04 Gy'f=`elrYJ^ҋw\lsǻTF+XqY;P -T Q +f⯄g̸`FhaEv/%R³[ѽOJoB\BbOxbEqj9^eq^0,Rdߊ|'Ѳ+8d)EK%A c!WK"m䙩ּ }ª]ܫ\ikk+1f[W^ROր;pA۩WhP`q RG|2 _CuH!FX=bx_s &$~W@N$fxNJ*I l ީo0'"]SY43rQ͜**pZRժ_So*Iv[>P8MG&֤հ<׵WXZjX^UV66,Z`cSA* 2[RVF W*Z}H u/ْBځM^W kVV?`MiU 8};UPWL+S!޹Y)lHߎ{Q;舾Z7OF=t-+Jk wXUWm-Wn+v/$RUdaGdZdc}u9r iRZ k=Tھ[-卨XbkכW&ijlwS&r/t癿;ae{型fJeiȣzYh0Zl jmtnE[Əv>:2> vG~mUЗA!0 enLBG0OsuČ\̜9}cj`ʡ4<ܷ?$,&h8TZ=L iNP6ӝ%!{4zjLp"587M"?qƺ60mB0ucj*mK6z 9*c6?dA!%Px w0G30ftrqARê\QIaQ6ّkjҳmoهY`ǡ!b&4a cף8 VښȈ,:Q(Imh/y_9j)I|Ft{4n(AuG;| (CW)eO ׂ95K$m9@[o`PA?辸`<4Ǖ0RTO/SCXg oζCA< ت#;Vr=AA=*dZql8#d9xf{7_-r4TX(7i>So46!.+PtM}歫 t6a0 0Q?["rEf$㱰4m[XjmI^pHa([!t~<`(/w-7D3pl&w g\ ~`keW쁅<]7gj"w5ͷgÍ.pTV[sqE>1q]vC\0zq>.MB94Η1,^~!SրI}8`ZnrQ/?^;qRCb?ozS9nRU[uaujxKrqM <{ mqgGgۍφO[NGhurk;S-ByEƥHU{tsD7Nո7ZR?M^IQvM3GCLDK9 ʿ>DdjߜrJM8V/כ0?N8Z+jUS/aѦ4G] xoHAƫ3]ԋ[hk< I>ݢ 1i2e2N  8d JZev-&n3*\]s>ye~ Rgna[w(}ݹrO3DHO#ռ5\VN:Q(m#6RjMahf28ͳ,Ηܚၬs-^z޽`TJVlC9B#ǒϽ`LU6 Y\xizɑSev2:쭮zP08|Kg~~ZΆeTSKcq{j\(]vFڰw&=Tm2A re;Ka=1]0æz"mO1*io#`غ6wfyxќ5 ~_6 > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33947 16016 0 R >> >> /Type /Page >> endobj 16011 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16012 0 obj [16011 0 R 16013 0 R 16017 0 R] endobj 16013 0 obj << /A << /D (unique_664) /S /GoTo >> /Border [0 0 0] /Contents (get_stacks) /M (D:20210608081201-08'00') /Rect [104.1732 227.7077 154.3442 238.7077] /Subtype /Link /Type /Annot >> endobj 16014 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16015 0 obj << /Length 19 >> stream q /Iabc33947 Do Q endstream endobj 16016 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33948 20690 0 R /Gabc33949 20697 0 R >> /Font << /Fabc33950 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nhЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ D,o)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4CݛM endstream endobj 16017 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1456) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16018 0 obj << /Filter /FlateDecode /Length 2592 >> stream xZn$W<@p_6omÐ t_"d$U6*[0"b!3e߆XZQlI?݃1e@iMY*l0rY*4chte{~CzF9Լ%,XkbN! wrd+r|zn@8`ckWt$ }hkAἍ6F>j?2C&:^Z;Mփ([ fz1"^c(d\2 FB (Tc‭50mp%‘ & h!|5M^1vHO'+H},'I<hk16.L K_a:\5=E/ia\: SΖ1l](^a7kA#$p0$b'~Ṷfn=7705ejM{ gKR} !mtI!.!˪.ݽ>4ѿd@ۑ[;D-.D[f4K Z^M_tFON(ݩ::~{8-Nݯ휺X2~_d|YfËr|4gQyGXx;$ Ù})08} R)i)#)n/e M9o)(H龌mʪtNgowW $[ qDm 9ZDf? UH#QhK(+-o: Nsz.! Z4:Un~ N,5Y=>\+VëF+(ZA3Uúw+Uvy̬i(,L t."\u>(F*FiVvat楉|EACO3T~ &^ ǭ2iYvX(n[g d2?yI*uTl4bd"ԋ=,v4alK3,9#:P {+CW"R P{a؏0uSr:Úrʵӕ(-+Q`+CB(PIR2/y :S|%tnhx^3XI>,c#v hPUO4g\.Ѽȁw5Swf,rBHojy@{+comNT?S̠Tf;! AZpɒJQ } ^m'7 Zڀes dL$JG-* ]J< SЕ0 ^Cb_3OF9Չsc'eijE= >O)#,!D3TDz`gVLܤQcE·ws_0?W70_GUWnX.ni0Zmf;to DaT1=(2HO6fa mبf78wa/\7f[iWq\װ(h&wV",ڼ\*LN}(׺چΕ)C`ӕ  )]"{kK6q* uKX,J<{YVsTΊ2<3M(n)+(+|k.-M33M؄] VuD\޸ ;dq6" )t}qޤDY ϤT# #'|tT]Vh4OGHLAzNh(I> rJ˧y;%>r ]iNT- S:>RK-OTz2$ғ:1 UvTXUX%3^GfpDաCi{$O+BGdK' -xN9OOC 'O֮_ Ean>?mX{ 'F2{Nϗ`27> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33966 16025 0 R >> >> /Type /Page >> endobj 16020 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16021 0 obj [16020 0 R 16022 0 R 16026 0 R] endobj 16022 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 192.825 122.692 203.825] /Subtype /Link /Type /Annot >> endobj 16023 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16024 0 obj << /Length 19 >> stream q /Iabc33966 Do Q endstream endobj 16025 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33967 20690 0 R /Gabc33968 20697 0 R >> /Font << /Fabc33969 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7XVthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMeV endstream endobj 16026 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1457) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16027 0 obj << /Filter /FlateDecode /Length 4486 >> stream x<ˎ8w~ X7) @:>/n85nFǐb:Hf *X d5hIQz1::_z_ '6=|PVjP2{a4ƣѦPh={YboC7ˤÄmgEڕk5.0tH+#h88 r&NWG0jx~1[^{J : T̚7-@{.pBؑR:r\#/!q~ <ˍ`} !b1h(͇yPF`VԴfʀeĀ7- ̈́1BݩOl;WB&DZ nvq֮2|Y׌4*)YEB3L4Q]Ad#Mf_.Bai"eJqEj[g7.֜9bG)i\lfcd0elgF`_QJj~hT(gY x)\EnY1C/PRr% 94ޝx9jNYqf{lVlvzvdY#>nJMCS(5@%`R;\Rbu`luMDzkb+@xg;ZuD WKIAҋ=%uMh{Zn\'!p{JԋZңGe ܐ,%9=!?h')Ʀ VdqHޖ1j|?\u?v{\zC/n./{#-?ryjWTp>-oug)< !Z&CgAEŬP<>HD<&ÅEl+5RM/MЊ5D{R|fuq~nq}T-#xHs$LJ h,{kq%5_Ӹr0ܡu4&:SS99bw>GcXܤQeEƑOdS*nGi[7?ϴ[:F߇]`J/S@NU{šGWln3UצRD(42%{XŏqӶZ&!m\C3 w )_jzg.Ւ%$RS۪5s[kxm|aTpZv$P42.{̝/y[b+>QGZ nkջE-[~QnYxP  [<4tg^wZ϶/4hFn(DRDFH "W^ D˲CYOZ!Y ҐƑƑؼ]<0g(yd(g(yd(^+kEѳ & w>j`2L$]0zw8v;{(nq}w@?$.~JVuqg u-#j r֎wz0Wਚ!UC[JBL̥mҕ+wdMmS"-aPUjSYިvuﺊauh[s hywVϓ1O|MHGLi7Gc<|K?q G_Sh<(Ӊ@ a)>MJ'SD5mG? zb#gb}43-z6C~4thp9&xF=Yå**餾gx@bu l" 0g*Y]D(@?l%^6@edY"0R 60J&&k)-+ѡӚgk΋^,>)7fGIo4qQg D! YWZp 0[Q BfO)wnG,y=<\l9vs"_1/z0Ͻdû(uCgq=d8-t79:"mQm iȔKBg,Ш6)_|gw`9uT>_?|3R>MUA'@V gOۧ攄~_8M^|H*ߍSÁǪÜfS, VD`͡!mݑ7`t~wRʦx=Z vGuN9~}_}c4\ lɧUdo$~D{HeB! endstream endobj 16028 0 obj << /Annots [16029 0 R 16033 0 R] /BleedBox [0 0 612 792] /Contents [16034 0 R 16030 0 R 16031 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33985 16032 0 R >> >> /Type /Page >> endobj 16029 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16030 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16031 0 obj << /Length 19 >> stream q /Iabc33985 Do Q endstream endobj 16032 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33986 20690 0 R /Gabc33987 20697 0 R >> /Font << /Fabc33988 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶk endstream endobj 16033 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1458) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16034 0 obj << /Filter /FlateDecode /Length 3787 >> stream xˎ#s+z?Fm{|mrrd7z!H== x\r(oR~b6뷩'捻'U8~xIVן6LY*?}6I)'"\BE<=>O (">{RfyfX^ǗqSopˊ9ǜ>6Ҝ蟅ь-X)ޠ #y=kuG炸ͅJ7Xgs0S Ŧ0 Q{o#p:Àn'@q|s44Cׅ*z7_i^BRD|"3L;bz⦶/mF&CV.tދqr!q8(ҙ21HX.͎ؕ,}_%Hzat4df۲Ԣ۟vfL(<4;T_e9.$˻dlV ZV4sgdh$05U%sKcJo=|&h/~W{]ٳ!sk*s*T'R>[™>.5[mYtJ§yZrpX_9~*e|, ^:iu!/O OԀJul.,p6LtZ<`ËC ( E"mdn-}m}y*ԧ Av1- vO5ŷ" 69ߦFfB Q;pq0@` #]$q]π JQ@ EK!\EFy礡/b+Śt@iRz&w Q/|;'j5,9Ť Z+ T+]oM* +\8<ķֵ,cHעK펒6߉-IJijBĵŁ % xDem_+kT|{pC X}?e/1sX†nh~33/O!ècֆm)?B8E[筘&hW8 xsq^vdK;un`|-Dp/l^Y7n#&I3`ntI^dzcH-0hA\MeKo$=+W#n˩ x9¼\.ƾ(W|v+o>iݩpVtq\Y畹'gF@$ v_z&!1/ [U|̫2|S_&j.g>3'c2hEA6"m,}pmLJx]~+\cXaxQ@*fWQr5n`k"]::΅ B.jٿeo endstream endobj 16035 0 obj << /Annots [16036 0 R 16040 0 R] /BleedBox [0 0 612 792] /Contents [16041 0 R 16037 0 R 16038 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34004 16039 0 R >> >> /Type /Page >> endobj 16036 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16037 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16038 0 obj << /Length 19 >> stream q /Iabc34004 Do Q endstream endobj 16039 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34005 20690 0 R /Gabc34006 20697 0 R >> /Font << /Fabc34007 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16041 0 obj << /Filter /FlateDecode /Length 3591 >> stream xɊc_J羀`|jǘjCe~[TmϠط̚MzRb6S}'捻&^4뗾^^鐔z9+mJ=(u4 (R`|?eJBد"{DO2j3͛[zu%x#, {RpgrV.:_?;(7  L 4P&oR sA\|xs!qDixW)UY=ݞ$i\GRl$ 8DL9"i;cQT@"Y~, PHEH,@'J;gO >X8$FF0yPR(8flp*\kjI/6TG.VCpbvJћ-QlA`bjtZyUA}g۴dns8enbQz%䊞 OҎ.꩸-5uFYfUw^,:WDABCc`6Ң)^?PbGc+r_X%]qr}J&6*'(B~z~15QZpnZ@o"rnN P=R̜1;иvGHE:c7yo MA41ϬsBB``m؋F`/Ӗh6ҕ-]2Ef'Xq?h)VJc%KR ִ59`$zbGR1>^wkU,&r2X/̣(m3;0t(ô* ΅dV,gN=e8;˷=Jb%j۔ǖvNsTq.L,)W2:_Wznw"} USLDDY"9ܙ}5.cV"FT zƫnX>\ gpW S$mvk(hfT%59 ]cO8D%; pXe$)x21kQ0`zо_0VJ V&ϠFIM-j}'3UAtмLTb.kt LSFI3h{xOz_]჊⇰ [rٌ3C17e.iJɢܘmuDq乎k6٦MY#?=bnT5> pJ5K@QP2Opj*sf}sa3V[zC+znrpZ}j2MQ6][1 ȎVZ9Y)ٛ؛۝δuPIՊZe>G[ `&g SL{y3nch^ &1JW osDL7qsQYaI0 /ljQp*bZMwR8sgAz*cbm \ -iyRtw#}~"9qlǽ%.AW2.0[9d3Kbb2t~Ca V@> 9-FNO?թ<кm֝8v[Ǹy7ԎqWm4o5>T֊_0d )1h%3Q6nx!…!~~Nt;`z*)GTE:8#jckNP\́{m:='|?P%5|@X; NKosOkI\u>3!)Z:8_>&'cX]A z.jZs n+`0zR U@̮"k~/crNM̝RIq\=^cTqa[gUFxXڠ>;F&ƴ,KXM/juJwO%͢8VJZc-{;: ϖ/F컕:2Z[F-a4c?=6xTJuw ))`=}~ +tEObd$Z*g B3~} /KMJ5I%v?:;<1{FiR=mƗi@ᅊ5%| OM.۠`Z~u+ 5#(}۟~#y9wP5ϼzB72aDp^, vy($@-wbh'2q;mMH2P/ b@`o<$1=^]A 0^~}_pޢn"zc~ݴ+wKƇjr@D4%_Xc&Ѭ`s0b @ɳ1pvt_f5!S1pamF2ަ(Mmʻ H'P ncNTutP˘5͘gȋ%ȗYj]\z6cQ]pҘ(Ex:dM2m$|ﴖPWBr!ÿLPa ;ߦBezE pq0@q.u8eF g_Ȉg]vJLx"'Gx{f㓎"PuXQn><({T}]%"TLТϨ.ijcWi^ * +\ (Z O$Z `Q!0([_"BĽQ#Lu/H j25hƣBarwgT|[^TDO.@=4͗/1sXl<ܞo}>Du3zF6l[~@2 qdcYϤ&X]!QeZeđevx>]|f#FNI3F7\Z%%l\ 0E9$U! Jo0τ[OmH3&Z|=ocQh,YPR?K/ ;}sM`\*quP4ʜ[UנƖ<t yCr0Թkegb^ea.ZٿaY endstream endobj 16042 0 obj << /Annots 16044 0 R /BleedBox [0 0 612 792] /Contents [16053 0 R 16049 0 R 16050 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34023 16051 0 R >> >> /Type /Page >> endobj 16043 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16044 0 obj [16043 0 R 16045 0 R 16046 0 R 16047 0 R 16048 0 R 16052 0 R] endobj 16045 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 620.4 192.4702 631.4] /Subtype /Link /Type /Annot >> endobj 16046 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 604.2 169.8542 615.2] /Subtype /Link /Type /Annot >> endobj 16047 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 588 219.6072 599] /Subtype /Link /Type /Annot >> endobj 16048 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 571.8 210.0207 582.8] /Subtype /Link /Type /Annot >> endobj 16049 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16050 0 obj << /Length 19 >> stream q /Iabc34023 Do Q endstream endobj 16051 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34024 20690 0 R /Gabc34025 20697 0 R >> /Font << /Fabc34026 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f5ykEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 16052 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1460) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16053 0 obj << /Filter /FlateDecode /Length 1578 >> stream xڵXn\7 +p1gtQt)qx!)$hֆK")Ai߉Ҽ~~Ճt:bY}gsL X 5&}~տ>XN7鄱q]3l,wW!t;M$x`m2o(-i{FL4]EBj)Dh!l zÃ{occ*gc/߬!N?駳i19r,K }4A~CiLOYzVuHVإ]O_ HhO@>ÃCb}BA\;`8q~S`:eNL3P] Aً { Q y1G2{o2GXl8Mnߟb6KXSpmNE`[\!4ݧdK֥DJL̮m˜{Yw2yt,{eFs92 ۖmo} S- rU7N',@YX,K{c=3{z9Hm+! 2q%L&VkQ€O$l->);7`JiW+eSl"!am S'Tijj.)|[ nW-L:)ꢝ7N}xxbbMkR:fՊU'{P_W*ru\kk"eW7.hi_Յ<wxV:'\4;`xjdiIszA?9g)R ѣ]m7C31s%5RhV8DxuWmM1uE汽.Dz;9JKE@DO:.!?Zv:=1*~6G{ߝ>Oh;NQ;M}ȯ/$)tȶMx<}C+U}GלC_F픳p](%6 {_ePV6?T endstream endobj 16054 0 obj << /Annots 16056 0 R /BleedBox [0 0 612 792] /Contents [16063 0 R 16059 0 R 16060 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34042 16061 0 R >> >> /Type /Page >> endobj 16055 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16056 0 obj [16055 0 R 16057 0 R 16058 0 R 16062 0 R] endobj 16057 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 286.525 122.692 297.525] /Subtype /Link /Type /Annot >> endobj 16058 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 286.525 160.653 297.525] /Subtype /Link /Type /Annot >> endobj 16059 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16060 0 obj << /Length 19 >> stream q /Iabc34042 Do Q endstream endobj 16061 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34043 20690 0 R /Gabc34044 20697 0 R >> /Font << /Fabc34045 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ P endstream endobj 16062 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1461) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16063 0 obj << /Filter /FlateDecode /Length 4413 >> stream x<ɮ#w~E;@'d <݃/\oOFKcg j9~MLN1Lbߦ_oarv:Oy:9OԧۓkO񳒧,u[S{' p'P7:~qӞr{?o|S?cȪhB߿2/Ty2:6 Nr WR>ic\8lb-bn@S|'<3tr܆ W_oII'Of>Og@Y\n:*3K8e||egB/ȆsYL/Q{O""\ɑ!!478딜D  ut@@!ZJ!?~,MR%jAf)Y!W7@ /[2qWsq܎EQ޹^}Ĝ/('c#-WъY'"!V#kba`={Z<7]{0%nx; sAm. n,PuzbE :GDIçlc7 kxn`GWy73&,Z v}ga?sjlC7-`ofE,u|`S ? -܎2V^YJ yеي4le:|(Mk1&1Tk1z=a=Aw$uv䖚yEMghb(qA0x=/Uzj_nWwb7ӛ&#RRZq'{^GR{IV6>ՇI/I󰰁 ,!؇>5z>λSv8Ş«69]^KFҰA굪"KED OC?/Įg^P0w;%M gw NY?{˛_G|ned!\ GM6/sp'yBf:Y4N~z`dyH)+8x?MxAgE?Lu vԄȧgm|CuHg!,KCɵ!x) {By>P @7?u$,;g=E+a,JC!ύ ',]BcyB&w X/!n2rɨbٸԺe-e+6mn )&VF W(/ R!f^nԒBҦ8mآ2WnBS?ӺS UaCbX7P @*:h_O7<olt)+֡[U{ܗruS{9K[ ,;~_n-` :̧EJ?+s*mǭÏ5 ݬV[VOccVl^f:Ǚ^}ꃜHRDFfu"EӉvj?^ȣx"!txL}L Ng5T8)뛠8胲`4d1V{.A:"yx!M< 0˧*ӮlUGR76ںu Y$kE#,N?yamO~]lwxljx?~)3tH@ ssh,DKڤo#E ˳'p&I*+'CUЌbA*@wl@rD_oErHp#jRmIv EٰO[mI`F('r;pJ)Oj-~ic.kR>Ng+˓%1 bd:'ԧf!0JژKd}KӚ;麷+Kgo?6wRGAq0{n\GF7v};ﬨ\ j{N,"Xd&A-AcG_X7>hNJpԳ[ $vE$<0l{⨈{)XQg yܡ*f lDp}jn@݆ #tO [+u1n\RuU%p6?!J責hbY,“-!^ nx [,Fy V{KpK7J"vu!ZیqB77s$3 Ojw7C`w~4ʜŃ_-?##(^I,5b=$)$) {3 lgp( l`VRB@ o] O7[M:Р%g4*Z% ڶ:ʾ>#fVOp*__~VSKXNޑ`I;ePK*a!pgrl+ƾ-tIօy֬ KzI-nYaSPצmqzфum|/<ºƽ5V[+'i( Tt[iXQj_XQRCSW7gڰ7ͣ%C㺻nH[ׯgd9.(x:ts7G.cg6& ]ЙC)[յFRN*& "LJ\!Y[kTNWr{Svy_68 Im7 b۽z0J~Ґ8 nD)E6ܟ lX\|9hq؋9kؒ4G^3c[%[-v5Z]9QK.b"bXY6J{#8\sѲ6i#`x>qWyPEa̅E)xFdGv)yS u^uXгDZLdrmRN*tc8-)k9171߁ .nN< Z%0ZfmLfs|:|Z#?yeՋ\glg=߅z^bp΍@92Ri`3 I[9f շ1ϐ`g4mgt z]f* VS{B')r/+ض݂[#srC:EQᇁ0plízwmM˕DS{VS{~qTLnQ=ڼԛnBnu\:ˮ¶&L$颕? endstream endobj 16064 0 obj << /Annots [16065 0 R 16069 0 R] /BleedBox [0 0 612 792] /Contents [16070 0 R 16066 0 R 16067 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34061 16068 0 R >> >> /Type /Page >> endobj 16065 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16066 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16067 0 obj << /Length 19 >> stream q /Iabc34061 Do Q endstream endobj 16068 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34062 20690 0 R /Gabc34063 20697 0 R >> /Font << /Fabc34064 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16070 0 obj << /Filter /FlateDecode /Length 5204 >> stream xڽ<Ɏ,7rw~E4(4kd7h~~UZ!LG ^޿/O-.Qa~-v7R/m+W'}eIw?s~)e1gn)~=wfڧ37 >Vȿe g+I ,T[hTsg @hGJw}71VXdNh6ͫ⚂yiLteL!0?p4fLM˰\81P-@hUXuL@}fi0;U( {^c_WD3b?sRX I2 683/[o͘d$ENIf|#C/+x"HG+K zOc*5 aU^ OO_I^țȢY̆[ seWkTìP/ !CU6lܪ3xHN0͠YREК sn4GHWᇹ:O,fA t1H1aI= bY-db2(gHOf<&W6`'Fyh~#V&Պ齆%³}b_Zm-ܱEGIV,!NS11%Hvl&X+vG9}:| (|,&JoA_O\N{3w.(WM`A@* o_/T@  r*5L !ɯE$s SF5%<0dpqR;# D7zժ=vx_0Чa+Xj CС'yp.8h"&4!'&Qv#|},>ȓ'%Mb}G"CF9qQ#(f`VlNB^쩀jO'[WEV31ؼ: |NPkD{rpaJl"k* p`\)W`>i Q$zKl9L ڂ$n1f{2H6LtzU ^`bYݬJj >A"?JdM$Y ;JtZFkA-R2w]:"F`쁺cA*bo]J=`D>U %5N8UzR@%AJvX McPBxH!X!$hCqM@!:L󊓂`!`#`q{a8ʂEV$q)2. uv6(HDNc)Nz$GBpCE^H(琐@fSBD׈ky CnRA(l Z6XL_Xd6X<~gZ1=Di Ci%H H#RĮJt^ߟ:e]A tɡ*"RhMA^!´$ !g[qc%J-%z]Q*H?3L0*6\QVOŮX j`!+u5 e!M֫h ^r8<\f;-QG~vBAW["70g,va Gd* ?u!=-ʘu_׮Dۥ^|-at,JuC2GrT$<-[b,iI⊌s"KXruЯ*V]RΨA)J]S~Ǡ 傳}Ue`:W\PCegh3JTPoU'l)(O#2S&xge1kjD'cM9`W ,tz,w]ѥ2ATH7:TsBc^E_9PMIr4KHqFiz>wŮGLUZTaq!m.B4>LIyn"k_iW )tOP]M!3Ky,o߆jQj_ҳqlr2Y"F7%T,[Z}ޜ6ݖ:!wQLWnPt]Fq ܡ'*j >UÙu/mcPWou'3( y|6Z~3~J\sQs]qx@%i?Pb㤞ۿ$-V H1Gvc-ېP OP0 ][B6hK%*pZȊt䓲*M0m,7Pϫ/]w S]x_/Vᔧ5X(Ә|ZuM{",J;аc0FC^D "3{.@~w 6]&2n7c-C,y2*6yh>gOlώvBLZ3s+wPIքF?1Ҹ$_94Z.HL54}̆C쌾Dfѻnghؖ:A=(i<\-ߜw氷{+qy-yS5UOiM1 | /ԫRsngw[~ B:ΪS&:[އuiֳ+ nl_THm̓Oz:ݟχ[@_?O[/iOOepNhpqwxA{{CEQJ)u}Dv}4Y.3I/5*#shr䥆RLq\&k*)r*) Qݮ@cybUQ=0EOecjUhe+lƶz>Vk pIcZ97G=q/a>ig/ ~S\NI+zXȦNX,/o-G`YEv;૥<1~sɇރZߕ9@2,[^s\GwRS"ߖ%^\m!r 6@ZgL^$ y1Q1/#̜rDB5y<*:lkF]j{'cq-oxtQe}ߴ{@fU՝JTĶh^Xs.G:MGo>RHHvlz;e[3V̓$:7)"4S/_-dmQA&rRW[ .ڄG^lt ա8CJNuwTϲyA,GYY[ڡ?Kldɋ%^< 4])L,r~uwjKT[7ulX2\PNի06ď*-[\|_tڦN K3>i';QA@ k^B :hlN(:圾>ߗؘ`ea{m{$U"DkGjH+1HXtZn?860keSZ nPrGSJG? A_i`bv_e̹|`J͓LT7!^ZO(b;tXV,7\99ȅpz|\ܡ<+m|{GœtFئUF4f[JC[ Q?. df"U&ѱAUn,KX57tA"ΘщZhz͇UkWaCG-˧1ȋ dxHmZ!,# 0# (s-z%D(ShcdGm2NtYm֩4wxW$LhMQ'ky) %%߼"G/D[jLFi:6&ە ׽r]؋~F\JB-1H>zcȯ ~G %n8oD"JrRa-F;S9 F ݫps\02Q~cbQx ^]%X[:1q4G^w.,CH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34080 16075 0 R >> >> /Type /Page >> endobj 16072 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16073 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16074 0 obj << /Length 19 >> stream q /Iabc34080 Do Q endstream endobj 16075 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34081 20690 0 R /Gabc34082 20697 0 R >> /Font << /Fabc34083 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16077 0 obj << /Filter /FlateDecode /Length 4209 >> stream xˎίs$a:䶀=9 q?X$4, Yb7X3>Iÿ~l/_zLO%MM]>O?d[f᪞gmaR', ־LEyNV^,|Zb=XAhjvO%nt7{pZgϤQy"2ⅆc#9ŘsG3..fΟtz_Hf!$AgRb_k_ wEs\, s$y=/2fB 1L)$".WQAj+o̜b'fX) fZ0Ls!/%([/UER HD $2GDVHWY`lyb,1Xڳ VT87I(3U(&! cX93˄ X9^ubz*'uZkv+k#yZ3Pxs3ڳVQ#h)֘.,f۫PW%;JjO ,1 ֫;)u=ϳT{9 ht* 9qU~WDŎD @L^v\4Â:POޛ t=^v1>a9EU5z~h͜^"|'loD }xwLsqWH9Vځ(Jo]3kuѮ2o:P7H @_tVTZzOeM%I9bMWT`HRZ$nEZʩl sT.bX}g\o?F(_mQpT:;q XUSv4^xټqZ(QZ%u&@_W>6"6y7HUl֝$jSB|2=#+K; zAċRhtjxM85ڏ9EP^^T981mN#y5&ً pYz&1qtU8Jea+G]|we=׷xݵDSmQ\9:Nwdj?k^ -f[W;'[6B$V=-kOV!s8# Xvx2q;kZm޼L.T%X(B HU[,?[vH~d'|*P xpk6^!rmvLdzۆdQvD*w'kK[snC hSE"{L2XDJҪkYڎD_-6PZ}8CFu`(ptކ=yqyZ>:~GnQo(, G/(yecC&a#J}dN)aZzՙ-);@ׂcΞ@ʭﰖg(Zi2\ 0Xm\3e=,ެe,'U-'3aؚkmJv(JxuAai٥P" Rߚp p$ٚd)B`]jJ{-up%CuP'-3OlS`Z6"VcjUC[܋Y:کbVD`+o(ᵣPMX\6ɑV̩)[K%fjvN?LRܓ_WM-E΢;9fJ!/fIjwm-9) đy!obMN'nxʥ6]Si |B 1ƛV2h۟nA*vЌйbL-SO rˇMCӜ6Ԩ2\\G%h:w~p~k8(}-@+Z+ .Qcbss$4d{k6k~Q=-avG8Dxӎ'1Cibwd٩Rua!{n~Ƽ`WWḾ,c_pg Td/sn9`~ZGaG߄1h*l> uo"Գg)ԥaH'G8f 5{L62MS>"kZĹ(xM fB1bO9?PPN/JƆQTxدo[ V6Y9=A=zuP6#x{?͢C$9),=\0oۻ/O-Fyr[}QӹT^\~ Jm+?-lδ΂|bgKFvG?ly;ٞ EXYz1m() f7FcQ'>lcptG. M~;SyTVj'_^<\0.c ?AI=ϳN.X|_ܦZ1!2+T<툅9 s fѓPzP&~dg1ON?C U`Tl1КZL*::u)-ӘKj ȗYZr`| h>L@Ix٠N|SxeHx3'cPo@[VK}&ӄIcCM3Ϻ9P ;ߧBeBʯ8P1CL@Nv{ /S7Xᱣߗ#׺<ouE 'Kx o&tCGjBԻz>|SUNRgt*:{k@% $kZ8kmDO(Z9cCqΞ+=H5>e28Td&*D\K-XajAZPq; I%^_Sx$zryCB⑋n[;e!,vx0?݇.F|Pb1kö (|#P}&V4 .ӖPMnL .NjQ\F鄘|{ƿM 1{ =D?+9h2*'y|-$"}|W)f/ x2NG<\9A:U0wP۾մtݍg\MgE/[Б_x:2s uo4nr,­sϣuWLCJ΀!o kd0jYX(=}!*2/ |+|"[&gj V70^ AL5 Eyr7ÅWoM`$7g@*'ʜ;UlA=ODF/)A۶xgٕF 颕 endstream endobj 16078 0 obj << /Annots 16080 0 R /BleedBox [0 0 612 792] /Contents [16091 0 R 16087 0 R 16088 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34099 16089 0 R >> >> /Type /Page >> endobj 16079 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16080 0 obj [16079 0 R 16081 0 R 16082 0 R 16083 0 R 16084 0 R 16085 0 R 16086 0 R 16090 0 R] endobj 16081 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 387.7461 145.1812 398.7461] /Subtype /Link /Type /Annot >> endobj 16082 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 371.5461 155.7467 382.5461] /Subtype /Link /Type /Annot >> endobj 16083 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20210608081201-08'00') /Rect [104.1732 355.3461 221.3452 366.3461] /Subtype /Link /Type /Annot >> endobj 16084 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20210608081201-08'00') /Rect [104.1732 339.1461 214.1402 350.1461] /Subtype /Link /Type /Annot >> endobj 16085 0 obj << /A << /D (unique_601) /S /GoTo >> /Border [0 0 0] /Contents (report_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 322.9461 225.4812 333.9461] /Subtype /Link /Type /Annot >> endobj 16086 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 306.7461 210.0207 317.7461] /Subtype /Link /Type /Annot >> endobj 16087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16088 0 obj << /Length 19 >> stream q /Iabc34099 Do Q endstream endobj 16089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34100 20690 0 R /Gabc34101 20697 0 R >> /Font << /Fabc34102 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶI endstream endobj 16090 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1464) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16091 0 obj << /Filter /FlateDecode /Length 2703 >> stream xڭZIo9W܀j/ RY -sh)3n ﷱ*lIDmTWm|欎AS~C?Yi2kkh~z91lc06^8cc՝)M1yҴ0:eku78.vIY_2IGS+̸ل ٓ]?s8:)+G#I<ܸz!`"_N؟yAqPe# q3.6Bߗ߯ O8H"tqEeM@_6j/]O#)IN] ۦ3-Rqâ;od>6O68@Juf< !_"]6O { SAT,|XDST6I0MSVcȜr(!f`N*  0H(2t %j: vpShW  sYl<HnY+\ND,(Gq{t }CGc{`Lq_[wXq5${ۢLe_/Mw $|CJ/'NO|$_@|,QN`+!X'2?n2nvqǕvxGad0#dvZ,ZGe̙Y}BbJUJf#BM>WI@krG=*G_bWD@Aĩp{?퉪-ܠań-#YRY_rX<տl5*[TeaԌ4k hZ&2zxdޖm7qR{&Y&cs{d"9Ph`L񾓬sfKH{Bm.o̝YQf2%aZZ`J1&qF(KxWK$4jTyjfbxs["Ja[ٷ]$k[mz՟r\Ih=S(5-4>9uPGzluZhzU >lV=6Bo­pLrtz-dA' )1n m~2NuikwZY6;E_{_ƾ;"s2~(/R(Ͻ#%L9m4v)fi @8|4X껩껲Z.(Ǯ1x݃H¡d̉opy[9׮t%jnV'^N!=ݺR ,pxoS>BM#I}!Z|'bjM?mהO\UXa!{Cre_j3Nu|\yE ,$83'Tho_e81CH hsYCO z<< 4>Y$']{^W G߆AY%r7>R}6S3:+8GZȗ ]=.]5D/ gQAHl\o:pbo܌N[?U77o1IܴF#4[5ݻY tE^}=Ke-l\+VV?oRN?CFC7(kdok!mGC ?C K8?N<r5x}vBS5BV!@/>BKdW)Y2PX22UW@삏drA- 瀨SVPOYeAb~9]H+"h>q9Wws>_10ϫzUm]Z4!:7m.w{x}x3uX6q k)ߥ۩>φ8 /^?ǴYz}Sk!r)o_Ԋ\Ba>Bঐ)jNY1 ZwVLURA8XSTo};3X)6UrĸI+JwyQ@#HiaCZ#U [Zfbꆸq%O}2M0 ,]&U5@:4420+%W`&z}'bEFhoYIA^'uFZ6':qj9*,m{VT" U9"(bV/JS8l)ۅt1eNۙcpL!=!Q[-*rYd(CEX9ޯ[\ Qx̼ΓuSH/ZZJ`#^h*d@/` |; 3|>YNbWDh 8AUWIX8.Ƈg Evs߅`K̍_ ڰ&k)4uC8Dp*l0![oqz endstream endobj 16092 0 obj << /Annots [16093 0 R 16097 0 R] /BleedBox [0 0 612 792] /Contents [16098 0 R 16094 0 R 16095 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34118 16096 0 R >> >> /Type /Page >> endobj 16093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16094 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16095 0 obj << /Length 19 >> stream q /Iabc34118 Do Q endstream endobj 16096 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34119 20690 0 R /Gabc34120 20697 0 R >> /Font << /Fabc34121 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 16097 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1465) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16098 0 obj << /Filter /FlateDecode /Length 4420 >> stream x]IW<As뤁: z.*(f z޸-b񫅋JrIvt&:;'S>T^0j;ӗosṅGF#@;|vL\Ή44h/O?vW 3\5|sujo)&+?d3Kc:1Da\ga&#AT%@8% >ggAӏOW4PCEJ:mȋo-%:\[38 ЙkRje J&[ 'jУ;Lz߿)a,~gw*9VBBA; > |3v9o(V> by %aԠno D)Χ@vhR[m: &&~_390g $@U*h86f +ǺXE[knF&I. Z6,qkcz94_<"!mo!n\j/BS xKi4r2i| xo+MhHjmt(>ElOسכ=1Qj+|Ӣ_d˘N@\{H;8VYSt)3]#8h1Ȗ ` jN|B#n)zF#NP[ C5(1-djPYlKǖPSA$okMNz}FĦa~#ƒ(.cwωIqP J},kO#e&`lb;fܨ}yj8L_yl'HFxd s 5¾^ 10h$Nnl힅x+K/",7Ƭ6ʕ'$pНmMf%F\ .%\70ŕB|ڜiܙ k 63\"V#5alJ dNzW@g [#c~~5n+I'ܴHLg~EIi,TQA,i}(ǂ*Z9qNXQ aṒ7(<[䩋bZWb$|#}Q]ЉM1T30S\ 4 TߠU>D^ߠKVb})!lqR^VWү:)Cz~=YrOVħܓ d{v'[gw{H\8JpE;=نvϹ'ŞB)ꞠTޫě&F[760I{J;6ȴƤwsӠu-qJwi ;N-K/r`K9L) hDJn~w @@78 AaNkܠh+#'g@V t5NǮ<"?p_:WOW}5b(VqIT_P0mߵcG%}}%jnC߆CY3eië¾F {T!s0y@YvQ0N"#TrwFndFŧ߹Vnl]62JE)!J 8&}Awƈռ|N cܪ{XysN |d 6涽pUUY5:C?k̕?hk *ij3u:e4qX/A;ˋ܊X1[:q;$3A@LӀgX|f&7C[9R[V#yE ^WХ SX/yt OWB'TN;m]߻?wf7HD\G1wǪ<%(]aA49?%4;C}4\9DX{g?VNVԢg22jL-wf&Oăg58T9gi8U^uݍ%\5ff$bjἤr𫰺̫zsV}OwNnugV פ1Ǭnb[pu,y:Y1WFfq(! A̵MdϔzCce8RѵƤ{PcHǪ]=I{yﲁӫ:AU6F/r^^f FQHs׶>8K=gj/NUA)<R5*>?tzG:%>s`B waxsҐ}lW /AMN&|~HDZ7hJп|1r+d^ʴ^3_B!{1LbT /6qNRP*_NC \t VVI?+ʱC`I{Ua,I:R&RD{N]]bZ!\R44cPՏFi6#:o eٮprKF ;[\w|rM ,֢͹~ؗPLZ!%NI'dfKѳ43ͤ.l%3$-/Jcd}핖X;kg-3oC35^bTiev+#Et2v S1<+pԷqxy5 p\]Bd:Ʃ—%ZNP5sPP*@>g>5kfYUCPfZ ! !%Rӓ!dɖ߂ȁAN(r`-ElY-GZ p:@W2_"ABDp&,b= 9[K~mٖ7Z|[v?qK\KX eg6'lihhL90bz 񴂲e(o 7S]T7)*IBy8ߺ-:+ `|Pb%vI/=zsטSi'3ğN24iYw5 wEM3{*I$f%%Kl̢:ff̧EJ߫vGcjgm|) U Rz8RB?"tMU?>D#<p ! cGبbtnjt [򗤂opy *z) $>`_%Bwԯ]mk"Je*|&n%Gԟ?eBIPr΋p*!X(NX-_s\D1"hÊR,SS2[FdṤ\d|>tEF1q_xKz #M63U&m;a/Fhs^|3O*s#8%wbfY'`'5b[(hӺ~#e#~# !L|6-?(A T3=ҢĜ+DpS xej@ SI䅟ͯs37>_3/\ʗ>])> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34137 16106 0 R >> >> /Type /Page >> endobj 16100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16101 0 obj [16100 0 R 16102 0 R 16103 0 R 16107 0 R] endobj 16102 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 305.5249 122.692 316.5249] /Subtype /Link /Type /Annot >> endobj 16103 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 305.5249 160.653 316.5249] /Subtype /Link /Type /Annot >> endobj 16104 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16105 0 obj << /Length 19 >> stream q /Iabc34137 Do Q endstream endobj 16106 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34138 20690 0 R /Gabc34139 20697 0 R >> /Font << /Fabc34140 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=1W^.NTs_R!I?wG?{`E'15V-dQaa9 }' #Y~)ʜf< ǔ@1r& Ej92;0G g{֨] QbѱAnEMdME4 ~UxoBDM>5TϬ:JщWlVbVazֻ^k: -?=&CO4k.$RG"erk|Z-<8יq|mau3ͪf//d"W-j}'dH5aSq ܟBd#S:Q|sLѨ\U$x2X4@wP".*x P@ NЋm߽? endstream endobj 16107 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1466) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16108 0 obj << /Filter /FlateDecode /Length 6653 >> stream x=ˊ$9w}[OIAEdzaÞjg0Q Mur^23oZ$;G|:8UlpᲐTV6@Hc kԻVJav g6fpR)6]YVTC]I8ZߨW"f^u@)Mzn̼^ȝ}qfJY= 6EFvq=Hjof8ݨ:EB(EߨY&;mԋj ' +x.h@ǵpiPA _35连NBQr]Y~غkmAua)/_4 J$\ç._~ dߩ66uz/)k 0K{ :^ß$<& KP5+ -a nT? 8_>CR_yPGϱ|I^_ٍFV<MPis[& D̋kgj{\u[}cp$|a8/.,6;i~~v竅 O?_ ux:DŽ"3:pg#wZs/|I>-=4T7x1:@/ltO˔)cy ~RM{7fȞɉdcVoF}ѤuAmbBK̨8ɽq E' + dAG9 Ŷhޣ\8G8ѭZĭ:],6bd^aF! AC\#ct鵙@5oͰɠ#,[&XcK:U540xƛV3@gT? 1n j-*uͨr]cMm*y;e/ЃNTx빩B >_X&$KtHh{dFQێMǁIelb\&|$ѶG'/:_j{h jMS[TW;w/Ǹ?ϥs#pL[rRLFn&Rw?M/'ᆖmM}d”L}˜M9uc%,ל1EȶdoULqW4xnNþqdNw%ȰrfSMO35\yI,C62u, P?wMЃ]Avf:,Y%iTj!%)l{KO$5Cʕ~?2ݓq!>wN|;CdjV-ƸG4Nr8"˻֜$;,czYMr<}gonfZ|O S"S_&R#Q&ٝt߾{i'-uz#ss].uTN&POH^#)`AZ\w8x Y4N@kܷM_{Ckwl G ]1+ǞwMLHdH 498^̱*M&>+QܕΏqw8wzdeXZ)k$4#)͓W9Qn.d[f׹ H n&c|l[&wh ϖo tn@ i߿ۢ4+Y~;!F3Ԇs7VKQaѥ (B0^$ Ry0LwZwħMwQw`ɓZ D`畲+LK9%x LZDLKB"]MXDBfb Re<\[݈(cYTL׾vSK4Ԓ L+W[f.5 ]]e`􊘵--3XZj$"0 Z.%]mAN=*mwawaEpX'URSe Vhz[Zl:uXNƒUҗZ$}쵒WSDV. =+TK[,U y˙ΨNHf$ܙ&\m:چ n>a-U qmO\;#R44. R>&ؓzybFS?BjmwZäǥ8ŝR.a~oo^-;}Ą*рuy|ŔMWvLieO2{ع'&;#O#G6Gp.>4GKp9s{`Q'YFfQwJ>jQvJn[76s}⨄&xxApq؄$xA0){jRcnnwOp=|$GLϜ4l6<=8iII _슙{' GNc|yn LxN,d&E<dm~ds  4i 4ÁI A?&'NGvi>1hpyKv >`*gqXLx<`f񫰇C޴YPQ62ۼ] EȃEnf9s@J;JV,&Fm?[z dž|<̝wml1 Z}y <@ڮph=Fp0hx񸹝% 8V6\7{IW E(LXdm&mG@dk9jy'B\yP  -.WtԶzK׈ͺ3Ac0#GVEO\w"x Q+-ܪ'sZ\堙NxQrϬ"߂2v HK{]oSүdv#UIAi!30rkFa 13cPoàDEh "_LCN y HM`A #/ H4S)3pA:J4F? kÅl "zigRߙYŤu^DE 5ֲƒVt ܢ"p`EeUѢi4ȕMX+7>vɤhZdUH%i h/@+(&@:*i~7'^!i^ (uQ QKyZ  攭8d~F=@CƑ0 鯕-a{hs/$ ><(ܪ7 )R">W@ p &o] nT T%}EW2fq{",K-(- _z~M%{I-P?XMn|@tüܮw%Њ!V(49YI_u̯*`Bg{/?i+Z TU-l:N]ORm%"H[¤)#>js /s#s eb5UWk>R&wr%`DBgp>b&cCnnqk ?J  -Ԁn/86}!q (o6IE 9Ozf=~KX#}2EyڈCqzƒ0k*m9Fb`5U_3kNaUYTjRwk*H*YX`Fb L1GOMA%HUx V"g/YS`X bVb/!1lMY,Z%A0HC0 R;ԪR,` %LN_t}^ǣ%ŗ6⬀V"0AEe|1."U`PvqY}|Qu4BAVZ.#xnêBe 0@]J*5 *P«P4d Hz%rJxuŁآ7~P\#)_+p׾6J 0{Ze -z5$.z۶:!0'ϰ66鴩M n%wz/0:)NzD(H(nU(X)|P{X \? dW|kph+oayȠ]o ؏!y{ߝd3P=@0OS[,-m+L.q4kYοq|2՟/S.O&EZzZi/k0#<۝KY)I]Xrgu@t(Ӕ1S\s| $*.w>ʁ}rtwn24߲2Bk*a6E1yX}>vL?6:x'{.Xn,?YS]_Z+h&ۥm z nm% {zM{h039@wp(`Ti;ó4 qF ?zm>4 0Kn*V9 %/I< ,"ԕ ɼ4ޯLÌ ӆ|rl !`8O9 %(hdLD>U܁`Ԫ+׆>sm*oV#`]0NҸk[Y0#:Zt|̋\і[ sG$_ Q { ;qV1°s @CH>`>~y1E~[wq:MX"@WTb0s֑?Zf#Zxc:Րi/}2~>G;n Hw[j&McC(imN:IlE S(aF"&@d t3ࠔGP\S0 o9 RWy{-ew5 0U$ENnM;JMع4H?@̤BVM̄O)|xNp$!ge0`0qQxMM_I[90G( 4I1]q$x6J&tޖZV\8GA'*S}"aqJhؔ8>;7~ҷT:5ŐKHTJ=S+Qj)OdpœS) !Pw TuD$ kL&vaVkmI 37~Z6s} ݃H_?MlMks qK-K3>PSYuS, NX79 4wnɐ~#%3 y~¨_pp=oI3 _;6?һZ`|-jWv[\wu.aҝ]g~V,SJ;>[x0*c_/p-y@!k"u~O!/o endstream endobj 16109 0 obj << /Annots [16110 0 R 16114 0 R] /BleedBox [0 0 612 792] /Contents [16115 0 R 16111 0 R 16112 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34156 16113 0 R >> >> /Type /Page >> endobj 16110 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16111 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16112 0 obj << /Length 19 >> stream q /Iabc34156 Do Q endstream endobj 16113 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34157 20690 0 R /Gabc34158 20697 0 R >> /Font << /Fabc34159 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16115 0 obj << /Filter /FlateDecode /Length 3899 >> stream x\K$ WI'0`ۇ C&vz{6SHTKw?>j'^)n  /Iˏp℔pU +-AJmy %\x h%3L>H^ _)86NS1&Ry6pG-&5Mv"E*1[q1u8@ȩ¿xG"C00Y~k)K(C4#C, fS(2(<)п$%H]"O3vR! fЈHG[RӀ* V(z-[gX:yƦ*'݈ p %9#M+l)?3~a_aek:שMWuM+C-QgZj˹WK3l$؟rIA.dx!yV \fzq$Y>#2Sb`P^iU%ٺ;7 E! !\bz JMȋF4ёmtʱdav΃ֆ3Vm6 fbx]ƿ{ i7[l^OU"Z'5?i FZʔMpB ԁ#JLI?|,R#M-ݭ=U^7X|3pr&d bC, 9h3( \Ys y)M8{!;v>QUc!;ؐs['l闚!Uw +?f G`:V!9V)ި]QbгMGL {S v!GR.6j׷%l3v*/1T[dhN.ೝ UBw9KP$'np7l7G1UA64/*CZHC-^CY 䭙p ONɡ G~r- x]} >\]ql{cc= n? Ob)fN۹q?{-ɺ6|kELm_|\ϋ=$+ !ʇVfk֒g*μ٩VsG8ۊ8,u ڎ͖ lf{LoD\`tm3Q}Z~=& ~(xegQ^0&ZNm p8N@'lPF03_YKmg"ob ҥ흻eIehNzԣpמ**w;Az+%paW~^Il,PV i']]|Q{N(> ?ö/fO&Ipi6ܿΨ{Հsx`/[q| 3sto6ukOh)/ O:&7"w> E>x (q1IQyL,!6_ʸY•=Pҷ?7uŬR<>WqL$U;&7݅7\J ׸ܽ\[^ql ${L&oXm tBn8T!9OO͘t_ZܗIl7|npw}"\L!pCO~p%)-L}upw(qͣbS+单,-w\_ܤ/.(:,Zxm/ /L|Z '$R2,b@/u*E|jmc O_QGGO܈ha³w]!#=jHw۔TH#TUҌH[@v9'<)9[ssg3S+.J=q1dߡvn`T8ܦ I(Nqw*a N2z(aқ׮ *& R9,"N,$w42$rD]<騴 ߲}2x qXEpYg(C ɚ*ךp%Nc0FFk"n +ck354M3H}4=Zo7>~حצT0^aZYpElW4/itp*T k?@2w [|w>z%@Jmzxo}d8nH FeY㬞֡t@ҙ)y* +t^'GM5l#HNMv=njZo{nk^5}0G\J||[|O! !}@hK%K" }Ħ q ɚ \L.?<y,I_>1BYBu|E><ԏK!CH^gBrQx<0O gG ~>y0&3l>h<Ig9@e0]`ǖ9 ^5gH#NV9_L,?P>CB&?Cq0u"{%A9&*3 endstream endobj 16116 0 obj << /Annots [16117 0 R 16121 0 R] /BleedBox [0 0 612 792] /Contents [16122 0 R 16118 0 R 16119 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34175 16120 0 R >> >> /Type /Page >> endobj 16117 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16118 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16119 0 obj << /Length 19 >> stream q /Iabc34175 Do Q endstream endobj 16120 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34176 20690 0 R /Gabc34177 20697 0 R >> /Font << /Fabc34178 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nHЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16122 0 obj << /Filter /FlateDecode /Length 4555 >> stream x\I,qW@@w+s>:=~f."RU,o3$$guы¿=b6Ż%iR?˿^4/ R)h%OJ7^RT~Sڼ*/J]]weNۨ ʯ̳f`JcC~'2Jz>/2ԖYFmīhtЯ"!<^ gCcq42x3;'Ȥ}}DeBk sD(xwc؏bOZiѡ`~*"D1ups?|h^ܡt~̙m;Ko ѨOy *QJo3JF aG 檊p4er NԕI͔LR>TՏb[7H1 1^u;_oܹLĂv\x\g6-sCR}b^dUyO+D\&2 )Ff`\BC g0MZ(V e &,+iOCJUһX;W*Lz'/U;':AOf 64:adig(]ryPP)P;iD\qbbBi 5$HLaJUb/ꭐAJ&& $Щ c*jLF9O~)0gFd./$dm,$;ծgWHD. Zt1r/%s6D,Cn>l'Wki!#)$h-ZM+ G.\-:Ʉ:idBGVˈYŁ&> َm,&aBP9H@a#DҗƍXBfK42Z\H`lb2:fo^>0Qa*S0zMNce*xȒ˟ :430@bA{E0j*p^dr D^Ě9 HeZwm SXިR-O5iB2j=iTcQbj-&M0:t,?O)ϮXiNeFj7 )b,E;It.ZVV#~GTd@) *t٤@*1S[ҖkLhc+HYdCECNV@B T"i*H¡+֒&.P]X]w.(5 PDٗq$ޭlvOIwDr*ۃI"q,q9Չh +AڊT ܹ'_&X7BtK&nċ\KMъCZDro+x@,%dSh{Q98dɂbpƱ,QNJLVbCZ úiURiD=_:Ou)O-UTXƿbVPV8OU5p4 Uwq.Iș&Igߡ`@;v(זoZ=_S=oѣ@)5z5P\jm鸕Kp@T>-u RKء4Y*ZmQ,n(/F$yZ]$NxOo? }K82=ْ`|ty+,pHlFBشuO~hT1NАEUh1 _fWoT16a}+-ܩ a)D {Fl,Ŧ@泤z c`JSdK+v>ޗRG#GGniLYѓ oyia;,XecS̪CehqzriW3aND6,^<A>8i82T`;"#w)}]u__vr"V 7RV,egf={h0"]G^!( nrmtX7߳exZ+U87ok(cf0$ĴlndZ;e煐X 򵅵n/">ڱXsݽЖp@[O'ɭۛmNR>\ƒC_@FL039JqY |`c>M}u g̐٧@U wۺ/vBݍ3/SpM%dk]-,GƑݡ":YA}ʀzid wF:ŮjGkb@4ٸY2Lbra{訦3FZ?YKT0mb*ŃK,~ 6g'ȐLW. 6f|| Si[:p%߲c܍ |"|JMT/f‚=5 a:PNxq4G9͗)b)Z^`k-4`ETvZ1b0rnj5ZK'-lǡLD]K PfzC JߍbٔGH?DUN; Y65bϜiU2_q]30~]GJ_1n-oDģ7W6^K=V(F+w]ܴpZ=񗮢PTatlpUKpȤ@o7KWK X.mP,S fƚ,N W/>}ȭQKeivJud.3{[ ϧfL(S9?lETLC>4 >:q^dW; .~ 8},}.*V=3j"i>(up"o'{_njVYMR7&$V8yQkJʏA"um༺7.|s qkx:_qWq۷p#βr0|N@%BHXfd̋^/o}c_/t _{IRܛ*aRK/Z^xƢ5Ω9r2<"&4p cA.P2*sZ\At 2m_:]q=?Ivf$`a.iXՆ endstream endobj 16123 0 obj << /Annots [16124 0 R 16128 0 R] /BleedBox [0 0 612 792] /Contents [16129 0 R 16125 0 R 16126 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34194 16127 0 R >> >> /Type /Page >> endobj 16124 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16125 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16126 0 obj << /Length 19 >> stream q /Iabc34194 Do Q endstream endobj 16127 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34195 20690 0 R /Gabc34196 20697 0 R >> /Font << /Fabc34197 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>N endstream endobj 16128 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1469) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16129 0 obj << /Filter /FlateDecode /Length 5695 >> stream x<ˎ$9nw}E dXhۀ ʞ], \&)Jb("_ݽ띙L)BŗH,=G*vy>d]/oocn1z :,_YE/^j៷H/ک_'o0k鸆4N i7#Yd=b 0/%x֥|:pi>-|E$N ~ZZFA;MP{/%X?)0z6遬NE8"ي_ +.hc[vEα"͈-1pnUF;D9_i;$C\m 1%^sRa[R߱o,db5h}x0! QO@9+l:߉*]8" # O0&聸Vo6skKQۭ\==3C;tK P LmvFKnM6iqgOvo0-elF;2"Xm;Y .IJ`"b`@#_Kuy%AQJP |YFў}!z3cJ u`tOqvR$e;KMk9y&fMΑz9Zu  R~Ie2ñMdRw\k&wgb7|u7l-)@1E8WckT㔦Q,u4`<ϕៃ$EM ^4suXyp=({/t\zs3 kLc  lrvwܬ6~[ovZ_6dWIz; @%bldg(E ٨(vAN6=֙Hмző7;V]lWZbON4lNMGUw3Mݡ}! ҫe/P5/~"EyЈ9Dd.;%6 6c 9ZʆF[vȤ =b,8' \ KjmCIZZ®K5lq]7xP=l4%ok#3mRE,zc"z@x$O k`6$f2;l:X)ٰ sܳ?w5x tU 9`l;g`Z%*Ĉt]j['sӁG F a2^dr#cA_6nY7aDt->5^&`gkA"@/%yġ{Z-u8ZB=Fb4&ϸa^-]C: fPH39:9 fׂ4r&0 R(JÃ"9GG7 aB쨳p0 e<7ԉ hѥA:b2 inJ8h'@AbFN@ߖ_Eq,0xnހ=OOЩ//Q@QVI'(ѥjYp>"#UPv̚#%e0 jPA rU )6WX,hQp٭:* 5 :PPh:TC aBB_ߩq:u(::F8]tF$@T`{`${I\I^uB!>1e@#(ĝF%uF0cI= -6E*9x(9ԩ|ුQ-b lTMw^FB{6=v9-O{7Rs2qbN Z²MYYmk AY6{M Մ@@[8#vBj#9>xu\xseV< Ia\x$x*M' L,N%vCS -F\  L|?F2f8C3e6L7PG1H5i|NJj։T{miqqt!IC]O87[+'-Ji3! ׮q̎8]2tsN\C{ ؂#o`Ld͓rj_ҭ"uE焨,cG rl9r;-o=@MJTR+l Ui%9|ܻٺvY* E!2?52W\wpFŘVkCE^;8㰖gV%nfBMUƥgAPǵ:.apSFT!6*Ȏr{m&-1a]ެ܉Y6Mw xl%sdx}x$*nƭ"oh.@Ӊ+ۡHc;8iBqiWDĩ5YV&擊JHݗXvo [Qr%#'FCwœ6.QT3x\^v}js.aN vBݭ\ XsܪcI˲d<" ?zXsMޜאΝe'\ gPosfcI?&E A߾LTdem<(L }T8TV6t9g)p*oT`_Nԕ#Ϋvg`n OT|z7,x!t3!@J YB _7C3%Hf&L 70>{2p(IDk:0 3F o P(~/cdp}At@m_^qv-_3Hv!`a.jX# endstream endobj 16130 0 obj << /Annots [16131 0 R 16135 0 R] /BleedBox [0 0 612 792] /Contents [16136 0 R 16132 0 R 16133 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34213 16134 0 R >> >> /Type /Page >> endobj 16131 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16132 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16133 0 obj << /Length 19 >> stream q /Iabc34213 Do Q endstream endobj 16134 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34214 20690 0 R /Gabc34215 20697 0 R >> /Font << /Fabc34216 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ R endstream endobj 16135 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1470) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16136 0 obj << /Filter /FlateDecode /Length 5849 >> stream x3T=z$3IxGowŸ}uznKo5۷_{[RvJzoߟI7Ui^_Ru;ówrJ¾'(}~p/9/9޴WϛЃ>CW "4 O*7.4֭ 28 |h0{KOkl$9 SmZA4dq\fKK 2VO.#:希L970i&S^^{ Ĵzm/ONR!tX=}U'U&P^\`(> ZsڪHA^y ϓlw%F~m)81U(e% x_.(LfMTZaYl,qVKBJ[*N:H˛^Ƙs_ۿ?#6 C",~ N9HCf>%07إ[b@j5pd9yu %( *\12,Z'hbkm/X|caPA9 &c$NZ7[ У#{L]Pޢ:x8i/n2􉖡'K8A`WHC} flNIjwjx8ѫP'/U*pHp?mό̸F7}V%l J%I+@2ִ>EF^i CX@,B^7vF Úˊ7'6AKyX$Pn{򼑫IR$ y0 Or7 @S+*dJs -{ /<.__5h[ԱA~R]~HS/x/+)q[$owUpBG/o(x01)04XLsYb?Ab>l }JMб@ZF0OR 7=`bC̄U*OåsQjWho,93hx#sSkM:,}1zZkkQYz)I&z%#u/tڣt-S_fMpAtR}'ienLK"*< _ёx.}geGvQӾvHLzdOShy`:Z֢^kBZ}p׶+~F%(1SzJR2"C2K_}6Dz"nG *Qz0ff GW]A2{-<(FeY#0 d\Xl)̕}Dw%rZY/! WU6ъ8 u 2Ŕa6EWgp;g'-XioN֡ + Ae/  I>ԶK@5= kJnn2NT|/'1aՠN@iEfѿB$X~"t 8j iD,C){'^RSI ^ _( fJ|Ѩ|y>.T>s޺s1*zҶy؎y6/w/؅gTgi;kǙ 0H5.*D职 /en#}>^5Xi$;47Z#ye |T]MVƟ'F8{v6XԎLt.r1p3~J@ ^p1/GWr LqMxKI !S 6P[.^,>m2^w! vr|(tk6FrNOAܜvfZr]9l]bj-e`F&.)d6IjyEd}AB.sj_cj39O4,L]̑yFyMs,rTr@s0>VXi(Gqb",}rn7k/1u7ap44μ5Va^=;e>5W.tr(}N?/;V%QS~?Y}0ڣ wuQ\{i=2+f'Q1ێm bc_=_1P|=IyOcҞ-,Pc,(6^OŧG&p初z yҼ"a=$ĮV`象#a>Yryv1ѱ]-.ʏ?b?PAtݸm7{l6%U/At!W'ڕn0Ec`TJVlC2ܟc^mb*@Ytǁ*_ mxrq^v&q=tς>qOD0G7\ΐ38l3Х\āb1 J!siT'7-t|WGQ9U߀r^Ƈ坣 '@0Ҏ.6ī @[@. ^0E*FF{ O -?婏gtEfys}9C:i86* W^SsmhMx#N/30?Ƹ.)W uu!x 9xйe%(&a` "f endstream endobj 16137 0 obj << /Annots 16139 0 R /BleedBox [0 0 612 792] /Contents [16153 0 R 16149 0 R 16150 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34232 16151 0 R >> >> /Type /Page >> endobj 16138 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16139 0 obj [16138 0 R 16140 0 R 16141 0 R 16142 0 R 16143 0 R 16144 0 R 16145 0 R 16146 0 R 16147 0 R 16148 0 R 16152 0 R] endobj 16140 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20210608081201-08'00') /Rect [104.1732 202.7501 184.1267 213.7501] /Subtype /Link /Type /Annot >> endobj 16141 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20210608081201-08'00') /Rect [104.1732 186.55 186.4147 197.55] /Subtype /Link /Type /Annot >> endobj 16142 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20210608081201-08'00') /Rect [104.1732 170.3501 158.9697 181.3501] /Subtype /Link /Type /Annot >> endobj 16143 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 154.1502 165.6412 165.1502] /Subtype /Link /Type /Annot >> endobj 16144 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 137.9502 218.6612 148.9502] /Subtype /Link /Type /Annot >> endobj 16145 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 121.7502 166.3232 132.7502] /Subtype /Link /Type /Annot >> endobj 16146 0 obj << /A << /D (unique_624) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20210608081201-08'00') /Rect [104.1732 105.5503 186.4697 116.5503] /Subtype /Link /Type /Annot >> endobj 16147 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 89.3503 172.9177 100.3503] /Subtype /Link /Type /Annot >> endobj 16148 0 obj << /A << /D (unique_575) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 73.1504 178.5717 84.1504] /Subtype /Link /Type /Annot >> endobj 16149 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16150 0 obj << /Length 19 >> stream q /Iabc34232 Do Q endstream endobj 16151 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34233 20690 0 R /Gabc34234 20697 0 R >> /Font << /Fabc34235 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f}#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16153 0 obj << /Filter /FlateDecode /Length 3905 >> stream xɎcίـ^/@K6rr?Uŭ3ШY}#%Z*_1lϴN&7q"᝖_~kmXR+/|;+RFWͳJ'T͓oh+B_qEFi͓NK46g'y$%$?" gR>7sS.|?]\uk+@&h@> -]ʤ$0rG_P0Pn*TU6u YÃֽqD/"Ms+#qh /w g0TLlWs)WYlN{sA݌{\CBeS+D#̚%4Fnt*o02*ܞd<֊,U 1ZU>sM:oV[%kLcGEWoVP E8qo-"sW eQd1muC-]`hͪF2qgĦ:ja]3˦{ˠsv) / NKL5D.8бohyr]U .2 $rBSUF'2udʺ=$r@80̖/a1ocL٪}C-r S@ÓqQZf]aԤx2{s)igI=>ڎ*?ȼN<a\ERxY}eHIbX`}͋  r\LGM=_#R ;jfϭK iN;\'y?pA4 5؅wЩEg܅Z0$ͼ3U)aR$w!R2[\ 'C1:7'j +2#i.FZ |g_ $С%& x^:Oz1xŻf~"S9~ =p6 ֣ɟ dJ7:L-ĵ:7ܱB#TU*8fF} nC{o^"nV1hG턨y' +X&Cj"f$~W4KCfnriA.N掕PEowsHn*3Hb/fHWO `OSVߘ &q"g=O郴<^ΡT3A]Gz [ 8ٓRSk8k1ܚ]S LN$C9ԫ U)hF^7;-iq|! Y(6L(-Btl,OߦAeZ-J"s=ܶ`qs~).@ݻ体9ӢNxA)A6(J@cd606W9X 6{aIw.nKll~:E>&), U܎͋9q( uM&uPwRӟ٩l-(C}_yp<"}\~2'gh#$k$RT(Jo(Yw\~M׹C 5uIiE#6FJ$`M;|֝"*Y!u:ŵσ܁F+xw6GZ:%Vw88f{^;qFgWV}~0wzr 6XK!3m::Xr- fׅ*,4SN/+܇kX+wskg`:ub^aUjZ>FVxstPp*/wpy_7iA3_ॅ%quA7>"I t% |_Mkgቑí<[xJ ,dL`\ NyݴMGB8 x$! kۻװrQBYؽr&)8r=7OX_Ɔ;9ܛxǥdgٕp.L` vyݟl| D&pjxt.9łM" 5D6ߝ컝j9PQMM>_s4=ĚV1,X{*I=W!u\ {6q_DtٍN6*E Aݽdpʝ#ugGR#` s6",Ѫ/"ۥ ZӭۜVGV`t-zWq9KTe#\oz$E>U\騤H\E]M/`۾t PO&J+nv-bf(%{+$x" m8Q^H dj¦/z?kCGna0z`k|F|﹋1"%fXxݠeN v08`pzŽIZc~qXOx- #{$K1:G 6I<{ZPJKwΦ?iEBV̭|z+~0C%*iBILm3ޣ?yegtd?@b7fM HփjAן@OD<AH?7XxV_Ańʼnߤ[',ޤ6.&b"_!څ! ,(rb.Ɯk'/]z6/Q>Lc8j Ow~, -t%k);mcXa*ǦvI.7/1SۥHp8$3Nfqh`]&^S6bBzuNzdT/ƊZ&|tuI6#ܓ{ɠh0]Rɓ IIiɬ?T1k*ikA=+ȑ, WT/]Ԣ_LĪ@G.V%?A/;e}?'oSĒ)UƤ߿ O£K/SG٘ުgprN~ }s]`SO;geNmMO|]A`—@f#t_1r endstream endobj 16154 0 obj << /Annots 16156 0 R /BleedBox [0 0 612 792] /Contents [16162 0 R 16158 0 R 16159 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34251 16160 0 R >> >> /Type /Page >> endobj 16155 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16156 0 obj [16155 0 R 16157 0 R 16161 0 R] endobj 16157 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 177.7027 709.9] /Subtype /Link /Type /Annot >> endobj 16158 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16159 0 obj << /Length 19 >> stream q /Iabc34251 Do Q endstream endobj 16160 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34252 20690 0 R /Gabc34253 20697 0 R >> /Font << /Fabc34254 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16162 0 obj << /Filter /FlateDecode /Length 1136 >> stream xڭWMk47 W\؆0fz(=[ʦC%Yl6o(%ۏ}x7p7qW:)BqB{ngv _a)]|\¼Ms Ͽïwy?#<ұ[<(4 ?tFBlƾ5 'x~ 6ol5"v6jahԑhS ́*unF,,NH f̅ _!T pl=Y4z]'Ht+dgD.[%27f>țkmͿz|hSgx3&;ʻMOOЍD^G'&U-s6@roDŦ{pBt_߰9E EX8x1; m-S0H}-1;;J^O%FbMՙ-zJսr']̦HJe ]lW^(2eqTT?2Ռzm[ud8I˩Z@xn\}Wu d"cE},`E%w3lB[ՄIWFu_Ii4rԵ"߽&]TtI,³x \9SU>`JB6Db8nrIB8>Om. pC endstream endobj 16163 0 obj << /Annots [16164 0 R 16168 0 R] /BleedBox [0 0 612 792] /Contents [16169 0 R 16165 0 R 16166 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34270 16167 0 R >> >> /Type /Page >> endobj 16164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16165 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16166 0 obj << /Length 19 >> stream q /Iabc34270 Do Q endstream endobj 16167 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34271 20690 0 R /Gabc34272 20697 0 R >> /Font << /Fabc34273 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16169 0 obj << /Filter /FlateDecode /Length 4596 >> stream xˎίy+|Sv& l;%*>4eɶzE*֛A f9|{R&Uwv:)GN _߇|ܘArS2*_|e>xbI.C9:^ @Im~vBiBUaxʾ{ 3rx Bo 矆A>y[|J(RV =ÿ#O×c5ʌlg5yt$FK躒p;ɹω@=Fx @~WxZ| =W|TZ'<|3qR zā㬍/]^p1˓~Oc8kMZ9 H>Wʗ3 s>o(=ˁp??+$+.,2QBwEkdpV:e8>Uԡ=vY_'Ii#a^caI&9㉜f-K瘄n\BoSR\y.sy->u*!ߓ)yPy{o[9& ^cFz1杲]4c7>wO f*2goz2)W8@M&k8|pJ! [KC4mT)8q·<_:VZP+zBe<)4%դ1h=Pjt*7͕npS}'iYNr}M]~_"e8ԩ8!f^9adoO˙^=A9,+1w9k"^7Tۼ_bF0Wͭ k}^MhF.ؐ={ya{l-y{d}챱Q{vbGFq`GV:}UZD<|(4p)`%3=Tz4Z]8ǝ6v!q_` c%i VHT3|O[ >GLC_u3X-zqCTXaU xp'x>9o"I;\?6*7^F>&{x_Dض(Om3TN!3N)L! Gϥ2BJ)*`òYZ*lhk4rÒ+;^Q4*rIɢf^hީWd=5G " # dx1.Wws~rB`>et Lc`?guγ(+M*@{f0%-881ܵ9fO˦6 d֋ÆvE λYl8am}Sn>YZ|/aސظm)]y& 8Hk/qyd|{ʗ:ZNY!հjxPAHt^vBDuN}fXuuTT[׶oƳbDQu悶FU$َê,sq@3GTq]Y<w|TbOLUҒ0WU=v*qnBnX]ߢ( f1d(;͖u=]v=tf7{F7&/a7zWnc;ܻsl/ϝa)8e8G 1EKpd\\$`zN0?- *"bmhI ]WKaOhxߥg5!bn٣#f3{{XGin2 .u3.[k1ɠa8{ȇG}Asهo9h[&pIPU1Wyw$@Z7c;-]\ {&-\Z4q)왴5K~?As1?F;4VIۀC\Z1 vq!D41w eho<FF6G1n!()F_=OAFNBR>֌㣱"QZm& ql˪$2˳)Y棰Ma^BcE.Q:~@v\jMmF l_b.JkW&-~hŗig-kZ0EXG>LmF))а#q3"|c6 ravtC&V 2PF6Ԟoh43!8;Bv h:b8cMG\,tXn9Qu8&qVh<7aQfNuRWdnP_0IgLPH"7ޛњB1M)vUjT4B z56A{F򱇕/x-hJO .xR&\~Cu7 R.N'@|>]ir.Fy`SG#P!ޚ(C@!_/!kIX  tۚĥyN;G ;?O?`KEvDz5,\rMjVq|xB+aT(ŌJ (iCKʕ"A̚Pxr3t5l]FTDLvoh!l-fIڜ l0?>v̚'Fj/-їA9Є’x79*))j^3ibI_ X%2n`ALf~uY_3AFG9{¯^D>*KzejwbQR /GjPg/,oxea.ZAv0 endstream endobj 16170 0 obj << /Annots 16172 0 R /BleedBox [0 0 612 792] /Contents [16179 0 R 16175 0 R 16176 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34289 16177 0 R >> >> /Type /Page >> endobj 16171 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16172 0 obj [16171 0 R 16173 0 R 16174 0 R 16178 0 R] endobj 16173 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 516.925 122.692 527.925] /Subtype /Link /Type /Annot >> endobj 16174 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 516.925 160.653 527.925] /Subtype /Link /Type /Annot >> endobj 16175 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16176 0 obj << /Length 19 >> stream q /Iabc34289 Do Q endstream endobj 16177 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34290 20690 0 R /Gabc34291 20697 0 R >> /Font << /Fabc34292 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$Z endstream endobj 16178 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1474) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16179 0 obj << /Filter /FlateDecode /Length 5918 >> stream x<ˎ丑w~R\Y7 {ſ Y=3JRd0QZ~[̢^RK3KK6:\Km+Mf rBTίf7tdd69T!=5*{Uj/e;6ճ_#z;WO~yI/^BDDe 4u߿_?^R˯Ck1_h`k4\?_TuV.w4ekE_E\%m|R)_%q8&%}`}{9n* [_uy7{_˗?ye>fP?Ƭ%&E 4HsO}o2|!ꝴOe(0iLJ@;cDF@ ^?-sq&<Z" Jt<"~OFEɝ* CO; 3??@\ڈ@[퇉K{ϓ[n)›)|͵;e4j:뇯nת竽cGgohZ_N-qݴ 3 [v5ld3G#5=Ȟ3Ꮹ18a~'>TڙlkZcķؤ1d|f=8 SRU3qq:vL>ԃGe$uߒGj'sDjqO]R=fQ,?_)_M鐉khnu]A\Ig'vM,%:x!'NoZW-c_Y7u+,) m2ʜV < zG[`gO$GtX :1pXykay+GgiȍM@+  (5dQ!&*uUw82Z4簎u:x+@Ko\"(,d_#Ⱦ4̦e_)р lFW,]j+m'W|JpeP'ĞZڵp +CTJ^M0tY#3T3rB;Ti(Y,9LWrHZK9k tɚ. j3% F8Я79`曡6bbb" {@U69l"!h+&74G4?',_4#_(=eAH*Kvw' &ye0`w5i'-R `.;vIk5{rܥRI$)V* av-jh ].A$ GQJoL ۱$C 63}׸#ykd88+PjCi GȆ:C NE<#W$空𿿈(GCaxK0ӂ+!Ń8Q]1bCSxQbn@g x P?crhOP5-pR=gӠ`FC4o`ܗ*>Nov/u{Zc^jK(a_6X2K<3m}Js?)q4ix F[ECMҁ9f  DA,#q*vqWeF"$@f|) )a`^F[Vp4%%˚L|RYҵfP|*Rt`7s2Sq6eCfXٌaoo"~Z `sX\f]Y)>gƓ?:zF λ=XќlUVn*0^¡G=C8,G\p@jǬ?'.CpSo: r(c`m_*y\\l ,Y@Bi?zENAa_GE&:i$?3+ʽ #g/F^)`-V(>'AЪ8H}<'_ h0(n2XHؠw k8/cNJ!,V!ŇH0 ẖݩxqSm[b2}5W{2-D'R8cM|qH7,<LZ!Erl~>Jw銌"$q26l&R=$`Dd %R)D\X.YE`YԾIbP%R-S ؅C6hbV"7qsS 3vsq+̄6&X<=• b5k Otm)P+İ  '_ca=KI^XFoylKĹZ.M- p ѫI.R |^JO  gdy^c[1@^߽PTB!Zw+J6UZ-{iFpJǏ6ž8j;NӤ[GYQX6~ j#ȄUM) aE;RFجBWNZEK7 u&B,hKOt͎ {%89a4$GDSNIM{\.rD;NJn de,#a;Fz,ڭ.g-h,y\/>*-);;QGӵ厮w"tBѲH\~(g&ǂjRxyȁل5zI^ff`dVq m3oJ-->"Vk38P[\j(&GC}x@o=5+E ZW6eb),HUn#w77Φʱ~z O}lmˉ q G>xk;Ȁ]'1/ÖnB9G &wڱ~KjƐ` u;*ހۏ7[g AGø!{(cQbCHiրE Ǯ]wfv+,_ZQcʙ[~ G<ͼ 8u19daZ 78f_/]= KzYVu`1 ћJՉ9I&[&Me- d}V2s (;'zYucqXf',c1 C]FC\0ih6=;Q(!Rx.3xОƑnHy^YzJlFqlO.=-]yٻic 0^=i:H8jI? y^\ k=Q%zeN1)'2<:&Sϣ(zd;|6f1e< +mi'`SI:c^}tO?m#|R6~2(Bu*$=Dwo s/f55aF_gF _C4`P}4V&~џL0l:bsX-i~hc>L- 3Ÿ{/V:`>4حuI6j0"|:J ]Wl! (>ax s<;|N .Rm:ގXcv0m&~`SMQׯwՋ&bQH/ vƛH*ƕP~Eq1M_S3<QWԩЩ<\XTxhh"`߁/շh%kķrxjq-qbqb4<MR߀moܪUb14*JwtS(L c%zKLnn[;u!CF|sXgc1WFk`֛})>hظ[ M,=xm||[";?`ioEC ^D@s*qN/Ԕ | #Vyc(ӲWWU|6>o>g[Ǿ|)gBm ~ކ_L֝g}&5 \׮)GX_'Dg}:g!M3EQPF"?\[ep/aΧOۏ;/oef<>M|k߬zgu]4pݾGn!/l׷U endstream endobj 16180 0 obj << /Annots [16181 0 R 16185 0 R] /BleedBox [0 0 612 792] /Contents [16186 0 R 16182 0 R 16183 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34308 16184 0 R >> >> /Type /Page >> endobj 16181 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16182 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16183 0 obj << /Length 19 >> stream q /Iabc34308 Do Q endstream endobj 16184 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34309 20690 0 R /Gabc34310 20697 0 R >> /Font << /Fabc34311 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMO endstream endobj 16185 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1475) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16186 0 obj << /Filter /FlateDecode /Length 3949 >> stream x\K$ W9@+z?AӇ C:vXCH꭪Ǭ7qlT(˷E.=ju)b$o^\ijDž[a^4oExB"5\~k'»';24:q/Sx/"F @ޑ}y •Yg3]ïtyxo) [e, cW(E{Nu=.JѴ"C-,UyIik%$Et<: /^Ko&!+-~s~Gn˽  ɲ|$7AfY4^h[b\9L]8AN  e0Ƭ4ruQ1ESq&*=~m;5#kjQ|B]eMYZn|ڙV'];;.-,jW2:bM'Ժ~ʶG +@5ZU_]QoVܒ+܏/RZA@؅Ƽ䙊uiʧJf'Ȥ L`dDta]nr uS?Ǧ@KRWe2A*JT#6LP9 JGdse-~4Yt!*D #,Ygy~M\!pY~qI!d''~\Dݥ^RQ6 e;J}\[=r8ʠ0(\YV~{=uT%y(Br^ft"vsD- ,bF i+Lm ?Y)a<"8>M#0M6[ MX `0P&Vh\Csx}ˀ3P/O)$p|[s"9.`2ULҊyHжa+/_UTZYkJ7-m}mn6N8TCb2ap ^+TC 50_}e:+eXfq# CtH)uK(CG4вؓ>j:dnŴ2rk|N蟂)``XaYQǃ1CZ#tg3 p0:7jD)LEeJ>Y0<}9+`@|;7tϮk*Nm8cU7٤RsMGD Ys\ G5pĕL%͐sHۗ:mLݴ&R*vADGg|L<H̅`X8R D&h!+е:T֛D.J(Cn}*lTytSacX*^RMN(k=%ԅ0ZG^fK4j<z'$](D5I}-w_PHq~MAPP i. HiR챲+SR̥}J@k*cu$.[3ߣYTRdDz.*b+eڲ{A1TJ>*8AsyltUq ]sU^B[ =Ԗ.>ܵ2]|ZIşq0qVօﵸ_%v-b :6w_5hXٿfJq{Uʷ}مX9/K=O `SbʸksB$+B !\_ ␩}*r@sӖ\#8m.n;@a>@˷KQVoO\bW,֡k2pO{鸊Vi!p(.pٿc}\bޝpY_7ޡ\i> nO%X=$}O^*]@ - fʩ:-꼽׎뾗jZ>ލAj8)Km`DEIim`8C{Z})w=?X./{w6ΝN8fO#1 mIu+A`u?]ōӞ'n0 ~*}OnKot`ڡeM`Bмu~Xq2TAQaBb_5FbeHu&!FdL+]*!>ZXYG?yhBo76 ?@#27)(5LN)lĜVFןqC-Nȭ |Q o(KP=zWYpuJڃ-;6h2^C&ش[,흕/,Y1 ڷtC:]^,omhU^C#vGm~̟ AOrCƘ>$ VR+u-L{Hej,Ǡ6ep=rMYI3"m1[ '.=es|y<_>|%:UO܂ޥz`P8ܦi,W'JoKZYv8sԤʵޒX[:_*7Am1A麃tjTfr~,Li&"v*GT1Coi[ _4djd<8V4qql$S炚Z&ʨi;[V)L/R퉰@a߲e4Hp&m 2ڥdn׵bQGmm7dy'9>"NW[P@qQ+8 ~w7 M' I{{9WPI~>bmUD*gaSo{1}O9S3C§Gޣr?{SYx!# c5VG^4s`F t=1bM7 +$/(IK+hOaX8()m?[S^3SF/ PLU/>%szL,S X8%5κKvXt= endstream endobj 16187 0 obj << /Annots [16188 0 R 16192 0 R] /BleedBox [0 0 612 792] /Contents [16193 0 R 16189 0 R 16190 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34327 16191 0 R >> >> /Type /Page >> endobj 16188 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16189 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16190 0 obj << /Length 19 >> stream q /Iabc34327 Do Q endstream endobj 16191 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34328 20690 0 R /Gabc34329 20697 0 R >> /Font << /Fabc34330 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokWATӡm~b1wE1 e!Um Ln:|/(:ɨAZn\&#₅1Ls4$dqNQ$R9!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 ~TW<;!fZ5Y}ج tM^RuTkQ7^U}ID̵R̃sV7ӬJl?7‹C2O-j}'dH5aSq ܟBd#S:q|sLѨ\u$x2X4@wP"]@ ?%Ρ\_@|۾{w  endstream endobj 16192 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1476) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16193 0 obj << /Filter /FlateDecode /Length 5441 >> stream x<Ɏ+w~EPTJ3SۆQ@e~"53%U0ݭL#E-=WHzy>8D]YZֆJ ru-߾oO˷J|,˫Tx< zLꭦWRÄ-ezC#蠥 ]/OK:~|9JKn|4F'/cZש,0]xcX#W\JA(rƨ @#YcN0̅M8Ͳm6@| KpsE""Susf6&ģ2#c$`sdU&c+ aeYXTSK?5Lߍ) δ)'?WrU{7P¶(7/[6/b}m>Nƃ;`f8A~?K2!B Z4]rhV-oԓHFYsn\^ ^TtVV:? RX@Dse#V ~d#̳ͨ[oF& %[ DЅRe2a5R-}fEtnC%mI@e[d,;"u'sU )& ",+|1Yf;Bkޞg"]L TlЬ*!8Aod@".y؜[ ygƦQW|jO*Jڄt)(~vI#c6NyIj\賌:u) ,){577IQD4*L^mWoxdEd_䭅n\/mg\ڬDםՍeۘh\H*ELZΉ.A17Gkp"?D*@Zr(JldȠ*5РW"r1 #6ͨŗ V' wJ&S{H fW*{JQb;|Jmlu$4`?W-@:Y M!%f 9pW]k$\QWNX٩;o?ڒA@ Z6* $w# UW7i^HMUTwr;V!R@ J mٓjiЂ}/t\:zӜTO5ʼnh#Jdgb@nv{Rg_&i !U@=+t灢sj}ed|8aɩM2eu3LL 4J m Qѻs=}L]}!HNÜkFx/|ZofK,rI!+3 ³ O&50S5)2aHUO(OHTv!"3Ɠ0,斌dT)n ?I! z!-i͘0c͈T )T0|rhJJl$Zh)J[+rye-Yy!Ճ16L%1Y0V##eP -ƺ,O&lpxZLb(Wb1/=S^okX`Y{1NPcsj klJ0:$yrb':<1t8gva*@GJ;ɸ{OLA5EbΫ(Vy*Pxot^MP%%7)Ю8;cxpb6ڭήGeo~GgyU(->*js*!.KCѶ춑0^ޘgEk_|6L:Hr"t c.,.^0?p VfcSE_yo[Gc>]i^Al%-r]]yR}ñx;hs< wCw;դ!@ Y=3 W&98yM, ~(WkCڎn\tLr r162R@m>7ӪH ꎱ2mcN"=}zuå|KrYktF}1V~'*AM¾4!RN\qٶ@ )I> /uHc)՗QM#n0^; A4Ni}E!$8N(>!U{Q[ܚC*BmB B_̺ |,CNGi|ެօN= Nmo i^L~<o%t}`S+-2l 9%v7GMk)I<0-t!"-R$s*dlTz>W\5%l >+2 >~!-->T +C#>̊+?Ƈ6 ayX5(Ko'6DgeOOQ]ޮX{s ]rsQ# ~ƻvrdJJmW^jcRQˁ|h q: %hXOMgQ,vUOZJ-崔)C) f,B&@x:z\J{<鬸-H x4՛RٶM \(S {YٗՊT )paٰflJnZ`v'.c2>2-[k Eܮ<,ROŹrzG\H_ruAFx3%Wb>W+g+y<izk.7I*ķHvgowtVvw,tR"ִ{s gcwUʕM׽{ ||>+VR>XuJtSl$sd_8xJ0>xׅ3cBCdϖ_ +ozd'Uf_p'x2y?P}*awc x~w+>=-O7!ȯeW?\Zn9"D~-nJm/n%.S {tgH"!/h36;Z8T89(~_0u>zcAd+][ 'rCԗw8lǬ'ЍG-Ð d mZ\G sZG6)AF;qJGNP1!VmRR<6VӜy&0T$ h &XCgeY-a$M7mD̋n&/'&re=L. 10 iLhs EQLQE'wscKm?`()s[0,u'<Bp LT;坸hMfҧx$29`PoUџx|?'M1+|9M`VM(sҽ0 3[ F/XgOUs'lx@n^bޮtje8HRUR endstream endobj 16194 0 obj << /Annots [16195 0 R 16199 0 R] /BleedBox [0 0 612 792] /Contents [16200 0 R 16196 0 R 16197 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34346 16198 0 R >> >> /Type /Page >> endobj 16195 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16196 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16197 0 obj << /Length 19 >> stream q /Iabc34346 Do Q endstream endobj 16198 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34347 20690 0 R /Gabc34348 20697 0 R >> /Font << /Fabc34349 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ|O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 16199 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1477) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16200 0 obj << /Filter /FlateDecode /Length 4318 >> stream x\KoWavr[`& oHE'nJT,bo\/ץ^5KxI~[|pO.~jDžЋ |IHx qBVߡzzf Tu!5Wi<4/tKc yQ,·GܓMw ܄:˷ΪFG*>/F#-X ka-NڶL/xƇ#v@"DlAbgK왕 KeR_L_myCt@9Ĥ~Y( Yy^Ӱey1K`%,/L w B&BL!yr1BqH6:Xw##Iī\Zǥ51A!}+Wy+Nv0RK`(o˲Dny= o.tu?7Zw*lN׆Gi)+4 Wfˆ-E7eVQ(0tUd2Sc#Sōȋo׌ev]+VV䣆A2踲y>p`ʙGf+inURd pb|* ܘ9 5>xZV 'țzw%u`u;pVs`I#Da Yj&TS)t\QUtN)-J>m?9{.ѥFƈwLf }+u q9!JW^Q]-dŷsDieg~(D٫M`[fD׍PV:# `*K`o=B[-!a|w0㩦RDM3MT "&bf&TJ./o`T%I"߲{CݭBg0<{6yunc5ZF 4Zh"*PiխkT)$;jmѺ͎آ(Qln4*MQ{6jzj#qc?rĀbrE=;|Fʕ0TK̀)¨8ec،$sL`E56|@̪~ڢji JJCnLIG"X *z%Bd Sq\K 0ot Uz)p޺TJewyӡ_ߴj~SmVRَժu3T&:]s\[[} `>x2믿:翶AWxɍ%GE[IV$6\X6GStZV S׵OISR%Ή0Y9KBj)Et.;T^N59׎dz$SDuMD ,>1u6H,qX'f?`DYC\(w\M<MgSe۩iPS[ۋwk1)g(5ضZ "· ;Wj_c?rp#l#5jFAeavfgE8aS ^WڡnZnh(pG1,a=ȍna#"zxBw49Brp<"t݃pK9I+5XAZuZ:nڑ%kio5/#^4ӠѰvz{җhۙ4,ȧz±Nn25 _U; 0v[;Ȭ%qX, nƼ!}9JXݘT@\-'"^y$}҃P)P/]Ū /jq*5,O&Q7.Zrܟ3cbkhp]J+3gPV8xZz*kDESpIQ*8?ݚsjt[9΋IˁOr/&}֏Ә'dNu2>^u3tYxwġѓ,F|MJ}iY3k$J.Du5I< AH05dBi?Dme&Xt,l$(>u(EsMASdsIHqBoN㡝b⌜k?o%wo4K* ~HAr4-ײy,2}yFpӝvX+c3kWR 46 X č{G5,ӻ9RIo{[DiTi(omMO,%m"Րh]ln(K=t]To[qRgz1Oؙ;<xg{%#?RCU}(A$i*l&G[xնJփ=Evkgi=Q}cz-N `P9@23 Ŀ1[{.PKsΚXkԄYzhIM-} 8%ٗ蟑B -6EBQV/_ڐ{r5sY5uhָ0W?,G_AZ ؞ {7 `b ՈkೆC6]W%UfH9GiHь)>/V}Y׃Y2g&]zu!$k?}E-ݿ ru8rq_ts*'4DѣF:y1}#<4)ǥ>,:ЙI27sqkޗ攁q^ŹlY"mS.Ү}s]vgζ3_NϜ&֓_>1KCBDK =0Kᜦ(Bqwo KMDY|[c)rV i'[, 5; yVKv^|_4ӊDnCJWf=@䢸YqRS2'׳L/v_&ү-\_ad`%L&kF[;l X`&uX1j(zӍ G/x=,qrEi?Q\d:uͻ=k< *T@W<\̱cbmɱ&-]r37KB#id5p?3V[e>?+Rk (YHF65-N2y!պ\!x_&zǬMW"1( 1<=x(ཕs52XKxK¾Ut-3m҆׿Vb잧ĶEѣiD` 32& endstream endobj 16201 0 obj << /Annots 16203 0 R /BleedBox [0 0 612 792] /Contents [16220 0 R 16216 0 R 16217 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34365 16218 0 R >> >> /Type /Page >> endobj 16202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16203 0 obj [16202 0 R 16204 0 R 16205 0 R 16206 0 R 16207 0 R 16208 0 R 16209 0 R 16210 0 R 16211 0 R 16212 0 R 16213 0 R 16214 0 R 16215 0 R 16219 0 R] endobj 16204 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20210608081201-08'00') /Rect [104.1732 512.6 167.5827 523.6] /Subtype /Link /Type /Annot >> endobj 16205 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 496.4 164.1782 507.4] /Subtype /Link /Type /Annot >> endobj 16206 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 480.2 217.8802 491.2] /Subtype /Link /Type /Annot >> endobj 16207 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20210608081201-08'00') /Rect [104.1732 463.9999 206.4347 474.9999] /Subtype /Link /Type /Annot >> endobj 16208 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20210608081201-08'00') /Rect [104.1732 447.7999 184.1267 458.7999] /Subtype /Link /Type /Annot >> endobj 16209 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20210608081201-08'00') /Rect [104.1732 431.5999 186.4147 442.5999] /Subtype /Link /Type /Annot >> endobj 16210 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20210608081201-08'00') /Rect [104.1732 415.3999 158.9697 426.3999] /Subtype /Link /Type /Annot >> endobj 16211 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20210608081201-08'00') /Rect [104.1732 399.1999 163.5072 410.1999] /Subtype /Link /Type /Annot >> endobj 16212 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 382.9999 168.8477 393.9999] /Subtype /Link /Type /Annot >> endobj 16213 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 366.7999 169.4802 377.7999] /Subtype /Link /Type /Annot >> endobj 16214 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 350.5998 177.7027 361.5998] /Subtype /Link /Type /Annot >> endobj 16215 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20210608081201-08'00') /Rect [104.1732 334.3998 186.6182 345.3998] /Subtype /Link /Type /Annot >> endobj 16216 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16217 0 obj << /Length 19 >> stream q /Iabc34365 Do Q endstream endobj 16218 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34366 20690 0 R /Gabc34367 20697 0 R >> /Font << /Fabc34368 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>P endstream endobj 16219 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1478) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16220 0 obj << /Filter /FlateDecode /Length 2046 >> stream xڵYKo7W\`Y Co P4) @wʎ$;pWN[?aS/9,Y稝O!kv\BO/;k}6Z O3<؟1ޛJ.ǽn&@ОegKB_mLN2W_|xׅx sdO])6<}Y[,35b'sI (Y&1,!*h YԗO "f.41I}ִ69"c1I# u:?שH9?Π#L= @X`%ӎ4yS|2GĪ[U^'*nbٻEi+ٚ39ǻŔ~v{&lcA#iR*m!h6dQ9:q)J]ӡ;Cy0oF#Ǿe'8;Ecy1t W 6d2(`.]f|)=q5o\yђ߶ U #>eDZ.P'JG$qsG!4Da:NlQw<΄~a C#9VwY|m'!A0A"؏z! bG\=-}thYRFr}3]f'IO+o * Kx;XT$O~9rUq1`@Dpm"6~%,˛OC8l<-MZ^Pjy47n{;3^}]G~S?xu>|}G~y3hO> FrX Ѿ4Ds[^ؑ ).*Lacw#۾{uoK1;!wk~$Y<śzլ2RWߝ Y$h녒eeݒ범Q{*KZz)S~>ݐ8P3{)E=Mp5au˃/]IV*4RZV lP^֐5);j f ~%iE,|lKEG"㋎%0uX 3/Xx?lKCeh!ܡ 2 ,Zd2xm ,77#k;37hi7eA;N̘оA@^pvᩡ  rzvrΤ>zj!E6,^K'keL, ׋[-JmZ_~$HV2=&obLQ{ײ 뵖;ը8@qLQ)}jo4z~3Du6 O]ҳؑe ,MS%OiQ4eqTT#2Uzm[?rlEJ0G;ZIW3nJbx早9}!Wu DZ@'%+W#y*;vx \LL2T ZN]"G"#w%r=.BMY;EӔxI4L@Ӡp&{&=$3M(~-˲"nuYE^HޕQGL# x%?2N"/ eE>/`%%w|89nb.n.=e94aSs[,aya,/A (>8F*[V(]_@"굴[^oEXNl=ɭƟPc!3] ]w(+zJ,tQSb$d*sq Oz%cxO="~vHm$fn8xYo:XϚTXsz_O zK!FHPe'> !GS + endstream endobj 16221 0 obj << /Annots 16223 0 R /BleedBox [0 0 612 792] /Contents [16229 0 R 16225 0 R 16226 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34384 16227 0 R >> >> /Type /Page >> endobj 16222 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16223 0 obj [16222 0 R 16224 0 R 16228 0 R] endobj 16224 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 400.825 122.692 411.825] /Subtype /Link /Type /Annot >> endobj 16225 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16226 0 obj << /Length 19 >> stream q /Iabc34384 Do Q endstream endobj 16227 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34385 20690 0 R /Gabc34386 20697 0 R >> /Font << /Fabc34387 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 16228 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1479) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16229 0 obj << /Filter /FlateDecode /Length 4228 >> stream xڽ\KܸW@k& ^`o!ؓ `_S/$uOz)XU(Nf瀗T ViOu<|5?WMߦ=ht|.w;[£$u'Ӟ]k;w>]>ݠ\~Nԥv_+a:ۍous\2<ҳv%ZD3fMfԙ Bt2',,ܸjp ;ix =*uXC9rx~3WXܚZ5UD|KgoòN!/Gy ul#*v֋8aS~S }ćFd==*K'ݜ~vc1?(1Z6\#[Ǽ`}joN$fNmc㧢iGU/tl}NA<$x\>?kt >=0{ΙPp ?mys+"oy¾ "m#wE=$)& DŽyHs YLy'v;U>nC Æ^e`ƁS]Ӯe27?J=OW'|3!AYZgy!)c s`"Q-eGj]C(51l="(卿.#|Y: 3P |`lF3)h6{Ȥ}d.DH N@Ćn"#s2s+ƶqMs^v>V@^+ $FH;;ʡdߡHqΧ Ly%vD@|w1}/uRl~=ס;/1dYS˵ ͆oUYQձV>8tk iYnbGxz,~pNNHޠB q4 e$_ 4h"Ov)Ez+teo}8hJI*vC&!XLr~JB!B>~`N ̈́_TjBKK#VzK a:*\VjZrk7o1ZCKw,[+7/K2Q,Na>By5Tf6dHYq5\\S`m '\rJ+D%Z*!e.*MINuR|*U3S7}ݓ޸6pY/'d{adY_o{7e64xpJzE{J_rq{mpjײ~U ;-dcqpKa\u88ǎOfjײ׏=pw*UGmmMyuu/0j 1ӏZէۓ;VZPۭv՝V.f~o'(z( $֮DiJvN CU.A>+:kP3;|\o  ޯRnKPC(܊1h|\3ΈCn;z?T`ؒʢ#ô[՘++[ݛfùj'뇀va6uAN/WJ6i"Sovj!L ,z])G6w|4#^+~]祜£iU_%ֲ(:q6޴$L0E!i]-ZflO J6> AzX (@8@1ʅ@3/)oPvKQzZC ~[$jP =Y  ҨOy1)Ӳ:2hn\FQ1?`ͶN@r3MnxzZ "4B"{d ~'&2-i+\q{G}eD[%~"8"[ɥbed(+45g1(=?3BT2Ȅ} ׆xqƵh)2[ɴ3['EZ]E-k\0F˰+nֺ QЋN`(bsE`J4dg ;9\xXK/=_gZ BH؃"vciwcSPn쒆d3nJ7d[6 -EV qUw(Ȁ$pK C|Hў,QOJnJx[RƍYNXw{&Є!ɴ2oXO0Dݽb'!i"ux"6ֿ(jGؒ:a@2]{/,fa6 NNȨ=+N`3P:lZW%D\TXhǴ!i@IKvSj4fFmG2 zX M:\fEאy16gLgnwpLIht)-4zC[vZ0b~-xl:4FH_QD5.łm-{iu_vb)vx|@7ԬDc 0g̶7 B-wyL*UsvZ)gpt@F)Qxg6&$L )nPl_ c`e{Xi:P>-t߹mf 8K,Pcj+/ f*ПsduursJKZ ~/θ}䢑C}(Tm)$pKڑp()5k{GVD3AuY-֮TȖ#-%ZQs6adiN0\xzHqA5QdArujͶ+f.zP0=/26Θ4YCca}?B4iD*s2m)Cf'hwnS;|:^>=QO_r2#v[vtN,輗k|Ў.vr,mO2G4 ݹg^09@Ȓ3IôM'3~Xz_>/U$,Yg)4~խL+gw voꜞ;fFɱ++woy0ASINN3.ʳ8 endstream endobj 16230 0 obj << /Annots [16231 0 R 16235 0 R] /BleedBox [0 0 612 792] /Contents [16236 0 R 16232 0 R 16233 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34403 16234 0 R >> >> /Type /Page >> endobj 16231 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16232 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16233 0 obj << /Length 19 >> stream q /Iabc34403 Do Q endstream endobj 16234 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34404 20690 0 R /Gabc34405 20697 0 R >> /Font << /Fabc34406 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16236 0 obj << /Filter /FlateDecode /Length 2589 >> stream xڭZIo$Wli 4 @@FNJA 0s۸Tuk{*no#%M;m_yU>SaW'1̵!kv$;r[{bܾwzLwNoOz/d5_w@[}41HoyG,pr> wk3la;DoƱu$nmm;jQQyl< _9Óy&~8mܲf7=ps l;udgw*@A4܏UmwǾS1Ƚm'>*Ui< >RD0`Ѥ]: `&VZAt#7A3/l 2KBG;-~pT{gbZɑ|mdo>v M)O/zt|N`s#0ག_"gD|0.CߍDU:V1ܣ΅D\YՌLvꈍ΅,^w;=Lt/NX҇BEׁ8FbL]RY)#xV@2Z -N^δWM-mpB3-ʹ_J!ԟJB(Add|dxIpwUEq#ϮE*+l<i i{Q-M,H*~Xֲj7]!mr=au o2(?~o <9;fl P rob7s'cH77-ȸlKEį:'0Y@` 32+8@i3hAZd2h׉;@'*saW՞y,:sL{Qm1Di%, >C _>a@<,n\ᐨ}&8ӦDc5qa zRV 2"3Y"ľB dP r&vbi#Dk{.I8_E}v#X h8iv2DhLSڶ-$MHqzХ~;Χ>|SgLHE?3n5Me?ǡ9Y ?}A.BGGR|#;CP[:F&xh8Pe"2a#N ʂŭiu;%ٙϤ9bX(\nSy{ (Z'I{CIr2ޗE$I2@P1~ǃ{=Yv}Ӊ0XrTjGmNA[53uP◜CčXSe1Cl]=rE endstream endobj 16237 0 obj << /Annots [16238 0 R 16242 0 R] /BleedBox [0 0 612 792] /Contents [16243 0 R 16239 0 R 16240 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34422 16241 0 R >> >> /Type /Page >> endobj 16238 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16239 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16240 0 obj << /Length 19 >> stream q /Iabc34422 Do Q endstream endobj 16241 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34423 20690 0 R /Gabc34424 20697 0 R >> /Font << /Fabc34425 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMX endstream endobj 16242 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1481) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16243 0 obj << /Filter /FlateDecode /Length 4451 >> stream xˎί@|S{ >9mbL%*)QjNcU,֋U$Ŧ_) .eAT+s1ۯ~{WTpQ:;wiD/㕖J+D• w6ǫ{ s^LM0r~~&_]Aߨf*_ ?P֙[cK ߂';8~<%5A ꡳLGV2 #coB%D\/WN= ߾s +SVDċy,V  H`{x:$\3Wa=k\oIX-h"gr T^1`:c UOE Js2~Xxg)MqZn=͂8W : _ Ŀ*_0 Hrz1K g^͒q#м !^S6޽rcH"eh z ɹmZ9d}Zn}{ؑ%Zuj`hFk#gz{wC\aT΅eAiM˶mˡ9<@y/n,3P~Б5PBH#&brL\LNKO"5&+;AQ/AdՁ9&Y$)JWKvAF= zADfc͋9yx`ޮl8vtCHIC&zʈm_oǘƵzp&x{HqT;ZYpapۜ$GgOog/7d^]zqw,d^CTk7 A90[pѝk)ڨ&#^spF~#ߪۻ2oSq1"b Ƶ8*}),Ψ.t{@2)oBHg SV1h!0sD҂K GvBFi K 6f|}SCZ","!G8|:].Qxɵ20UT1`,TJh6C6J< hB"um8Mk> iUaYCM(K˸rט$Q}.@ۇ8#±:0 {. kxIQ^@d5%f.VJ57+kdXc%Mc\fxc46V2ctJJw+1VXɌ #o6oј(ΔP*' 9V#W8& %35_Ս 4Ӷ 18 gJe)kɈlsLǃp` OBW^=c=d{pG('YZ & cE=Aq]j'໯EX؀.4Z0kO?@<&"vMz]<%6nW4T)bCg}2pSчw!.uyl׻) 71=֘g776͊\dCvhSB6(Z׻p}[Y.B<nkmGԚٶ$/[Oaɗ #/T~6xM :_:HDx{WM?{$pl_Bυ]:,\0<,ӑH,#D*yd>.ĹºŬL}sQY΃wcXxn\_˾m̍i3!ɀhMyeDar۫ckxL0i;Ţ2:֯W?H<ޡp~PPkwIif$.3ͤk|LB_mb|{\׻] [V'y)}pdH hb1#2 G$})VdK)4OZyfNtWW9yW5^JXpw[E(uҦ%cQꁍwg3^)ֳz^艄iag^Msfoa 0#gEP%fl@ sέ5!\w'O[Qlyl:,xPMÁuw=5qt?l? I_[Y1b[]O%sr_/>jPP_tȥв+|qwN gSrъ+ e!j\7*/ kgXn8<<6J)KԔ()]ECWD$,˽*|5}--t\U6#t.#_BL*v=.vKc"4OhNsq~}ƽЌ[U*@‹ǙXϸpz<\I G2zf @T'wLdSgv&[#sx[Q1PFZeãny-g#7xg#`0&fz QdKywd`nG}w0w/'Líb2-~~0Oo )[|g%tW:xd~fK䤋V"J endstream endobj 16244 0 obj << /Annots 16246 0 R /BleedBox [0 0 612 792] /Contents [16252 0 R 16248 0 R 16249 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34441 16250 0 R >> >> /Type /Page >> endobj 16245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16246 0 obj [16245 0 R 16247 0 R 16251 0 R] endobj 16247 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 625.525 122.692 636.525] /Subtype /Link /Type /Annot >> endobj 16248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16249 0 obj << /Length 19 >> stream q /Iabc34441 Do Q endstream endobj 16250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34442 20690 0 R /Gabc34443 20697 0 R >> /Font << /Fabc34444 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16252 0 obj << /Filter /FlateDecode /Length 5358 >> stream x<Ɏw~E ThE=oo,ç<4{5[`$2$df6 /xIno?ZglV.+Mfc֘{huT>X퇪 -Jm~t2!x#;x:h/۷n_u EσZekc{YƲ ǀ"\`P W$~Z1W<$441 WQ aPd @ 7ۆ`(C__, `b~ 9! $}Alz*I h ީ!x̴{jB"SׂVJc ,jMv+HqƣeRkj_ZsSK  ҫ$40GWSefL-V\5D3b!N6[ҕ^tX% ?ߍiT5HCoX&fjDLL 6hҔI^ sjBI|3nO@y 0PwqB43[2BMn.%%ΙY܉]1bO9;7ojAreil79@#_Ӡ_v+`آ3Blөځ ;C !t #zA=p"@օ(Nj [`v/4k0ƀC1 f1>џQ _uib'ԏ2 cDgE wM@qj$`1n&,;Wk  iV$ $0f  :5@G^O $Ytal:; E.%kp'@!Ղۃ.Ѹ/oa> u %4v$fO0VK.¦'7`^ &D@1APIŔ9m" r1a﨑GG>DPْݓy z&?VY }&2:]x4& h7gwK0{3v) U 4@FSo!u R19x;q@b! v/|eCKPa*8@A,6dVZ.'j{r63,T (Z8+pv(JB >Ρ&DK.Ȑgby}P\ )x\ˎ]+]noCe;h]a`{`c"+ɏ.ȸ&X @j7Pl0 qh:i)z[B5pGE^V$ZTk] Rp!",d)A0%^@ldCX8C ևˁo`Z qGH0 = OzpD$(Gdk#9ꔄ09CejSK| &hI0'd>lD m n\/ =܃0+r4;O\u1"pޗX .o.%uz*(6DCFKA9U8p>:L eanNao 3@Y2S_A.>)Ŗu%֠,7Uxl{2k(/Q͢:b8XGiV @c7ڒB#ye/|W=qQ?~]ES>eiiU'CpQ@%<_q@˜H9_%k0X\3{'Gˀ>:/йx5}0qL10*0Q@q+\M&n$|nwqG3=?V{]ådAw=iX;o[vvu(\P yy6M W ~V.G) Y P1AjWk>`5(hٗfq; H¦$d>a 1uc/-ofQDIOیk_{eu4&Q+W~ҥ&0scKa0CIgb'[W糥[Sq Szܦ,wTte|O0#$߶1X|=Ӳ){3RoD;Iܘ,uir N@2=yg'|M)l6b#DۮL(!{.uq?aB U슽uvfdiyβ3~m:*AB&CTyo - ks9_Y)'1$@|&)5WypmO-Z |{u*Ex .nB⅟bZԲC̔!rEݑNPiAEM;ܡYSc2qt \B0ۖ$Ay_?%wF>Ft{)썁,P7@ܝ$EQȳ+ttKΊQG=cNnpݛ,nMa6e^Kuty7Iٽ[Аsx8C~Y9?WQ `Dsܚ_ɜ䪣?$o2[Ƅɨ_rd18- Ⱥ~Y| H"&ȻgPkL;7{ݜ׌ oK - o7PQSOI-sZ x< pR,$=DAUBHhX<[mx’gqr +n)xU4r1d[pmܳԵiOɧgW{Dn>k5a_$:r0:knjI,q^j@vXh}ˎNk$f6ʚ.[Ģ>pVw]Ie| Xl{ nΛOidD~hy=HC78{{/_rj3=g9 hB3!bLu=yBx/N:Tz:O:a9e%Xead'GŹZJʞ[pg7Ǘ3/Xi`Åv^8q+hEru&چ똫#ݘ'Պ 乕pW7ؖ\r?bx:݁pO'K!vzi$qё(r]0^ t+VMNKzCsPw55vLzNWbaҕ\>bw/ JOo|gmŒ|]8U: 5p _F ĕfcM8&38:zb}o=O ^xJr0 |I>߾&^SS\ji|E]* *\-h E,|㹄_ ˽"`=ѻKrJ <$.(3F 2A vd_p.Z.%bCL7TC Hm3̇)$䖲3,pco>Ek`TJVlCYPh>\Ee* 3)΅o29b\P}, ~6.ۍf|P00<>^/'E2OyCX19WO0!~M1}JApo1)Wi|WlKzZpgG~z}WB]>^je/r0ݴoiW)O0k2&UZ}";|yHñux½oq'~ֿվv|C"ϧxNϝϗ*kyؿ)f>Cq4]N> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34460 16257 0 R >> >> /Type /Page >> endobj 16254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16255 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16256 0 obj << /Length 19 >> stream q /Iabc34460 Do Q endstream endobj 16257 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34461 20690 0 R /Gabc34462 20697 0 R >> /Font << /Fabc34463 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ ӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ_ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١POe P/ڢ_Ћm߽?$\ endstream endobj 16258 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1483) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16259 0 obj << /Filter /FlateDecode /Length 5613 >> stream x<Ɏ+9rw~E (}*}k|0Tv`Po#53%UvtD& بE-?GHzy>8D]/o /]W)͢[}?K壔/Z*,3|z$]|It翋q<{O`uS7iIaj>B#=LkPF- 9h/'3 ,S wy/AOh^n`Dju?ÌZiuޥ8bt~;8g8Yi@vu+S -z)s+~wcăylUè8ﰏ^r) ^6le,6^8 ^774Re=}nA/xɮ8syDGvOLoL`*SJ4@Ө+YM/̭@~,VQOҚ`3? l|k`mwϜ=1 Uj^ڞR Oh[k i>? H!cַƉR≇~nw)3⹓ͼn8/B }Qʻ`]ՅH!6z(tdiH'`nyxޮv3Ƽ>3ƼNGjs-!eU>/Y,z8+3}PZwd fq;r_IslcWw)F-t0:EQx.vRY>7#l0UϨdϢ_h#[!:804Ǡ3$KqB}h J*c'хӝO~kꌔW/j=9^5D簁9j֔lܡP왍<K^*3ipF#X$b]ر֞ҳZ[W2oѨSeާz[j|ӗP=(sL TrE1ib։HI rJo'X6;cj l+|ݹE55v-6t,Eʛ<45!ޏXՎ2Wm'7^3h~dAu?lZ8$>la$s;|+qB8aлI]8v3IUyGwv_Wrg8ԗM)s(],FLb(/`arӆ3} y^PKO(^#%v+ =QMq:.u5E}>3/uwd1/#tj˞}tzy825#>p.ƍ1l\z0,u78.s`1xT]GxAC\36:H7;e8l8lq]tޞG|$Z C?4f~ MfG p<0|DKNVϷҬ!3Nf*0%P1'¡rt7n%+t{fQ/Af@}ٌ{'ZQ8\oWKc*9٥6Ń#!{9: YLQB}5PS/@9,- mc||gvfd][ndwgw?MTȤ`e YܟD`IW7@yšQB2>3m{/jk;nT*Unh35 8ݚNqٍ45[Cl 6R@yEc9utօV{ɸWZǜNj%r`便bg})Tы\+&籨!osbtZ &niI6l3^8ЃMN!\}&C\Or.0>s̩y[ [ToMfnfʙ)0{"1pHw\asҡ`ipCwVg;{tbv$^[L;"C``>xX;"4}Gr@_ǨdafS 3uU9ƀPK5NNݤ)?x cvəjfc3V[~_~I2+w+W52\q/׃x?Y_?P_z=-Տ5&(5K6z?)vNb` s}GϩUO !YwC|>#2r g`D;_XbЂh+e,1eť/^]*9 @T_cѲ>T,+(٭[Pjj)Z9[ZA!Zd~|X6g9?PX,3bMt\aH @DOEUW2GMm(}`(y}3tQ$'0X70B's fkפWa 蠤E|oܝ62^roi* /7X +7rͽ3w m!wkRw[^'R ª԰;]ˆ-%ޒF"1boWj)(&΁SsCW]0>,~/ wnqk4lZ#FX"Uk]Ԟt`aN @&B@&5C &<Lo4"]F hBi (KVX5Z^5ʉLVX ],1NdN\^N ᎁ8!?R 8];Jƕ:D9*HAz|jϕxCpkkRl]x]4e)@p;@{6&b T3Psw`P1K!.&&[i?HxyD4,n/!qL#^FEףԑL Z&^,ǂ3cͶ*3[~Drm7PLGsצ/U`|E y 8r6uhu-s<ܐ'RɹI;^Ԏ z(̻9"Ear?K 5a##BsDs?G$2[5`fS=XjToH,nj0ۡjnRW ~,- fe^T5CIte=3h>˜M"$&zo9Ou]tV5w(%0`)ـtQC+q4p%up67DU'wscKm?`(YG[0,uyqLZ! 8&p*yh=wih{r*}߄1光&e^/02?j+_sZF{ ,'ܙ]#/'~3 OeF\{81Ǥ_p/)QZԌݶ+X╩NjO`˓'$Z0?eZsɼ{)#>;-om1NtYm֥4w4g]25E]=&H3sҍ7Gsm5lTFj:204=o=gя=RRZrs j8cH&Q`t,X'J&'v-GTaM|..7F/o2Ŋteuy[N09ևu cz<^v9`0zF_FJyJ/Rɗ /omHj$##& 9Ѓ3C{+ ո.- # ^Q=`Dj<+WiN Ϧk\=k ||"n^bޮvk!q0S)c} endstream endobj 16260 0 obj << /Annots [16261 0 R 16265 0 R] /BleedBox [0 0 612 792] /Contents [16266 0 R 16262 0 R 16263 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34479 16264 0 R >> >> /Type /Page >> endobj 16261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16262 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16263 0 obj << /Length 19 >> stream q /Iabc34479 Do Q endstream endobj 16264 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34480 20690 0 R /Gabc34481 20697 0 R >> /Font << /Fabc34482 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 16265 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1484) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16266 0 obj << /Filter /FlateDecode /Length 3187 >> stream xY~E=/4Zț@>y a_绪ЌdFᄏm鏟u۳:],nwu|?_V|4f&ƆG}Ȧl<`LrphLwYXxkLG~>@ag'_~ 93Qs;ϗ$ f;  o >'^Lψ>G] Q73aFŒb")8sS'%T/u7IIrv҉ r:#;XWlMz j I m6` ,@PJIQΆMTRIB|dqËsͱ7>qio]GݺnJwhv-6g2A-O Yia? NH{a?ZT߰0NuqW&]Sws;w6c̮-J&푂VvGX hօ 8)xgǧ7"Y $ku)&Q -ԂPat[ -3RdO Dc@תZt!iʅOa;xvU*}Y&F14G"9I>luH9h.(XL#Ai{-|Ksd\A_ {!5F䨴 J#|*~zrkgm[:3),bfF$-741ZoeN^nʛntErE-0VaJPGފQ܋MяtOk&[ bM5 ({$G8&\b% >g*JMDK`)GM$ y|B}fqiqSGXcݬ֬xN,|;t&L5ST31 7 ]Q ش:oG~:'_k9?';ǧSu<ը410_]-aWv7 y{=$dĠP$o\\xt#{[۶u8.q{1KQ9 íEpiQlƩ)™ܭ~%_+PŒ3`p(ZCm+$*#ئ3!( ؊L"t˿-n5[PqrM[nꉦ&ʣ9g(6xg/麃@j"9c@ymRxHj۴yU^NSn5WAT*PrLcii3Nsڴ{R8j4W-Ӆ+Sz7?zֹaHѫױF^:' nGr^?e萭KCnJ\Ck@Ugy?3`6Z#l2fUC~;`Osa% }]pLv:VxcnTgmPD?4e${mpQ^b0T7F$l\r|<4s$ 8~>Pm:>VNwItv c Lp03Xnkֲ?KynxlpI5U03(TarP$b6AGAgMR.SNUsmk\@~T[>s ιڽQ&].›%qn"vrKB̡|e'DP{hjeAM՘L/ DůrIGp}.''_ë2sH+"03_/_a?TG-*ρѿ _K=@!41b ճPKgxocﰗc_d:M{oޑrOn:D(' 7 XA{v5b$rЩbvu2GW'u+Pt `VYl',N^͚X=N ~% jŃ5NYB7Y^W' |՟xw!Cj)A+h:p)W[͔ī5Sf])uN*,tF>'Ft']T_! 2/=lUe0In'K0(MaІ8pc̅gq2#gFCV+pq1@ΜuBfsX^W &(kBH뺃?P QGC}`Eٸ)qR{ 3VUV|vwOk|-*8B["ҟh.VItڞ;й5rQD+?'v2t_ߊ| oTXg#CLA`RyAKūWY1e`;&%L$~ZHpcd峈[-aFd?;ktrw_"6BTêS6/gTN6HPN8|K5#ׅϢwG Kބ9R\TU6BF5n۳Vt08\Kz۩>ƚ j[\u5ns@KB).ֵCytQTn2QpmLQ&,m8&yN[DQ >6v20bS9Sgp"4A Qh|st$X7EI8ԡ9b/'< +|Ӿ 8("" |0!AN+N4"(h@|?4'؉#_ ¤Р:| [uN׽/fkƆ r@l^2a79,,vE TE/G6 endstream endobj 16267 0 obj << /Annots 16269 0 R /BleedBox [0 0 612 792] /Contents [16275 0 R 16271 0 R 16272 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34498 16273 0 R >> >> /Type /Page >> endobj 16268 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16269 0 obj [16268 0 R 16270 0 R 16274 0 R] endobj 16270 0 obj << /A << /D (unique_574) /S /GoTo >> /Border [0 0 0] /Contents (delete_utilization_results) /M (D:20210608081201-08'00') /Rect [104.1732 501.5 223.8477 512.5] /Subtype /Link /Type /Annot >> endobj 16271 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16272 0 obj << /Length 19 >> stream q /Iabc34498 Do Q endstream endobj 16273 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34499 20690 0 R /Gabc34500 20697 0 R >> /Font << /Fabc34501 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16275 0 obj << /Filter /FlateDecode /Length 2167 >> stream xYIk$G篈ұ/ Z sk#q @DfdJ3x$R="dg,~w*͛ITG]_͏`3ץ!֢&b~ǽix[[E'=MFGƼ%Ҙ)i?yw'Lx+!&ho~3tKlkm 2>fI@PAXfƕUvS[BQȐNJ+k4i^7-5i,^G&[{u`l,s7A,u mQ/PûB&{0ޡko3! LbSyU5||3w=|HNbogD^k&t:X"]2l܄9ήexCE]Urz;r,X 5}6?O猟2v$^֒mbӆ=|tghNQ#v0#(a5GٛD: "sw{bxJǃH@Q$ Ǖ9]#Zs=هwV92ץj67gN&9QC"^a bI૲3<0p)6ĖM"aj+mR7XKAQk3FIfIN\T$MFȹ|`"; _%6 {7d#n?a•t]F6 IX Q1Dth j[4'ZP; uL[F\bPUY=+ݞ|rsAdmVf> _T7ԞSRRR?yb uV9͵V?nu5sui%I9D}A=D073N-(KW_ߞn$@ .3IG^$:G<#AYAlaNwۥFӐIY]Uٻzʙ1{*l1 7 dK)^K3KP?w3ϕnJizSQ='/RyǯTTON/*< ZsbjDN)2xIk.Q GM[5)JGx{S@`!/C!w8?0vXtp%[|"o0Ғg6b;&l .vNM=Tĥ6ef*X ZͷHYJ0-o4ŠIPu.3o;igSfM,>#I]\,N`x#džBNs%:޺MܕEmɧ|$~|@xe٧w.Ҷݤ8A]:͘fG^hugјEczidԇC❞j?M nY0eXꅶĮiQLFE-j09yڐˤG&cHe#4k-ʹzCJ@AQ/*7EY~3xڶ -CztѺ UU-adq6R#R1R/uьk^,%1wi7Ox]s¦4@@onZ]j̲lbfbU[P٬ %Uw"ZF&ک"K 6឴x٤VRxbKe.j8غf}ZV}fjU^ --+O=Ʈu$w4V|ͅq6ʦr 3qbt~ťMe-+A& nclJlQ/4I])Ӛ T63#||LdȪ7JgwW(RVя(F#HUuJzfI^:%]jH+qʹca>aR9A+wmUV9cRc~:ҋ6e%]<K&_&! A8Fهω8TO)$]/U5Lյ4~Ɠ8!;+,5 ~y+6\7>L)bשEy> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34517 16282 0 R >> >> /Type /Page >> endobj 16277 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16278 0 obj [16277 0 R 16279 0 R 16283 0 R] endobj 16279 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 344.925 140.952 355.925] /Subtype /Link /Type /Annot >> endobj 16280 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16281 0 obj << /Length 19 >> stream q /Iabc34517 Do Q endstream endobj 16282 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34518 20690 0 R /Gabc34519 20697 0 R >> /Font << /Fabc34520 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ& endstream endobj 16283 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1486) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16284 0 obj << /Filter /FlateDecode /Length 4228 >> stream xڵ<Ɏ,qw~T;@.Eߞ\{JvmPB{egUS?DMV~?~fIkWf1 ήE9yⵝy㲮aM:K62ƾ~s4&9;7c 5$~9Kx !>Wd<,4W2]^ggLB[_ @G=<&#a@qh3&`Wsû/+9^"JYЃqh6ZP j";KK^lj|D>xU*oKB҂tK9Z9kxrΝ='Ig`?Uc/ |7ί.,GW (J/ ;okDB((61;k\K;W=qzg}Δ"C0{z,)YRG࿡y#5eiz xVh.=D*-6&f@dV=v++\Gؑ45/>&j{5x<)_bn˼EP&@Є{Mew_A$eQ_X,]υhEO!X#I^&y*cw TI\%8 '{?_e ßuƫ"lZWw-zԩʹHIt<ɲģLȏ##i)A& ap͘4u>$չ,av6 Yt("a2!{`$+IG&nnki=HH70u˟#nB.U cKvt=8|x{R1Ց IO"c7:D[XdUT.lUdtXE~$'ׯ;=mVE6j>y/#6v"3øn|cn?p>B8yH^SV8_4^ ]i@t;'|0 a2n X(ڵ%8b=xuRkn6<8$ I#6{\؋Z~Z2B!OnИ&!9]@jծ]x #u*KmHay{f1-3 *<^*$ܬA`!Ŧ胚: e$G W(F}H+uo7jVz#-8.qʸ\ M}H)NuAB*75SO]+wl&MXj{0?FS} ÌkN.e:4xN*ҽNenKYd})RYשh7lluKVwlV(MNe[KGwIEggL{hT;Rl,lFq 7t2v~5h,=UM`jFx<j&GtE0tt]]]j3CH2^;m68f`v1Qn@ ؏ N$1/̉R{՘I{X[5N_gl(W=#f-3IL\&3Chy8 {i BLj"|AoZ)EwDWKֹ_n.S4Lמt¹,aE]-%e䲏`(y)n" VLV}67'5;ndeo c[.,_U$+CWbsՋI{񅵒HgT2r܌|s.r5W@bFŲ xו&uLv%loV$*iWg\dX*`!vXp؞f͂ğ1O$] Ռٛ>{k/AFy-]H[ TiSU@$l .2GD]x%;Ý೎HMOR^28n-җvy#5a.C@+򕁩z`j:&[te4*{?.+~[~5 @i?ꪏv;Z֡ycO]3{O;7/~8˹x}VX9GZQ.u wsVx) JnpZuk$y 3ˬ>5*0T8Mg3pr^dl]5FT~ZI\sMh7&kzZKkoRws=D+й%\&[x]‘;> x\V|`:n`dbmNhXqz#Ss’{IKEtex뷰e˂YgH̅U>NCrM(eB*Y.3 R=pٙ |d\v7ݧ]bq 7y uHrB3k` A>^E02_"&:|LT TXKwTxa]įC^]5Bxe,:dd-b|/- Oceuk)ذ1i\r@²F uɘt3ȓ Y~l,ۼw4x3Jr3ں fm5iGj< \gl.o=J@P1܇ &]TZk5,I[;-+kal'MLlڊ Wǘ% L~)a3C,3!܀J7f8G 0=O? x+?1 "NS{ 1XcA ԕGfcO8ƹg v['۠p?ʧ>|-,3}ET|.VI4LL㍴:T:WF&8>r#?.%bh^gEJ{)Kr lxq-cq49ԲAZ(~H 2b咬Lĩ6`elHmg;#-vnex!J[O1}aݛ.F!1kͶ)02}lܛ- ) EwȍMnB .N*lH.?ALv] 9~fD؋~Uε a̔t;n?p wW ')PHsx‚X<G[oǛP8r-'}^rBە@]Zv/|C_ a6 (Rƾ|Rxt}00b F: r@ N]-}^t:_)yw7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34536 16294 0 R >> >> /Type /Page >> endobj 16286 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16287 0 obj [16286 0 R 16288 0 R 16289 0 R 16290 0 R 16291 0 R 16295 0 R] endobj 16288 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20210608081201-08'00') /Rect [104.1732 258.5769 165.8337 269.5769] /Subtype /Link /Type /Annot >> endobj 16289 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 242.3769 159.3712 253.3769] /Subtype /Link /Type /Annot >> endobj 16290 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (get_value) /M (D:20210608081201-08'00') /Rect [104.1732 226.1769 150.1257 237.1769] /Subtype /Link /Type /Annot >> endobj 16291 0 obj << /A << /D (unique_692) /S /GoTo >> /Border [0 0 0] /Contents (set_value) /M (D:20210608081201-08'00') /Rect [104.1732 209.9769 149.2567 220.9769] /Subtype /Link /Type /Annot >> endobj 16292 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16293 0 obj << /Length 19 >> stream q /Iabc34536 Do Q endstream endobj 16294 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34537 20690 0 R /Gabc34538 20697 0 R >> /Font << /Fabc34539 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNR endstream endobj 16295 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1487) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16296 0 obj << /Filter /FlateDecode /Length 3084 >> stream xj$_ Ǿ|v1jCe~o5+K*fo_#S~FjK*V~"ۜlpJoSFc0|"ڔ{i'pN/E3a V'Smp O s s \~ 82N9SG!oLH g!p&"y",p{Ʀ>><Au`qY$y1IÔ"H<62}O@)t<&)=n^jADԹ RycOؠkw/sV#m XJE0+ȵAZRzu`c XOgBF,VWT4sEjL6օE% Y ^ZԤ9J#`Gӥ<0Mt'i}Rћ$5 0l{&~xO}_`iy10.asLI`[\6boރ?KEx}GH{h%)gSIlC%ZEltt)"7Cej6c-~~r j|= QvG|gDbZ]a#bMDZ؝Dz/S=X^g}1 UHn<G5ԵTu`hunK_+s@!])={{]bnq劓לa_wY78]Fߨn28 kk& 'XƬx}\J@N zH{6?-յt1&Z!`eѫ96NӥH$6?8e3x!i4IoL3ɺgM aC].awsZD5` sn}+5/jaro%)'u{n c m{6 E3r -`Y(ZOZZ[[ mkײ#yZ[{s~#\fdHwK;Ճ9SCJ+xBh,.M|suǩ8`1{ nޡt^L2\C[2Itay.1t0s*v"h`elV2ԯ~ VuY[ћҾE7wڬJ߫"ykS &ۤD܍75Pvާk 1{vkq^`ɓ_Um4MmR^Ka\5]'Na2/)3=T^XT1at-02`D =cj #B!\ڷ 8PI(|'t{Z]K X- &t߹J4 LkW&sYĮ]PйbKvѾqS Y|_|0x>_B6SЯ "Ui:\bv)@V!,QB&8;nў? FlZl~ؿSJz͎Šھǧ`w x]t va &ӯg@̥jY$ECVuw:^fK0! "o"&eu"ǓχW93d792mj+z3IY31%S^mK-tPq.^nNCWP.$djwy6wo&m_Fhϥ,Gdh;#sQuƹ>h^ m]ohl#-FVyu80,=/&p܃q=qSKL# |iyq=m*u3qW ye L=R|/$>V3HϿm F49crf2M2%<_G*nƅ&X3 rq rB]/`9`ٜ"ϯ~ ׵⍛Qln/_y3)H0dVlA *=R*fZ &`_6]R#i@>d/ka'8؂([9jEzUx*" y_g(:w!'mJ#wRCA TI4mt84~\\\R.o|/ϫDxULm}X`G0}P@wE| b~c#z0*@2|8 TeT q,r4Hp,p4/>aYhB|} 5#ZqUuN ze=2]LZdefBߋ36=NUvY.OfleS?YX-U~Knyk=kؑ< e4-e76gx'D`|P j$z -*&=uv*l+EAD\isC%#J G%l^0 s6QT1IJ'KIO?_-v~f`h/.M+,͈Ɵ3eq=! Ѡ Ұ9 Vx>#m FU2ċK[eG/d@ +MjmW+^Haz wJ#|Fk_t8 @ĬSq>| ˦0:4CMf;Q3C>R 51ex^/N A'tWLc}y΅tRZo+dq ns2@ P ~D ?"+p=¯]V&[u/>_='HE Ucй)O7a> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34555 16305 0 R >> >> /Type /Page >> endobj 16298 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16299 0 obj [16298 0 R 16300 0 R 16301 0 R 16302 0 R 16306 0 R] endobj 16300 0 obj << /A << /D (unique_56_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20210608081201-08'00') /Rect [90 288.025 124.21 299.025] /Subtype /Link /Type /Annot >> endobj 16301 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [129.523 288.025 162.215 299.025] /Subtype /Link /Type /Annot >> endobj 16302 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [167.528 288.025 200.033 299.025] /Subtype /Link /Type /Annot >> endobj 16303 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16304 0 obj << /Length 19 >> stream q /Iabc34555 Do Q endstream endobj 16305 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34556 20690 0 R /Gabc34557 20697 0 R >> /Font << /Fabc34558 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 16306 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1488) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16307 0 obj << /Filter /FlateDecode /Length 4468 >> stream xhN0B@/͡5daYr!KԵ<% W7OmYarj# ?V;L=^?]4X͙>j9qD*hd$ 8 Iiմ۳+1WP-{A cwl0%j="e.5d3aS?Ճr6 +ĠJ4B\I}Rσxـ19B~h.9 x?Eg~CFG\'|jmZ-]#6WrՎ Rĭٟf{\GVQGwAwilPnVD!! # )lGY ?(8_YleYCfs:b: B - ^wq؏w~ӀZRW-pK޴MWFNNM;\{CM}]C@'rb\l;kmR6*AyG"'06{q]&WUIZ($S@ Kи<B&7 X/1ݖd䈧ɨRٸԚ+e+?/KIYKB 37ԪZ\( [+obi!^[^H)lJ@2+[}7w)6}ݩjШM]Gg;5+7/ّWFS}׿8 RVC+%ܡ\b^2\e?[9k,,&pka^ | >W : {ݩT}[V_DV;?-[-VsVzxj}*b^( YEf{7-[]gWՀ^fv[Vf@6z}ިorzTUV(eo:٧uo~Лծ7^{{no) spi 0\=wwhC{X j6jӜd\w IP!73ws~W͡&qz%n~kA6]Vdl&zO8;v40}(8 uxVw#N˖Wbm o=Bq?5v,_傫b|LVb]~}Z69s*u-:uz^7uzzJ Ox[i!mmqm #4Jq90>%=C?xN:W%Ԥmy.j7EA0O|P^ZJ(g\1#1!,_$'ka)- Jj5 [RjkM9r@wpIJt?cWdI4`WJ ݗ.yˤHӑɬP=))v|XQ/ȇ'OֿTDDYe[1%*c'LE=dPnrۡ `dg;Gx7NƬ`ԈKqn`mW[xt:e.4Ӹ`bgsűwq0-{H& fXUHVGFb~W4b܂cR~'۔\ܷQ78MJ(U.Q#0~HȪ4 Y]䢶6rX};J1/f w>+NVIVq0UǡFۥx<q֭&̦t} tu4DK8&*9cSy*;9#Ӷa(s1vrQ,78ܭ&}h1^I\1AwfM7^pm)*=MFxW?8]t=KAT{@+WBJ=pr3Jr/yTI] @a<Gan$B#D~t]Ue5`q}(qLg?EÑ:>9X {I2{`\I-LXcG>F|<>- }ͺG O^Gms ai977N\+i-*rt%39\fNO1$(w5)˼~ h`K6f*RщN,F&SwlQ༊vm1b3H?@هC7gP[ANC׍4&56B-* V!Kdu9=:8ɸ8>{b-A2@D]ğ,C9fjUWI2ǝЏdR^ 5^9~,h5h53<E 'N3|7Zہ Ørs>V:Ή0Z lsݔWī-⹕hWW.rQSpf((e5-a 64Ţ'Un4g/q)IRx&%Rvn 0"JoPRjj^DUR*'gs/J&X[t[wU$ uUwi]l?D_jc.kp =nUvh?Q}?&T/'8]8s?2Lj?S}xdמ ~y;i) WX|3 L-9DQNQe^f9 #Na"N"ByEx"kI,wIOqXRc2̵ݎHa2^B&f~&$mM*.͸Geq);!4'Do,?nunβm!#颕y9 endstream endobj 16308 0 obj << /Annots 16310 0 R /BleedBox [0 0 612 792] /Contents [16318 0 R 16314 0 R 16315 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34574 16316 0 R >> >> /Type /Page >> endobj 16309 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16310 0 obj [16309 0 R 16311 0 R 16312 0 R 16313 0 R 16317 0 R] endobj 16311 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [104.1732 111.227 171.2677 122.227] /Subtype /Link /Type /Annot >> endobj 16312 0 obj << /A << /D (unique_432) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 95.0271 176.0252 106.0271] /Subtype /Link /Type /Annot >> endobj 16313 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 78.8272 161.3127 89.8272] /Subtype /Link /Type /Annot >> endobj 16314 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16315 0 obj << /Length 19 >> stream q /Iabc34574 Do Q endstream endobj 16316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34575 20690 0 R /Gabc34576 20697 0 R >> /Font << /Fabc34577 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16318 0 obj << /Filter /FlateDecode /Length 3684 >> stream x[Yk$~_Q ]A--m>ɞ5Fcyٿ8 awʪȈ/LM'5Im*>y˓wjzھ5~LJNQ*|JR>>IyH}Q󿁪Di?i^Ouc)QӤ|')=J*Wi4:=ن;zuI =q`j0O` г*NҎFHN_`gR$i'Ν ? $>WNpQ 0`8 A0!.y勐ؑ!4 %PL &c1?|<@<* ~- p\'Q%}/i~ꍙYSQM<$m&-Fo,J7?.Dgn6)<5Aܱ,tLi `dg˽xX}R>tp,ŭc8=b)Ęw &ONlRN뻠|20)5{Zijfl1)`jTfUJb+dFP- VZvg)\tVL~-r53BM!,в}7FPΌD@UReDܦ^[̷;]r 9MؾA{82NsRX"An6zV>@(7( GF&0E LWܬF&aZ@Şi{Tb3~> (OUҒ!=<.Sg? vcXN8E,CĬ]ھ m'O9AJfvJ/5%*N柾@rv/ 㱭2(Uan+œ8m+W2XLqi,`bZ+@w+8-uU2ҰIbBvx7(neTf(k;ŔKqMuMwy&㙦{XWhԗvޮ-ԿϰpTEsw \ drƎv)]1kMK~NH?^[#|ӏeiKz=R\#uٸ4'',}KSK#Ow.;`-.ʌ[Ff B̼6GMWeQFуղОM7?1ݻ 1%oz`QE0d]uX$(*6p"gǀ=[,p>3-.qӋmu YҬ`Xc rp2g6 ߍU>ypl^ڑE@2M̵,Pw0X52zqy !nO 1};ұQetճ͊3т>ȺD(:5r28>VʡBsM0SԦl72}ḫld:6/]X.Rٝ-w nFo 'ZmpJ0z&0eٍ]7IKqRr-Th)w-Bvrf*[^~vtqAh!RfqULQVXM)OwZ݊`1.Qn{e7,e;HW\kuQIȡSNȥo;e!IY-wq]I|Ʈ~$eh!+.^np3c84nN@\-@(P!hYQ:-BC6AGȻs [xO |8^\ ->x7MNt](=9ƅwCl(<3C3a$94JYΏ;d‹]Y൙m >??M_῰8-ǃmxt?mo?OzzOg@zD;35cK2~eKr-O%Kۘ|@Sfͅ c=o:Zg,߻ʌ D'!KEl{xP65L~> |%SXdhw~,Gu(Xf!9*˱;`/܌Wut Mֆ179GAGg% v6|Yqcz¬&eZW 4U%-4 B0K˹Xs>yO|`^,><ԙ4]Z`G 45 6pWX~l=xf k|f V9ۮ;[3Gʹy::K3rmh1v=6U YJydjFVpIMOu!EI.Z$Dߥ|"^7 nM$^vԬC3= CSucFe6aI>k[.)^6+tjmfbuz.oJ*LpO^_ =`a̐-T<xIӖNrʫ51w39w%ώ3.> ϛS9y('>^rLJcbeG~} ]4H_xK:`.`y~~ k gBdYE endstream endobj 16319 0 obj << /Annots 16321 0 R /BleedBox [0 0 612 792] /Contents [16330 0 R 16326 0 R 16327 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34593 16328 0 R >> >> /Type /Page >> endobj 16320 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16321 0 obj [16320 0 R 16322 0 R 16323 0 R 16324 0 R 16325 0 R 16329 0 R] endobj 16322 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 155.7467 709.9] /Subtype /Link /Type /Annot >> endobj 16323 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 154.3497 693.7] /Subtype /Link /Type /Annot >> endobj 16324 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 202.8872 677.5] /Subtype /Link /Type /Annot >> endobj 16325 0 obj << /A << /D (unique_486) /S /GoTo >> /Border [0 0 0] /Contents (write_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 170.9762 661.3] /Subtype /Link /Type /Annot >> endobj 16326 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16327 0 obj << /Length 19 >> stream q /Iabc34593 Do Q endstream endobj 16328 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34594 20690 0 R /Gabc34595 20697 0 R >> /Font << /Fabc34596 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYMޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}Q endstream endobj 16329 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1490) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16330 0 obj << /Filter /FlateDecode /Length 1213 >> stream xڭWMo#7 W\`Hqz `٢pHa)iF8n0)f(&&nRqYw1lYE{'赵.!>=ߐ ;}~6;gH7D)#t#sN%O جxYT[L$ 9NQ<9LmH[0xȥ (r;wX=;΍I\,S͖!}CMev$?7IDeFP e8ۖdb5DMPܕX4IWR̔2'>&*NBI?\GNdˌ3hh%̈D6”w37%y u ՇQly y4ݩ3qn'zSag?y%y6h'kMrZņKp"8/Zo_zGGX86p3;əj߭lHfԆ J.̘fөuqdV3* ]jլ~x!]G+둗4 =&,i52Um3ULUc^ֿ g\upG[9IeZ@քxl].I:lYqkHB XnO5^: Sʮ1Zȶ~F6tn4KL(5"+%f|l>Nm6 W=\)DEh@I=! BF@}ɿ] ٠n'Ա\X,y8Ny2BzҦq]0z2sm,Bā*݀t_\\Cv682[Xww`  endstream endobj 16331 0 obj << /Annots 16333 0 R /BleedBox [0 0 612 792] /Contents [16340 0 R 16336 0 R 16337 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34612 16338 0 R >> >> /Type /Page >> endobj 16332 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16333 0 obj [16332 0 R 16334 0 R 16335 0 R 16339 0 R] endobj 16334 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 439.225 112.528 450.225] /Subtype /Link /Type /Annot >> endobj 16335 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [117.841 439.225 150.533 450.225] /Subtype /Link /Type /Annot >> endobj 16336 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16337 0 obj << /Length 19 >> stream q /Iabc34612 Do Q endstream endobj 16338 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34613 20690 0 R /Gabc34614 20697 0 R >> /Font << /Fabc34615 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(RDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16340 0 obj << /Filter /FlateDecode /Length 3806 >> stream xڭn$ί賁M60 i$Y M ^H#٫M.֛Uե& ?'*NmM)Nᔥᅴ/ߞ7hs􏳵)M'o*v\3t|[F!R'?Lq;·߿0윗 l [~`}i [ ,uJ\lj{w7EU.Yh)0g E8 G!Ψ ˜3X[& "|?ܙ/vKLr,@䬛˄c~%vM{,sd xb]}*uR gxD}xE<̱ 0<>#`apmRAh˲ܶK}LccQ`"@hOM`eƭt n5*hX,xϦroF2Ne^`m>sZOv3$yΌUc/sIRATĎ_݀D ]`mY?"]D_L= z3;TuG lѱq,x4=x$2u\P U.'4չO94C-{Ɔ~Cݿ]򅏦<ؕ;>Zl^k-n]TOrإrtL{dD_1D:IĨ(&s=g^&T8z8Ҽ RcA,l:Wv3~G(;crV#6d6a}k{p Jm"WW {:cˏz҅X!( mʼn,G[-T.}W[ʚ@;xo4 F $xŕ{!t5OHVDS@FLM?t V0}2z8LW^Q X^O⁌t5,;>=2[V O5^!gnXr Ѭ&+eq${Z{6Cʆuh%㵄T6M{]M㱕S{+ 2eeq2h967m>FGqu0LUR17{0ic[VJ֮,^< Cz `5Bw^ PPN}L(Yf E{8/ic> m..hBv- lEZƏt[ uV T\O36`_[<,vغdTiB\: 42 FyWvOhDjf`0qQ w*H4V; inN|Y/!8dr n6)5:#YTLID Zޝ0̹p/؞U^S^r9OFWp:g֍VB0l~-K:ʏuͣag*D|/zz Z _"p~}kwљǸ|߁1A2qjyX0cІ <GEfH-A%#أ*8kɎcLǑu|UzrZ /7&ㅏ0MDqG|7 ^<Tă(]I<6V:[F&1 F$ *VtB"k+Jt֎P`Mn :-*oO cC̅e`E: -|yƢL?NI6r9+a޲hau1g6+׾QlQ\'=ujl{ȻF%_Scb$0(?pn`W1.Ӗ7y˦GƷ'1^|5#$e@㍗uDI רR}rru6D_WE R4u׆e(֬<o=eY2~PlhZSw; C'7kS4vkwN}i:q(|sRB_%vg}+Q!]`Z֫ . }Fݜtն kazeK4Q˨璗} davXRSf/?z[2*&zXzp1Zsڅt!ZPW3,a+i5-eSs$$`ZyQ K$oz.ʵ!`߱s>-yp[eDKe,N#G:/H w<ыPCêΩqIvWԸcSpLZ=}Í%P2~ Fki+^3vl,O!oDLFf}ط2!+VףP}!74=e9M#%3Heeˠnw޻T_6GQLy2WajdN2Fq-KXTEˈV:L\ĨPn ] UQ?ޯ}ΪD^D]a(W?ǃnƜio#`ȑT' k u,do!~x~!5ӾS yz8O X ooA( ;`9~TMh01T{?+sD~۰&<彼'| AC{I8Xv1xf:t endstream endobj 16341 0 obj << /Annots 16343 0 R /BleedBox [0 0 612 792] /Contents [16349 0 R 16345 0 R 16346 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34631 16347 0 R >> >> /Type /Page >> endobj 16342 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16343 0 obj [16342 0 R 16344 0 R 16348 0 R] endobj 16344 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 154.3497 686.7] /Subtype /Link /Type /Annot >> endobj 16345 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16346 0 obj << /Length 19 >> stream q /Iabc34631 Do Q endstream endobj 16347 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34632 20690 0 R /Gabc34633 20697 0 R >> /Font << /Fabc34634 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$^ endstream endobj 16348 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1492) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16349 0 obj << /Filter /FlateDecode /Length 1152 >> stream xڭWMk47 W\W!,d졷B[ʦCeI$7kK}zF4O/0xR: )sp~ގl85rCtFG>T0E0[_Kpv.>\.W7B/#1:&u _ls y{> (y֕dj _+[JKԎ,֐<,mNj(+aVfX3coa}XjX|h["Wm1p:!zi ב i-#ҭІ=]H"Zn>Z|gyo<|xvQkmbQ0w^njz?ym/kе.GkzyqΦ_> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34650 16357 0 R >> >> /Type /Page >> endobj 16351 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16352 0 obj [16351 0 R 16353 0 R 16354 0 R 16358 0 R] endobj 16353 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 439.225 112.528 450.225] /Subtype /Link /Type /Annot >> endobj 16354 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 439.225 150.346 450.225] /Subtype /Link /Type /Annot >> endobj 16355 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16356 0 obj << /Length 19 >> stream q /Iabc34650 Do Q endstream endobj 16357 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34651 20690 0 R /Gabc34652 20697 0 R >> /Font << /Fabc34653 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16359 0 obj << /Filter /FlateDecode /Length 4151 >> stream xڭn$ί賁I 7' 䴉K~?$==bG|bU|_b ۢunIq,Ws/CC\K%,_ޖ=Xrbշʣg{k xg|s 5n5R}NmI6'OKb*4 r,ۯKȋn- ]^~Ol[~4DU@ǯ@:b۞|_ ehY֯-Z-Ѿz&BC<@ <5ڇ`;&" }}p@*:~9Nٓn .Y[ARVˌULLem$`|~kVj\]:3z,ם* TI Ῡx7(ʃ"bi-) X{=d>;"~=GV&h9X|hIH>g&ۓhLỏJHo,ϬcdH=林7Vp?!yf+rX2Iaq!{cKR1FiG|H;:VP6)K[ Qj oP"iYh9hø]:vdP҈c10]3&{10]1iq>`H&:1?'f@JL|ރuo裞fyɕ}sX 䢿AFCA'x#o`|0"x&s{<r4E]|w@?9byo0&` ߘ SʈNoԀHo nJ j 䄤[h fYOf-V!M;k!JaU܋Jv{<awSD}(fN As$IL1;yt:31wa-S:MosjxW?En6@F/y+1=|YktFM?"2EڰKԆ)QOͯ?\D>O!d,AEjM8f]3w~8c=%J8#(xI-ix?BG92pє9pRx*̆.)' P(}vf G9"NuY/y3WT=Eƫȉ=3gt~K7{˸~znB0YeoBçӁJ}^}m޵nggatY9[vvD] @vͲ2t}‹9Qasࡸv`{ n]7gdP£T0ZcYm?7$ᑮtv֙ 1G筙:u xƙ^nzlz,28ǭg3`l7h*'HH Bq}IagVZ]cY01q#cttv#dy3hg*ӵw`[cP!-\z#G():p;?ǬOFaYA 6lhfww}+T0ЧLQTPaWJىEt&=/v`\RBL!y 2ƉmF:IS63ߕs6Sτ!i(.pH_vs$wh7 ;ׁQrl=s_e@59NIbx*ޱ|f9CZT,5wVq+l$Ka2߹ҴRX}i\76OVP0ve{Fw:,S6S' A!ED;' g pcכ#ԫ o/@{ w.۶@{R aƴz?k ͩxb3LTH{9S]EYG{)M]9Ʃ;463l^E`r~[ 隙,-ހ Pfp͓ 'y[](6;Zk{,oEuwqx5fѰӨqe\30Ni[2G J]DGу* [QKR`-`Y R-o?_Q\T+s+H3Up'µ2QAn3-ݭˇnX-v 8 'i̳I!\S+cq49Ա},-}/PNI$3S+Qjԇ?)@Zm!PR~ DCHk;&ѣu2LY/T:+R(hd]M,tHq.||Ked0)wPTԖ _E75#gJc|e]DJ@BnvX7e b;6\ŸG߯)S &d`dj77gL3 \gٸ{ÒFPCiW'l=_Jȟ/i> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34669 16369 0 R >> >> /Type /Page >> endobj 16361 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16362 0 obj [16361 0 R 16363 0 R 16364 0 R 16365 0 R 16366 0 R 16370 0 R] endobj 16363 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 533.6 180.0512 544.6] /Subtype /Link /Type /Annot >> endobj 16364 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 517.4 177.6092 528.4] /Subtype /Link /Type /Annot >> endobj 16365 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 501.2 154.3497 512.1999] /Subtype /Link /Type /Annot >> endobj 16366 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 484.9999 165.4707 495.9999] /Subtype /Link /Type /Annot >> endobj 16367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16368 0 obj << /Length 19 >> stream q /Iabc34669 Do Q endstream endobj 16369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34670 20690 0 R /Gabc34671 20697 0 R >> /Font << /Fabc34672 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:>b_%ee8>϶gEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ1b endstream endobj 16370 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1494) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16371 0 obj << /Filter /FlateDecode /Length 2056 >> stream xXKk$9W<9z+zփaK3,e~PaSVRPo[:lۜ9Ӕ㿗|{ueetn.gs(er cM;Y\sSCsEX˅ns $eo'6yabf}>5ە^1ڊ9Wewm^Y֟N6pѢx۴[Qw#? ʬҚp^rD<x5W<b&>(_)GֻGc6 s5ʸEʋ0O(i$%$BG&pܘc-0`cwXLc,[KMSݝ:ցtxG_ӘCVe"2"*wacDy0/ּ B`Y,G"FR4T7v琠)/گ?t8ęG>80irұ`:3~H}8 "Odc9m cY:&u*%IG&#SdI#sYaޗ!<$Փ:LJU ki7Xk+<#X,pK_f l?Dwx2DQ/>^4%p41T5 BMP<]nTCz݉̚Hh@VzC4;dK )6Su08K͇3*)^qXՓ!6QCzP4vѕ?RQ ᳲ5TCZE`#Jzd,C7S&ʘa{$}(= i8Z/E sg>:yoT|vǑўv.$Gi# 7 =T~~WJmAnb,~$o2f!ϟq(GZWmNؐOS(sb/)OQLYo֮X9LTT2*UZlZJ:Vs(D^{u d\0y2~mXt ,d7uᾎK^EnGnߍonUn޴UY2SC腶L`;0W*;V!#B3.1s,;THV7n9DŮWj}kWWHHmU=]6Sۘ 8%}xU W 97h8Xog:by;Kլ39P4AUeMyD em~^mڿ"RMӊ{^wXϙD ?>Qxy0O09/?T6Uz3锁O ՘e#l&߱] Y%ĠVCH{-o[ C1R/U plSБSͨtg&RvyfѬ̍Ȫ"m!BBrt;c+[7V$m3HVdŁePASn p)LēX\7UP@.+yqMܔV Uآ^{WV'5Rz@i>oV@Gn󍔬Iͷ|*$ҩ>9r# tix>fG 1_KMH_X6RKw~\gjW|ttuG'^t^3eXum|H/Vڴַ4zrLísQi5h0?^j3c<~UǠJףI 3)/أ8/;zݕKњ@f>,?[`'7]ZWvjRH}Tѧ$t+J6CM>O&; FE\(ޥdxy endstream endobj 16372 0 obj << /Annots 16374 0 R /BleedBox [0 0 612 792] /Contents [16380 0 R 16376 0 R 16377 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34688 16378 0 R >> >> /Type /Page >> endobj 16373 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16374 0 obj [16373 0 R 16375 0 R 16379 0 R] endobj 16375 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 16376 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16377 0 obj << /Length 19 >> stream q /Iabc34688 Do Q endstream endobj 16378 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34689 20690 0 R /Gabc34690 20697 0 R >> /Font << /Fabc34691 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 16379 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1495) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16380 0 obj << /Filter /FlateDecode /Length 3632 >> stream xڽ[n$WY@r_Al6[nil0H3c˥&5FdU.bȅ>ruˋnuVǠ*CN՟?a!e?}2&ƣ>8ovQۣu[mn GwgY}%Q:0^<< ?P6Kbd?)+4_  &Mlp8{Ë?>@+3Qѐ|6ԣNza<<XThOlYG`m?pT VeՅ `?[^j);KXIHԚ;k   ~.IgcGg^xM@@`M ?I[A%bae rmЄ@)M<-B[-x/bJEZYၽRArV?K\Wj,qFe1n{8U~P:SQAZ:7MhE aqK WP979fO$z0kf·7 f~aDh"b8h,,qHRe'++QđTuY QNT^}Gv1J,9i+pߐ049Hc[jpghlBvimzoŕ$:4i-_WNpeyfmYh7K:*LG>*W?sʎe˕]SB"lXq'$G:A5xZ>] 6&@G^R&| k`sK1tVRI $ J Q؆176}D]g ID ,665D;RJbWxxby#2cW~YB1hHxͼB@U;BDUʁ@|ޥװ<׵W_1ZjBL"W + 8$f+M Q>Uea̖F{/ /Rr-(b8*!q-̮lݕ^ guSB*w3SwIч4+3+82Zlo讘e6ZÃ*e.6U*󺖛gv+uR Y b,zoj11L8NW ӜQzN5aܝJ5QǸwxR@=`KsCo^{$vMg_a8 #(>*BtS"A9ÍKZQIOH uGx.Aj#3AT3w mTY=Cߤ}׮3 舮y˜-ύ{MyG+Ne*CkBnә CA8$C@|`dim^:N sg&% I< Iwp4İ<,AħѴDnp*ymWhn0ӯ0݆?̚y f- l0CVbtȪ>`jF\ƤR-&(#aˠl-Y 'х|+Fľ  g > }"۳7ԣ QЧ1 & nnX4,[UֱCEF1-"Gk1oyJ%,= Wl"i:cxuТQ76%9k/whОӞ[M3:T~{o x=jAL[Ɖ+HxX&]SW~9:+_r ]#2=51~AnCyWDLgI/0K.fFyM,A`HR֭,%bbCI8S !5ZL@θs-i<|57!%n);e()5 ("ƌ*BTSiH֋ ~`(lP\<6 wK ]o28R\Tcl '5a{4=(s~8%ˉ~Qo|xSq!6W q]=De2ǴϴEn~׷\aáQ:v/ ٚt%YIA `ξEЀ jp}kP!k3 endstream endobj 16381 0 obj << /Annots 16383 0 R /BleedBox [0 0 612 792] /Contents [16393 0 R 16389 0 R 16390 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34707 16391 0 R >> >> /Type /Page >> endobj 16382 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16383 0 obj [16382 0 R 16384 0 R 16385 0 R 16386 0 R 16387 0 R 16388 0 R 16392 0 R] endobj 16384 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 489.8384 193.2457 500.8384] /Subtype /Link /Type /Annot >> endobj 16385 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 473.6384 193.2732 484.6384] /Subtype /Link /Type /Annot >> endobj 16386 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 457.4384 162.7152 468.4384] /Subtype /Link /Type /Annot >> endobj 16387 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20210608081201-08'00') /Rect [104.1732 441.2384 183.3237 452.2384] /Subtype /Link /Type /Annot >> endobj 16388 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 425.0384 176.4817 436.0384] /Subtype /Link /Type /Annot >> endobj 16389 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16390 0 obj << /Length 19 >> stream q /Iabc34707 Do Q endstream endobj 16391 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34708 20690 0 R /Gabc34709 20697 0 R >> /Font << /Fabc34710 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16393 0 obj << /Filter /FlateDecode /Length 2112 >> stream xڭYn$ +@U~a/@AV=fC*m30H<IJ6[薫ӯt:], :<[j֬D`l*ƺ`딍yh_ I^5Aѯ.{C^~?cDwaLhYL#!JS1B&n{/%"/5 $r?C6a9U9@>U+~Zr@ap>ѢPhZ0! \ff%,ZA~H%$ s<R 5#Jʚ繱KxQo֭Zf@r+\{.QQD<)B9:'E"Tuﲠ|r^V(,>.犘SCs2msĚ[AYPKl9_Kx ]0t!sV>#u#fs3q&è)L8˻,m< mPOsh>cbyf,*F)wK0N>7-bΏ;a<*(riu1昄4o\0IT }?F -b8v'+ͦY] -!Ƽ5scVW[nB$o:mYӭ3v<͗:iQ2vX47'=ՈE^' KH=1ǖV6սF݄Z&t)hf=7f[Eb;멭+2cVC9e">w~D3ABa(I('B)w0F1LZ-Fܶr9pu-4~ S-+abӻ4ԍh-I ˎk)%Ȇ<Y!vsSWn=V̡;E]qo\XTܽ$O/k=fÈtZ!sxE8я;Csy/UεvGtv6}Åm0-s~zbMq WERWP1Eg\Q蝌#}Y͑k54<]}}.Mo> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34726 16400 0 R >> >> /Type /Page >> endobj 16395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16396 0 obj [16395 0 R 16397 0 R 16401 0 R] endobj 16397 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 415.025 137.278 426.025] /Subtype /Link /Type /Annot >> endobj 16398 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16399 0 obj << /Length 19 >> stream q /Iabc34726 Do Q endstream endobj 16400 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34727 20690 0 R /Gabc34728 20697 0 R >> /Font << /Fabc34729 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16402 0 obj << /Filter /FlateDecode /Length 3948 >> stream x;Ɏ9vw~ d  `n=0Owʀ۸ĒU6no#CVwGNyӭtXegkϿC}XrHoIP[LuIۋu[mn}7o^ӯ7Q>9UGƋgE7TM%Mf1 OgkUNl0Mʜڮ9bEǺd;}Ë?>@+PzII>SNz3~==LQ=tyYD !,55_B/8iN}46Jjuz H\~ar! pRYRʓC;!Af?m Uea̖,=^42R%^N-($9}أ2OWBSoR3h=ꐦб]]_bVX;=^6}n?E7ެycĞI̐bZx-2H*-&1l UcY8`Yس |,Zojcxaq0̙Sωap܃J5A;q𶅼a`QìV{Vc:bVV_lWZWmZR[-/e*(\93%e~C$pʰԸT&5YЉZC:M=lU׃^&tCpg$sKHv#ՅɡMz+2SۼN~ۼv##ڴ&OɯIvQ: Pl0R(6+ES9>/PP6KBfnyғ1,!Ĺ C}q:7j*P*3Y<0@ B=O:IHM=]yR1HE4ز9it~<"̭[7*%))uUk ShUX+PS\Lb#kְm@ M`lWvvP(k.HFJc<=|(uky+{}̿ 3hճ̭Ms-x|GގݝըR%D7ok4lg9'`Hظ[ 9˨7~9u\@]3 NLq Q(qyOCLTn}Ccy`%Z#ױd\qrA+"k[z~2{ RF%ZӠӚ,*Fٞ͵91Y1 p]G3K,>ف]q{&rׁ ;s#ȿrbG=pxN׹*!6D܏jdyp%@"k N6tpy>W YaaRfN NLA4ghf Izպo;i6 *96T/zeCAVXZlqm%j.pxٍUWck0Y ٤9/F] +cd-pnqu-6qFnv,TzC88v{ ^V1F<14fXI/ِ/UmkM^Hc#r=S6(FՓʭ.Pmm`M y*Yڞ\Gp3v[*N.O4sGWQ^ ǖN"vA{-0zHp'ȏH4rGa8zrm2F0>D8]TAdl\=ؤk jTmAMț'Y{H&E*:gB?$gԛ:gW?Q:i {Um${3AѠ48SCH@V^#Շ.ŠOeuj _6n,%0]}~ɹFWת0:d ^Ud'$fq)Z w^%&KuO_`  ca3fbjV2\2*k%hE#nm^ 1W{ݘWȫ-;^f]u7a=5 a87Q3TqBLl2=r/>M#.RFMnu;4/1l3;dBeFC6p0AƜ[BfuX 0ʒ ;oex˂ȨjʾFM9*vU <7k1Kz4HBn>W>Go|Ce֔ktW?_P]T+K ZHй52Q:[".AEX-f8 'i*,AĹV$h0reMV*%&+df*|!N° 9A),얲skX'd%/:Ƙ1E&TbI02|.ùM< CxCBEN *&.ʜMtܭi2,_Xi6"7:-`g.Yhe n endstream endobj 16403 0 obj << /Annots 16405 0 R /BleedBox [0 0 612 792] /Contents [16414 0 R 16410 0 R 16411 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34745 16412 0 R >> >> /Type /Page >> endobj 16404 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16405 0 obj [16404 0 R 16406 0 R 16407 0 R 16408 0 R 16409 0 R 16413 0 R] endobj 16406 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 181.954 174.7052 192.954] /Subtype /Link /Type /Annot >> endobj 16407 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 165.754 159.8332 176.754] /Subtype /Link /Type /Annot >> endobj 16408 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 149.5541 155.9997 160.5541] /Subtype /Link /Type /Annot >> endobj 16409 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 133.3541 165.4707 144.3541] /Subtype /Link /Type /Annot >> endobj 16410 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16411 0 obj << /Length 19 >> stream q /Iabc34745 Do Q endstream endobj 16412 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34746 20690 0 R /Gabc34747 20697 0 R >> /Font << /Fabc34748 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKX endstream endobj 16413 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1498) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16414 0 obj << /Filter /FlateDecode /Length 3445 >> stream x[KWz& Irs0@ANNA0`_^|z${vlvXo)[.}F]3:_/N_W]z)A|?.~ts+ϳpN/=u4.20`;.cx0kB4_w3 Κeޘ,ב6_σ D(L8h9'O pq툉'r9(3N.6HØ% tF^tLF`D€UtiEp?r˞/x >Keeh1Ӊyd6d{3#KHD= A$E}Hj r{n!=Xwʊ xXaա2daUЭsE%9y/v׋R_)F|tXۃl:RC, bRY^WG.Qg9X<{33p|& -|>gώ~umpӼ,=LEd}G@ve" ^)lYWا)Qduܾmq3ysz|pr4;`?_k;UQ` STJ68Wqh|C`5Ov1LhTvfSwІ^6lGìkeNd%e:Nλ2EaeY Y{DbM\J.y6ZDBW=@-50`7҆mx7&7~teߍzR$x 3 샀w-%#פ<-vYYW'x*m+^#y2pYDǯpD8;!QGT2o0;AFH7h| ßٮ#FIctR[t<xnNG6x[b!1)@H:)G5̹ -Qc;K2hG@!-LUptҴQea!͔++~aπхFOغPo29`mlAY(y eW.!Gv0:JëhkQD~qfqwÂɴd{ʥ1+UlO~Ƭ8^yQ-=|N{VV ʅ[ҳRZ7*aeY(Z$S0#> i,t>il1vn$pEAZRmS7uK xcRG2M--_E3=uS>/ QͲSLS33;w2]lŭoyuL65RM}yDUC37[ʟp!mS[\OGxn,p_U56a8 [b&>$tb~kv9ez`$8-n rp=%NH$h(toO1PwOU(@5XPIL U鄦| (P(aR\ hOQƖA YNOU+1rMFA޹p3̎f+6+̆G`mRmۡw{gGe"[뺊w=zM/C0>u}ċG&L׮ X^ue `.~J1譗jvqgIޖj9>.hayxfi4%ron1TGׅ+e#.Qk<2t1V6sUV Vʒ%I[SպayJDƸꡥ`xM`\ja\8鐯ar:@rR2P }h(q3^t/{e;@HKXW|{JTazJ}Y[zxt4|xOV|'(,ոlg|кe]O0N d=5Jc6 pL ˖ie3+Q?W@8\9 `}jk|}u>_~џs)Ÿ}JT-AhƔݿ~=V7@qN `hχC=>)Bx[񡑿)+y`GP!շKcm\քl2tML\H',dv<dʋKܙ;&lLS()ip+bCS@l'\uJ$`nc]ʟuYw|߸YMA$/Rʁ ŏ|v}X G6”K)Cllft5pW'R^~i +~ `&e𭐼84M%4!H._xsu͹~Z3?<;M3®mZG 4!챶'Zl n+.̯81qӑNv3.RU)Xgp`/ݻnZ,8Wf""UDNQ|bMQ249ee)ɷш迗ݔ>M\t tWz:BXVfFIJJz-MU꟡ڊg08bkg YgT/#ݤ43ؕ>o6 `Ԝ@,X~NX'@E|Mi~5x7vhQ#+qN`Sèk!F֫v]s)-zMdfj|&IdsՒ7: ڑQ4[ڟ=굽3De2o5ftFvSYyNBχдuۘQh&3udqZT$X uk\MmY )tbۤd#FHik)FX'YQ՗fX9/)㏜ ss T)+0Nn(~y ~H $ 5>}G8{ܡzICL,@`|!||z&> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34764 16421 0 R >> >> /Type /Page >> endobj 16416 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16417 0 obj [16416 0 R 16418 0 R 16422 0 R] endobj 16418 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 16419 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16420 0 obj << /Length 19 >> stream q /Iabc34764 Do Q endstream endobj 16421 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34765 20690 0 R /Gabc34766 20697 0 R >> /Font << /Fabc34767 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7ӡm~l1wE1 6\Hn:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^|ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪE!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16423 0 obj << /Filter /FlateDecode /Length 4050 >> stream xڭɎί.s_an!I%q \.fTeuf ~4:Z~ŚPcr˧i9Y o>׷ғZmh .#ԧWZ"˗%h_<=<5Edhҫv%xZSY~I -fֺ%5P c)*.3ܸ#<<͓2Cop@9BCq@rB5FC~0s y~R#[ѧk1ڬi˷-P`:z&C2 KJ7X~(ϫ6~ uhM$Leela2Zpsĭ>Vv8itz[Jylc3Poksdp&W*NƗrrf9, ̨P8̫̂ʋ i-05pӮXSWlAͶtT t;C;:b!CDbEMUt( b]CY6J}=y2+z|eTBЦE sǛXF+(T ϰdn%_D2[I9b`; {]UhfW/ϝ}42vdw$5[MQGW ؎uYDPڈRX}2wBٛHg~ܖvfCcȱʩs5;8f~y7 wq5@?o+WдQIꐂs( 1(کC P ߒXY;f_k!pPCQ$mh|&Plx<24E^l=Ef<_}/.(fv$XbybqJC!q4䄤34^3AjB"S;ׂVZPO⁄i$w$tdp"$^%EU d%iϟ[>!bAAּCNѐFaUdaԒtwȹNuKUx=' WXӅЗ5xWrs-sV܉UIfs *Տ-307 /&,[ﵙBʖ@Zp)Sn!wDCȴX+?AaB5؁@e xe6J䠥?rꮅxdyX ?0"ުGwzG~oj W.Ad n(Ѳqmy&9zjtį7ٷYO);:pv,=Xk_3n#&+ys^J hkDx!~r ,Bbqk']kKf"*R#'TG?vU7F#|Z>L#<Kk#SP]?dv&@eNN7D5:Гw7Nޘ5Z&D[e'1zHNQq C3 7Pcц/mC1J/FHA9sr2HiQZ,tǟH­H$Sn0 :c*h 70OAGR?[aN:183OZV 9pցZǁZJz9zPmՠqղQ'tA-ߑbpdO b!>vƒjR:_g@$.ͯnMRmlФ~lWK%3ڦ]Gg')π۶[U6gM*svd{؛~@y{z:)0+`uH|ퟂhketo)f8Oƺ8g<d-ρ֔JzMK/x>@t zчT<툅5Շ`:eLJ+ :7b.,_~p`G*hEî $]s|y3# yE~yKTgl! (~1LVkG|0gu*8!$ %.:Vk½-PeR,SfzCwPa[eؼ 43hY @Nvazy(Pʜ4ŠG+e%- "ίjF 9 vU <5k:!%u~^y8}=RS+|}IY X&ӀNœ!3j5ueS32O%\Щ2\('uŰnVڏc{Xn-zu8i 'i̳BĹV$h0rakLEJPE<,;J% RE60ġ))$䖲3,yu%ϭ>E^' P꘵bJL2|,ԋMe) 3)΅/o8b\P}, 6.ۍf|P10g~(&E2Ӎ({a{ϤT\TիJtr NbF^ܐ6|R]Hv̌b ]HxWKȫlvo R`M]ɘ/d; C2@spmp~n /|&|ڍoX$A&U ή^$,]Բ2bd endstream endobj 16424 0 obj << /Annots 16426 0 R /BleedBox [0 0 612 792] /Contents [16434 0 R 16430 0 R 16431 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34783 16432 0 R >> >> /Type /Page >> endobj 16425 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16426 0 obj [16425 0 R 16427 0 R 16428 0 R 16429 0 R 16433 0 R] endobj 16427 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20210608081201-08'00') /Rect [104.1732 567.6038 168.9962 578.6038] /Subtype /Link /Type /Annot >> endobj 16428 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 551.4038 178.8247 562.4038] /Subtype /Link /Type /Annot >> endobj 16429 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 535.2038 182.7627 546.2038] /Subtype /Link /Type /Annot >> endobj 16430 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16431 0 obj << /Length 19 >> stream q /Iabc34783 Do Q endstream endobj 16432 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34784 20690 0 R /Gabc34785 20697 0 R >> /Font << /Fabc34786 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16434 0 obj << /Filter /FlateDecode /Length 1586 >> stream xڭXIk$7W;wooag1Q4Nqr9ii/mljrJ@?lp:+f{^x&֣yg'a8H&]pV(BRt/@q3_` MC@/4A+ c}Shv"~!X1 lb-$ВIlBGj^f8v77WH :(wcְݬ\ыdwhkCUv4_=5%ե}賵n*Xby>KmN:Z86ݹh{FSTvsc H?$YIBz;']_A{``H D뜊sq|;(wG`uD%6}tOG$^ϱHȏ!IE| ^ŞOgL YBWU`֠Ѓ/#yحF$;3RoHY7 4T??5$Wa@~_7kȔm}"IXX bF0U뵃T()(R̓7sX0%:5FF/6c5腰[Mz62nc%@0t ,ѱ;>lj)JnJn[5Fxs- e[niuX]ۘ)!A{1/:bxh rj]c|[EΔU*x?s7ҳ6O4`õsq#bB„C#{ _oAʠa3c 8z *~%1$`-8o>Xm/:*Q>KSTBn*ZbCMgz񳊋LPK> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34802 16441 0 R >> >> /Type /Page >> endobj 16436 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16437 0 obj [16436 0 R 16438 0 R 16442 0 R] endobj 16438 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 424.925 137.278 435.925] /Subtype /Link /Type /Annot >> endobj 16439 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16440 0 obj << /Length 19 >> stream q /Iabc34802 Do Q endstream endobj 16441 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34803 20690 0 R /Gabc34804 20697 0 R >> /Font << /Fabc34805 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16443 0 obj << /Filter /FlateDecode /Length 4134 >> stream xڵI$ȳJž &{ Ɩ cddfU/kTK2}1'|bԙ%%_Y:~|LnA泼xPmϭ߆PZsV3C Y8^o˗?./_w doKо~zxjCƒIiMf]0Ɵ_*bf_BYBhkg=k">t 3LI aAf|d}v,gmUaA5ʼ xwJ >^kM+R^ b.-Rb z HC0($Л(vvNH?ڎe$&Z悱+ a~Yغ'iZH,Ew ϫwML=%M"`_-d1>)i5̪s ٝW6j+ []-)8ӮmSWlCm(vObWĮCc=5U/PhB,_` tX1@Oz:`xDzh/DЎttlKm!1xeirЬ ,yփ_GDAao)1̖D}P{cL \-8W#jkfjK0l>q{4 ,Ȋ+bf-A'(no4 cc 5KGIK^%(5PJ?O){eLԯ%-lwrL8y];8fy7ZAP?{V&cB3SVX*g-r$e~Ubշ)]fAZKԄoIa y6-(5{>:+ER Q؆0!bջPi]ComRlS+p<;D,y18@V\P&$rLZ35vT0(v[<8GeRj*4?WZjP௄V6+540GC18\2bV\F { lԱjfB0cm+!赠*Ge.oݘ^A|*51SZ`EL Cq^xEt>O7J^bOiݹ M<9ܡ_ƢR\&v/lRB2@2'X~'W v5ߧ8@I9Ru9G=Tc[Rw7gӛ&coٲ-ա +4究5 l{呉n8kHk )4[0jqtj'j+rбenxt 7Tad`++ ؈>okk)yѡVK$ޮŴ[l4.N91 FSh{Qʠp6,+JbnW긤xASO8\'u胹]j I&Boҁ%JyE5IB+&Lo;2X9΄t"\#gXg~yEqmF=wь}̭p`%O\19D@r.ȇ/wDQǃ#8tPH?F嘬b9bv~bÖQe""be+ӊ7tMa?vRYjG204V c$e>zIDe7y fcA&2q8t<' ֕S=p@% ; .<605pB.O5Q0K5d@!^c:B>tq^OE_*t|ɵAۘx(q6 ݷƿhS>8_^_ 6I+6zTX/7IwOR5"{g=FX!SՔ22n\*ly."*DF !4c1Nȍ7&C;H ϜOi(A9}Fz<CD+~${S\W ;xZS7n eD*1ӢW*$AV7톂:k?>H凒ϛV S 4WDN=NGq͜V$wٺ.ujLS9/ݶU` ᱪL'M^`>m$Hp/IEGm93OtuW~>ybMXb2 yJQ'ؾDaē]|o~Eo ujWmbllӎIf+^me M_6-v hWU֍kRYbj;.O87k,o__\e|]Wl! (~ݓ`x Ӈh=PpA 46@r+5:P]iv(_[.M6YSdzCwP[f[aYJo?DfM67baҕB>dW8Lp>JbRx\k ψ;ZO5p Ơ̈́^ZfcM8&_gp(u!rAE6DžoyCGOIle{ xS<&^S$uD g: \ Y bIS7<+[MR?i:d-)WOZ+'F"Gj_p.qNTQ1ӎA#S+Q>+h S\brsљu寭Fz|>0݋\ P꘵br YxC ;\0`9a֙2I3^+x>OOxk|Â"q[l/py'c q;uFQd; C2W=c̄9Ṷ9e; Tܒy`EdM bbyKMM׃8;IdzޔV endstream endobj 16444 0 obj << /Annots 16446 0 R /BleedBox [0 0 612 792] /Contents [16460 0 R 16456 0 R 16457 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34821 16458 0 R >> >> /Type /Page >> endobj 16445 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16446 0 obj [16445 0 R 16447 0 R 16448 0 R 16449 0 R 16450 0 R 16451 0 R 16452 0 R 16453 0 R 16454 0 R 16455 0 R 16459 0 R] endobj 16447 0 obj << /A << /D (unique_207) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20210608081201-08'00') /Rect [104.1732 553.3038 179.6442 564.3038] /Subtype /Link /Type /Annot >> endobj 16448 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 537.1038 197.6017 548.1038] /Subtype /Link /Type /Annot >> endobj 16449 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 520.9038 195.1267 531.9038] /Subtype /Link /Type /Annot >> endobj 16450 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 504.7038 177.2792 515.7038] /Subtype /Link /Type /Annot >> endobj 16451 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 488.5038 163.6832 499.5038] /Subtype /Link /Type /Annot >> endobj 16452 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 472.3038 205.2137 483.3038] /Subtype /Link /Type /Annot >> endobj 16453 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20210608081201-08'00') /Rect [104.1732 456.1038 177.4497 467.1038] /Subtype /Link /Type /Annot >> endobj 16454 0 obj << /A << /D (unique_303) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20210608081201-08'00') /Rect [104.1732 439.9038 210.0042 450.9038] /Subtype /Link /Type /Annot >> endobj 16455 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 423.7037 165.4707 434.7037] /Subtype /Link /Type /Annot >> endobj 16456 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16457 0 obj << /Length 19 >> stream q /Iabc34821 Do Q endstream endobj 16458 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34822 20690 0 R /Gabc34823 20697 0 R >> /Font << /Fabc34824 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 16459 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1502) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16460 0 obj << /Filter /FlateDecode /Length 1986 >> stream xڭXKk$9W=;cbl8I19v2Ra; h q >Qƹ=!he)e 29Sʙ-MgJĶq{>An2! ?Ts^M $3y`ЃAȌ _bGɛH A$q,>)#*h(BV67M/by`/g2Wr4hR"cLf|X*]u#]Π@V:p9P^ҝُZ魄IyG`/$6i ~S_0?+w\B4Ɣ&,) ~F `LXps x,`SzE!`8pkJZ^#im' ʘo!K)ebl)6Xopι.7KL%Tu b3  њSKy~lL,39{G[_*6c RB^_Tt/&MU(Q($%eYiK\5n9Oϯ3j xWWyzj&Ks;u !gWr39Rm])u4~ȝDo9_.Mh{bSC^Af蹎HL$wsYFŔNhQMlyچpr]X՜7amzz,yju@ryן>d֬? /eW&-b=N;"^ $H8U<67vsV٤_D R‹u~hU a@KR |)-I5Zei}KR@pF[V-o Ҁ W|_at[Tv]_Ȅ~̹D7q)Cm+zcCK!N^S5w^]1n,Ywy`"<81 `wdM8̖AjŪI+y4*r~7^ ?^zz낶=i[x>whu6\5F{4fa9=iD9X;#$R"VXX/X?NNt9^zӿEѰM4wRȘh0Eumh)pRst t{M!Lj{ Ѭujo/'/jb(+R㝴e ʦ)˒gRݓy-5ST3޶,؊a0G[^IW#jwB<ꬌ.ΰESpYq|p`}}JoI/aMCHsͭm cVv%ܦ}O[NTRG[̬E6)r_aQMRysk]F&^kmcE$m2dJ<(WK>D׆bUXb@ 'pVҭQvQJC0ªl6/ص%H EmIGo|V-k +l.HY}}}K;.X1=![z[<ˁ{j4i@π!}_|ot΃i }Th_gcq<_}OKIgrTݽU3fuX0`p7 XPk\KW[T3ձ~/Vyc > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34840 16467 0 R >> >> /Type /Page >> endobj 16462 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16463 0 obj [16462 0 R 16464 0 R 16468 0 R] endobj 16464 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 16465 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16466 0 obj << /Length 19 >> stream q /Iabc34840 Do Q endstream endobj 16467 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34841 20690 0 R /Gabc34842 20697 0 R >> /Font << /Fabc34843 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ Do}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1d endstream endobj 16468 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1503) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16469 0 obj << /Filter /FlateDecode /Length 5064 >> stream xڭ<Ɏ$w~ET |0|$yq̪ FL2`06#^-fTuuf ~4wuk/]_-_.us} .}Vv1}=O6| :jvV 0V\{; {F@^cIiMfge /LY.(kҡךϋZϋrh 32&3.~jA6km `X2G.=ttVkKqjv󙞥>˗t(Wu!"@ģڃ1F22׮duN :B0( ], `}?_LK5-X6q~5i=4K W+L k6 z7_<'kGiA V4IԝE0uO+P"->ޖR&C0h2umnOi6Ŭ$.'gV1Qə 3>djHkH*~k+N,\z^4ۺQ%P ߉ש] w{(j OҨL9x |5W0R&gDsOen?EkQ H $6ِ|x[<24\AldV\faADAao)1̖D}P{cL\\matAO4+>4 .2=l >Fq{D|Hl:,}%-Y"y(mDr,}2wB٫Hgv- *͆cSkw:qB=f}h%5CxJH4aM|*U%Or"iH՜ !3WENou_=9Zy>[RXsa6KдP}8E6"":L xeh6.#sEo0뛀5+6J)ߨ+p<;D,y18@l\PrBz9 jlf5V!kKaVz!m@B4U,ZVkwZjP^V]spᙚ40GC18b 1+.#+{ lԱjfB0`m+鵠*Ge.oݘJ NAU4TUjb޵EL ]~^X-(}n?E7(yǞ sY M<9ܡ_beQҷ\yܻMFۤ*d`cQ]5:tz0G-jvLԝGͷ؛F,@o p yvM0<^ydN4z,,:m=ɁX:­.vFvYЗh!- &XHC!ZR7`&PL\6N^V6&AѴW}Ap>DJ1,kaM1\09qTILS 5=[r{xRmn|5:uoiat #{5 .{g1&l[ h5,s xyM5sz7e3 qa*3!];1c{ٮ6Jlv nVyBz)#`$ULwtNx) 1ifrB, 8|;2A56d$"_pl ~T[h=h?/S#bX` #Y><ߪV a Z^hgýdAt(>|/BP}"4h*ix7/VDHi>}$/I%Y%`z3*qK@dy$$y=AlPZ (-E*X@. ;N$3PVX˪lo6Aw#ŠT>11YHTY͔͂g Ǟӝux`:;E %s̘Ӻv:Zyl_]4΃PjZ]OF_Qf Eez.6r`͕YM= csۈ)OS7βOƌ.ʻG%8 %ǀoyeLtd<{0 JJ= qވWkW ŇTI 8\Bz;'EWXAٌ׮Z -\G*S#dZnZ,uaɂ-h-)^7[NiG/lxpH-G}:mĝ*Z!tngPkr\զm(=3o%v:k&:bWS+'W*4X_Úx2Fr lxa"BX_}J ܺb1hL:_uN1A@w=0b1>RY- X<؇%%gwP=0By-EAoh5o@5[}Hu,5! rM*D"I@}nۃ Sfy Ec!H*Kkr|ZX+D^oHD g:^] C)'5 PkjHª3IqL9yhfג(;aHrHS( pֈ"Ha  PG"` M,%aD* MPR)a*#EhP{+(kIfCW o̭L\ pxQ7OIL񸀹(:Uԯ?#Wrkd/$,%zD`-G١bY3͂!TĂmCfiṴۧPY!O [uD!TjTaPt5'"] uk -$W CqgZ:et[n]+[u@, )Ux& ^Ic"+ɏN80 `6 SO[IKQ@ `QyQXPjP jSy7ʽE' ȣH׷ir,iȡC7h98Lۦd2uL Ge"q!/ߊy (oriP5 us@n_Fd蹚]0\nKx?#[с@VV;esDkgq{Ǵ#|@v4h }c8A,tcʕUʮZF4wDz0!ͭmyb"$3 8-,.7F#7%궻l8bXcls\1AUNMJrᄮ3`Rǁ/Nކ`4،,)fP P'fZJp:EL=8xJlmB2|7{ 1о͆ 2*5b^> /'2 e0@LtAo/4{{`0{g:aċCQ"3 ph쑔/F*)u)P o8tRfkSDbw刁f5 "pY6ckm!Riα#XxqUj(|j$C}7#zYc6YiyIђQ\qeO}qRG&z">w&j 6{vTNjbx*X%HVs~H|"#!4] @<ƺ&>M(.F$N-b-fj5ƍ/x@ظ>d'/.xj;$Qm[W[@[wu-W7a{Q(_ xS Ƒ9'.:V+ n' XJ l'^h &C_G 'Qc䬱 m&o+3A} /X01-ϐNպ;M8B'k+dƚqsIMgp(unT[ FFZ 9fΖ J!P&^SS+jxTaTj@K(Wuk]ܾ%ǡCr$2lxq+cq4d d_p.|¿ޤUTôcTJ_th]0!i>|χ/pCJ. l K@tHIoK^F>1k6 XrU؊&h֒c sM&G OE$_&eެoP=u~o <ܸ ̃IjSqeiP/պ~ L$D2] Nz]v|޾ݮUo."MWO;\U^Jer0Õ n]cyʽd {Y+'w|`MT|jRxAZ~8g!ܵcgﱷ%tTb"~/Zϗ*k2wAwD A])d/B e endstream endobj 16470 0 obj << /Annots 16472 0 R /BleedBox [0 0 612 792] /Contents [16486 0 R 16482 0 R 16483 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34859 16484 0 R >> >> /Type /Page >> endobj 16471 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16472 0 obj [16471 0 R 16473 0 R 16474 0 R 16475 0 R 16476 0 R 16477 0 R 16478 0 R 16479 0 R 16480 0 R 16481 0 R 16485 0 R] endobj 16473 0 obj << /A << /D (unique_207) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20210608081201-08'00') /Rect [104.1732 475.5384 179.6442 486.5384] /Subtype /Link /Type /Annot >> endobj 16474 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 459.3384 197.6017 470.3384] /Subtype /Link /Type /Annot >> endobj 16475 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 443.1384 195.1267 454.1384] /Subtype /Link /Type /Annot >> endobj 16476 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 426.9384 177.2792 437.9384] /Subtype /Link /Type /Annot >> endobj 16477 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 410.7384 163.6832 421.7384] /Subtype /Link /Type /Annot >> endobj 16478 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 394.5384 205.2137 405.5384] /Subtype /Link /Type /Annot >> endobj 16479 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20210608081201-08'00') /Rect [104.1732 378.3384 177.4497 389.3384] /Subtype /Link /Type /Annot >> endobj 16480 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20210608081201-08'00') /Rect [104.1732 362.1384 208.1122 373.1384] /Subtype /Link /Type /Annot >> endobj 16481 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 345.9384 165.4707 356.9384] /Subtype /Link /Type /Annot >> endobj 16482 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16483 0 obj << /Length 19 >> stream q /Iabc34859 Do Q endstream endobj 16484 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34860 20690 0 R /Gabc34861 20697 0 R >> /Font << /Fabc34862 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ7 endstream endobj 16485 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1504) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16486 0 obj << /Filter /FlateDecode /Length 2458 >> stream xڵYIo#W<@1@`Iu` `N}*Kg [k-_Q__ts:E]vY:=\9m X&٤^j֞W=k}wOJA1/"޺Oef4K6Itڣ X"vG~+n,b19 nl}ZC+2 C'¶xej3e h wTZIeQNJu!me.>˙eP OY8(&f2m@>ˮLW.ȡѤ]z`6$ G%`h4bsE/>$ky! /]B2 M@Bddv͇?flԶ}*c[5 N(3iqz5<6A̖Xx'љ7YP/ ǎjM)[@3#or\KQ 0(U46dZ,;+tvq2]aMX0 t3>tzSE/Ժ`Zu69[tk>W&T힊(4RM̏&A'^"@T(y" @wfK20Bk\ NGEcC"}+'Wr!Iii Ύ9g`t0*"*G@A" ρ6;B)"u%“j8.MvrX~+zBV(y¤PezV)Bi#UQZ{07q1䫰[Fw^}kUwz;.m 9*\ō@pޙmLQWB%Ly54!k =9|XW8p@֌.}PJKIS,v{d 5!vD/Y{ =^vS C1xPE?^aC>zp4lp0j V9㻬0tԆM~Õ}W~e~A%--: #5e;aH`)vZrHWTP;0?o tn]c:&Mi,\rmP3%hwyWX +_}F r(:+B9( ]a]Եg6JqZ7)sZf@D8 AË wSo9H|z"ds@r[059 kY}nw vOMT3V ^2'k"yKz χw߹Xu%i'LIRs3|KҬMc"=$5);_c$ N%S.4p9U|d[**'h &gJL7nR exqCAΠVҊH'Avo'0.-9oW{'Yv]uʩG.4c2DCKÝ,΃ 7azmgSN™ԇC"^gbMLki8%KnJ_y662 j70Rw0 ZQ7h)iAXQ)}jNuS۞ɪYvxFϐ#]$*7E.O0Hz)-4=HRՌԽl=38qꖸq#O¿^"t h*+,J 䦦Y,X^xEyNĪޡ³ ōESĽDBƸǭgi-S-S%ٙ_ISz+x𥀴IFȐh&Vʤ!xxey#:}zkXV&QGLskpf1%?me^Ls+M~0h&Z7Wd!;RXIid8c[$bxi,#u&x&;闑 oT_63@F*!J|M5Iz--4k=+>ʧW^x],'!߿3U/)`I3*R>pjx^@UB|$<^GӋx~*kq $ #~L+ ?Q=P55Mý, ;cq5zgNPIxֱ[<Ů<%=n_HpED*{5' `B.:W endstream endobj 16487 0 obj << /Annots 16489 0 R /BleedBox [0 0 612 792] /Contents [16496 0 R 16492 0 R 16493 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34878 16494 0 R >> >> /Type /Page >> endobj 16488 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16489 0 obj [16488 0 R 16490 0 R 16491 0 R 16495 0 R] endobj 16490 0 obj << /A << /D (unique_56_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20210608081201-08'00') /Rect [90 439.225 154.724 450.225] /Subtype /Link /Type /Annot >> endobj 16491 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [160.037 439.225 192.729 450.225] /Subtype /Link /Type /Annot >> endobj 16492 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16493 0 obj << /Length 19 >> stream q /Iabc34878 Do Q endstream endobj 16494 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34879 20690 0 R /Gabc34880 20697 0 R >> /Font << /Fabc34881 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HT ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?>h endstream endobj 16495 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1505) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16496 0 obj << /Filter /FlateDecode /Length 3900 >> stream xڭ[Ko#7W9z~K9,doUHV?dɞDn.zNfT6>3?e]}SLɚQcrӗZ8o>o!GEN>;{_§ S>}O;=N2=Q_iȃ^b?M_&=ǒᖞ3.՚N1Fӯ;7%v.̥PCКYZ|.Б3;jm2:&.%+ @N (B %[J<*B]y>R?9F@G# a|/; ^Oh3 &N=SzUL })\>z8z[ ==9Ziajۦ6aFׅgZ`>gƬH1GL,l) Rz ƪy^z Tbta†0G:ǐY32}T jEs1!ͅ ǁ9=z^[B r]#FMg$/8໣l=~dl>ynv1ktƄ|*\-X8 O|tgΪ< 8Cqﯤ"P>F]$oUQjO aHɠHD@yA$pC<;Dg\}yn\7Fz&[B/ak&W%\gW L\f٥O|CT_voY$0-nᷤ3? JJ`hG @NFy%(~ɷi-CS)j, S*F%ڑR쇿R!!Ix!wEG(dIFN9tIZLAaVc/upkxnbԭat5.;J}H|]nh),S + hbp^-:sUdHXqnT`M-#%[v1-+rwzfW֝NItUjڟn[p-^-Ծ'OIݓ7آD)C /%<\dtSRn2-{j2wm}%p"TU1R=pw:q𶦼z(}]޴p&Α= ma{FPBw};'XA^:ѹxb~.ae>& j Ҧв{Ϧ6zz0փ-KchƇvSC)Tv;FbDilkkE {0FH넀v$3lW,gȁ7Ǵa#4euo"$V<-D^CD5:>V73O\ N^-zUV C\d˞+KT7eXZKݫn`6:`("UmE/Ʀ<łymZ "8K7 ۉ PRB+uu7BB{b~aFm]c V)Z&8@~4 ܩ"<)UF5ʕ ͇s \D p| òn!=X)Kۊl}L6uMTRF;U<NQؓx؆ٲk%<'ˢ`^Sn9ەrJ瓛ѥv5Dzz32'c|kEo,d gVj8$,!(ZD4`ǼXgO[au{Na!^]+[Yx*S7)111,{ATu\I~h\7cU yۣؐEAzfyE1 fN?B VSod*p!%-E!х-뫋wKg.CrY\ 󅫈z Ɣ9rQ7|5F+d$Ņ(4I?\+܂$7ڒr {VNHG4%"m˗ɭȶg-5)E#l(QЬQP,qZf'zsb՗yQ\7f/;6Kk/fV~6Qi?EnL^ ]]N+bގ+ ->DT+\ $RlV`e nJ^RX3>TzN[ܝҥm * 1Mf8da4۷5Eja}{p7 /G2;ϥg]4-l2܏"9iu 3]gjl<<|oGٓs݈jo* QӒu3jhp90*}+dE(=T 98y((&wi@n @a܊گ^tU ayi [+QoKk}= }^Fh+%&@*h뒚9P=5d,O|y3y&lq)s\O/GNDStΥ=u ߗٺWpT:8X AD/= X,eh۠.R+^Ë6A~=nԦwC6q.Q'+kscBBn:efyxD/#WΒ:@&:3gz<"_rʔ:Em<`W| SU .[sbdMC_C3$HCS !#c=fY#="bѳÙ#QUfQĹŁ٨\K=Zܪ Ub14xfjR LSC-nn[DB[q=&Gɨ%ZSY;-FS}^mleZ{k/XjUA\ꄘ펽 ){q¶9ND_'":ca)TF㜊+IۅqZ~`Bc!;$Lk>NwxVvrt^?ݏ{v<0|+S7AL8{gZ 6d Fu}'菗|G|_-/M?__4%5E.4XSu}g ѽq6͡Qw2K5eE A|~Mw,ٵgyV颕k endstream endobj 16497 0 obj << /Annots 16499 0 R /BleedBox [0 0 612 792] /Contents [16507 0 R 16503 0 R 16504 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34897 16505 0 R >> >> /Type /Page >> endobj 16498 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16499 0 obj [16498 0 R 16500 0 R 16501 0 R 16502 0 R 16506 0 R] endobj 16500 0 obj << /A << /D (unique_405) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 239.8747 686.7] /Subtype /Link /Type /Annot >> endobj 16501 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 202.8872 670.5] /Subtype /Link /Type /Annot >> endobj 16502 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology_check) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 230.1012 654.3] /Subtype /Link /Type /Annot >> endobj 16503 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16504 0 obj << /Length 19 >> stream q /Iabc34897 Do Q endstream endobj 16505 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34898 20690 0 R /Gabc34899 20697 0 R >> /Font << /Fabc34900 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV-o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16507 0 obj << /Filter /FlateDecode /Length 1255 >> stream xڭWMo#7 W\`T[$>S`JԌqlcHQ3|HKoJC E53|![m%8|"9#O>RHT0E0[_Kp ]|d +5Z+_7Pf|::L; 3b=ʼJl(s{%peMJC-BC I*䚡bV߻,K>8\882~?ןo -%_j'L`KlkH6e1 T;ۍXwmERX&c=mB\yD )3|\еL-0ΌDBN6S&w"ܼff>țkbwYͶ7]ۘ1Ygб;1/}vuڪc1pMr.4ChDŦ pA's/`l 'H^/GnF/R f{0A[E*LeGk-?He)7[FlPG]Mՙm}Z3*9k{ն'~]̦HJ ml[(vHyʾN㬹Uf_T+:ep֪#pN꿆O T I:9}T .7 Ddf9%"9p/>Uz" ϰ b%¢C+ p::6Ѣ43uVX{OJ$!4YR,> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34916 16515 0 R >> >> /Type /Page >> endobj 16509 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16510 0 obj [16509 0 R 16511 0 R 16512 0 R 16516 0 R] endobj 16511 0 obj << /A << /D (unique_56_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20210608081201-08'00') /Rect [90 439.225 154.724 450.225] /Subtype /Link /Type /Annot >> endobj 16512 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [160.037 439.225 192.542 450.225] /Subtype /Link /Type /Annot >> endobj 16513 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16514 0 obj << /Length 19 >> stream q /Iabc34916 Do Q endstream endobj 16515 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34917 20690 0 R /Gabc34918 20697 0 R >> /Font << /Fabc34919 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYGFxaH&E d_ )2̼d9 M \09 `ۼXI*d~{QUh^@wP".*x Pڢ_Ћm߽?KZ endstream endobj 16516 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1507) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16517 0 obj << /Filter /FlateDecode /Length 4155 >> stream xڵɎ#ίU/@2rrK~?oV*I3*Ƿ?.,]-,/`_?]b嗱k1'Cx^VÛ-ĝdlӻjݱյ>]{7S$q}0gO:/F?PӢX2|ҫv%xZSYfg[LwWm)K(kҡߚ9xqGS'T@94|:^wﵜjY j#0ቡy.%zX13{̌~Kg!j{flwWl0B8 }rd<?øJ.S0We: ?{`/^S+pb1ڬio\~?̸]]}$s0&u% |je}+s#d6>+ d+B(~<}JSvo_ NcoByh^`"Ɖg$Tt&/:2'@ r=ub~zyߐA[\q1muꕭ!XQ;UuġqC@bEMU(ze]C~ƣ7#BB8{@=d0R.,*JhJ`Dĉ9w$sD".f! '<-6+z0(Z}ާҧjwǴ :%lsK'.cn^tGD3ˌ"fyn|LSȉ[)ky}brv,P}DP؈PXd焰N-D[U }'ÞqhaNs|ԟ17c5yߋWCJwJBǗNviﯝ]:+AߌxI$w':7 pR಼L-)9l- oдPn8(_oh|&Pd?24D^l EF<7_}oH(P7mC$`=J=B4TԳ Igh<fZ jlf5V!kKaVzx !q*JIa~iyεWZjP^V3AԬa>y5UfdYq9\c`kUC4#^n%]ר-@ԛT5HCoX&f]i(zfb؄sHjIDGu)QF=; ;*e,VU*}˕ǽdK_I]5:t?a#UqL\GܝJ5@ۧqk𶅼!u'^`}6i2&Q, B^[zКМ'n3ȳQ{&J)A !ۯ%lԧЀdA]¨Sө+9P[9XAVU6v%Bz!V2 ؈>o9k܍ [G#5i[t +NV$$AgJmO88xța-^-xq-iZO獅JZxJ R>Y_W7, ^Ggq*ƾ؉ 3aQ*sKm%rp( $;bb⤜db!w)?zyϻVQ@ b3O! k`9hn1L:<41#V*G\ f6 p0bJr9&2>\'q:Tvw {A)2h+n<Ē/1R^.qArІ;zM B />Tc؞k%ޣՖƠ@hq 3Eu(P,6@4.wW`{n(42 S^}"FeyH -S VbH1܏Mcuv2qֽ* Ȫ6m7d؄J"BxhgC{G\;,ovܶKĐ X0BD,Rffmoj k?m&#Ee Z U4)&wpdܨ5*ƚ 1JXqGCSϺzOmFn"cʚS-6i'U}$.55rsCkJxl>`%F9Y Χڗ}u i6\mw|\"vqjy]S]NT !%N+kc@1]2 Ֆ459ɇw\gs&bm1ck|\q0h+`hh,9&?Qq׵UBqmPXty4HS(>$t,["2i8X+CJlgF3o6phn@C%-[6ӿD)wF:vXǰj Y-w ̆@***c2#O`b:^E&(eV\? | +Bͧ{lZ7'Y`î;[% /;!8a7 lLWgMk f+(uCc?Xu;}}|OM^Bli`pf)ւ.-v߲Q$f3G(@GdSI;x<[u8d{=##uaA2UU"T ɇs3%>1Hnc9HbkI^m(y дrӅ\99ڤa%Hj+sҌGci5JhЍۦH"3A lAd'}bFaUwj${E?hаqcۡR3]45葃KTp{V'gj-Ggv۶Bl( ᾪL sj.d:1-C4=5b* @5k ]fփ5jRwC"iUS;pwS+ I#$6WtDT݁]_-k|s7ܚ6mfcᓪ6^$ok<ޗ%&qsûz'ݪ Z6a<ֽwT(:%b$!'b4{H6ClpN}Bu·=,'>[!7 6S0n}gp#/%Xm!;j&m[<c4Vuʸoȧh[qܮ ˷%6 _hC~2l@MtX#aصtI W6ݘ's+=R-k (~Cp#P>p ^ '+4~r+5anh<vX{[)qMY;9׭2lcr~[i4LD߀JWf̓]}bq'r@ 2bУŒ- "ίӦ϶F 1 -*WȚ5ڛH?`WTO@GOx-:kD?txT|Eq1RMruFz񫄳?T:UZ: EH56Jmt^]ZA>eP!XW h0ras]MJ|_RrI-ekgX2J_[Mm}`݋F>1k6 9XrU؊&he,.|k|SedW7wn7k僢![/|'bH?ybw+M*qNŕQ~ Ub7!^1m ijao߄iW3|̌<}h76 \s~_,SH!}l0k*g}F/' xW/xDrz5)K_~_pOײ!:  ~8G!ܥ_] G֯.t2PYw'x0ceSM|QANW"4^I2wQĮ endstream endobj 16518 0 obj << /Annots 16520 0 R /BleedBox [0 0 612 792] /Contents [16528 0 R 16524 0 R 16525 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34935 16526 0 R >> >> /Type /Page >> endobj 16519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16520 0 obj [16519 0 R 16521 0 R 16522 0 R 16523 0 R 16527 0 R] endobj 16521 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20210608081201-08'00') /Rect [104.1732 499.3 226.1467 510.3] /Subtype /Link /Type /Annot >> endobj 16522 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 483.1 202.8872 494.1] /Subtype /Link /Type /Annot >> endobj 16523 0 obj << /A << /D (unique_406) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 466.9 197.0132 477.9] /Subtype /Link /Type /Annot >> endobj 16524 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16525 0 obj << /Length 19 >> stream q /Iabc34935 Do Q endstream endobj 16526 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34936 20690 0 R /Gabc34937 20697 0 R >> /Font << /Fabc34938 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMQ endstream endobj 16527 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1508) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16528 0 obj << /Filter /FlateDecode /Length 2097 >> stream xYn8+xn@l#\̭ a'Tʗy J^Ƈ: . JU;m7PS&us:E=::\砝ӗ/Ґ&٤/_ws֦3C}ϣ%c }m5nBKvXv[>t쀾H yc;18djZ5Cԗ:_:RR x~N_|CLjc& #ƩڐLd$ U2SwJ=3qLb4Òj{ M5S m-;Փ89`gaACuvғuʭ~ċ g#O1"h]Ջ,N"h$(l2iᲵ|ⲷd2u8Xìtd!do&`\4v#ޝΝ9γ(v2qrtǵdq< 8:BvDtps@ra73&GMei;1RO_~џD͹tåk7넛5Nn:RzI{}yB8I{xΠc}15V"śG *q0sq!±\`wõ e26M_pZ y:δ=d0jioj,p^wrD (Tu?1 #Rakw^7#[R-o()gHcNuLJX|r'J\ o0Lɏ79|YM.ve8]ҳȑ҆% MQ#nu祿dj#I_nvZ⡾ ՕVUr3ie@+>:W+i흙BC z!J 24zA0Gkmh#u5*Am{ 5t(^DK3)=ؙ َk43լoymM(xeFwöG]5vXV/$1oqGL= t%me_L=ʊm_(v4#fkP5^l7 7S C+i6M{ ,^*ˋ:y#92%dr~Kۏ^nLaҗG,HG(oH Q†~oEq4wCAKn\ +>(b᪦Ρ_R_W@a`,u'xq;絳V6F@U` Q;.*\!ﷇ=?2vHEH6;bFYKg> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34954 16535 0 R >> >> /Type /Page >> endobj 16530 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16531 0 obj [16530 0 R 16532 0 R 16536 0 R] endobj 16532 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 228.625 122.692 239.625] /Subtype /Link /Type /Annot >> endobj 16533 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16534 0 obj << /Length 19 >> stream q /Iabc34954 Do Q endstream endobj 16535 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34955 20690 0 R /Gabc34956 20697 0 R >> /Font << /Fabc34957 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶX^ endstream endobj 16536 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1509) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16537 0 obj << /Filter /FlateDecode /Length 4288 >> stream x\K$ W@  0ӳm!i;v/!UꮞuÞJ"dM_'5I?aש)*̒￉O';i5}W5v3}z sAKx<9? J<9X9[ߺVW·K,S'ZM;m*Z_?NrKWrFZ-^M? Ly33n^-sA|NRKOsp_>N kĘ ),_2c?w/S屌QbyH< E P-! /lES:N8䂚1Zj= 'QYOH&$r5jPӄ݆QJԪ.0/e (w*rWѐIȊ&!KB4AK'`#+AKX Z2Ö́fYf3zm 2;lNr@:?ކoII5 O}b ^F6$`yϿbry9D{>ûw5:@\` S D Z2 -2$ &&x,, UQS|s >Ð>nAHwVfs[pJyѻxcc =#*L9{1l?X8:?nxo}rWۘ6n6v?~h$(0Z?()\Q%E*ֲ@  "N_Qg h#vIA-["1( F0$)S$oYG}ɒfI%fgr.D+2kAkf;2 T(`EY6+YJbը*CBC _ a\|$5RtT|mx߬'M\TʆA_E,y/$$,Kr< UggQyr&G.瀄 Z8-f0ZvKD9 :\-ɓD|ִ+9ZETwD8}nsV((7gp(qZ!_?z8]WdV.8vGS;:ͳ̹):.9QC"FϺ!W|Qxz*RWӻB0N$*s"֮Mdcdx>ŴCw2ᩰ[#% lCa"p17dՙ刱;_0<6z֙YObOk$hۘag9]Lq26Rg]m>/|a%W/?%w ;qc?} ֐]L),-MD+Y:fMW4d&[$GJ68U;EwE[@1_on7v ONg4V*5kWS1MYW {[{m{ֲ22m6]5qݴclqll-q>X^MzmhkV8}K|YcA.g4c3id>@. nn3^n:pl^g+~zk8XTEl ݮ4ێlk+^y=[yg dӬfm0]M@0,E x#pwǐ} .{͂/H= ޶ue}es++Az_4 ۚ~!uӍ}ǾvfV]hYw!%f.HiozhOeXk1Xc U3(7I6,yeNX oЗ|#e:ѻ:9 4~֧jm=lOvkeGzOA` ٗN~a{|t.T#+݅d/$_88f@,A"oHD R$ &n5 5}Ѡ5/*䃝:gL٥r bfRR0IpҞZj5fAwT$lN0b%/߉k7 $SuT("5'K>VBK0$!*XAfI1Yog坱ˌ,"ekPZJF~!&KҵWZ2jYĹ(]\wUxj8Je*Uf&vI)>BJlDi˱}Ccnٟ"ؖS-WS)ZaJjppGѾ%UF.%cV]A2mu08.r9LՊ˺#d"sqܮ,1̈= qD3ZBg z=i;cp ]b5V J UQTG0W:oc4J_R;I2@NWw\3 ȫ/E3sEԬXֻL$3)2uY!#3| 4.Pb"-^-գCȤ+ωcW}Y煊_軜KQrY>4ewHhG+%K@ 2='3KfM l/vU%|SاTNj4o@je 77O_`ͪ liJYZ#>й8ӹw@*y3K4~ѐV_ӰS-,;,,_AJ{)Qzogj0Qo فVh:uHt`ƫ>.8yyCXTKI)`-#,V*Y{IpW `2_8XhqFip¸eg0A:VK~X`uVH "fO^vImW-8|-9' /Oo>Lvq$-,6i= 4k͉Kw 2e(!Si?=:'qh\Ζn9D;$8LH =I"jܠ Fuf"=ې̡{3]1-P*! -})g#ƀǂZk"ț&s}J֟Z0:ݭGӃ,㛮ZWX * ݰ} 3٤k*9=J5qo,`h=[PGl%ճYX T&˔8W1<ȋMRI8T%mI;o%%RC9Od9S3!HW8]=iç'}Y! ֺ%#:99_Z- 3ʰNT&++3>yʄY{гdxJ|zˍ#9њL$ Sm]Wsу.8Ώsl}9Gquȇ즥[LhbW mV^*X[?k[b=c}^ԧ tj,:5w'pnJ]U]psvNm ~|:߇|[-3' JR~i6kz+iHaJ(kH[ahUCtǻZo=wlgݙDU[u , a˅cH͵`Φ|3A`:Pa.mM endstream endobj 16538 0 obj << /Annots [16539 0 R 16543 0 R] /BleedBox [0 0 612 792] /Contents [16544 0 R 16540 0 R 16541 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34973 16542 0 R >> >> /Type /Page >> endobj 16539 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16540 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16541 0 obj << /Length 19 >> stream q /Iabc34973 Do Q endstream endobj 16542 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34974 20690 0 R /Gabc34975 20697 0 R >> /Font << /Fabc34976 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16544 0 obj << /Filter /FlateDecode /Length 4138 >> stream xڭ[Ko8W梔<.+(~[^ TSu^j_'J)L?.DŽDž YLw2 n /Ƈ_ bt @ *mc(L|'q8#{b 꼙,>"0 ̯ȞLcOIg0 }kgQn6!EL%II>.q>۪Es'Vr} S5nQRF+I\$L:|A nbiN6;Z8* 5* XLxj$M5Liǯ6ۉdunF$ sdi>3u藭W7ӝWJEJ0v(vEĊvSn ܞ#)Iz92g,:mc.1[19*M=uv} Ttӡu!7zJj٘8k*aZ*MboZ|2RKsùH+AbDM3te_r{YM~(4Řv󨂘hoȪ) #n'tF#q J%i Q5@^]Qp蹫U|ՔGq0A-&yg(G .\,L2GSrqs ÿ8tų5,(!ccT!S@1 g34OpT)Pbo>BN!D.CrX:iTSwrx nupi=Dcfl{hcZ2C٢>PPWrx2Vjj,W2UZ0kK{qO-iIlLp1RNGOhGѽ{W<{jBl°*J Bט0J(-[a>x[9L܉q %%#"GV{AË''l!:ݸoyETr`(׀Cq $0Ҟp9נXeǹR{Ҕl x>\\xf3>@Wj/C![CZ8BYQA)C92.^\wWsz \;jl J^ʱgܣ풂7}z ~}i#绮Ge=$hypifN3+NYWe'.p5eլ7.]cWR1cB9>@'z UY *7G\p3s.fSmњ|Z2tCUy[ʺQ{U841e,YKMPu  tsk+Nc*KҋJ*JD >/ENG鮍,dtQpc|k}V} /in:+nWӠb2ja*Q2ˑZ~R΂Hc9>s[amCZ΄Iox/}⩘s1Q.Vř gíNkZtohyhW " Kk5l0;/Xf{#.hv˄sPa#] ;;O{;Y<ϒL[@\€]&Z ameqcRj-@چUjp5F>!Mjc~xA&'qdWvEug?Eܽ;( -C+1&%\뇾hɉ]%bT{{``غ ̭۞~ à :ݎFpqg_\$ݓNt,&Mls5@qY J8v3M M sy떯a*㠶 L[ p.[F3[@yWqLPTHt[j}zy%hkm uIjD1fb'{XuZ\]9\byZqXnƙMք-\zM #c6)Ĕ=ܬʅ;c{i,4n5Lwy~yzBC'8ime-w(8'!mWyMth7bXp =&0 w.|P,JdFզݬ*ߊӑcx 8Զs51`(>B+nWF [; ~9X͛ՠ=^ng}w#\[[b6e*a-whB8Hf6%f}׼j2>oT-)]W9Ր{66mOW3B Sv&3O8oLexP s_ qrP_`G"T37s]2` 5^>؍XGnG&n{n(PQ哯#p+VJs?{0WQߋYqAy3fxUZ\yG9X__,?0&Ѩ` ,U֨&8lyADo|'>k|}J5kU+πDl4隆k=No"~4tt@)xd{$O`~Աߊ9-XjW:zxK;(,+_JEg#Rg W +Q#Nu/8dڇGG|T&*0 JLo$~Ev DH/$4\vW0_F73RA3u{Gׇ p9kQO s~<~b*YV$^ޛxr8/Tv2O;unײA@p-o~}1V2vBS6f`*HU |r1/^d]X>"liLALy^pGvLp\sDx|:ˍ ''D6< ib^GHzk[CEAQj|6tHpU5F у1Ul\Ꜯ[O*(: ◌"pS"{L*,E/e!i endstream endobj 16545 0 obj << /Annots 16547 0 R /BleedBox [0 0 612 792] /Contents [16553 0 R 16549 0 R 16550 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34992 16551 0 R >> >> /Type /Page >> endobj 16546 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16547 0 obj [16546 0 R 16548 0 R 16552 0 R] endobj 16548 0 obj << /A << /D (unique_575) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 87.4809 178.5717 98.4809] /Subtype /Link /Type /Annot >> endobj 16549 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16550 0 obj << /Length 19 >> stream q /Iabc34992 Do Q endstream endobj 16551 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34993 20690 0 R /Gabc34994 20697 0 R >> /Font << /Fabc34995 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMeb endstream endobj 16552 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1511) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16553 0 obj << /Filter /FlateDecode /Length 4503 >> stream x\n$+rmr~I%nl^ ҽcÐ.н#Zn=pdgI/&k/Y4薊]^ޖVglJ߯WOO⪵[^ۍ61kT'z\?OONW4|;SC aYqj}Xv)'{.QQ4'veh\m9=E**m\v 9:d \#Dw~ցA*Om[;z@A&eXہ1\v -LU6ݜB¨97ք$]<`LZ5hb-#dkd 1qwѪf;\"=(meձO';ؙdjhnsD7&fZ{Eo-~g_|ާ & ̓,IUY+=8TRJX-nDLZ&az SRQ~(q&+Lͤ\-=FҝIǚgu{ʘ<Փqu/ݤ[k%T[浣nslVwPʴnHp!oЧ-7!] F){Q .߬qq5iI2١]:a/[ .i;XZ2ŋCFpQSG{L|sCJ!\ҩK)MȳSOL95+L:'&K#kD&U%&slfxsgVmPfZxƿ^OR'e٩] J9R>4fXjwn5׫u1'c0#^(aOq{B]K1)oeB(&ـ2;I5%o쾰\h{.ߺ>_jW|ZY݌N۶)N~l)n{2g^a$Kl,TY3fz6@Jb A]zWaga@Bq65LP ao'kۢ_ `ϡ͋rN\|0t>׿/."d.a׈?i>46}._Qc _A_ۯԃWmiEO:Qi䓾X l!FZ>ԫqN|@ÍX>.o̤KBYٙ¡}p;A]2ٲFR jCHgOyolCM,jbeRXCZ\ϧ"DXE^,r{@^y0{v}Pc8 N 8oߕ6H"d֒_,gC!|WvG_67R}r }FODL5$OP--$pl V~@|JVOWr5?-li# Ÿ*Bsc)ե?;<./  fLu9ϟA5vY/GZW(%+bbSGzb: mg)  ۼ<یpV(,.@cmaweͩeKTM{³3%"M,Mm Ɨ tv1^o&#qCXϽ6Eu?p9Ɏ @md6aqD~h-K[ ų]e܅WV-"7S^F]7\F_^޾^51fI VUU~ϲ2{^ Zb6OS/~]}wIqv&z3ZX0<η26{YSjqi>N?-ܕ *!ҙNi:$0i-s]'_"53H/o|^\ RD@Z5 .S^P (kI f'Wd XXqUݼ)[ݡSEz4p2( tǿ[Τ_ѥjY9÷H;l$v yp"]vP# jrUZ~e P}ʫ͕)K-A ݪK3XIa8y芢#DKZĞ #tK"(+hR2;ΒHZd뭜h5W[h2CD+VAZ * FܓoM:e)ؿ`Y= @-PM6ܱDi-JU$yX :WzJpo90OTEZ]^T%9`chJz-q>T>X匛s\?>#4g^npN||Vw>!*)ŒpK:R:_5خz?8}A5Bvڲgb.m^vؽtZæ .}Q}ZFAB?IJ@G%VH%x̓/)t*)nJi-a'*Lێ_f|0'U_5P=TЁ)\ORz*'~NN͇lND|S!_C nt*܍ߝy~W;Ә7ijC_V]ĹR/ %XfsI|ˁ~<mEDQzM)a[FxFӱ*N~T8t)mB}$gv/@?CCu`ibt둙{\~+$)+",} ['8pX?r$p/AP &_EU"߻[Ż# N ] zٵCdrc'` 2?. endstream endobj 16554 0 obj << /Annots 16556 0 R /BleedBox [0 0 612 792] /Contents [16562 0 R 16558 0 R 16559 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35011 16560 0 R >> >> /Type /Page >> endobj 16555 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16556 0 obj [16555 0 R 16557 0 R 16561 0 R] endobj 16557 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 177.7027 709.9] /Subtype /Link /Type /Annot >> endobj 16558 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16559 0 obj << /Length 19 >> stream q /Iabc35011 Do Q endstream endobj 16560 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35012 20690 0 R /Gabc35013 20697 0 R >> /Font << /Fabc35014 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 16561 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1512) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16562 0 obj << /Filter /FlateDecode /Length 1134 >> stream xڭWMk47 W\؆0dz(=[ʦC%Yl6o(%ۏ}x7p7qW:)BqB{ngv _a)]|\¼Ms Ͽïwyi|dt[<=,Ph/dz'">5)> ،}k 7[xoOm ؜kEVlb ÔѦ٩#9U0ڍXYna-͘ {B$w6 8o]ziO3:SOn Vh#䉎ݥ]HK>en>2|ߑ7 *zcK)t6fLT#ww*|NM ӫ<['lӁv{M6 {-a7sB4{ M pbvp3ۘ[aOّZcvwpkJdD53[|)Q3D{vO默Mّҕt*7MٮQd1Ie>O㨹fd3ڶ28^q+(,pr3S,m S׹,nQ7 Dd5g:$"4d8XJg؄ N6#YlDh䄩k_%Er{M4 bl3%=Yg3PWsBe"F}օ+i MV l5/4[:]ҧ큼QR=VR3Uնt3pWۺ\ _kRޢ5E*@4.ԳICeWX\$ ye' qstNu (z>ͷ(X­I9Ou]i}]IgkS76ˉGp:R{*jj$T1EZRylj'g~LP*˃D_k1qNt2׀I'bt(+sX{- N7_I57R:s/&n.{ ej endstream endobj 16563 0 obj << /Annots 16565 0 R /BleedBox [0 0 612 792] /Contents [16571 0 R 16567 0 R 16568 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35030 16569 0 R >> >> /Type /Page >> endobj 16564 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16565 0 obj [16564 0 R 16566 0 R 16570 0 R] endobj 16566 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 377.525 122.692 388.525] /Subtype /Link /Type /Annot >> endobj 16567 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16568 0 obj << /Length 19 >> stream q /Iabc35030 Do Q endstream endobj 16569 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35031 20690 0 R /Gabc35032 20697 0 R >> /Font << /Fabc35033 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7athǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛI endstream endobj 16570 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1513) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16571 0 obj << /Filter /FlateDecode /Length 3698 >> stream xڵn6ί9@+|?w;@nC&`%CjnOh$RTYU,mruӋn}VǠ.C_Wg5hgo>,9 <ҞbGEOgzQq[l dġO  =NfI+o}5Y?fkKFMlr2&'uv>xkL:wx pu9"@*՘c3QoLpuIyگ g ~:?DrK -<_>ik5m_ItZ Pkr  k\'bS0\hPolrD,t qK j<5iT%z 9 x(K] L 4F;<7|MkmDycER(JLBP3D3-l֣m量iszcsjv-.HlbK P`me9kxo~8X3}j} x/;u ĩ>7R7 RS@ޤКg4γREM/6` Ӕ'qTw\Yxtg^>; :疎TFN+"աE $< \5o/$@^!G׃̙9R,65]DdUE <6dyK<*AW:kڭ<3nDwE{C6w$뷒)F$/WN${4r4M.=Hlo{gr%A-N>7Or7jAˡ)=%i $7r`DxB,5)tfw;=!"Y'h0 <!GE:$ifdI}6Tzh)'؝nO1A_7FZIJ9v7lKm QRW@0g~AcN˖ZH8`/w|C)G@,(u]|O$0πUaCg]RJ"xx$"Y#/dNjd X'"]Cc'y .y3؅BW A{+5ݖ䌧Q٥ԇ֗+e&&++:ZBOjY,%e%=kAyK}ڟ23R%^-͕HZq0'o-)34"n8םi Lݵ>Y)lEy=$ۋ#fۛ-o|q+3؆V:^kx@%ͦҽNe^rlUYYޖc8A\9Ku9 7ө&h2^7bf?Wťgy;S> 0k"<{2pPVN}sQ2L 6l-g &4Z-[;P[;D-ͬa5v]=L*0Jn'`I!-'pݰ6Q9aO}¶ 3^{SPEI[Jke7V^}}VY+FU]ߪd9NYb\RSwR$uf?ՙVkugymd%55UEFXVZ%ǚMΛ*gK5p{1xNȆ-Wi;de}eR}+/rΌ> [J(^X,Fove ]@G)TKj(iCȁZ+U pEJm3ZR 3Goݡ*tGYj({T+WKcX&hbfs5NIpyaueJ:}ӭ\IfV $)]+87MN$_U(EMJ!CRrT"Ȭ϶ [J/R Cw ]&sؤۏ06P7g&J p!V'VǑ$pKNB9Ig[B1.h}d跩H ܫM@*zPH x&恬H 9v_s+c!Wzt#:G(]N+*$tC6%WSWn~ց~}fy8<~ dy9ՔiW4zx6b%~ j(_mG E;7=?L3< o$-EO t#y)ڝ™K EFL ޏ.N$1BD@Ȯ9ryIVGxJ`No raEVM*&Y@\JRNQWNog=hJ?XkS1nK%dcYH搈f5 d]568oYKgfwɷ /d\G) k!B!3 EGX9 )%Mm@Qf\%ǟOLwHDqsLE#MPnYx_TF77C< GBi`Y:= r0d 3`Y4Ca&VpYq=}%b&;)=O*KZb.%hnm<)ܼ>U.;󆦵#Z` r\+4j՘˃,1Y9 8X<Qi>x5v(]'ɘlXjVeu\l:/..oTށ 3MZhH[u6/[ݔWī-Qf])uë=4 a¢Ĺ(RXV5| 5 dʽvSIT6J[f p&ӷ~)a3Y33aļ1YD z^MT%e+"jK%_SBF]Toӣ&F+Aqc9صgې]Oc|7Xj>∡Z2aQw9Jkv\g_[AL%t/H[/:KtR T:4Xktߪsz~Aj`(4|,Ūo?"{y{TY?4 endstream endobj 16572 0 obj << /Annots 16574 0 R /BleedBox [0 0 612 792] /Contents [16580 0 R 16576 0 R 16577 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35049 16578 0 R >> >> /Type /Page >> endobj 16573 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16574 0 obj [16573 0 R 16575 0 R 16579 0 R] endobj 16575 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 451.5346 177.7027 462.5346] /Subtype /Link /Type /Annot >> endobj 16576 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16577 0 obj << /Length 19 >> stream q /Iabc35049 Do Q endstream endobj 16578 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35050 20690 0 R /Gabc35051 20697 0 R >> /Font << /Fabc35052 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 16579 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1514) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16580 0 obj << /Filter /FlateDecode /Length 2092 >> stream xڽYKk$G ׯs;~'C!''!K~Gǎׄ`ݪT'ԣj -x'ƬACÃ\5XN?<_umt(ӿa.ʙ5V۝cN? 3ȉX\OQ8N;CrPE1)nwʜ[]c&dhL<{bnw6ȗ;ll؛NWa\*3w4G3`-QHGt|C.\Ih|  Beֲ f ^ı4o/.V\9yM񚞽cc&TCq->>^nبnqmv| `pl-B٬`&@ڃ@`@*e/z F$TB(Êtgjv7m\` NFn8&pԠ,`6xx>vUأ8ZrCI43 »,ߔ4 hIڥ/iFXM͔nؖ]hls!$jv6QۛZ#QƪMw7$ mv&^js!i7w-|~U /'Ƥ%9oВ_-Q)9@rpxÜR0m ’_{n /Z6 uas.-D'1Z^& b 6cQsS0b<{.&TC-qZjLlLșα^̀mϔ )98DKv! @%iD ڭ町ځq1 )u{BKXKsK7mof]ȝϲ7*i7R> WW(Yjo)qBeblϿORS3h 3p4d3ڟ~WoFoNoj~@aEt.Ϋ]Ybb oHז"bԥ"7.9SJŒm١0ۋ9NpRSgr~䍒f >)ȁ- ^PG> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35068 16588 0 R >> >> /Type /Page >> endobj 16582 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16583 0 obj [16582 0 R 16584 0 R 16585 0 R 16589 0 R] endobj 16584 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [90 182.025 120.844 193.025] /Subtype /Link /Type /Annot >> endobj 16585 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [126.157 182.025 149.0095 193.025] /Subtype /Link /Type /Annot >> endobj 16586 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16587 0 obj << /Length 19 >> stream q /Iabc35068 Do Q endstream endobj 16588 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35069 20690 0 R /Gabc35070 20697 0 R >> /Font << /Fabc35071 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16590 0 obj << /Filter /FlateDecode /Length 4158 >> stream x\K8W<5|sL=,ݙ"@rٿUHɴ-[$X__ER/q4,4-I#I/I?W oI;ʝZ|DwF.vh9+̴F˺4==kiDSݖïQDvF~^z$ _˜61h/1wb[ņA)/1pcJŀW @:<%8!!a`\_8³!J0cxGR>G62C`51EAF8@T(k%m #XE£zʤR<=ӱDzJ V7x=d<TCf.+cvp/c-c:#+VKp^ "Q(1/Oű48{eA =/J{(+(zdC~ \9Q^=БJ5MQ/SʸMjF`RR$ˊ` gPf᩾C,O3SFKܥg.F7Q-VByrP 9WBSG q 6@ N~d_Y aHq]SdI`R=ji.PzAFPwmۓ} k9P%iapPů?bFΊ& _% :|iL_6 ]㜼vA fh.܊Nn-lif^ωDw_juv>bmH++8!Fώvm3uF{J5 /' z Fc>nss<@5"F]CC6x@p9yTI̳qQ }hCLj%.]hg}^he/ ׂ:ާk."[qE:ާmsƉnb.R\pAIuO k.6٫bK!6٫bc!6٫bC!Ly~~t$̻+ $U& 2tһ֠j+ ;2ݩ0caiQ,]T11:8ཡBceY+ <cI&0_8/c*ܜ6M Yspl$ܱihlRg>O?Fz< g>7tL@4`AGq04rc~@O2;>3\9aED\UFԗ:AG r`FDdӡ+ej_b3}}WbmXf׀[=_]Cw_@q윚{YMU|ֿ뺹{JG^=ʷ-J&"7rH_ܾJL6Dwȣ,< .ÑZ6V+￾bFכy~0w]?¸\T*YOU &LﱫN cy{u.{T+4t4~jW"B f=ϵXP(͆~1N+ugC_k-pK`xm Y"99`y2̷ ůdYT12M >~l@) Wr|Ӣ|oS;J͇:]k"(+|[s?wɼG4SBQPk <H~"L\ֹ?UNIQ[ 62bMqN^ےߴr?׶T B3h&aQaD.7 'kV̵|%g[}.睕$曐#)_V~Ef缻 {`,H[ްT  }N龀KgII-Ё6g)~Q:WgoޥnqEϙΓ,* RѢ@<$'YsTY՗1snTgfP%80+$mq-@ [9JSBR|SO"DjT Vvǘ5`.Ao%XA.\.p%UkF3ZT\Wj" *$fqJ+.-{2x8ĩ!I8XW`3۝\痦 (]^s߼qLVC3,pZ,&:oDfڥ[@m,~[ܒX>M lz86gg lQmr6էN& =h12-9/q7C-N۠=#]@-߲{b_[`*b__[mjD*I<imDY݊V䁭ȵe[+?ڑ#5fYcј<1Y| p{\l_W3_!W\j-=RdK)"zL'hg=y~qtRR K!/i:8 )O9% ϴb58/Ԁ[|,cQ> rhE{2g@<|Lcc3+3?VQDP bkK l>S& kDT48=̦ڠrn֞! ˇH@?tE!wzYx; Q//`xd >KnByݸ<}xYTԽ׋^nU.͖bbqFD Gt0!%(<7Y´!ޤkh-7B';v3AIx|R 1emrSc8*QX! %u{_sq\y|X*/PrFwquvƳ }2u>o؍( HI]yJ!&3'cM'm8;6T5١4!M'o*T3QQgbR+s2"~Ɯ@Lq#@-;:u1"VmY'>rݬޘx6;cIfG_R7Nqc s6x:zc 8r6$^a"|ҰcheJ*TмO=9_DW9?$?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35087 16595 0 R >> >> /Type /Page >> endobj 16592 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16593 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16594 0 obj << /Length 19 >> stream q /Iabc35087 Do Q endstream endobj 16595 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35088 20690 0 R /Gabc35089 20697 0 R >> /Font << /Fabc35090 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}: endstream endobj 16596 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1516) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16597 0 obj << /Filter /FlateDecode /Length 3625 >> stream x\K$ W9@+z?Ӈ ,C&v!$E=JU/zU(C˯^;Wfmݒ4^R_sX^A*eW~mۓR WG4py6'Rᤔ?=/_Z%ôF&.c;ː6·)Z i>B:5GQ[w yr-r&p_6VuJK3 r'73_O~{+u#{I/^{y+kz 'ֵkerZy'_˧2Ye_J?J@^+U9"9Sšp*hL]0+Ovm"";^aAG3EVo,Ld%J$ RaT *qOQ[%ܬ T648h6f#HcU)Ecfå5ÙbwӐ SY)Lj*qcDtD&wb 365—iT{zEDÒ\v cW|oh\ -by {) 8=7nMh/bewh3n/fZ_br^AOA[?!v`܂9ߗXMp>-U }qVkk`sW*nwfg1bN5IːS}?4Yfnn۶1igŵsN&xe (5Sd:ǧƦ6${{h &{ekHj{eDl2!*įc aOC5? z Ti={ՊG͞W)76]@è?"y?QFg0ג*ht:agc%h+՘uFw\]IlJ~µ+T^!t$(͆hs# ef^bw;]vRquY]%HWhۘa͛dTB?Sl#ڍfhA:I]ڝݝ_^#Ɵ122b(ICk6 ٖܚZiwzNԤMkQ Mw Ľ0Bh w Z{0!}R!a>DPvLI3hC*B%\N~h,ws:POBE s<ѹm >C6~.Z.qrU q;R?X\GPuWgB'n62;[cx=.8zls>C)@u_ģOB%Dป&܈7$>Ӵ5mŌ;,$oX@)i .=c&ȐWmS׶:E.05w v?h[?$lk¸^2!zTþ>e};KE (fDp T3suGӰ{ DqU*d K&I@I`{gӫ #p~̍$1'>NCW*-a&,mGjZ=nH^Ǭ}uNbi:P.aJJvf2p3O?rM'Xi=y{K6%֌bglz꥟7=7#V3W:nJ"nݯ[lk j?eֱR2af1YD6kE_:9J,Dω[vwا8jK-FqK#(Qz Q_:xZ׵/ŕ7~-t:z /s럖_+c$j \*Yv<*lKO5 :v¼*_a;xgKcy_4SO#fdq%F -hha_صuyp.)[ʗ)_/f_zvqZ=T DŽʘqPzƋC )AF|DK_o[O%>m *cTDAI7t522vY-|_U4+nZ0.6Q2AFX\A)Snƒœg*x_bB.;'M)pAbXDiP/)(>W:ޯ[bo]9qD>B[nO< j5*8XŢ,K"\4_&YS"ǡ^$5Wc!UH@ĥk9ǿ %-Ti2eiV*2<qndR] LzVYf|Lĩ~GXat֓Kv[u[62mz,U&ڮ'{ꔵfb[6hSR兴Ƌ#yїZ $ALc߂:{auvbƘKD"> zXF:$f˫^ڗ/D&#6BcUMNFJWʸm~_9ht:4o-ʜe0@o%3u1Ex~> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35106 16606 0 R >> >> /Type /Page >> endobj 16599 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16600 0 obj [16599 0 R 16601 0 R 16602 0 R 16603 0 R 16607 0 R] endobj 16601 0 obj << /A << /D (unique_593) /S /GoTo >> /Border [0 0 0] /Contents (report_operating_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 375.2346 240.6777 386.2346] /Subtype /Link /Type /Annot >> endobj 16602 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 359.0346 169.8542 370.0346] /Subtype /Link /Type /Annot >> endobj 16603 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 342.8346 225.2172 353.8346] /Subtype /Link /Type /Annot >> endobj 16604 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16605 0 obj << /Length 19 >> stream q /Iabc35106 Do Q endstream endobj 16606 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35107 20690 0 R /Gabc35108 20697 0 R >> /Font << /Fabc35109 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7R(ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}N endstream endobj 16607 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1517) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16608 0 obj << /Filter /FlateDecode /Length 2466 >> stream xj$="MCIŴ }ǕGZi`{#M[m\~zmt8d˯t)ul FVvغ6G|?X3k;c}5Ƹ~'B',6q1" vCDI>3puSĹڨ5m}$Zp*'O13 N5@p0Sv8M [ !lGK)̍j@RgKq VH̨;5l2vrNh[EglrOS|g]S{6}< U03)$wH!uP$`V(Us$Ƽq@x* x %1d+dEVq } QsgM-p|e?oeoz?L-pB B ʭpermQ'Mh'髊C(\]f37R]Y'dYCUG)hLM6aI8͒pOrFD 'P#ǹWx@e+9U7:GL9xn-x…UW+T:!9Z|\-/fy`m{L; IS lZ]j]rum: >|Ъ7b('/HZ]U`B81ye B,5*7kc^xLP|% j> ,VWmjWWZB6:ٚC͆h;jE@ wFn3tmk9oW`Ww֙[~>83Dhg-@ᥞq"+Īu@13ɧ;֌W_vmGJvj i9˴ׯ1ndz^7:mDYk:Um%G-n =EMߍʆiג?IFmޠ[FbbMSþХHѯG&fRU!G7=I T2B( IiOc:xՌWjkKе@Hi%vLamPub\FjLJ/〼SR}٬P8e97?6 T/zh&XV]Co\Guf0L QV#o3?D 6ȏF@K2;H8I#a~gc}DOӸ^umҦVU`*Dw*dɁw01OGxO!S("{qOxc䈿̦P5Ce`B.ӿZ endstream endobj 16609 0 obj << /Annots 16611 0 R /BleedBox [0 0 612 792] /Contents [16617 0 R 16613 0 R 16614 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35125 16615 0 R >> >> /Type /Page >> endobj 16610 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16611 0 obj [16610 0 R 16612 0 R 16616 0 R] endobj 16612 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [90 386.725 201.1385 397.725] /Subtype /Link /Type /Annot >> endobj 16613 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16614 0 obj << /Length 19 >> stream q /Iabc35125 Do Q endstream endobj 16615 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35126 20690 0 R /Gabc35127 20697 0 R >> /Font << /Fabc35128 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7gthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 16616 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1518) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16617 0 obj << /Filter /FlateDecode /Length 3650 >> stream xڭn6ί9@+)[2!Y{.S7vdUUE6\~yӵjпos/䐲_wxSXSL;}^ӣuϷqŝ>s_~O_W =8 =_YRYY>ْf/k;ߧ+YDzd+Ã?ޡ[{z]e(']WE#~W_#ZJo)~D[cm:o 0ץ\#떰$a@3Lsl\&G '( e<tu/!׷ 41vd_%Rʻ`[&\ɧ&pp?ZV@S>x5UDXLQĖ,?-)/~YPӉt(Y{;WQƪHjHAC+Px`e%.Y "% OQ b}N?8t~:S u9uohUi:PqK :.6'CV̌ :rYT*R^>sKǻDFF+:anY]ǏӀkXd,H+|t-Z<;y)h2Ǣ"qy®əv)7j-]grfY,CQt'@7 Bܙ0@fŧΓ7L<<^SVnLUd7Z8`oQQNF;`i# XP5 |O$0W@e鯹7mRaRFG"=BְiPHqz9] jծ_SĻ-OQq i=,/#+e+i:x}PSj- +Q5Qj42R!^6[ހ&ϻ9)#u+&4&ͦ8};] UzײS S3 lzL/A{qqվQiߨ{/ι;!1]ʊuh,26H:,*Ԯ9,, |,Z6paq' s0r`nөh\?OwҨ]i4c9 EW{V>Ѵvx멲9 :+.hqAsӢ|UҐT8wzt<T*z#vo8t/"QYb6Nok Ytk cy+IKGu-d&4.KpA8 ˯.C"F1Xb1X8 K*/|TKl4nDwⒾcّT.^(D3 H1hIU~ jk<AwxŪt鑥Tfkٜ fQb3b\Pj$AnpYS㬈8[m-N KnVf>/e2ՃItԗx@ɕƦR!HR2|es!Nɡ]hnv<@UsfϜ|,pOş6jf`o|YKn$\[niεTPTA1GRAu@ 7ޤa%]R_CKL+W9 Q~az,l.%CJ~]`[]\c&>Ni&H=& TƆ* \AOع3ܙI ~7Oр-Z;$j|YAZ5Q6I)β%˜<#ͮ֏"ֿ)ʮ7湡4Yw(#ӰUbB~* )uӗ`V#?T>У&U QLgig BxQMxھmM6 tn cg$/ [$;r#'t;}od㼸ɮ/6!L0,,[>j{de.0ǹ&#Eէ*~Sxo*Ecûx{9ODNu89?h˰|}g?zc1'~v\A6L۠NaKwDk;i4}ˉWiZ:+t/^O>ǝC9zJ0d #aͭCg!q|Ɗ_z+$OWcb~ W1>m 1hxB&qr<~4C zogeN/XB&1ԏR;"z3怦@}MgA%V) endstream endobj 16618 0 obj << /Annots 16620 0 R /BleedBox [0 0 612 792] /Contents [16629 0 R 16625 0 R 16626 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35144 16627 0 R >> >> /Type /Page >> endobj 16619 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16620 0 obj [16619 0 R 16621 0 R 16622 0 R 16623 0 R 16624 0 R 16628 0 R] endobj 16621 0 obj << /A << /D (unique_565) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 154.6302 608.2] /Subtype /Link /Type /Annot >> endobj 16622 0 obj << /A << /D (unique_566) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20210608081201-08'00') /Rect [104.1732 581 153.2277 592] /Subtype /Link /Type /Annot >> endobj 16623 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 169.2217 575.8] /Subtype /Link /Type /Annot >> endobj 16624 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 153.7612 559.6] /Subtype /Link /Type /Annot >> endobj 16625 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16626 0 obj << /Length 19 >> stream q /Iabc35144 Do Q endstream endobj 16627 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35145 20690 0 R /Gabc35146 20697 0 R >> /Font << /Fabc35147 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$R endstream endobj 16628 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1519) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16629 0 obj << /Filter /FlateDecode /Length 1530 >> stream xڭXn$7 +t `4Ћ=@n4CO/y$ZɌe)qh-~'JY)e:OQ;Ohڠ55Z_Mkch?!~߀ J㽩H>tØ c=N f~D~ES8{壵ŋ-iFx`ד,qܚE1 $Zi,pٹp<1s6;L)rt8t[e4C=0EGU[覵V2[&y H mY}ִ 9e|бtY>O;&㧬!6iZdb5ȧZ_>j/xV>Ǔu{<(4cH@?⁼_$9Ysц̹c1#5XfcJ(bZSlH?bDfĨmͧ q7S +iޚڦaK%IY)Ei@޸R}ڬ|C0UӡF4굴zNѝһs "h;q6VA w\EcEnRzo4Gp#k?t6;a3nc9[9JMr0$:)mP<1~oq#{6n֦g{<;I=0 >&W b@& IC_2Mx?{C߿F픣-Uذ݈t?ǣl*TLX endstream endobj 16630 0 obj << /Annots 16632 0 R /BleedBox [0 0 612 792] /Contents [16638 0 R 16634 0 R 16635 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35163 16636 0 R >> >> /Type /Page >> endobj 16631 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16632 0 obj [16631 0 R 16633 0 R 16637 0 R] endobj 16633 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 400.825 124.1605 411.825] /Subtype /Link /Type /Annot >> endobj 16634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16635 0 obj << /Length 19 >> stream q /Iabc35163 Do Q endstream endobj 16636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35164 20690 0 R /Gabc35165 20697 0 R >> /Font << /Fabc35166 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 16637 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1520) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16638 0 obj << /Filter /FlateDecode /Length 5180 >> stream xnίy)0`ȭrrr23/Ezz tkY,VPMfwK*vzyj*MN8ɚcWcr׷owZp?VÝ.ĝtV]S8oFs@g;NA~c4 4% q ֔h?Qi& K?S(KҡƚYqZC9=ix euwhZ80Yjx_!=&r1C2%F  Tpl&[/ѧ~dY҄e~Reg6P8 wr=h`"G~ 4?{&{HPV*zGgƀ[|O 5L>Tw8n&θOA~q K-F޹`oCj 0V] :Xs?w0dlcXDY"2b<˨xR>JU(bZFހά[ºb>1y)To+[CPf[7U $B*[hpq2]lɩP9OZ9w?j#L.d JSUV Fi42?D OZ.z=u],Żf=ŝ ?0]ɮw킘q-WvPh?SakFDAC/D N4[u|pw>iJ<&kd\۰#Ce'5[޶t`nw"z%>UԞ~ z}w_#2X5xor:SX*C''FyE{nTg!$ ]%\}4f>BL(.|פSl;!2]gI`PYVY8$_]op׷J7wgn*,71#K kzv̟8K4-] x7rȥnQ`"O EF<+ e}X8hUdHYRLr8*J*I h ީ/ '$]CY0mPc3 ܸ`?k$8NQŲQ5i5/-uI/W[JI& 5ki`}ZUfdYq9\\b`kX{͖J/D6-*q8\wczb>םi L5ޱY1lwHbIDGu)QF=NDw.+P/ctR\MjuJsV{~.?CjvcVJͤVKVꫤV7K5/`OpieĘD1VgX: hHd$~nsȣʽwiYL4Z%~)a:Ѐq4e~UKZm[WYKb8v@C٫,*:q緀pvy:KFV١W9y9va1ź Q8)䶻w&}eHaj͂="f 4QNKa&Wwsu^DRntcfnJ\KIϷB9h+Q?ceS)5Ճ=F<#"A9uAl bp%WKu` 0D.*> 3ER28jiљ X#Ė-/Z-t&w'߄g /F. Nŝ!0;gWܰ`l8#z9pqANQ$h"Jр"lǔB7@h@׌~ZKSs I,K:~A. ]"#UFEw Y2Me l2/Z.j{2ŒaE ݢ# )pAJVx Ep%DK.*$7 Cq-@XN, ZAgrn/Cev^Ux&-`<(jFe4Z0P+wH0,ǿd _<³j((P Cžj+{(B6F@5%LE z}{> cI@5XZN.^8|7kVhL+\B&`ti1Rxtը)~~9pYhKh~ɡiOfd=s4?Q*xb"PΪV\Ә:6. MǠ϶NLG6{7zsX|'m2y(=潱Q5Fn{yI@QjwjHCб>d?SEH[L7+0.pV:ȩO7ʪVTi.3qӌ8Pf7,4yBMɴf5FAs5z htf3ECMq~hZiWaXX *eΩE՘: ;#)͕#[0& &{B)CdI$2ehtG}I2V͂624hY9<דd@[[;{:Mr. wPq"8SC m&ZD ?ldS[ܬ1Fy|4b*Vynk[ 9CcAVNaDJ=p\>KRWp Ɔ-)I)Y[+~p;4c;c(҂6/ |`-3Y䫥éf9'po BZACS-xsF-LޮԞZHj!!n7>:wCZ`DKZ1][-x1sNѨu.PUP퐕=G^y>j,|.CŲeO7nFOHGՁrj+嶯A*Y 0ëX6Lot6U+=}s~ܟԦ#zkK[`tt7H}tOI[>Kp\B0V\S|ZIdSZB|Ǚ́V{7u| |J8*_c8xyG6]F?=iΫXyCx~Dq/ mu 6SUaLQDoIhzF"Tkj;`Xch:~\N|XQp/USd \'`TЊ@%ZIL^:ܤEpsut3#j乕pW؂Q|sp@=FPs_9Ig r+Dž&%.P`$VM-9,#&ƎY m&/fz.^p92OX0gy-ϐm|Nպ;@//8E"/cӇ ~ä~(mvU8$Z`J08J٬JB9 N=gBh~$WLk~~_ ~dtٶkòQw_`Co9=w?_I}e"/IXj?D ή~칒je |?rM endstream endobj 16639 0 obj << /Annots 16641 0 R /BleedBox [0 0 612 792] /Contents [16648 0 R 16644 0 R 16645 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35182 16646 0 R >> >> /Type /Page >> endobj 16640 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16641 0 obj [16640 0 R 16642 0 R 16643 0 R 16647 0 R] endobj 16642 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 436.9384 173.0772 447.9384] /Subtype /Link /Type /Annot >> endobj 16643 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 420.7384 178.0272 431.7384] /Subtype /Link /Type /Annot >> endobj 16644 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16645 0 obj << /Length 19 >> stream q /Iabc35182 Do Q endstream endobj 16646 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35183 20690 0 R /Gabc35184 20697 0 R >> /Font << /Fabc35185 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQY2~zY8lo0< L$́2hރ׮w$*>67^vqW$MZBsutyѝ/(hF1rdbE0EH(.X*Mw1)GJIx5s剢xy"q-lb@r2dv"&_g_5kDN 9]%yLP1bG QO T>JGܱYI ^v4Lm'٧Ĵ⩔L$u$Ɵkp23ϋ-Yu_/? D?cxyۯs"rԠsh*/S9pw !ɜ3FPs^8:c dT.:I|2X.S(RΠQ8N!`E W۾{w1V endstream endobj 16647 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1521) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16648 0 obj << /Filter /FlateDecode /Length 2330 >> stream xڭYKo#7W{~Ymb!_U͖%{< zZ.E6;+W_t_:],-zx8[|קegl*9Fc^?|#\WF?gxNq2! ۽U?s򜢭uH!1 %mјx^a$s>,mOZ!u1}^ r+֏0`$QzǓls}r1^ݺR~G=zg2:0ǚluAh|.4']Am츱Nאzr5 ' G;`|HJAژh?ӊ(FBCهދꛠX/ikԓvԏD.ֿ*&WDnzY?9?;U[ak2M =B 1 tJFZjuߘ!SVj^Y~PY`N¾%KZi)ix,#Ɠy^3Թ5=V5 u5J<hmh6Ϯh.i(N}wThCvn-xm=qDPh~!փЪMw춙nnK#ѡS|GB\5]utg@B:jYjvdnUZ۷$WKlִkmplr̽&Z\-?1y35!ճ♷%inqtsvĥ]c[kH ñM=Ksަwk$k䊟-ɡq D:0SPFuz/S,-)BEkݦc&CB ǑObzc&᷍:): F +u)u췣E5z;KRd0쭳T@ٯyh"J(,cĘW.8)*AR|X烛Y5UssGީCu-7TW y}0sN6FMk8WI'_(]P;[C_6{v+NaUy<71FQ9K1g Wc9\dBZ18'X}6cЈ%Wo%-H|#^eջVi\BO;u o qvn$S/B=>O8_1 98xcc#בw;6װ82sqB[b׋j ~iu-6)#&7,ߝFhkɟd6BoUX)q 1&hlkj/ve*b[G.}zxrS59l4+"Um#UH֭wKnXJ-g3m*,?fe'2Lc*ma[̲Od L/L4<,&Jp'XR+U plSҠiKxjVjxfKYU3]?5<0(Ԋ|,s[ZFY7+1+{xg !*fʵl(8B>o@׷UŁD~ pYe-ХJJ\\71آ^qV'u!S oT_6Б> KG3z[x1=>fcO|ȐKoRL ;`3fH<ϳ~0ݐ 8tql߷gb1> Xi3Z_Oб tt+Gra5hapoG<,Ù7xr{$rK3Li{OlƞrKa:c,ƂG+xeұ(|| :U$}}_=5CA ˛ҋ gOV=&C]J?r[N endstream endobj 16649 0 obj << /Annots 16651 0 R /BleedBox [0 0 612 792] /Contents [16658 0 R 16654 0 R 16655 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35201 16656 0 R >> >> /Type /Page >> endobj 16650 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16651 0 obj [16650 0 R 16652 0 R 16653 0 R 16657 0 R] endobj 16652 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 372.525 122.505 383.525] /Subtype /Link /Type /Annot >> endobj 16653 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [127.818 372.525 238.9565 383.525] /Subtype /Link /Type /Annot >> endobj 16654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16655 0 obj << /Length 19 >> stream q /Iabc35201 Do Q endstream endobj 16656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35202 20690 0 R /Gabc35203 20697 0 R >> /Font << /Fabc35204 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶK endstream endobj 16657 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1522) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16658 0 obj << /Filter /FlateDecode /Length 3752 >> stream xڵ[Io$W@jIm7;43K-8{6Nv2ߌTT,V۟i&gOsHOަ?4;oԷ򣢷gZooJ<~R_i68M_hB 4o?L'RhtvIv' Jo/1fS\t6B=19*'r*ә+sN-w)q_oq''P2蔜A%~D|Fя$`.>'qB%Dho3ń Qͱ35(ˣJRO'v J< O=S__鷣_q 6:b?] "j)<#SlQ8k4~:+]-zq\z^:Ӷۺt(cr:(Uv Әe=P ř*-(tQ]j0"D7Np[ˌDg `e!rY U4C/AVmgsǍ. TtqB02 N~咣>n!ꃺ1QG3[-<Nj`&ˊs^&vˋH;v͠0}'@_Zk߽rC4cdϢFq,i%V%u&Ehs!/ڝTWK$ ̄E`$V1S;VǺPx7Ʈuх~IlE~sx %@pkf[%0+yI-+;04~6ouO`āF; Q؆a"m֎ Z(kCp=(CY\$l7mH) _ [<2 !s8/Hܕp\iV%顐M!?[Hxͬj V!k!Ha V~A&| 8MFˆRjX^FW2 Ueɰe4kiXHSA* e$4T RǦ!^n%[;>6{VV>`MhMMq\*4rS3uɍzf%tʤպQiߨ{/1]ʊuh%㵄;UX"=T沔g+vm}*d |,Zj1\1 8Wi=ppAc:m)o-$jz>_:{VܾjryWmҩf zCFNgAY^P;fy A/q낺F]@'j]P,7@UMu|Fx?`8J%"zu1b0rpvä]67Na=,G3z(tDM:#!lG97Ӳ7# xۺ*dAWIXvHQԁi v0{3HXYV%}c3;ٮ$Uhcu>fDُ$c$1e2"3ड़7x+jYdQ ^FT|Ɨħ-Cp-0ftq(R`\Hе6Z?TDa;{V!P:8ѻUaCrż:sēk4 X>WjB ozt:hB{S Ow/O mkJ0 @u7NM+3X\u^a?ҨNqbT-?D)Şd*G^_ dXX{\Y?I& az=/캐^%~AܰQo :?SǤzAue|G($TLC%U}G'#H? 8t(Zdh6JqA_;)bhS37: Lo.i,b.Z2]Ϩ.VIԕ_ZgK/f\i\B(׳U㞄n+"VZGOZ,Me6+Ekhe.fa#N- g/k鋔xb-ôS(TLH'a`+ABy>p),pKٹ5-Ye%ϭҿ1}`x*BTCs֊m('6XUT\e, WK,|D _dr$TYe;Arb ]VW9(sqfO~Qauߔf= h\!zLTb(mw3`E(Ùqט5PWH!+@PO3 Cz,}?!L%v SؠRC 3ۜ{%Og<[y_}>/SҦ+/-1OGei[Ia6$x~E)> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35220 16671 0 R >> >> /Type /Page >> endobj 16660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16661 0 obj [16660 0 R 16662 0 R 16663 0 R 16664 0 R 16665 0 R 16666 0 R 16667 0 R 16668 0 R 16672 0 R] endobj 16662 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20210608081201-08'00') /Rect [104.1732 529.0038 181.0247 540.0038] /Subtype /Link /Type /Annot >> endobj 16663 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 512.8038 145.4837 523.8038] /Subtype /Link /Type /Annot >> endobj 16664 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 496.6038 166.3397 507.6038] /Subtype /Link /Type /Annot >> endobj 16665 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 480.4038 164.9372 491.4038] /Subtype /Link /Type /Annot >> endobj 16666 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20210608081201-08'00') /Rect [104.1732 464.2038 195.5447 475.2038] /Subtype /Link /Type /Annot >> endobj 16667 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 448.0037 180.9312 459.0037] /Subtype /Link /Type /Annot >> endobj 16668 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 431.8037 165.4707 442.8037] /Subtype /Link /Type /Annot >> endobj 16669 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16670 0 obj << /Length 19 >> stream q /Iabc35220 Do Q endstream endobj 16671 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35221 20690 0 R /Gabc35222 20697 0 R >> /Font << /Fabc35223 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw723|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16673 0 obj << /Filter /FlateDecode /Length 1967 >> stream xYKo7W`| @EOn ė~3CreYv@<92@M~|ҭtew'1>/k}ښ16o}0f9cŘS¸W8 a>`36줍ح~oLvI$1*c[ei e:ӉVC`7ITQ19e@LēBi>}7,*Ke,@Gq 3ҒDU`* :5upfl9~#}ȶge.[8RCsD~WYܝW#f4Z7`y&BQ'  vc9& [=m&amgKVlavan$-i~ىYXڪYåDG>R@dFs֭xmiiS5+~JM&oqaN5[Wq ^ƶ;HQ1)³VD6Gl!TB͓Ws7Nn 孙 5BO:ScVgmhgG9Ϩ"㦆Ό>d ,Ѱ:#N%W/%_ .? 2EZ^r\[ܡIx3ѹ44V{ q>SõM¤瘞vmG>R[BVllVf tnlQNj]o(~'KD0Vp e%4kF^Msxƀ՛O- CO/{:ٴBl xwVKϢG5MUly@}J1\TT3"Uz[;,͸uRBmy'ѿ?)l01>++_T z3i> lYq $eоT<酐YB u*84D5M4T1D8JV.۔:Wj(^*g&Rz13 m43q!ØQЊ4>wB#:G5fa\W/$7fbO-:X:Άr/ĞyE-(*&BgUuq3un!rZk|vհ8 !3e~Z+%է U-ez^*T ҩ^2=qtkl^b_N†2^No*ސ)P}YS.2LeM9q5c~u=2/g+JJ59:ĀҀяP40ngP= ?Cv_kwں$ jR X x`wj,xD`C#L~;߸0;PC@TyWÑZlg؆oԋ,~px6m endstream endobj 16674 0 obj << /Annots 16676 0 R /BleedBox [0 0 612 792] /Contents [16682 0 R 16678 0 R 16679 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35239 16680 0 R >> >> /Type /Page >> endobj 16675 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16676 0 obj [16675 0 R 16677 0 R 16681 0 R] endobj 16677 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 410.825 124.1605 421.825] /Subtype /Link /Type /Annot >> endobj 16678 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16679 0 obj << /Length 19 >> stream q /Iabc35239 Do Q endstream endobj 16680 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35240 20690 0 R /Gabc35241 20697 0 R >> /Font << /Fabc35242 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ ^ endstream endobj 16681 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1524) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16682 0 obj << /Filter /FlateDecode /Length 2634 >> stream xڵZKo8W@)w=2k`=y7 ERێNGb*~U,Uߵ&㳮4cЋEAr YÜC^?x΍G͹qo5'"&sTHuW+5R4lnhqB9K–̀Q\3 gwA(sǫP!4q{Ɖ;̋ oA\Sϔ%kz}X Tp '1Xj42.q; H`-׭yq Mso3"Ge9mUr=~pwGޱ(rVp9<0Qwy@E^ߏ+= 0eó<r.*Q\8ɳ,k4JUCyr{O هw%H0.A0 O"xP3'8(7iGxR@pșj< gA`,X3sĉ7F3`}/xYhd"hNR }Q7MLW$߉ Z<* A٣疰O4CKz(TSXAM@:rYw<\T}7g C;FFǬ^{@m@ {9+Jk2rg-աe:dTϥd )n-+•K\{!+#E^gKk5s8Wl{5z8m;SG Vyu3uK%YM`rNgG.{_z=8Gwb`+٥oVlj6lj/Bv Aks^1t8vyv=;pnw:n7P7X2ZCj.?r}յ^}5zjF~juWcw N=IMswfn@F4%s7g'+#m]N L>+ s7(#ހ;I]+ jWmN1`aP߼' R;` U`sn^CG-p!I gwǼy:q}qFI-~O6v 'nCfOQ}2( uovP FcXSh@3JgUVF63TyĸiTڒ'7 ȺwR2i){FOᩪ`Ǟ i Ӂ,+0Вnѽ +;#Lfy$~> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35258 16689 0 R >> >> /Type /Page >> endobj 16684 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16685 0 obj [16684 0 R 16686 0 R 16690 0 R] endobj 16686 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 374.025 140.952 385.025] /Subtype /Link /Type /Annot >> endobj 16687 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16688 0 obj << /Length 19 >> stream q /Iabc35258 Do Q endstream endobj 16689 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35259 20690 0 R /Gabc35260 20697 0 R >> /Font << /Fabc35261 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7r ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16691 0 obj << /Filter /FlateDecode /Length 4791 >> stream x<Ɏ%w}EY$2]O5=0*H"-Yn`0ʌB(")ۢV|l/KӋwKXoO|ej.D|~_jT|8ς;:Z_w7on _/~忡/!'Fx^ga1 S]L1$ⳌW@Zx'b'T@9|JW|4?]vN X53@Cr~++f4,|Ȥ_7p+\pbQ饁 :ƎZ2kH!kK' F,sk|_ދVZ0s \S3{9{6%.p'~*/|ټO(3}7@faMVp2oL.֯0A{y+ dy40JFF $.?:Ѐ0]t RR:ls1@ya2D&ւ`3\ $'ׄʬ{)jjU(> Ó#\j4H)uꕭ7o֣A*[ÜA<0h] ŕߠPPTTUqҨizQDvhI}Y:S @W5XwgXs]=dxZn& 8;P렉nHZ@'ҢiYqHo4WCoVz&*Ή&$SeF\Esς.r8;L\_@ #N(>` yNYd])k>+˾ 55"MJϝq<* 4Rѷ TN8 vF1.!~$k6/_<2̾{UCqoaㅱq- SwE?." :$lU 1IЪLYj"?JqʿZpA3r2 5@X:{9c&# 8YE3\eRUhPL."cKuΖFO;eiwf%0u뙰ƾqU4xA$22Y]z/9c3]38]¹c3~7KplaA ߛi>ȟ*m1B/!'|k2c/S{| N  n_:`i"- Q0%sEqP~~//@o Q;E$l +TdPS?@I:C+`Fc3 OҸ`o?{1I$8NQŲQ5i5_sWWň߇0['T2jbVXFW(Z+#da^n Ad`Mq4&8}U PVx( c31lz\߼A@":H_O7=ԝQˢTl:+K*emRjuIFplU.:t4=)0G-⚙戸bvL} yCN֣ iF'͖ԭŞԣ`H==sţR0!#NH-nz. L Q -P怬F$?%FFD1TAVy8 B{iPr8|+Kݢ Q2 t}Y&>dvs߁ձ>K%EKA+gL b[ƧAFGefS~Qe4}JZDO#V؉gFV6,]U %ZduNZN:XrK,fM2FFDGshӌ/JfJZtf,(d4 $,q$ubD,C @Bh "_,H)@xDo^T ; J\I̥>#|k`p0A\B Oc2&6'NST3LLakX KQxndT B@IKdf%=^og,á 2 f@4-X1uT ݨY#:M/`t΀?5Vn8lM0(J-pn9j"F@JJ Oxh48bkОb4s1@jУWX(w],֍`Zq^cϺy3Z'AR -9'~D{X`PZ ZU0Hbu! ;h;qL@8.`՚uu+ȫuo^}{~:R! u%=S82AV";uzHB%J5IDnuT9Et[6zbVVD5*`4Flj9uPOn܋L1jݲ ;] jPAa#1}m`Ͳ7ZM# d OOJASZ1<`3nF\cX,g ^`5R%q9H:S,ކxzBI#ӒUI74e2Mv-WH[^DX />d5"NaQ(f ~l$өȊI̩Zy$ӾF 126U |j:%q42CCU=}=F·>QS:^ /ts-]<%긚xMM ׿iox% @ Wq2!#cQ^%mY~K >&vi2d)) ,VF G_p.%rLTP1 RGT|Z82wSldrs٘*ie/>0E^ P꘵bpI*>lEy* +KPBRƓ#yѧZD$_&e^A }÷p\kDħ9MAᢗߞkp)= m-j0{Ủ8ß ט?{᛼/K}Үnzv> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35277 16698 0 R >> >> /Type /Page >> endobj 16693 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16694 0 obj [16693 0 R 16695 0 R 16699 0 R] endobj 16695 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 388.0692 190.7432 399.0692] /Subtype /Link /Type /Annot >> endobj 16696 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16697 0 obj << /Length 19 >> stream q /Iabc35277 Do Q endstream endobj 16698 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35278 20690 0 R /Gabc35279 20697 0 R >> /Font << /Fabc35280 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRg<~~?va"6{`B ]QL4hނ׮nww(gOջ]p)k.@f!Um Ln:|/(q21r$bE0EP,3wPtNQD]IeNW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)^G?+QO T>JجhVaצb/mk: s[({L̛h*$S#2yk))sm.\gy4%xkE!Hg,`O6OaePD9% 32 +?п(Y2pN\0cDA ߞ|նOs(R]@ p%4C~NZu^lM@ endstream endobj 16699 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1526) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16700 0 obj << /Filter /FlateDecode /Length 2588 >> stream xj$_JǾ*U`|cdh]زMufDF}e|b{F-M~[OO笌VOUagUNkS %ӟf(k(wڸp @_ Љ 9xn#"X3kcY󦍅/0 4ZFΌ.54֯lp6 rr2)3- JRkN&HI+q, V'?1붗=FTE$bCvEEPay5*/e9o]-tݕD[b`?Q5%4hNb8p!RE4q04x}ZcM" ,ZwǒpF"w f8_JNR*E h'm2]Oz37wEoQ&l I(.d>Q@ ;Yx%f&6%XkB_ZM$W>M:TF`W w(Ē, ]@Eɾ anMHL>H Q!bw:@d"|6'h_帆=ćHl'Cwk) H2q,`1q zX{_PyASkaI0a097WisC"5_hL:[mlX#E-:ý5Tlb91(vXuL ^fkԡJ*(EZ.'ts%V$LSʜ21ƚ=Pv=6na!:,&rxR{WcGzPk b˿[-Mlod[.Vo1PG_k4/m>N5xFj _}_tdgՃ>զtL{x7FVk ܊Ym C8om7k#i+/О2;TORo 5Rn_P=Byv+ƄCTfx5@ :1lPǘ p1^_+C FcݮjN_:Nz*.5\0f%^,P i n/QIgclrQg6Gj km-VbUmo3kɥ5F7| >7z ~d٥85e(x׺b.g8էrHx0tǾE90y37q7*R̥‰ 42ԣa3qv\'cwy*waw8OMY}q{7eSS0((p>)S{A[|0>o8o!p │o8g1 k.C6[6"ƻUAS8KKL kBhZEX6x~oEdfl GsE4#<|H*;>+y0+Rʫ|8N{Cn!i 2s"G#J[#T/9Bٜb? ])T3ҭFtJ0:=<֍Zy dZ_QukJ%Lq@g:$3\tl!Xl',kţ.BJ__=zP/*6a9򢾃] 5RX;聑2Xz!Ч$*캺 ܤUÑ/OS>_ī O]3e-7a/{^jx,xyp=S@l#YaΟ] Ǎ]R`j!/]f˰r}QZf&pW9RR\"Sߗ \Df~>,{2 ,b{ NhS_ʞzA[` y&3lr{o6* oZj@%+-29eY]bG|P*DվV':LQj8*/dx"ðuE`3IJ/GI853C0CpiRaE62ЂJb38&8I!.\NBc{Oٓc!ݤ4J|`ڽ[qs >h쵎k#~IVĦ9`gYO0!8AI֚xRڔ,<9Ivb|St"*8?sDT[ ]ˆ%v=KuD(":[)P!k鿄7 endstream endobj 16701 0 obj << /Annots 16703 0 R /BleedBox [0 0 612 792] /Contents [16709 0 R 16705 0 R 16706 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35296 16707 0 R >> >> /Type /Page >> endobj 16702 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16703 0 obj [16702 0 R 16704 0 R 16708 0 R] endobj 16704 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 439.225 122.692 450.225] /Subtype /Link /Type /Annot >> endobj 16705 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16706 0 obj << /Length 19 >> stream q /Iabc35296 Do Q endstream endobj 16707 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35297 20690 0 R /Gabc35298 20697 0 R >> /Font << /Fabc35299 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!z#>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛXP endstream endobj 16708 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1527) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16709 0 obj << /Filter /FlateDecode /Length 3535 >> stream xڵn%ίks_A72r|)ſڸtMkgj 9#Wl9gcb'g-A_U`+H~фbi ?kZٌ>ٚ'iڮZjֱnF&`7Ɲ;=g+sUDNɘ@~6 JV>Oo5MND`~Ġ*b A-%AXD`,Fqp~0{!Z|'mݲƶMۿ?4Y`Y9W7"n =5F> NG?q vܧ#v%vgj*+f+m1Zp n6%+VL @Of]bn!\̛Bâ]kxSq*w@.[q2" f rtd,5FOk=GtY޷LMgcYY)LT4ֶvзi7k<-<}e]-j{YKhjqjk|_ܝpQ38vfKs(&!kU.lX#nm̫l${H,_HlK"%C򎼹%`>졋HYod`F3F'T^ROs<ן4F>JS xL|0 CmHEo 'sZOU~f 2)%N+u&>Na\FN +ss:}ՃG![HųdVyݖ:ydN5{CBwSitA]lShֿdSzz_׃Hchƻ쾋CY_;d-d#zHǗe\WdaLCG{t rypx 3)'GLA7r `)I1]7'<yGtA^"\˳:ǧ@Hʫ];ăF<~'˫+t!$xB޽׎ c*0΍JWp"mH l>wBUBWǯ]lʕQџ2巶'= $+ Ȏv"~Z )!ibaY8hH'$ݘZ?ܘyR_5qЩ25!n2,;`$Y22n2+kZ4L~nǭ:24aO2gc"9kpS] e5u~ssW%⦭?/o+))]lo/pO['NON:]uyw63uDWk]'ġtI@\DXR~RɭA^=xvm%ڙZܦ8p^ߗmNr'ҺKRX}ٺfAGѦCJ ;l68*3!{uꐱQ5:J {[kX>ir,?H|U L Qo tw> },h#Srp~^F-WǃERƾNq~SMɔd-9Qk U7%bX&n>: ޔH`2 Nh#a `%l5(5feE%?J}d63!_üb@?QlZW jjͰ bZ u3kħ ;XrmB,ꃗeb>mT悬%{`0ʂdt\ t{\`@+DZׁ [\#?<2E3,=J@0< +xթxro3,I{;mKAϰ&vrpYlaf2[q 'o&[f4nGa hPrr[Ȭ\ wbVE2Cx˂Tze$kT4@]x$o6 c\[~PBn>t(~E{o|CeXtK1T+$kR/ge&2Rj0tnpL-p}+;a(Fм lW)Kr$ lxjq+cq49ԲAZxZObB5DvK25. ÅA_䇴|vK۹ᅬF<9f,,GŨ#D5fٗr!`#ϣb㻐`Y(xrHpQ RYe@rOb C;h`q_6G(&EVrٔ^G ܍KNE̖QĪ!&RK%cy?$c"_2]b\ :!\hm@eHƵla^B} Itq9: 2倌3ƚ}"~y,O'DgI(ؓ$10EQOg"pm۸+ה|T2^jӵʧ`rWIU| ξeeE0x;DE+uK endstream endobj 16710 0 obj << /Annots 16712 0 R /BleedBox [0 0 612 792] /Contents [16718 0 R 16714 0 R 16715 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35315 16716 0 R >> >> /Type /Page >> endobj 16711 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16712 0 obj [16711 0 R 16713 0 R 16717 0 R] endobj 16713 0 obj << /A << /D (unique_600) /S /GoTo >> /Border [0 0 0] /Contents (report_ssn) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 154.8227 686.7] /Subtype /Link /Type /Annot >> endobj 16714 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16715 0 obj << /Length 19 >> stream q /Iabc35315 Do Q endstream endobj 16716 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35316 20690 0 R /Gabc35317 20697 0 R >> /Font << /Fabc35318 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#̧5J\[-48יq|mau3j#x#?$_l˜!E_@9?ɜ F0p|sL"yO:dzQUh^@wP".*x P@E ۾{w$T endstream endobj 16717 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1528) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16718 0 obj << /Filter /FlateDecode /Length 1147 >> stream xڭWKkl7 Wh]8! d̢@2)$QYI&7ؒOOk -}* B{ng98?oGCښKp~!:#ʣ{Di}BLO*"ﭯ%g8AXBx.Z+Qot]͑OIeο rl&W}Q* + W|e1-Y!yX ڔ1 V¬;Ͱd '6"1V.ѶDb.uB #t-ӌ-#ҭІ=]H"Zn>Z|gyo<|xvQkmbQ0w^njz?}ym/kЍ.GkzyqΦ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35334 16726 0 R >> >> /Type /Page >> endobj 16720 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16721 0 obj [16720 0 R 16722 0 R 16723 0 R 16727 0 R] endobj 16722 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [90 321.425 120.844 332.425] /Subtype /Link /Type /Annot >> endobj 16723 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [126.157 321.425 149.0095 332.425] /Subtype /Link /Type /Annot >> endobj 16724 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16725 0 obj << /Length 19 >> stream q /Iabc35334 Do Q endstream endobj 16726 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35335 20690 0 R /Gabc35336 20697 0 R >> /Font << /Fabc35337 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ *ӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽?* endstream endobj 16727 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1529) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16728 0 obj << /Filter /FlateDecode /Length 4500 >> stream xڵˎ][*`z Y999 O_XՏyx=]bI$ER%Q=}d⧯/S)ũ:YOs29NM_MCK%L_^6-<ڞRށ;COΟKŃj`ܺ66,FXeYÜ&c|'~6%ǃߖms^* .nnO g@Z!%MiMM M!C8Z[2~{ڌw:3#斏+ϺD q1Mu>BdD$ mE531 ɷ6$%JY0~"y=hq]Uqcd]ɿP#GVྗ3wx箎Z{qQϛ`)[ړQ ն: }9'Q^䈼d({VzZܬ_hEo>+]zϢf#QqR`yqy3Hd2MUp||NJRnIU7a1lQ:ύ"ܙ`a? V5;CoםO8o44' h=+3'id~!3mP o֘cwܺnt"du^1ytg(a= t@2>1YkcVsry]WbC^ջ?O hc=BFin2W,1,my3圉%~4)mnXg̿CA+V`_/JG\\{6`OPOx|' г[j4YY^p9r F\Bu)QiW&s}q:{SϚdw-l~^SorK,+ݴ'A]Z|8WfFI&ogVښCܪ,okGި[v:. .ISJߛ\^oX:I} 5} x#:S@cn F&I>D|'e~ sb7 =V:#/`5 0rW vUT8Ut{pV2B!Wn(vKt]JJ|'XZFI*UU,l%aSflő5ܰ\R`e \r-Qȳ)HPխ~`|w)v}uL ;W)m@f61^=gi-N"b Jk ]l*{^Mǣʩ]q5"Y)~9Vؾ7QQ^a\'SKU@E M{@˸Wxbވzu 36@ ͞SaOWH-EӀQH6¾C aom#:#Y9vqqW6m[!و{w'ǬVo5oU0cm|yk̿ϯ{8cf>{g77[R Qϖog OجXW˕Z Ydm̵2'Iu4=u;S yc$}n6K#B.t~&ݜ&Ti7}#GQ:Sc ~p5rp|,_+Byf̂ʼn9 BIpS_ps4 yp/V_>D ep !]'V4k1{댢C&o;v: {42΍͵ 7ie`I=Q -׍QD7#BǛ.-&!VҴv Gʧ1ې޹1y W=^܁),kn̞0WFՀ+hӬY[qYzrbĂڮ[˨atp!mZKyH: ĸ׎n/ZC]D@mabw qQ:|^.ZxBE 5,Wzθr\56s/< 2刑ZL &/]TA:wb쭵B.&,"y=v1c }4CX`1go<3'8t%ٝq<ϣdE(Jw~cub1Rdn ?w= -#QDNh&ntnp]WӴxo+EOýZGfQ ӪIx mQ%vAOy3Phvl!q1ᾎ-Xd0duzhz.{,ȎA0f} RZh7n8]v@M{ 7\ostM}S_m:Glp&~ǝC} s)Kj zGb١@ldh5c{ʫ4UyK,:0e Qŏ?%W6lP=^em@|?hz3o3jCpyYN:ܜr>̹Fbl<ײwsQ2/gqW{h㄰{Âk TȔ{9WnN5x^xBiAi9?0Qm\=^ܑ>2%t3x d^XV+nw("nnu#Ȁ :-(4,>W֐qJX?`"bvaM/ob|7|Ce[Ҙ(W4'`5UeC9i%ҏc@]^+ h 9AxĮvu(+ ! ,̐7&Ʌ30"-8qؿ`_سI;)Uu;&%L$ƦpcyAHӶ_R E-e׸,#j|m ,G7bɨ;)/9Ķ\BEӉ؄ebĹM:GKftMv $1RSeCSI]/|9Zb:{ ٪\YƵ,aQgG9 [\oƷS/N!r[Οl.kCv: >c/\ h™V!6p\ݎ0:r+ П䎖 #|=Ro4]*ms?hs2p}/w`j@קm:n~!ލ 4ζ -޷tn~z\F0gȞHDr~,TQ^ endstream endobj 16729 0 obj << /Annots [16730 0 R 16734 0 R] /BleedBox [0 0 612 792] /Contents [16735 0 R 16731 0 R 16732 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35353 16733 0 R >> >> /Type /Page >> endobj 16730 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16731 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16732 0 obj << /Length 19 >> stream q /Iabc35353 Do Q endstream endobj 16733 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35354 20690 0 R /Gabc35355 20697 0 R >> /Font << /Fabc35356 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16735 0 obj << /Filter /FlateDecode /Length 3659 >> stream xn+ίsu/ Cna 0TKoRv2$v7Ro$;GHzx1gjpv I6~ik4nxqG)oWYכg-aTH.gxI ̨GX/yhi JDaK'OVDO"g%(Sz P ingFt;K ӌ:ϓq n=aNLŸV9 qpLƨLr@1̜(7ZIp̄lCi :OGkxfrz)u;sk/=D^!opP3;Rb.1¼,dlJ#&XJV,t>(;䕯{R mUA50*&%ZTƳ]3BaSGGxx9 ] [AI19Io$BKlyA]g`,TDީf:%lW zɮL<4&dsu,:Ebp G<31ƙOT,\mŖ\Qr %?}'>)nk-|)~~J+@@e槬U0={k6r3Z Ұi?~ϊZgcT)nI;GIDMe`\SijwGjc"ɅPN& j:jX$N$URڳ=܉{D<(RQ}Ox(B+ EDp MT<̕|g|HO8Dԧ9JvpXa$ѷ|b^0`-j?/#`=!\Q@\1SfD;D%B.J$1U?#$W%sڌr<+Lq2oa oRoJdQH\l"sS\%Lզ$GL^6N&i9WI%ngM5'rc"ZmoR뾋Z$eEpPn'k+V9D7*Mn`&n=dmOus"Fn%@P'm^j$4iPbᰑX0Z9!fFjҔe;ɴjwpd>fgzjbEJ>;jœoa7#vAyk ᳯ,V}xyij̾ Eo7ŻֳIsǮT}D}.Gfp{P(fjI0}K֍Mftkvܨv'wX}XS"7gD 2{4xz0/c˾Bj1:oSzywL蛐eO1Jhp| "V?Vl\8*C}e8x^25L*_pCWt\>GlO`6E7&~l8v Xd>I` +zhH)Y"჉X]=@ӓWc[mleJ}룬vfU]z`v9YCtJ֗x.* Mn)m\:RC@be@39s[:5ces4OsBS 3V446-+a]:W~c/N]s#w/D ;Ia4:.-+>5h=oj~oSgf;.,\Ƙ͖SFzn3#ls$pBZ.d?+%aN7eSdl}-"3dSJh$FI&C1Z>$k E:7g=|+ɣzע잛cZd$|$2+ '5]9љIZ뽷^N?կqCQ;#O7 e";JKr<@W\a\72Nur;娃 CjȤ\*+dtTak=o="+vVu)yQ&}`"^~Q]e"R? k=o 9uԔKf%6y-N[E챝=6\M-\ ' &*HfF{k@^*疟8z\CTU^|:0z83RwaAw]80ꀕ:dB >#M@`*hAvmWB\,1vc /@>ϒ.36a'= aZ<PgT|M%"T ТwTŏI45K=9gW: @W !##"IW#F A2*f"սXaAZPq2#ۻ%ޙĩFp*$zS=4SX2oJ>)3>6mf:эfuXuȄ6uIM,ۋyQđhfmem]y._m"JNgϹȿv\?SCi 0ǀ7BtBh!tO:H-EJ4R]j8)Oِ+nD^ 2> HeuxYE{tgf\agV/A*ʜMEנƂ!|I9\ lJX"H~ ,]D= endstream endobj 16736 0 obj << /Annots 16738 0 R /BleedBox [0 0 612 792] /Contents [16747 0 R 16743 0 R 16744 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35372 16745 0 R >> >> /Type /Page >> endobj 16737 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16738 0 obj [16737 0 R 16739 0 R 16740 0 R 16741 0 R 16742 0 R 16746 0 R] endobj 16739 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 192.4702 608.2] /Subtype /Link /Type /Annot >> endobj 16740 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 581 169.8542 592] /Subtype /Link /Type /Annot >> endobj 16741 0 obj << /A << /D (unique_601) /S /GoTo >> /Border [0 0 0] /Contents (report_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 225.4812 575.8] /Subtype /Link /Type /Annot >> endobj 16742 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 210.0207 559.6] /Subtype /Link /Type /Annot >> endobj 16743 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16744 0 obj << /Length 19 >> stream q /Iabc35372 Do Q endstream endobj 16745 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35373 20690 0 R /Gabc35374 20697 0 R >> /Font << /Fabc35375 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16747 0 obj << /Filter /FlateDecode /Length 1638 >> stream xڭXKo7W`|?C$KzK!'NQK~!4F=$GDCN?<鎳:], 9hkqli6kk撲tg+ă1!`4?h1b0M@ 9NJDۆN}.Nu9wȉ7i8fV“0Dp )DQx}LN/TNd]vo>8&gjވ\S3>5JӫBqbJJtx&I 8Sl颾j3- )1>AN I??Oss¿G\5ۄ5XfaNH>j/8 V9X 7`12쑼G'=CGY#Y=8hF8=CoKAi1Q 'pd $ƉTc-NAts":z' DZ,*$Urn|trF-T*r3 )*IәKVF( v*K2W稺V=/&jʨ[:atZvf-Io(726ڤq§iu1-Wsuŷ\4ɻmPÆƹ $7r~0:ȥU樑E:V~Ikt6pc1B,^|ohbq|@.4$ ytksVWmlWgK f8orք.4h֊Y9wfnl@kfQ^T^Cy2+w.ֹ y~@ݩkx'.L{bPOcy$5DY@9xJ9eN}SlQφ5V䨗^?'/~֢hMS=^ GaȘpdZͻҨגP(aWPqϾŐc?h6 טUT!nQma軪ve J)9^')oiXcnef֙Ҷ~3XuZmmhN&xqVv_UXg͠i-gm E0%B3  TLm`8u$kYE455D9ʩ[8ڠi6ռ$3ˊY`l0S2aQ|^ys[^F^bu1Cp=@bޅ1"5443tE:;5~!!7PFq55QbԛI\5s9p t);U:b^qFB)Ei\7T6|pf;J7aė-V/~àN9E& ]>nZs[VoIHMIgU}49xݖo&}5Xvf~b1>x!xi~FK8нt`3NyTw.ˡa#+8SP1q/5#;(13 '\W]"ƂGFݍ#ձ9(rAsEXޘo NYj CAZ{ Hgze=PK? endstream endobj 16748 0 obj << /Annots 16750 0 R /BleedBox [0 0 612 792] /Contents [16757 0 R 16753 0 R 16754 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35391 16755 0 R >> >> /Type /Page >> endobj 16749 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16750 0 obj [16749 0 R 16751 0 R 16752 0 R 16756 0 R] endobj 16751 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 415.825 124.1605 426.825] /Subtype /Link /Type /Annot >> endobj 16752 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 415.825 162.6715 426.825] /Subtype /Link /Type /Annot >> endobj 16753 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16754 0 obj << /Length 19 >> stream q /Iabc35391 Do Q endstream endobj 16755 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35392 20690 0 R /Gabc35393 20697 0 R >> /Font << /Fabc35394 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7Hth۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM>\ endstream endobj 16756 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1532) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16757 0 obj << /Filter /FlateDecode /Length 4155 >> stream xڭn#7ίs1|?À%Yr@f7Y, \U|ul3|tE,_(wGf:x$U8,?_bu2(xsSEy;i3~uxOP,/./kQ>/Pd TVOsoaV/v3'lJeTZxGb'T@9Ӝ6HqIێ6rGA_S _hDeEϗP16Jhb*o5wg }@32 e@(PYl,1VseVd,@HF"X Gp? X2.Xa察4Q /#`dK@ 9G]?J#7ϭ 0V: aX@X=3"VX[U^tV8 '`k1 S'\q$:{\^*vql#kՄVRĭ,"! CMI/Q$CiJ9JqX% ԞA̓>RCڎeTUEw:tI]tQEGSx>wFuYem ~ymSH}r kg,-2ͺ|{Ī]Uľ} YlCx(| )){€&I20}hX$nx} O 7c*? ԰tg,MD/[oTU9 sxwDlXF͓Oޛq78˂#Aݤn{qF|zº JE5q9Fӊ~YpUV5O` Ze/F`F[_e?@i1mQ寺 XWx%x6T$H,!hq`0InRM%!M?cЈfx1vU#T!@kqaVI.[がi2X6..9n"n[j@2Lf- sb\։2Z2JByK7 XrJ/Xmآ2nB\liݩj*װMMi6$ qx}^tKSTtԾZ7(7ިy-wAT de*bQ0]3~w:'Evo@W5 ++<)J%zgʀ}fj4Q^ݪ~2+-񡮲3ꑭ~>6 Ht諞LM2#õ-Bk{jaeYlSD;yF ^='`1 x9=82#XLH/AMerU>=v/~6?svSC%c#"tn{BlV)ÝaPS Fcr] |!Pe/!jڙ3T^aۇA+'gk7qqAz3LDa_7~$>Yr xNaF#.7ik[kRLV XHV(1mb`y8?ت}bdC]}3o 86?IS3!//@zw& [pWa;=T a:/JG!〸TE[9Ȉg !fK6ԯ B]) ;/˿f^p+BXtc@`L/0OeߍbV8uexHs@MֈAb0F%P@] /l{?D98 }T={+{T}]e,إ-pXꢹȺt%C%HбUA !##W@^t-U(Rkp>  nJ$BıVhrSMiDKD(vJW.ȥp(>/$,N]ldvs٘4} .n5Ǘ׽`y1:f؆2\>lELhe)J&SKWE'T,.ALv㽖Utmam^ڛ}Mn{p¯o a4NW:8ӑ4~ `Z bJ/OJp:^nasU}0TogP_)WO0]QN pe2M3`WV (`Ԯ(d̓:)nRdxWO+'%ѷ~iV01hI; !Wdc+_\7~ﭝa+g*6yi,?ר[6H7] +ݝe,>",E+?MSV endstream endobj 16758 0 obj << /Annots 16760 0 R /BleedBox [0 0 612 792] /Contents [16766 0 R 16762 0 R 16763 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35410 16764 0 R >> >> /Type /Page >> endobj 16759 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16760 0 obj [16759 0 R 16761 0 R 16765 0 R] endobj 16761 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 522.0038 180.3482 533.0038] /Subtype /Link /Type /Annot >> endobj 16762 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16763 0 obj << /Length 19 >> stream q /Iabc35410 Do Q endstream endobj 16764 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35411 20690 0 R /Gabc35412 20697 0 R >> /Font << /Fabc35413 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ ` endstream endobj 16765 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1533) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16766 0 obj << /Filter /FlateDecode /Length 1902 >> stream xڵXn,7+P@0;0E'7=&?Tj}Ѯ!y(Qh-zmN&'ǠO!kjMI?Y?}gc=!v>(1d,@*Pb=:zw!1[[2=d=ӣ[PBwS56$2lc = j8fJ+jA# VBJI;Z7-gd\azSkmu4{mpފ']84/M( q^3ì0,RY~trjL'Ƚ"$VnM{3NrŔp%5;PwD;tЎ5kWf־ynF'o`6 jqP V~hUL f;+Y7:?XZ>ZnY)9N3͓j5 s~D(i;AT1T8bJ[>øy cxs<ǯTcx%IK VGԞs17زQY8=:UhȲ(|m&=gZ_ _[ɘsTgB]jYzֹx-g>"TJ07X" `+IÚ<Ғj(ޡó%һ6Hk#C1R'lanӾ'ҥK$!4սWf=*BwCf{׵=3*P&^um>{IXb (i)QiBى~LD0btEqrV2()m% SnaWJBFJ~%էٷݻt}qA#kzj=*[*v3Wr]m&W;'^:u\kk 2^ѿÆ3<^㱧~I Kƕ @ bg rGK/O>0{/mjx;G|Kn$1ϥG|Sw:؜p+~E@֮7Aqqvuӽ5`֏ehE?s=~ Xq O29tӻe='?1!R2 endstream endobj 16767 0 obj << /Annots 16769 0 R /BleedBox [0 0 612 792] /Contents [16776 0 R 16772 0 R 16773 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35429 16774 0 R >> >> /Type /Page >> endobj 16768 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16769 0 obj [16768 0 R 16770 0 R 16771 0 R 16775 0 R] endobj 16770 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 406.625 122.692 417.625] /Subtype /Link /Type /Annot >> endobj 16771 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [128.005 406.625 160.653 417.625] /Subtype /Link /Type /Annot >> endobj 16772 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16773 0 obj << /Length 19 >> stream q /Iabc35429 Do Q endstream endobj 16774 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35430 20690 0 R /Gabc35431 20697 0 R >> /Font << /Fabc35432 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7F!thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ϡmтGŶ endstream endobj 16775 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1534) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16776 0 obj << /Filter /FlateDecode /Length 5119 >> stream xڽr,oKnYgMtVx@_cA@ P/Y!+i`O#+X=x馂%Э./"NHXci_0 ?g}õ>]Sϕ~dPAD0A[fpyu䱮1ޅ(Qυ)A`, w^կZTTV%p9@\]703j `0gH"RrǞ.g@&9ޡ{9P*^7fˣ)tv΂eCs19\\!Y}yMUk&os⻧>t)_:`u"ÄZ Z}  ;Ir{Vq.ɷ<$Ŧʬ &MaF;Gඹq`OKMb=R?!eALDO .n[}P\m*>fJ{:u3=X;?jE/"cƕ Te , K#2'pl\ntBN}ESQm!z{p}cs7+t"ƽ"'^7NGtSp]G7/|'K^{p{{?p,6y%V)։i)})EpUdlWZpj9p7`S K ߊA,^_ӂ;s ?AՍ n@c.K}&Ѷ娋/@$ԋ~AWk"7 F9edo#J~٬h0HFqZ,k- @Tʭ5`ދt #u?]FΥ^CX^V3Њe"AZ5^4x Xqu9h&`e,-{-snby#A>ZQȰ`G8{}w7)vc=uL ;U+'>6Qw77{ UaZ i=Z;ub $I +5]l"={]EfS7ee2ڄmwn1(>*|85WUM0371hs o{;V?7aWmn0[ˁ٪ ˻V]̞4m[~gVőǽ TV8{c*@s>#L\d-Mڐ ;4?`zZБhmHӨs>50'6G*x>`Tӈn*s![<4TWdb0t_\s S[1 έlk|܆*Pedž7.s~M%0IaSrm~J*# UdA70<FOi~|-۵K4LWwI%J?0d{'3BPfЗ9SܓfXD~eR_&R}#]nrEH JJpy?%*Y( Ԙ9 :YzHO=9 .H2xeѴHi1R8E I:O\ٞ)3WNo=o{Xvwů! 0'r6< 䦴bP8IiPSn~<ԝ9jϹ7q,ZiXZ""a LcSf^}`4Q,lU/$(L`Ds\`'J8N2Oly(5/3nPa:oxB'VjQZB,"RA4(}+٤D[>JO?Fm9ui}VE 4bO`Bn?ם$g1&}U'>]r1GR!lEz` K޸S?#zrjq,am|q>V5.Mΐ9D ןӔ+31ǹ8զ1qG2=[Dĕq 7Z`x6HrD8LZL RBR7ĵqզZ=.Q942q ^#AaD0 |x]@ V[MȪ)(@ ׫p juC`Ko`Wh_kL@q Rc#q`\-p}Г\PU( Sn Q^2Ih[`UPB ` U,%s<<FJRK]R6utg^uI`Lw-)G^aŹ/u+ {8dBGU`@֡h:Cp/RJ=h6Þ4Pɪ"Y-Jq C6ed\ JAU^ ǚp9bjX+mۛ-0 ߢ[0ƛCx 첁%N- *n5zc+Gp ZP\ .$R-<bEܰnl\F.qTG®؀+LH)ha@C@P -"M6jbDV\I#~+,vC**: mEn:2W36{U vllUx=P!4n+Q׺*pev:UP L0`SK|s_'<JtKQƆzV ъÜDžP=RD =% E `(+NVtG=|[B5~;#?bّJx3f:p04übxwQwJt"˘QqK:4Oa ;s:6҂VG*j} ۴e#GKO N OȲ;ϡɶm nn1]LAJlt2ֺ;l32-_[^wF3́d'qY#WUx_ /i1fEwY{ts*6p<q/YJ`>t E1/y-ᴍ `zl堓gx2Y^4b+'Fn\H+q rNwx9h]4[ytIgX!GTU9J4GyW(!UIy F+՚ :&a5Tå5e[(5k{S]Y$Ha.uG*9.>9&0DfN 7ԆP'J5ܭtEO)7Gʗ)'͞ZVnnr*.Уr/s1Q\s %N^6y)RH{9qx?DZ{)HMNFUD S$U-3YB 0 c0@rk>!L%e-?MAZ \e*pH0% FI Xi@]y"o!9h?T(ՠnG~ଠ[Q>QSyknuZq0 Lb] dMUYri0'-3fj̥ԥҀQ+6.ʻ!dUbMV TYfaľW"dDI@?QV&%(j95.ITx%N/6&c炐Çxx!PR~e#Gڍ_;6ѱEGgɨҠ{W^r0Js] eMl|F&X"s[ *@H.c%8tޭM*Է|^h/=[b~]~~1V)"a6uQuq8aN~FwU8jYE>ӽRi۝֬˽T/鮬ӸOγ uNb9˴$ޯa3n% yOǗ'//מf<6;S\-~$ H?G*_JM&q/#9~:$iX1TAܚ5~: ^"r˟~nBv}> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35448 16785 0 R >> >> /Type /Page >> endobj 16778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16779 0 obj [16778 0 R 16780 0 R 16781 0 R 16782 0 R 16786 0 R] endobj 16780 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20210608081201-08'00') /Rect [104.1732 383.7423 206.4347 394.7423] /Subtype /Link /Type /Annot >> endobj 16781 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 367.5423 169.4802 378.5423] /Subtype /Link /Type /Annot >> endobj 16782 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 351.3422 218.6612 362.3422] /Subtype /Link /Type /Annot >> endobj 16783 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16784 0 obj << /Length 19 >> stream q /Iabc35448 Do Q endstream endobj 16785 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35449 20690 0 R /Gabc35450 20697 0 R >> /Font << /Fabc35451 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7F ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16787 0 obj << /Filter /FlateDecode /Length 2598 >> stream xZKk$BA|#aسHe㕏HwʬȈ/;>runsVǠ)C^PO/Ymi1kkh~z91w$c{c1Θ{=&y:cgxsr9ÉhRr1x?yҴ2ʺdh 椝 ;`L.&I:򒂕$m,(&|T@g"\G:x.O'pg-qHûA)poyn丹TfzH\)'$"i"vрs-<d/R!Z4a5A8tH1T><$l"~$oy/J$kCў4fiITg]75elm<Q ӽ ߂LXv'E2p}ꃮ/-V \\wQCCGRL Lp-.PQzbK`Id gϱr\[L5?q=Ż s>"沑K(pJ6Mddl `vLa2,!Y@Anr?20eabVα<]} Ȱ _aMG>gKbWD@FD_j x1m SAN{9'N&orn.uYO;$t;G/ѽc{Kf(/rfϙܰ6& aRrt>:6&~;֛ђu}q܃427Λt@bL7u d2ZbA sXbbʳZ_\ ?W/V/|4h ekփnXaN oKE9iXZeo5[Jn$:fGw{[u3rBBӸ[XF9B޵W^k;2ד?#4v1Nee4Fg5Û6{Q]מD.o%`ǩp&,s27`,Y!U]c qWbVYO13XFQ^L ΧMg[))+#ufwkjow(dͿQƔ%EQY@Rʶ;OWՊ\q͏9Rae z!Q澻fG"{} ~} Y7/p=~/R'5ǝ'>p!tdѨآ_rZL$}5eH$

    H~1NC6!TzaZ2KqLY_j}#n_O_" [Bf齝bVLURA8hS/$!0S̤U8L<{Vcf#h&`*W&O0® e0!UHU3Rwk=-Y+42 ˡYމLj4t{@`d, Q"z"#Է,ǀW Cg͈3GZJp6==0 K6%Ri$ fyL ?|$ִ d4Qc1D0q 覣|'A9"%`E%W_K5G*xe(DC`,^:Q.fn$ac*$[E:F΁?dkx v1R}]gc}tDKiZo3iaؕþ\"3@o{ Txï=XL~Q+'pN1x/r( S+m{zYF!Шb>sE6ɿ Mi*X6/C>OCS?!TEc/ov endstream endobj 16788 0 obj << /Annots 16790 0 R /BleedBox [0 0 612 792] /Contents [16796 0 R 16792 0 R 16793 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35467 16794 0 R >> >> /Type /Page >> endobj 16789 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16790 0 obj [16789 0 R 16791 0 R 16795 0 R] endobj 16791 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 400.825 121.8835 411.825] /Subtype /Link /Type /Annot >> endobj 16792 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16793 0 obj << /Length 19 >> stream q /Iabc35467 Do Q endstream endobj 16794 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35468 20690 0 R /Gabc35469 20697 0 R >> /Font << /Fabc35470 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫ )C;fũc8S.@˂r!swT+_P$&c QbEP"$oXS4GC߉b"Ks¯";%̕'I"fZĀ0dD/P|}{Y#rڻ3^JQRc7uoBl(Y|zǣ|"j@͠zfQJxpf%ehwm[;0Rccb>tD&r;Z:ɭU4sZ yp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16796 0 obj << /Filter /FlateDecode /Length 4173 >> stream xڵ\IW@/0tڀor%?oRK/r,VnOf_T Viu:|5WM__|:`5 ՝ZӃZ;۞*iη؛WF8y F=|k?M_'=ǒᑞ3.5%_'4+M_7%:.̥PCuZGudJ  ?(uur䗨&Hۀ|%'L!ʜG9F@BZ>`e SO0T3 6N?=SEz ch}FI` sFNADQxzgO\~LtW✳+ O~Ee>էtb(R~3ݥR(z}?1wc'wϳw6 AK 0<>8g&RDCc"&{GPf" ? hwFOZfWZ\42 gˊæƀN[SUl uad609l(U8'hpjh:Ty̓VZ֑4m_wWسh4hpaGo AQxÓ$y)o|} !·lHT"̈Ӿ#"ZX,-zx2.)#~D/?bG+)ltrr/ViM U $Ѥ0f#M~DPy }G,ԋ~+C닄5d +%9wxFv$$+$-)K}YszfoYU(pZRժnQvk>ȱ&eC5i5,/-[ VDA:բ2Z&CŠ(J[+ob '\r-J/DBZmز2vnBS/RlǺS( Uqֽ;7 -'3ҽ0[RQjݨoԽQ.e:R*e,VU*}YUS٫ ߁o!ís5x4GT~ wR 1n ^֔WPwXZmڃz.Z}v սZ}7~jJ [oC~CO$9JĭX:4)9򘬨r/9 2p'YKA,|ܨ  Rx&E܊2|\Suہ&Fg`bo0Vu&ÆJW_]UW}rq~9̦XdRA0iQ96Ohfcض . d@ES`ia8<~Y:N} 3[ XYrF{ng [n ?i ϋa8Wg~=ʑu*y}ws70'ϸgʇ w?8En̛\tNgE{ߏ.9SʍIC[ۭv+>̟p-*.Qi_ "U;f0& *{Kn2!znsIuU'ŘXϋoTw*:UBBT[a$'Ta aeR$"g05 -Rl4*)C\X (p@s6}zTعYxuPЪZsfy6BLCj׬jF?ug"t5ZNK1/x^~gO#0p G-:?ɱfJ:!$mRbiEf ct$P)-]M;@*v+iOR]f1<׸9kWk$"Ǽ¬(OT:3hq@$1aU9; Ƣ%K8&յ YtE+KN7tWdyf /uG-!((%?|0h/JOmjBnBBH;Rgkn?D7#/Mג,%]>A\w35=#"<"l­DDq< bj[s>phL d&_ýP}\4`3ZMN_fP*L\̣Tkh ss ]HD:eܑ}x2g.LN>;m$PcCa"atie&͚O*sOws>_0Oo`[wuu"-У v}>DqS8PC"΅&7 .>TVN\F1 {3W9(jR7~zl/',ϗe]BaO TF㜊+q)sXb6:ڇkSi|>3_ |S[.Uar3|4 Ҏ~0 م>طG#?B:wTA}RuMd_N 񛆋fIr쳈༾\1Ihea$ endstream endobj 16797 0 obj << /Annots 16799 0 R /BleedBox [0 0 612 792] /Contents [16817 0 R 16813 0 R 16814 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35486 16815 0 R >> >> /Type /Page >> endobj 16798 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16799 0 obj [16798 0 R 16800 0 R 16801 0 R 16802 0 R 16803 0 R 16804 0 R 16805 0 R 16806 0 R 16807 0 R 16808 0 R 16809 0 R 16810 0 R 16811 0 R 16812 0 R 16816 0 R] endobj 16800 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20210608081201-08'00') /Rect [104.1732 290.9462 163.7437 301.9462] /Subtype /Link /Type /Annot >> endobj 16801 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 274.7462 155.1032 285.7462] /Subtype /Link /Type /Annot >> endobj 16802 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 258.5461 154.1957 269.5461] /Subtype /Link /Type /Annot >> endobj 16803 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 242.3461 159.6022 253.3461] /Subtype /Link /Type /Annot >> endobj 16804 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20210608081201-08'00') /Rect [104.1732 226.1461 177.3067 237.1461] /Subtype /Link /Type /Annot >> endobj 16805 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 209.9461 145.1812 220.9461] /Subtype /Link /Type /Annot >> endobj 16806 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20210608081201-08'00') /Rect [104.1732 193.7461 161.1202 204.7461] /Subtype /Link /Type /Annot >> endobj 16807 0 obj << /A << /D (unique_423) /S /GoTo >> /Border [0 0 0] /Contents (resize_pin_bus) /M (D:20210608081201-08'00') /Rect [104.1732 177.5461 173.9352 188.5461] /Subtype /Link /Type /Annot >> endobj 16808 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20210608081201-08'00') /Rect [104.1732 161.3462 179.3417 172.3462] /Subtype /Link /Type /Annot >> endobj 16809 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 145.1462 187.3167 156.1462] /Subtype /Link /Type /Annot >> endobj 16810 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 128.9463 152.6942 139.9463] /Subtype /Link /Type /Annot >> endobj 16811 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 112.7463 166.7302 123.7463] /Subtype /Link /Type /Annot >> endobj 16812 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 96.5464 154.8007 107.5464] /Subtype /Link /Type /Annot >> endobj 16813 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16814 0 obj << /Length 19 >> stream q /Iabc35486 Do Q endstream endobj 16815 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35487 20690 0 R /Gabc35488 20697 0 R >> /Font << /Fabc35489 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM^ endstream endobj 16816 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1537) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16817 0 obj << /Filter /FlateDecode /Length 3026 >> stream xj$_LǾ@!PU|k#̩=H[.U*Im(eFdȔߥ &lWYN&S~!/+Vf`V^y*qPʸ/JT &a'3\g#AA:FX>އ 5sYH=C8@={gõEt%h@yu#q~;N$qʦ󃴇U\|+Á>taN>^rZ=ܻGO~ VEl8I і<[s3Hl' hFŠY͸-X$y9*)V>VE>5j6ո,7bL[[[Qͽ3j:|BځUSiQͤRֹJ  xm`w~HIE=ET(vl]` &]Kܙâ :.nBq:[/҆.i;ݟypi_d,S/B4C f&( ޝE)FM~nY+ȸGa$ +7YaàQxXZ`]>xm/El 5CArZK9m& 2;+TAP j!Da5C9S<-tmaQ F+N"n9 521G*\dUe[p[WhE8`19{d`nru{Nv\i@_1xv +zB '܅`0ڵZK_3SKi d7iǕ[$FMm9.I1VoH_K~VX}3 c_|EFpڗ-EʤS}j 繗Q6 eG_2SnB2ח&`b'5:՝ELB@ !"Dl8▷p;xŚr*6XKx#nw( ^(˲LVIZ ᅤpY蜮4 -+oY m5hq )2ĖfyR佤<,ck222+!zے:QEO=eEXsNcϷu=opQZ= ׀s ?X`0vP7yځ9ƘIj1Md=*P -K D[X so-l2Z+; e _A,/RY@Hh#oPB#W, H u-H®u,JR.| ^ WvqSLmUX`GN}ƌ@sEn _> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35505 16825 0 R >> >> /Type /Page >> endobj 16819 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16820 0 obj [16819 0 R 16821 0 R 16822 0 R 16826 0 R] endobj 16821 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [90 344.025 135.562 355.025] /Subtype /Link /Type /Annot >> endobj 16822 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [140.875 344.025 163.7275 355.025] /Subtype /Link /Type /Annot >> endobj 16823 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16824 0 obj << /Length 19 >> stream q /Iabc35505 Do Q endstream endobj 16825 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35506 20690 0 R /Gabc35507 20697 0 R >> /Font << /Fabc35508 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cIA 0yW!ӘV;!JϡmтGŶ* endstream endobj 16826 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1538) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16827 0 obj << /Filter /FlateDecode /Length 4205 >> stream x\Ɏ$+,R@WUFC%Ø60 ں[ HJ. dpoZ$OHz<8DY^V_O],_>Hl,o׼C;>AZjK-l"><ߨN/_'m{Q¯/qO*2~J^-? LyĘESZ\ZtT^AKl#=,G:4=.!GrDmcdw /6lfOXw?:?ކoj _~wE -G&`@%`G6 ShX|HFRZ93mx:*nr]X} %6J&}¾~<`*w1۞48)S($kIK><3v'9*IshZf9o H]fN(nesewT!bs*U@`tK%c$S M;OHJ*lT?+ ZVʢGLy/p:gLg. |nfgOk?NKF{)6ee_PؔE `y.F9f 1~sG` $D;Cs ESCoo4lbze1F$njWLi[2ٙae}C6|3.vogbڪ~nGF^\WWv ?Fz(1mf|;:R=nɀӷ+u߂ߏuO?,N P5'?/8^i'6,/8ںnh)j".6KI`9"ݯДY~e0 ˳o e[R`;v-L9r lfRvA H{ ~_MKB!KDGd;q̅@ v Z氼dsObDX_̚RP>YRwƊ!3ZEK/Bk-=4huKXi ʨaLfeY>0kNVi}Ȫ,[ UMxy7n \7QHܪIE{+y Ҿ^zk_;4" Q*ɥOne y^E]<2=Nt4\.:emcGqOfjW׎-p72EGmZuЊ[&d۸ Se`eP.-5@/l[륑/%'j>K\r7tA=YbiZ5>an)BEVP`dl'F\i`]-&UŚ(Ofxs/ogpޅ9@V4 ل;`|vSu̇"7[ d[ƪهyHlb%NlKSFj^ @џ{Ee8Ԧ%_4Ҍв5o6JMLV=$Abb,-/Ct7挬4 Fc7Uw;jOqK.1˙9f̃ʫf]xMUAօ#Yw5(6랝RAG^fYVw>U̓*6bga)<1JvnTvDq@zcI d9SOQb@CVY2t]7W"{=DoYU9߲\UB}` . U8L~`]z7ٸ 57bv2/N8Ĥm-'419j; kgnpxd*&tvKzc nZ/1{7x/W(|*8jt2W}^dBY<-~e_"8mng3;}&]0}OzVY +jVee͝T*P$U4xU#x nDž??K RŖSag /㾄#(ݖK>gLt/g+@U%`c| C*H{ *j闠T7V?IN ]܅ўCPvumRi4w(@Sr%PK|uC'lix`ilP̃ٙi:c}P!c*c[#8i+C1xF.Ɛt!79&K)tn(hqQ|[Br:R!(ڴʈQx q_.߲KEv9!jnc̭nI'JwI[vDF;n\qJ,_rC~ 4ӟ҂HS .UX% ls.N9_n'>0/f70ϵdǻ:st葿]p&xZD9I%l,$CCT{JG_9qD :ڮ: [^HvDiRi wV} oPK?_&dKFKI HY>qܘ[lj; z7W<ߠ|*_R k4.wb\<¿M,/+y*{+4t(te1#GN+0uJwAb- 7ɉ].,PĶRb`SǦ%5w2%RD X@%i]埜2!ÝZ$7tN9l [(:ѥg5Y9#y2%Em&,X8'VΑh]emdWӉ6ݮثAPE\W_lg[>'i=pn\` p6!ԝigxIK(W3yVyUC,u:} K_v]q^]|r/Z?\q뱀 .3]/t mdGW/wO}'|vJʝx\N49w}jܰ S}zKRGeQ=C]۷2r'ӦkS:ocAM9m=@]0#(G$ endstream endobj 16828 0 obj << /Annots [16829 0 R 16833 0 R] /BleedBox [0 0 612 792] /Contents [16834 0 R 16830 0 R 16831 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35524 16832 0 R >> >> /Type /Page >> endobj 16829 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16830 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16831 0 obj << /Length 19 >> stream q /Iabc35524 Do Q endstream endobj 16832 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35525 20690 0 R /Gabc35526 20697 0 R >> /Font << /Fabc35527 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*'thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM1Z endstream endobj 16833 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1539) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16834 0 obj << /Filter /FlateDecode /Length 3775 >> stream x\IkWuf)(Ev Y$Bu/rl+M=[w8::wɽEYkznI/)﷟竆{z R)h%˷_J(uY7q8~YX&"\A'ߎJ)>.JEOE7N{ϗ˷r^jUjګ }zYVV8u}S*\BSy])B1aB ukҲ}};IRZb\Wμ#.md##JVoԓ4 5,hbfQ$zeƉ V&I9B% :@Zx)~.2atdacR;_ap'qK:I=u/H|"ТIgR.dҡyŰ Q6m8Gt x",S/4S!ن@SA˘4Ġ8?t͠;G!Qd{[IZFmu:m4ɰ)8LK(rAl_#$7-bQ)>vd^f%0X5٤@,{F3| 7jQ"7w3B"a23f@ 6Y k^Cs߫%t{*)i1>9G>z# RpQRV8X>"2@aӊ#J]{xuQ&e"u29*N$έZYsj9,LFeI]&.]IbȽU^0.?v{gK%7Us>:%f^6S0駙UÍi>ƞ.1AӠvrg^K`PDM9)ʾղ{ɴ,k4Q[ 7%V֫VJD7TTVeJFøDYT_ aϾMfk<C\^e46F]c5ffɥCN<I{v;ԝÅ%4c߀d0/귻==mi 5H#Ԗf*2 V-}^e@ˇHR>)ri.t2sh7nhe|[>RMJG9ҩ/99Ma'}L>.wd$߃=v:}^=R4xm.}0ML)HQY= [{VGNA%9>sV[Z:=ׂk( ];yXܢ. @`v{dΛCTkk2&R2E /b6o -aiG#H͎yʠ;D9vv3}WLG4]qoNl AyYzDFn^inGgN3؝S_l2^v^̫i7^e˥`|umm%UEl5k`k=`-QhTk8 gyTC4S|Tnk:tyXʧIsׂ"NKoVR(|-*,wghr2N/q0J5LљcE'O!S3} sq֟{_1>oݓ5>佃;Tu 7Q~qu 7Wݏ/ϴ~ :H=T~_WX՛DO R%A|80%MpzD}xx1eլ.H uP1-L8 8s;`0/fO0Owԙ[o; O{(t^7ma !) EZ2:؎'}mO4m`PL" r&ݻV&ôyR=+"7-DݠJsfxHq)SaWL|oHt4WD1c]W.2qOh_i{?yVbowhz>o|_[RxO4 jT[/Eˤkz5߄M  ]_^|ϾSp;9OKDMs6_L 0 :HYt{n~i> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35543 16842 0 R >> >> /Type /Page >> endobj 16836 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16837 0 obj [16836 0 R 16838 0 R 16839 0 R 16843 0 R] endobj 16838 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 389.4 200.1757 400.4] /Subtype /Link /Type /Annot >> endobj 16839 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20210608081201-08'00') /Rect [104.1732 373.2 171.2512 384.2] /Subtype /Link /Type /Annot >> endobj 16840 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16841 0 obj << /Length 19 >> stream q /Iabc35543 Do Q endstream endobj 16842 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35544 20690 0 R /Gabc35545 20697 0 R >> /Font << /Fabc35546 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jTthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 16843 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1540) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16844 0 obj << /Filter /FlateDecode /Length 2381 >> stream xZKWleIi !iuع*R1=3k/bC+"_4i _-N?6gu X2j{?V[}ښ><1qkL\G\4JHsC9e}MqA8km&фZ̕XشkEC̥1ɾF4ǵvI&r"e'9^[4 xzZZPB\H~BFv(qkmHH ` ljK5X&0@P54zI'O+c ^5mI1zh23,{p0)W8kup''i zKl3MzO~jP~>#.!ѐ~{Z ǃq0hBﯿtC4tIKI!o˝ZxYjFtvC,uhOqu[\G0hxlIH\qw=`/+h1! [k`zEiM#9EvCqWٍvl / ǵ gD]?kB낌ߍU}pHQt.d肢ɑ+ S7a80\jNC"ݿY"־ h-!귢)FB97]Uv,C9g/1r8ـog7`) B}&]Bt~))dOd NNPش9uZ`llQ}G/z¸dHXyaQ t.J.po 3S@gE&X/,V s㘛ʸqb5jJ˨(JԮUgQ^b+g/(#ss,lVTfLbFb;)BS@ZTY)A;OR zI1;oȂZ9ߺ(I$ZIZ:*K )玀j IrTr/{>ajF(xfoQ9c;#E(r4\ԎmQkQcXvOwu0wr;+@F" [Z֪d{+(mq ZTV^kE#a΀u4UyO?~Cڰr(4NM/Ch}b1,zb,9"p|OF8dY3b>(JN#+*ds]raU2KjNLcU\48N `/.4`*ry7atdpmԨ#E Z :bfpb":P (>n P.hÈJY[7XFiN "ܤ wgBH+&I4mt5rn=xu ^2v8wi4mކzW#|最u81гMO4djQk#vjVpXzBCqB["׳Zr ɑ~>LNU r BKQs;eZQ r#Gu+VPYԬ_t adc鴊l[G}g5|x1 \&+˳")oYignYZZ3,Uz[ VҺa`G[$W3lT YVmNeU| ,˸>I,^hLgx@V`U_WW"5mN0# 6=h K6UTO3KJOoDʯ6f~F.Ff~fjEn>w͹%-#Y׍/gycyHa<b?JFuG*ϋk FUp1",KQIo]V4:7 rXj|v؋9vҬBV~䍒bx¬!ԄAQ"eG?P~{PA>˽G]%@}>֞6ą\5zʗYCuƠ˦8C:^QHORZ֗4rLݥGSE(ۘqG0Gܷ}+׻LRS^zxAce׸p5~>ptc]N΍[y&ծuC*u:FV^ R5nD6`ξ}EvE:3?D( endstream endobj 16845 0 obj << /Annots 16847 0 R /BleedBox [0 0 612 792] /Contents [16853 0 R 16849 0 R 16850 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35562 16851 0 R >> >> /Type /Page >> endobj 16846 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16847 0 obj [16846 0 R 16848 0 R 16852 0 R] endobj 16848 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 400.825 121.8835 411.825] /Subtype /Link /Type /Annot >> endobj 16849 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16850 0 obj << /Length 19 >> stream q /Iabc35562 Do Q endstream endobj 16851 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35563 20690 0 R /Gabc35564 20697 0 R >> /Font << /Fabc35565 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}>^ endstream endobj 16852 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1541) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16853 0 obj << /Filter /FlateDecode /Length 4081 >> stream xڵ\IW@@`{ڀor!I3K~­^g M{+7M'=)ï}uznJؿN?du2>R?M;KObM{j7v?_WFؙm|;V տNJj/L+4+M_;9:6H`1gA`J0\p䲅Z<kui$.i_jL#!P< D/1 iA{BGh8'g]f5~?I+-e~X5G0ɜ# ."0pf9#4e(N\~ L.tHcS/O?Xb}?p/0 &==t`uBNG~B 7$=^t&ڸBА$h0(=1OwV9A䕨}hKe'|P.H~N$CKj' u!ʬap>+v mNV5uJ]Q*[`_QܪAFipbh:T?iћl͙0\[oj&1$YeS }~Hߠ6R.ДK]!xf20f %ڜR3$|*^Wr; asK0́CeaSL u+zU6^ c)_%Y$-b48;+6l:")=EϤ$u8SY`ˏk 筜˄TCL4 f`4@F3HviEL`97Jӿ,a8hJ;UL#Ydr~`MrەjV*-YHr sjV[ b] 8gi-p\Fmc/)/n> XC=} jPM?zŭZ}3vjqV_Zܬ!?Y %bF% CD Ҕύ04&+b CN JǬm33u#MkmW'Kj Xr;0(lUV ] 0MيΤl<(/tuk~Uu/vd:eI"ϱybF3Kvn'c-- @fO 3ofY+>V i""]rZrDEu1]M}.KGZQҕoR{U|إP}SXE&sr!>'uޤ v'ŘXOMpT}n˫:P(":&JXA>IQ8OEzD t@J@e78BLI}Q CfMh&=V"R0L?MSQM'.ԙw Z>ŀ LTϘx>=v5]Q`ŷGtGJ!AT҂GCgQMqGKg0D6'1(ߐ[_ ?hpW[pU ZK ><\+N2`-rHF>+1"[)[XuA`牅ϛ"d(iҝ*G7]rt!GW5ˉmYb򹣕W]‰vO(>3ݩ~Dҝ,v,N:TPfUL{.D;}ZЩxݲj6TeML ҝUBm9NӐkb켆KU| X5Ě\NI|^ʐ{Vi|eizi8KXoyr ڗ3͐TGZlm{e@9达yA0=={-9]wUtD,}Q-W- t\"s̲\ae@~{Ts<{BjXM V0:I4_.d2xE#i9A+;`T\ ˫ėTxo5DG^Yjмotef^6spS /G 5" !ߜ5%Y݄4me>.l/ U7oMuY_KCA*])B޼ΧRCi GM4'Cc&QYaܱtY'La6+}%`OwpKAƳ1/#ݶdm!}a="w4c&8sazuiWx2X^[2q.]K(;\9n|$>c^,`[wqu6|Q]p`#Q>HeD(| E.ZRV-+Q[O{ʡmJOmρ*ȓ{s0]+a< pM4-@3f";#]du/'(S0p.$筱0LC3ߢF >rU@]'o6t@yZw QJ<|*_R[t Z>𸰥xK5ɚԿ]M<7@:V@!x,g s b=QbOJ+p\ؕGe6U69TZz+%b|ELoT|{pSA uG*% ܥl̜0Ԣ⭿z^D3p^ Y+L(gTy},؋u֟&X&J,|D oMnep$8/PYe;Arn7쵬rG?< ۅo6Q:?,f7oUncBS6g|gL֖}㎳!sW!.w?vY`a8Vta‹Q/JwNrg &kթ'B0\G̘guPZO`3|Sw!iRyKyFs0O,N;mP}+/%;g];Hv*MGeNmUv0f晱!~pḱ::MoJ.Z\\. endstream endobj 16854 0 obj << /Annots 16856 0 R /BleedBox [0 0 612 792] /Contents [16872 0 R 16868 0 R 16869 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35581 16870 0 R >> >> /Type /Page >> endobj 16855 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16856 0 obj [16855 0 R 16857 0 R 16858 0 R 16859 0 R 16860 0 R 16861 0 R 16862 0 R 16863 0 R 16864 0 R 16865 0 R 16866 0 R 16867 0 R 16871 0 R] endobj 16857 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 237.75 155.1032 248.75] /Subtype /Link /Type /Annot >> endobj 16858 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 221.55 154.1957 232.55] /Subtype /Link /Type /Annot >> endobj 16859 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 205.35 159.6022 216.35] /Subtype /Link /Type /Annot >> endobj 16860 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 189.15 144.2737 200.15] /Subtype /Link /Type /Annot >> endobj 16861 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (remove_pin) /M (D:20210608081201-08'00') /Rect [104.1732 172.9501 160.2127 183.9501] /Subtype /Link /Type /Annot >> endobj 16862 0 obj << /A << /D (unique_422) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20210608081201-08'00') /Rect [104.1732 156.7501 174.8427 167.7501] /Subtype /Link /Type /Annot >> endobj 16863 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20210608081201-08'00') /Rect [104.1732 140.5502 179.3417 151.5502] /Subtype /Link /Type /Annot >> endobj 16864 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 124.3502 187.3167 135.3502] /Subtype /Link /Type /Annot >> endobj 16865 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 108.1503 152.6942 119.1503] /Subtype /Link /Type /Annot >> endobj 16866 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 91.9503 166.7302 102.9503] /Subtype /Link /Type /Annot >> endobj 16867 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 75.7504 154.8007 86.7504] /Subtype /Link /Type /Annot >> endobj 16868 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16869 0 obj << /Length 19 >> stream q /Iabc35581 Do Q endstream endobj 16870 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35582 20690 0 R /Gabc35583 20697 0 R >> /Font << /Fabc35584 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dr2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶f5zkEŐL$K4>S~3cy/ KaEr63po.IU1" ySB毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?D endstream endobj 16871 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1542) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16872 0 obj << /Filter /FlateDecode /Length 3399 >> stream xn$ί@Wj9C8vhx.[uuK8QSMJwmxOu:Z2E⵵׾է5K4Q|x0ƅG]/$gLN&a'pkr|T"|   50gYo܇p {*2µ&`lEhA.FubI:ɦVG~+#<dʒA"B>~'-\@OL 5"Z/&p.3=#zSdx{/$/PWd-L,ٺRhn[b"`L)Ϣ=gb * VDD=9%E8DqTB1"BU~$@y猫Dނ#R `3U?Q\'yl榰َOFDռ峂q7K rX7wI@Swf)> K, Hmr9KKb0]:EC{{h?R87H)[lPM bkcNREUk ,n Q?t'N0Х= NJ+0,=$^aݬ<̧QH,(eow/R(b%CgUH=\{⼈;g gTUf^3=2s@iijU,,FaA+HKZ~5bKy }R I %š! \khIKp\!c'x+L[Ad[%H=Rm;YFVU>Х*5ƎBҦnF~sE岗~-.r&U}rCLӹ5v .9)j*o&p%cq}dӉ]%nwr(B^! CńB%\O3K¹!tb2!a?p 7'YS`S" l]ɫ邓Pk]DZ~xWm)Z;m r|4].XSXKj56y;*Wg[]6j#zlv٣+#4Pn89:e(k%}WO eqjHx`臚m.9?R/~Tt%w&f4nr{4%-87PA7p!݆U ?֝j8{ۥEֳI2+=9cP֣j+fbn @2k4]B7TQShkR1Zt$No0]p󨁵^쓫xR*K瞂<|\ C7 UyI1u.%칮0SݡLeŜƷzmMtWLHpbr?PDZGhcG|dhp Q6RLۥX`52@U0kH4m"bpt Î͌g35ԣй&X< ua%%VdD'Q%Sؔc;5&ڌrkmgd΋ b0xv! j vpH#z꺪zj\&mul35Gv2TSww5r4ƵA W;- rs #ٕA@6݈r|sB`?ߡB)!Zo' ncs!/Կ׌K  EO1#/y5$_`?85^N{4g8NxQ1GdG{!SZؿz\jw\`c_K~yO\6 gb$5g/P g5Jzx-GLORz8 {\e $%HDGaT(Bck}Tyg$pVXNtL{O?u,yĿrCD=&7W 'o%U^B}F_9L31לNǚ >9gi1xȝKgpʣgͻ\P)< (:8o䮭5aKTA`lZnU''ow+oN:{[R}astjydu}v#t:{YUYG#+zTGP*~z/*wrm5f{Z+ŠTMc}U tql _o3PkX|;*RB6dC 髼3= ;O v9Bfd1?{W%VM!>B!'IV>(B\=sC-v¥W%pgWmT4Я:/.cj`2Dm1mKNTut͋%71Wטwc>W[Uf]:c[rQ t}!.΍@q=<><:\'fz6NKƬb̏}|$N4,aX26㙯_j(K,V^U|-!w=eBu_yR-$#Zq"MS]aq0ApX@x$L#h0]Rɓ=*)m43{fMCSը[jdbOꆰr%KeeԀB|:gy'2覡 YYD' o33<>U<8ȣ FBijjDA#L-{%t^etk6%\i8@`)Ttzfdh+|87fXnΨ׾ew"zM8:f) QN q< ]:2M֕e)M%kUJl¨Bkg "+Hr'iZ4R‡eZ%O=S @Ĩf3EZ'+r { ;;pӈuI_ uH/ 䭚s,~7m'/|=gc}tDqiJ4T@0 t[F҆Z֝L9 qx9L/Xc'ܝyհ/pEO09AOA[J|jͯ:O°mȑ&VrSbS}T܋g˺&uz; K!Pg_oepA@,]4{ endstream endobj 16873 0 obj << /Annots [16874 0 R 16878 0 R] /BleedBox [0 0 612 792] /Contents [16879 0 R 16875 0 R 16876 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35600 16877 0 R >> >> /Type /Page >> endobj 16874 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16875 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16876 0 obj << /Length 19 >> stream q /Iabc35600 Do Q endstream endobj 16877 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35601 20690 0 R /Gabc35602 20697 0 R >> /Font << /Fabc35603 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nI|{@ VBhš;[TqݙVUjj7ze_Vp8جax} Z 5b QA<vuX}>17^NT/ jcȅ査˛""G3%T-0#(H@]DԜiJS¯JS: {b-a(\0F'f5@ۣfiW!g3Ʌ(P[PRq#E3}}"juZG)rJc8knT-(h*$S#2yk|cm5X̌b iVQ` ^_ ɘR`{6auΐ`ڟA9.S&*;0f9g cNi)Ԩ\t,x2=|Ѷ Jd١P88S(?آߎЫm߽뻿 endstream endobj 16878 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1543) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16879 0 obj << /Filter /FlateDecode /Length 1049 >> stream xڥVM#7WhՒ`0CnBNlY!0Z—'7iSb}T|ѧf% {@=F:cIo_zN6df>O;b_~D*\bm/0s6-:͝h[ xnBBm/>Q}SKz-x/q+b騸O֜/k;eA+`Y[n/7+CRQ?uJت[/?h endstream endobj 16880 0 obj << /Annots 16882 0 R /BleedBox [0 0 612 792] /Contents [16888 0 R 16884 0 R 16885 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35619 16886 0 R >> >> /Type /Page >> endobj 16881 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16882 0 obj [16881 0 R 16883 0 R 16887 0 R] endobj 16883 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [90 400.825 146.6555 411.825] /Subtype /Link /Type /Annot >> endobj 16884 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16885 0 obj << /Length 19 >> stream q /Iabc35619 Do Q endstream endobj 16886 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35620 20690 0 R /Gabc35621 20697 0 R >> /Font << /Fabc35622 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛf endstream endobj 16887 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1544) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16888 0 obj << /Filter /FlateDecode /Length 4090 >> stream x\KܸW@k~捁=99 %?UKRwkzݖHQWO>& x IO_^R&gJ?;i5}9Xu){ZZwu'hwR>5U.߰i,߿M>~_p@=LNvj*4SGrFWWo=i>NfR#NR' ]K1G)k)#`NB9_w:,{{Z1q~‡hqgj!DӠ4{ H ;Th@'_ Sx6?II5 O1SQrD1&`D6$0pf#''e(|ğ63= R{Ry.uR9:Q~|I6uOD4qa)}@r_o٥( @%Ϸh8[Җ , 'y @oguD_~G|>F(1rxEL`9.G(x>I,XqXը8'Muj!x\;lU<̊bWYz=ŭ]vy;Q^cq3銇&&2WԻ}.fq 9)Q[($ŕ;F Z:Wm.<W9x&jtF*;b=aJA1s KҴ;P]Pf+|W[~7BS(HLS(fAt?L_&Y+4Mq AY)  8/ݸ< R8%C,I@W*++ɔV'_s+<d~`LݮC[dIZA1#5uG3V;7`(PR\舕.2vK>Ⱦ*eE65$/ױv%:dU2sJAyF:Ya;cPI-S/Bk+ml>en:l+a>&ULbJ]\ Mr*NFUUUj&v-7n \Ӏ{nYE{+u}X;t" QjK_,"ݪ򺔋kS̮݀!o-shoh;;C'jWwC-k~.bVK$FsĵX:9hzc}"ʭ4Sg%vN>3q6`RzkaMC(ܲҘ 4>ncqm`t[ҳUYDU41b6e+saEy[̓,f&ݬ61y6x=36ۦY^RwpKC><)4+mp3O֚=7.vfcXjg6鼃CLcd6,PT#I[ 8miʻ$"NAOm"\~a2=UYT?&ձkx{cv7fE1qE8nXQ`?D)23'Ӯp|tSeYEp}IIGm0Myg3Ƕ\DM4}Y1O$f ,ɪVo$e4qduy0A \s4.͡cw!(":EW|q~&oaj>w,x,Gȱ@ >S2tKP 9Fsq30%lQ -ʕI0]~c~ba0kQȩz9g밆rXcH0tޥ.ʼp[M㈸cW\e('Dh:bf1#ɲD\M;kī Oϣ{CTov҂-79f/Ȃ5iξX[u) ;gH/~К҆7YZ}z_]+O3 j }HJ.m%eќnt_#E ')Nrt#lݙ Ad%5NO@ >o_P~03TVSs!.SJWj݄ZNk<3zj wOv[_ּd?徦;-8:8u|mgg Y;/hcʅpRG䯍o0'dy3%y `Ʃ<'BezhgjBS!t}:c?ǸY EPbٛڴC7Sܛ%cjk||hVwTiiQ*-[eʜ'/,ƙwmK#C ¨ُMrbWdKrЯ7m+[hPȆU-KmUVJ-Gܴ4vsrP*Kx\^0/qWȒNG:Ab@iGG@$/-l<'Q1lG.ł~v.+t͆Eº¬uɫT TmwLrt߭7,}\.|xhاGf}B\sQR( @c<3 ]' #o=oP+H~u}>3FK:qfIg9kΧݜŒ橕x7Q'nӜ=o`P8ܦioO;<# ;sCG&r-Ԃ(˛Ծl3[%6'`&ݻfh( 2R-Ln1y.:*͘1o rг @EQn@aqw)3RVdga-jD'1#J+wٛ5cƒ Y݂}T=(tG[ x-hE^>Lɇ EquunJRB7RM<pBHBW 9!#pCWYXOl'zK*Q$"=%.19U_p,t^Da{WPsKF=^8#&C_t 7iIL%֔/:[ru+5jvI~/Њv֞Pta, %>"N*7\m`vtbM+j.r { _6y4H÷2vUBBcH&uЎ|ʹhx SRa&k8kᆲYy+ T'F'b$]>?A8| ^<-|'M̖O.ē>.LCJ̀a}DBmȁ,ORg G3_;,L]f/?w"aꡞz@f۠]7}H7}a>x(TqmR?*ܰl*Ӧ o>MΦLd7yx~3K4 endstream endobj 16889 0 obj << /Annots 16891 0 R /BleedBox [0 0 612 792] /Contents [16907 0 R 16903 0 R 16904 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35638 16905 0 R >> >> /Type /Page >> endobj 16890 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16891 0 obj [16890 0 R 16892 0 R 16893 0 R 16894 0 R 16895 0 R 16896 0 R 16897 0 R 16898 0 R 16899 0 R 16900 0 R 16901 0 R 16902 0 R 16906 0 R] endobj 16892 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20210608081201-08'00') /Rect [104.1732 290.9462 155.1032 301.9462] /Subtype /Link /Type /Annot >> endobj 16893 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 274.7462 154.1957 285.7462] /Subtype /Link /Type /Annot >> endobj 16894 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 258.5461 159.6022 269.5461] /Subtype /Link /Type /Annot >> endobj 16895 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 242.3461 149.6802 253.3461] /Subtype /Link /Type /Annot >> endobj 16896 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20210608081201-08'00') /Rect [104.1732 226.1461 165.6192 237.1461] /Subtype /Link /Type /Annot >> endobj 16897 0 obj << /A << /D (unique_422) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20210608081201-08'00') /Rect [104.1732 209.9461 174.8427 220.9461] /Subtype /Link /Type /Annot >> endobj 16898 0 obj << /A << /D (unique_423) /S /GoTo >> /Border [0 0 0] /Contents (resize_pin_bus) /M (D:20210608081201-08'00') /Rect [104.1732 193.7461 173.9352 204.7461] /Subtype /Link /Type /Annot >> endobj 16899 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 177.5461 187.3167 188.5461] /Subtype /Link /Type /Annot >> endobj 16900 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20210608081201-08'00') /Rect [104.1732 161.3462 152.6942 172.3462] /Subtype /Link /Type /Annot >> endobj 16901 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 145.1462 166.7302 156.1462] /Subtype /Link /Type /Annot >> endobj 16902 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 128.9463 154.8007 139.9463] /Subtype /Link /Type /Annot >> endobj 16903 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16904 0 obj << /Length 19 >> stream q /Iabc35638 Do Q endstream endobj 16905 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35639 20690 0 R /Gabc35640 20697 0 R >> /Font << /Fabc35641 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]|:m֯޽:HYs_RƐ ?WG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىYP|}yԬ9*{408 ?SqbGfgя'~'DS=RwlV4C+pצb/mk: S[${JLh*$S#2yk))sm.\gy45ۏ"ÐL$3h}'2g("_@9Ê:!l2g)-\0cDA ߞ|ն9.Jϡh#bwo endstream endobj 16906 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1545) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16907 0 obj << /Filter /FlateDecode /Length 2967 >> stream xnίy)I*u` `N;@e~?o"mO Y"E}Sj]笎AS/ϟVfc`DE` z{19uΟrg3A9AgXT> 5dzApO%^քD`H}E[*I\'t~ Q|п翂>C>@nSr>_E 5sxxp?15Hֈj)_&p.@=#yYdx{$ف,Vd-LpuBv {t0$s&"(ELT!)1%eT#;g\eLEm(6ixUFl"`O#0@فy˸q7ED.Zc캙-dv3XL㒀f13[˛,%.Q[;Wvo%J$q+wU{Qgrm5J.e)Us j0վuPmo #!6ʵCP!vU qCZ-=kM]aQ<Ɂvw3QnD$*ǫEaA(JZ>-by`}e-U% iG_⪂hzM /@%VvQăjLȂb:,!nj2w/(l Fw kdL-cސ gwDf*e+8 W- լs4opLzN84Hjᾣts(k\mXNLorR73Kaͫy&qA j7r.7%Y[OR؛𐛚M|MU7/X8?P"3sۆ{;\El{裈}?\fjMi~uhn8&:¾ٖ!^?Ѯi3&vҨ4W@;G?qcqiNƏEg>HS|:-aΛTZ5g (!*RږCu{)q &@@D@EH3%mbv6rd;[ƎA[^C"cP/Eю Z=eM|VX'zN㷥:nzw JkؔΔ)n9gFչাn1bc'n ͱTw;ՇPa9˰ $xZs H58Y%d=&7̖eE4k?F^[@JFT/Bƺ Ȗ6^U|ĸqJwy2G#-k; ̞?Rh[jfbO놨v%O_мLR6~eU3MC#(b$cy)$8P Xgx}x0ɫ™pW{[h28rT^ȶ1UF0,uD۔HI0$mNL? ?327 ͹5,È/Xv!h"q)3P9yOr/qjv׉QdhB&/,q6 6z&RXM5~PSm[8`.u%U"x%;駞 wJO#|VՐU$~4(J"f[_BjGn,X 䛇Rʌ;~ȁ+ꐨi jHEj*lƟ ;! >YGkO;tҪVp  0G9<|.Bqx9D/xZ~rwY~i[_2^xE97UZ+> qא$?)=η|P{ڃ! (+K!0g.' YL@ endstream endobj 16908 0 obj << /Annots 16910 0 R /BleedBox [0 0 612 792] /Contents [16916 0 R 16912 0 R 16913 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35657 16914 0 R >> >> /Type /Page >> endobj 16909 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16910 0 obj [16909 0 R 16911 0 R 16915 0 R] endobj 16911 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 386.625 140.952 397.625] /Subtype /Link /Type /Annot >> endobj 16912 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16913 0 obj << /Length 19 >> stream q /Iabc35657 Do Q endstream endobj 16914 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35658 20690 0 R /Gabc35659 20697 0 R >> /Font << /Fabc35660 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRg<~~?va"6{`B ]QL4hނ׮nwwhROջ]p)k.@f!Um Ln:|/(q21r$bE0EP,3wPtNQD]IeNW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)^G?+QO T>JجhVaצb/mk: s[({L̛h*$S#2yk))sm.\gy4)xkE!Hg,`O6OaePD9% 32 +?ɒ F0t)_&cDA ߞ|նOs(R]@ p%4C~NZu^lMM endstream endobj 16915 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1546) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16916 0 obj << /Filter /FlateDecode /Length 3750 >> stream xڭɎίks_ͶA ][~of@V,ƪ"6\[1bпoǏsꏟ>l9;cb'g+Sʽ5+s_+ ;?_R-d6v&E9_`uymucjձnĶ8guw$3esl)'0 ' 9gԝн Ԭp8``p~P7OTG f ,c/<ū6ͽN@^#@V@znl8 .0C@+4;:z">\n'`B&\/ub j˹1q΃-%=3`{_kx?YW y2a[XISH jV :n B Gx΀(Z769u1-&[o!Veege ַ.Lxp/`ݩ.@(N,Bv)iF' m,sJM>&iElԪIgvT{ Ρ zzB "6]b.4-8CAÇa#rc }|)Gtݐ-Plln]4FEKeNFr|nyN.x9|- rasYTBt-dl%PNgXFD;w+rH?3I.LgleA 5( k~,`GנŵtQcVݨSkO}rBaRIo*MJ@PU*fnbtȬpVbpB'Nl=Y`/rY(> (^4]ޒU9xv\a{(nڕV\ -rm[:&ݓhL̉.;}O 8W-;s6&I4.ݕ5Ce;\H+{Ғ$F(/aʞE:3%7rqqG 8fj¾6`Ds 5WVX6B1V < 94Q؆4B )v} s'Og1<_s/, t]_$xDlJ<@^p\iPFH9! W=[pQݜ*$s+)LZ՟?bX$>YF eu_e?嗓빪ÜW*eMrcs b?,`m/y;=ӺjzJBfe$g`=E(80 隥BX1AfjG&"Y],bA^_$]ҷ7l9Oy,JC $tb@l ^O6o̱zCXoX9Uˁt..rjzOX'w|qv`c 144f*{ƞ5|Uac/2CAfc;XE>ϩiK{d!`DEƢe~B?HwRzGw{_ T$cFrLeu*wy[S#tBKV> mkW;umQM<[nb ka}[rxwyi:",I`}bÎ=a65gi:K lLzey5ԿcyTȹrim.7F 0ӦLmXOؙMC2mvE&\q獴YCYIA27nM7/yZ"0q"q9Y~fX 'Svn[06 ,6 bX>Y1!Nۑ6Ln%w+WFƞu'Nv=7$7|p坸ʜc?1;rfU =w>Hlf'ᱪ,ú-14ECA--I:]^Iq8Hr Ym˼q5 oLӅ+'+w`n<2%OU/ ];P Z,]OU'ao zխk5A6C/< 4hV.llhRf6?[dk1ڻ)1TphZ6[̌Nkn7ԔhLcΥ_ vE09Gsz$uR~(π8˓L@Kr{{\F0e9b| >|`0%aU}~˹FWR/@He {2Nrl.X XR{ nO7Q |BqRMOqjuVF!n՟ \#h En6fh\oEJo1ǙxH/\8IS< \S+cq49Բ}q+}/TQLNQIf'h1+*T> Bn);2Ħ0dn:t\$9Υ|ouX2G_S\_T_G9O߆X]/ᆰ  > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35676 16925 0 R >> >> /Type /Page >> endobj 16918 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16919 0 obj [16918 0 R 16920 0 R 16921 0 R 16922 0 R 16926 0 R] endobj 16920 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 165.8337 608.2] /Subtype /Link /Type /Annot >> endobj 16921 0 obj << /A << /D (unique_691) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20210608081201-08'00') /Rect [104.1732 581 120.4477 592] /Subtype /Link /Type /Annot >> endobj 16922 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 125.2547 575.8] /Subtype /Link /Type /Annot >> endobj 16923 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16924 0 obj << /Length 19 >> stream q /Iabc35676 Do Q endstream endobj 16925 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35677 20690 0 R /Gabc35678 20697 0 R >> /Font << /Fabc35679 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7\ӡ~1OE$/ jcȅ査=0f2 Zn\&₅Qs)N*N +L jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E3Wuœ}"juZG)ȃ;6+r8knT-=%CO4yrCodԑH5J\[-48יq|mau3ͪ?l?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}eX endstream endobj 16926 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1547) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16927 0 obj << /Filter /FlateDecode /Length 1443 >> stream xڭWKk$7WЊji= m!7q`}ٿnx6Kl4.}Zj-'JEw)u8NQ;ҐA;k\B;klm:X#f| ~^/@%P̡ϟo>.bNXc=E f>X"7}?!`(jGg \6Spn"YjR*}I'=֍n\YRGEJs}}O\Y8r-I&WSC\Fl%jd'ZI;)kR )@smP7:n;R;PZTL6$;z> _+\IQ.>QAA R;b"JjXk (4jn%S02Huliʶ|WMQqB苦] r7u5cFuBt+bd2"ѱ;>+B][w[>W0^`רwPG?;u ocdA6¤^hu멒 v%9h,BIuH|ګl؇-8˰6 ${,H"N?\&g~m&5ϩ~d탿u'>j{>{~w5 lMmz^px pI "C= M?{S:X75JM&@ NyP<1ޢ㑍?cb>`7'[`涖ޟ0Rw '|(WG&oc1ZuI [7]\6CtTl4>kmQzcf^brq4w#ʙnG{6]LAMw endstream endobj 16928 0 obj << /Annots 16930 0 R /BleedBox [0 0 612 792] /Contents [16936 0 R 16932 0 R 16933 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35695 16934 0 R >> >> /Type /Page >> endobj 16929 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16930 0 obj [16929 0 R 16931 0 R 16935 0 R] endobj 16931 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 16932 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16933 0 obj << /Length 19 >> stream q /Iabc35695 Do Q endstream endobj 16934 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35696 20690 0 R /Gabc35697 20697 0 R >> /Font << /Fabc35698 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&O}:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3W!ӘV;!JϡmтGŶk endstream endobj 16935 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1548) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16936 0 obj << /Filter /FlateDecode /Length 4009 >> stream xڭn#ί d-!i \S>[홙Ѩ&bXZ.zQ_1Rݒ4v)Oq|Y~qu2A)QR}=>(VNڴ6[OMglj 8Szsyuy~_iո܃Y^\?uQ2TVF砗f0\h->˨|e{T*|‰0K(Ye/,*g` 09^;atZ4B`NBh |ubJ_<3XZ:Y!e`?5)ȤLJ4< h#g 3IE̥Y'8:[<@f!uCR~\őg(YiRfbi&Z#>$|,C02x\2#*=2fŖy_Kq˷/TTYK3 F䂢X>'jk o){j}gRXLa6rP1<+]o(8B k]VYރ+0*jX1Hv^1+&T4 Z֜OE ](K=dVw4 v퇏saӣ̆D;@pzw ġqu[<пCSQW,3F HRJ rdAvl՞pfdƺ;hM)ptUuRφvGqxȈRhJdd2O$ >Q3IYԑ+8qǵ޴=6Fp89JQ79aYvOS(8(Уz)7j=]eWTlZsxlĨq c/E9U4*l#B`Y<)m,b_3N}?| ZXL;`.8R9%=w13k] !5`.d+|{`S#u`\N7i @07(#9_x ,M(b 2|K$E1W@uX: qJ7: vIu؋\rjj,~@\a W=bfv!kÕv'q4F[Ӂ4U*ڐR{bn[j ̪`tqfm sK։3ZFM ( (o2B&Pmd+ 5`ۮbKʈ.݄&J)N_NW4tFUlj&u/ީ6Q=׃%=/ }o?A7ިy}Ɩե,X&PI.ctSR2&v+enen2h:Xm>i?a#Ws\ܝN1@q𶆼bug^uvqr:P< 5>AjHZ6o*rS_]qf҆!@h\ެ{QIiP^Vz :;Cq}f^DAGt+ԞlJ"^<= ;}dPxdoK|5I)6MAz#qђ}ʍa.7Y\BJb*So.nap2ʪ]rk9NRmfev+H`^3ԫ.0F LQZF'% 0ԣbù{6v Ɠ )ᾡLþNV{TqwtbzSEP1!pSGO AQU-Ɲװux͒:iܕYN:զZr1.ߕ.8 M ߮U[ cI;LM#~ضˑp sp)6yo ޝ6VH>0lKٷTJQaA{f?js. {NCPi*JeD7jM@{[s5ҏ:$F8 O[WxZk,'1aS%n`ːIљX nQ!FABŀhb{p Nݛ6I\OT/%BA<7n\:}2L2'SNDKopqb:L.ǫk+Uy͡C_X(Q}ĥ%+y@Ryi+<^CFx5 ܴʭd$k gkbM!r%0dke2 Wax!21.D]J7kr0EVy^ C(Sn3a`-3?BHs@OAb#=yS{ jCޭGՃ. [(%UqD-P,9En5 eCe&]D\бUem!@cG.Gwt7h+ςFIxJk0Jnʫ2*D5e* &(_p-\Q[ Lò\*8(ߦ2*i=\NÅUZ6v13,ň(}Du8/V5*S:J*ǖ),T20e#ǹVG/U$ &u^*A}ûRlWD(7&D^گcmʦCqI=^duxx^?$cNOº8R^MCK(5Y褊zK{کޮYxÉr(8_1[!xL/}O~O,ߦ,\n\u+MҞ`oHaO .F[yEQ΂僸0~$":|*T{m>+szo\V~~tz)Do_ r?,{E}Vk8- endstream endobj 16937 0 obj << /Annots 16939 0 R /BleedBox [0 0 612 792] /Contents [16954 0 R 16950 0 R 16951 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35714 16952 0 R >> >> /Type /Page >> endobj 16938 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16939 0 obj [16938 0 R 16940 0 R 16941 0 R 16942 0 R 16943 0 R 16944 0 R 16945 0 R 16946 0 R 16947 0 R 16948 0 R 16949 0 R 16953 0 R] endobj 16940 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 184.6217 686.7] /Subtype /Link /Type /Annot >> endobj 16941 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 186.4367 670.5] /Subtype /Link /Type /Annot >> endobj 16942 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 195.1267 654.3] /Subtype /Link /Type /Annot >> endobj 16943 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 192.6352 638.1] /Subtype /Link /Type /Annot >> endobj 16944 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 170.4757 621.9] /Subtype /Link /Type /Annot >> endobj 16945 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 210.6422 605.7] /Subtype /Link /Type /Annot >> endobj 16946 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 184.2422 589.5] /Subtype /Link /Type /Annot >> endobj 16947 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 562.3 202.8817 573.3] /Subtype /Link /Type /Annot >> endobj 16948 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 546.1 198.5807 557.1] /Subtype /Link /Type /Annot >> endobj 16949 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 529.9 203.3877 540.9] /Subtype /Link /Type /Annot >> endobj 16950 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16951 0 obj << /Length 19 >> stream q /Iabc35714 Do Q endstream endobj 16952 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35715 20690 0 R /Gabc35716 20697 0 R >> /Font << /Fabc35717 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 16953 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1549) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16954 0 obj << /Filter /FlateDecode /Length 1386 >> stream xڭXn#7+x 0Xù< 9T6ܜ&7Ê)ucL7+& L`W+3ӮV)7pնYQWSC=CF@'FX#v?mi5dHF*p+x 5o2[  VdLj%ԕEx VuO:dffc[4>0P`۶-Q ~??֍Zz>^U)Hl]GJR*f VNIM;qчVMsU߅XPN'UlPF\Sv_W%@UI $D,qAReЂDepF{j#8'/?A{遻̶r qncAC&^hԙOXÌEG1=Q!Q7@G[ kWI+?tz:-c:zFAܦF]OPb2A#E >ˊ4kN?9X^X%@yՉſ^Ydf*&+*6NbYLKeSeS =) Yǩk"U#U~E1Ru'=%ԑVRT|eZ5ux 6:&QtlYq+FiL`I.\Ԛm#ֹ־FSûtخK&MDDR'[ cL,f`̙KYK+v!#(M,1Xv~8 oM]r,z.5npcifB C[\IpO^qz\t3oYG z09WG\=>n!@F;6 ` e2yLb>C?*cD'zh>GQ-<}hZ?{Ezj}~ƽl@{nT}/&dAjp@( =RoRmS~fRh c xƼC:E9չu7u6?;|uT,c?sjwX S.}A^n6ėO*.&{@+,".d/=B endstream endobj 16955 0 obj << /Annots 16957 0 R /BleedBox [0 0 612 792] /Contents [16963 0 R 16959 0 R 16960 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35733 16961 0 R >> >> /Type /Page >> endobj 16956 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16957 0 obj [16956 0 R 16958 0 R 16962 0 R] endobj 16958 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 98.425 114.9865 109.425] /Subtype /Link /Type /Annot >> endobj 16959 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16960 0 obj << /Length 19 >> stream q /Iabc35733 Do Q endstream endobj 16961 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35734 20690 0 R /Gabc35735 20697 0 R >> /Font << /Fabc35736 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ Eӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽?>` endstream endobj 16962 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1550) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16963 0 obj << /Filter /FlateDecode /Length 4338 >> stream x]K6Wl@I*: 0S؋E)ef-"_D; /v;MyjE,+9}(*8KTUJcZI0;w{!_>39b>Mot5?/?藟/仗EF5Sǫ+?eY?{<k9"ooo:Mj#;y~CE^] @ros΁$NlMڱ`d$*2#ց3M%+@Dž y;dXY=XO5Gxy ?'+M>=RY,fa{1 0I]AլvqP@: CȦ^C(¶cy(>omƴ݈GJ * F`lVh/ VUa֜Qg.4i ̮Bl:#hjf5 seȪr{լk  _ @ !2׹YB   ɑ \,f2`А-ƯKM ߏvɞ8?mt}F{m􈷑F{m􈷑=Fxm􈷑F{9mcFwxmJN;$YirkT=uјVZ.\,9?_LUNHtb n0s ּͤl4΄Uy~& &˱ "< Akt<; B`?wke%Pb7βI-luR%ƅX/YQu)WN2ZvfQ2øzZwhC6QYVSw~ *:A{;a`h5@8/b_Q|}?!Y}N۴ޙ=h`=u^ ,#w֥+40VB~̰?0Ssu6RG<}-wNqQ?ۧ#s?j3ӌz<+مQ8ju=~oxDӋeZ`afal'dN|4]E/jHVWzLz7kqn'^dۥ)DXʹ/s{6|TkygV{dQdU~Z]F30Kݑ^91gYN3:"o2 %gF!6}Դ&7»XϯmE@߱gBkn'3?EP Yӡ4{?hJYi v Գj>rk֬5̡D yݺg4h?pjؕSz]Fsh_#}x_ [ AVxtxkGu&|oI#Ueo4 "i? wՠ4捎^iHow?3+o5 VL 5:n4\QZL*eDךF6A 2L#DT88 NJbӟ5U \ق+G2IEmq.S.Q͢;mM]7sCq 6 vʷ01Ij2) ؤ"ҁ}k3(8deo}c{zg#rmDp# jb-lzscmHEfu6b/dӆ4emC*"}xc ֟mې,Rmyb+B`{!Mvm:6yȲG`-{6yȲ`}sU 7yRYznn!Kd}q jk}c\CG5cnM(p;7&#&LJ9jAvc} yNwYq&O.Ǒ-OG{Hțtx >;mAJ2҆v)lVUq]9;hȀ7_fܰF4LxrҐ3X{MbV6X FhWg! PRxA#QG~! QUNU`Y`ہM7RrJ=R"Ѩ*x1RJkY*i->RIm}RHK ՁFp c7_4p3<P%@j,{ 6lذnAE <==1SoiD(S|DE䕟&'A8/)%F)-*RʿF"JO|HepY,/c'1>\H[!!hi* yxD≙PNn̬D|,^R6I^E - .`!--V=.z-}jd&Uva$$D(=O5BtApE՝]RŶ|ń(tr3nX!ؔ]ytT_ rzm9kRZ@-?1*5+O~s92H/p=/]72y+_m~ 4NЁO0J' ?LMgez.bJTWrQb&mL"/ }*0g.}T/-( endstream endobj 16964 0 obj << /Annots [16965 0 R 16969 0 R] /BleedBox [0 0 612 792] /Contents [16970 0 R 16966 0 R 16967 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35752 16968 0 R >> >> /Type /Page >> endobj 16965 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16966 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16967 0 obj << /Length 19 >> stream q /Iabc35752 Do Q endstream endobj 16968 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35753 20690 0 R /Gabc35754 20697 0 R >> /Font << /Fabc35755 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}D endstream endobj 16969 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1551) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16970 0 obj << /Filter /FlateDecode /Length 5440 >> stream x4 t_nAfV*2A2kmӛ'l?֧7ﶤKѿ&^~lxuۏ_ƫ6H즕L!ZyVD\R?>-~?~dcۏf-| WO}Q:ߟ;g1+l_ i "@@D[h\t͞F= ײ9[̓ǟO-9*pf44F OB>FͥJkZH7{O2;%Um I iv2v}WF:唦]ў Dt{TF+XVɘ +b !hیĝ/'hllRa2惱dAP㈯HӾEzDE^zpu /FiF&y: NbEsqs*C6-p v_9s _ϑD'xoLAD3$+hZ93r`2uWNCwn uXH9;c>o&U]tL^ Ƒ;&g&]b.- 9:m`.2XLŘ0ғ h'p5z;9cQa܃a`.?qT~¨"V5Em&H]u'sd&[G[+S=Ld;TNj%rj17'^<"tVrZVഹDžj~:;Tli5@I88hgkѭhEc!;yE-&m$eb3 j=c/ -d~ozWHGQ4>}4فnt{obߺ)Y"9u!YFPЀӱ=IS9ܓ'PY3yÓ.4sVX8ϳė[: K1yNJh}~^հDu%F`r7w}&vrjph*YI7Sia/P~¹}:jۗuuk Wk䲧IS}Y}"Nqʪ%wI6,-U[lw.+1wy-]vE>kfhS:6v Ԫ:|NVԜ f)tdn#ꝅsiFo92u:W*ힿ8D7BT7`\2`MM `ZjKWW]0>~ozн9y<$g@N!qv{>60I7xY\z]4; =Jff{ 0\b PgVDp(]7A3㧱'TO: CkG[8@#G"e\+2Y]:.40D2,T^?#T`Čc}*B[$=D4BaIASHZdRXJD >p*4EI*dzE7;oP8kX<~FٗyIg:#Q^G",$r{``Pu=8.GGp 7MBQMz@A \;P/OhH<Ҙ{Lt%"-r$s/52Dkqj=-&{tVCfţR ?E{ !G>E 6M}S8%~/IE`{_ŇX6 a y`7mѴ V \`d>USZ?`VTi?ÿbN6~YJKMrŐ?/0YPs9^,N8Ws;87Bcj ts K#u[3 I|rBh`JG:UaĔraO NjX0 'jD҃^$4\Lπ:S`wlk6٭G#9C%q 1$~^^o)T=ONHܞ?A"ᗸ_ڤ#Iκ%U0vG#cQ63cB%8 ( ְ zt3=B54xk 1 i\⦠)Yk(Ir;> ]Oiև?*Bju8 $SUo,4;CF]>ki#5ZFT ۻrc Вg @K LY4/:Azj1B^R`jThG|5iԚ\(u*#χx,SbZͥkn,Krp(#Q>v89|~J3d\\M4-wOM.TYmCnc/Kšjmm[fhI5̉WSQAA[O-G@8 G++gfu^4z3HKu n)iHi tZVps^ ~=O>(Tv2JΥpYy-82ȃo 77ӫs&|*&s.R3cUV&;iEP4`VTγ%3f֔ɈInmI8bxFo֣Mq-<İ=x};n|;!~)fd2AT>Q:+vue"Fh.qEvL;N+XJ1 6`=*Z^9BvAa$W8Bչ5 `Y. ˊzE&"2.}"bs{OffQUm17`IT:L*xN0GQU2=+U8_(p%[^Od ٙ"U z ۓ9&pT~G6974b!Ӊq-puDM'J|눜=Vis֒׊ \7PJj҂٣3z`>X 10a%}yT8fė wE#$#k<: Ğ-"(UW5 BO9_BNSs`e%cSJG}fwmвS+N;%w*;^  `u蔺𻞜o_RBcG&q/fdun [\-qv)Jc lts8z ҚΜ]9thY*bZN&~۰ D?v<4! AVf2}4XLvX]FCJQP%.cß(t20`6\ qeT"@ xZk0Z^د|9ib]ŗQ]zY-87a/,Vx ,@Mx/N'(4TK+vTq{l=Eۡmj&ha'%b޵THU4tߺdzEp a"r '#]ؙOk(exYJMǺ7h喔&_{,х{A=i33VGgG\Ge\Rq)|_e>V\uVs`Q..O{GvѵhMC ˗S&\Cc dB` hYJ D},'JX k)KTB3 \iYũ.޿c/T ZU6*ضoX3S05(_QuHT5C|-+kۘ*]-j5&o1c2p^XYoL D y޲q4El<[1m{HKӭnjaTj{V gq#ς=:siE宵@B]>: endstream endobj 16971 0 obj << /Annots [16972 0 R 16976 0 R] /BleedBox [0 0 612 792] /Contents [16977 0 R 16973 0 R 16974 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35771 16975 0 R >> >> /Type /Page >> endobj 16972 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16973 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16974 0 obj << /Length 19 >> stream q /Iabc35771 Do Q endstream endobj 16975 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35772 20690 0 R /Gabc35773 20697 0 R >> /Font << /Fabc35774 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoKd endstream endobj 16976 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1552) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16977 0 obj << /Filter /FlateDecode /Length 5956 >> stream x<Ɏ+7w~EP6 CcN5cFKD%%UD*IG$?6q.fvq_˷oo~۷_Xew&nپ}?sa=o. ;|jz^ȗ'wXEEB!J93~>mN(zj'`& 0cO왇ʹr4<,޾Ԭn Ufĕ֧M+<1^?:7q~;uMKl'>Nh`LڍHCR:MeHwS.}b7ri`lNh2B='ܝq6vz7 q'u zAz>C)wgBE9mh:'6?)-[;n+G%<0Ң4!eX8If|Y ҥ<8-3#Q|FRs"x*MŠ+tn,oHk_r5Rd/CعW=})@+#E&,QX6 q3/gV߈>v3۳v٧ؾQvv^F]םxyNkp3+L6,l uŤhvK HxP2=,\ wB]+KB$!HGZeL8{7is/ÐSo3"$-ED]y >@ =I0TplYŸOnՍ6E s]MT(^աDK%hc{=VD ' !@e;M-]yoX˽|f0{͟s@+u4?حބۅP!%lV{{alaƃW.ٜT> ۄi |VvQz>P8YkZ8 `"$8`R9l8&m2>dmaF|4 c 䪦GK,=@ nR 2b<~~n<78 ?M )Q <aaO{ǀA@)~Gah5be hNٽ |d@I`#1G,?<82 J$-\*2W;HC>0J!'ō!JC5*;58.!N  & Oؑs#@gq{Ӊ6)JMzaDqM\6 ,&؀1!4/at4HbDtx!29XiHY)|7%Bfp.?)Mm\B Vf@ qE#>c+俄6u"TQrAR0}J4} hSTʯ(DA/coӖ$=FswAn߾') *thHLeu¹a+;;~=~5əH &KD@+Dڊ &[BCS✢deګ.lFrʄ`@и,=|rvPp j[osj'cDJ֛0A)AKNևm*0esKGd3e?t"+Ҏt`r+K%G^gQϸ36:atMHK&LQ5%\;$FAݥOc;CuD ҸW&dDҌ=L ccjk6$7!nF%x|`H,@7}¡`8ݒlḿ;?s!* \vKP`'ad<ք]MLЪ)ޗ m:BB[HtqR<̦MqDxz ,p Iߞ"R}l x֏M>k6R৔Ao#MF[ED;@qƮU-4D 9.ľs5N5r/EtO^RZKeSqbu?yO?yx BkhbdkgSXF㿚 noOxR;ʜo0RZ6 L皮eS1k.4K}p$rZ|GVLi3W$J9L624_'HΪJ(ȤaÖt&-cCZ%˒x).o;9dfLeE/5ˆkI=u"<Z+2ʊ5&]ɸ!;yv~H,8c}535&j G QT#D iNͧnrDF# !%0Е߈5UaRXL:S.L]O2ڹ\Wg7M3oUXV-%*Nח9": lZW.B7iUfP)qР\5|R}31{\7k~HlQ[4Oc9& Y@~ƙӲ5M`S͕gW[ʕ$] 4VYl<ĘlU_i Ft b==z_}i2 IVڷu{!+7Ȟ+'7/߻Jyspz2F% Y?om)z_F%kB_5 ݄,9娂%_jEt92 FjxZPu:M4$Aԁ*ᘉJv>*:cS&QUgDp9$U ;V]qXøuJ&-7L7IghmM^V`Y+T%ƘXZ=Æ۩` @WRj`|eFJ)ʶK#f3s´vA#[hwaH'moj6tP+`m'5)R[ctFQř+Ri&QSYDY=lpfKi9sX:mނH%6W#:ux ;KE;J6Wa/c 9^B2] X;|ng޲:;azmX7]C̓IӈjxUagQ~ X̙* k ڂ:o'[ٟOVrJR2[d/ʵnL.w)nR[ %rzWhF//\|ydD xzQ]PӕVdgnD‘A>7eްeP>P°(f8|؝gہ ۔wqK4Kw_j5 Az4jν_򖔓)g\p'U?;=!xB %HOOuUm) qBWՆݳe.KȠ{Qur)__<Ì^}lnhf 5;4,:o+Ԍ6}Q[Ǔ %,Ga;Sz6p.# pOv4)i)kŦ"R.m&0@s25ܤP8vn6i*j.,UDg-™v߼~0/6Y*3K$9t߻Fe)S!ur_=;+ckN jkܐ4k{*-P*ZQ@/vg*xHGX5>)钍MgMS_NOzSvy^ =jWT8;|tM#.gNx3ZͯSC{~ßI^Zqٶ5Kiej/ܔ!x'Nc&~r ݩ-e? apR~/=:ׅڔ.FڰU!+ͲL(Ӧm)W,VO[L,-6(6GgXj$:!U5Ǥ+md;3ovXշW6Ϭ}[Kp)=] \P{T׵95C8*4x;Dc 4Z<Xh KaSh#+>kZ7MslNm.seaglIe$z >!Ƨx:RVdp\pmJ2oxH-͊!:3U:?DZK @q` (q!x8v5sy١53 #\ZU endstream endobj 16978 0 obj << /Annots [16979 0 R 16983 0 R] /BleedBox [0 0 612 792] /Contents [16984 0 R 16980 0 R 16981 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35790 16982 0 R >> >> /Type /Page >> endobj 16979 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16980 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16981 0 obj << /Length 19 >> stream q /Iabc35790 Do Q endstream endobj 16982 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35791 20690 0 R /Gabc35792 20697 0 R >> /Font << /Fabc35793 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fcthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%XoM CZNNj~ۣfiW!g3ɅQQMwĘ d?R4 <~UW<7!fZ5Y}W!ӘV;!JϡmтGŶQ endstream endobj 16983 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1553) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16984 0 obj << /Filter /FlateDecode /Length 7277 >> stream x=Ɏ$qw~E TЩmYf H>܂LfUuؐCMU23c_6I_!V6I!oj6K rwm^߾l +Y^oW)IY,^勠 ^_U-i /e|dv(#klfܫ_BO~ý:](1`1/g tc[DmY6Y>&sG*W^]oE47mwVTgvʥݧ?mzP~6@?nO؈5 v]`vB Rbv oo.*EhcRba9+&.6ild߂dw}FmG|4s*eiV%[g8?n{L׺v<.FCf~:uu!qq>62ͼ"bi 1, ZP' Э*CNSVO8iel+z|)(R'GPɋiU(!i? BRDӻIۆ/y+ ȱfS&Tњ?az8"@֝ |T:94@N#( jCPE@=hDV 84"N A #sע\}fiMqcGÎ8WMp혵@HpEY57O {PgNC]S6~jLUr~֭ʋE .5nX4'T%hXE7^8Z9h`v9 +}krݮ\gSۍ'}7L5E jQHA,fx,z0q|)]hU0Z3y^Lfp! mmb}s.VxC;B5n. z7Y 1Ь4ƿ[6dd+uU  cGbhdK2}溦(Έ}%]6Nu~[Lꛅn>c? PQ-j&&7\Nv#hy J{FZ xY׌QKdёKpF{JP7`U כ(YȴM|kPG-@k]3)]_o2x"=`4|/e.mՎ뻿@Y[nuF{>EUg.ϩ߸xr׎>G`lۏSVI`/][ڃ,&-j$aoҷN$ȁʝj|aVqC<Q,O\+ZMdi2b截yy*&۲dA|QsݢI !T8Ki.ƂKz8Tl!$lF䞜Dnʵ"↲8'/]7WhE.4_u H 4Kc@b^ŸmS+HB]REOšתmL/& b {VZ_TB,+(0|-q$ $i& A#RmyTKjO<~2i,2.Va"JMȦ6Iz \x;z0c9ӫ;w6OM`^P3ȺsJԕh>=DF͍l:֛y떓aWiqbLEػG +kk,t$N2R)xHfSH(f[\ʂ*Τ Yupi:2i#y/kБed|i|7RY7Ou=4jU S/h@ǽg^&Y<@0E cyz ǥ&?P`L2$!#$/:aLviHj].6'ȃ9yIj+UVoE 3Ay@Iܙ4Ŗ0>}l<_z^C&7J0mhr':/TE@,v_9׊ldiS%aXja[׍\ZU kձ"NO%5t}Z!--yrqI5?`'i:2kTޛN.1kv8t1ZFT&'ChM4a1h#w93`[p2 :4Vp6KQ=$nr3؛Z3>=l" z˘{VUacRbP1>}I=z'^%?s- w|(CKO@8)zgTf}tݮ,rQ_?@az3W4D1h@P]G`7z KƇk8j{<5SLZ¬T-ѿ֠KI@ rpk{ۆKάwZp7I].cw )6NR_0AT[K(ׂ>J&̡ wZ;7x@)|a- \\Lšv_ _=y$^o[JhB Kx!Uv*s!T3 @7&X;*7=>]KjD-*p`*) { b&hJʩ#*!FCtMM.+.*޵u.*&2UYtv:[a\ ?u:ܗ AYk MN ;7gtrm)Ny$@[Hx!^LH(tT[~1/ pHzBpөPm7Ž⢾>>Aև?6qV8"kY~gX!xNxM9Y`ásO++|+%Ks)g՜ JWsjE'՗)ɩ1 4\r^%S)qQ!-OǼh mv; ^Z8rC[i_iYӞo>EckoDhCkC3{=<'X!P!e*,SqVT&`%s{b Qs10ez5J88!B- e{7B!5ۚB>_=:Dؖ|.%?mdEs+P}(.OGhZ.nm*x#̈́e !(e[QK)I687,/v%>q[f.eobJLo AIyW&`ќ~gx*pa,1桸*/xjwt6Ws#İ[T8gs5:j{ Z4L/- S6fkbDeDR?dcrlDn6U Ԭu}*I\FoRwiWvȱpG X0 !:IX@#q\>\7jMR!}׳E>=$]3d#u#{r㼇uK.%^~اNp h,L|MR_o|M^ݳh ؍X[W= ~>y]pM էM˻ruN!ץ7%׵sXķ&'m9M}71qMMpJD)^j.=b/j @FQ `.źt((8CW@'(rY,a頔Zifse^ݤ첇rA">OC? bV$_}Z;ݳs$4ι-+4gR6Kw~_63U<9{[ROL)ux|X'F}Tg r %Q5h +Ƴ!8c'Xjá8S {O/ubFTpGԒL>gr3.$CӜȀa_!?#sꪭ@2X\=Pw8=>6y}ȲpMn5g[6rܶ:%TQ/mgyN<`ٱ/=FnB_#ݼxr;2dM0Nl 3NqZA#QT?׽C,5ÀzMly;gNqzM/f_N (ϣpdQ|nց8^,Dáb3TJ9jsH+u+mb* pWUeQkjM8l,Qp#~uϬ]ti @ҟuʄ~)`~Y* |[Ym}e{2OövnW{A=u]҉ !7GQ`cuy1'f²Ε,Yҙ\Ǵg0\bLgZ 2hG;πk麍=K}<+s =C+8۠0 z3;8豂08tOpmF^:+Z{NM?*@0 TrZ@gSyd癦00.R(W endstream endobj 16985 0 obj << /Annots [16986 0 R 16990 0 R] /BleedBox [0 0 612 792] /Contents [16991 0 R 16987 0 R 16988 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35809 16989 0 R >> >> /Type /Page >> endobj 16986 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16987 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16988 0 obj << /Length 19 >> stream q /Iabc35809 Do Q endstream endobj 16989 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35810 20690 0 R /Gabc35811 20697 0 R >> /Font << /Fabc35812 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16991 0 obj << /Filter /FlateDecode /Length 5770 >> stream x<ˎ$7rw~E T.tdbOmkwD0HYɻkzJxG0/4T vi?o^ fo?.Z=}MZ??i]_ /Zi mVrm!FYm|O=YOOm(~جA _W]  ;bm}gm#jt@{^[^0} AX%Ni8!xL;=L#_x#O)A3m!/ߋe/ƕP 3aB(\Cps~،&Ö+ ږ9I!qr4Y<"jezW1u\W?Q * v1"7#mS:݄ 33g\ ~VO{6BڻN@F_iBEZ\d!IO ᠻJȷXiV.څQv|F]Rğ55{C8eTX&%L,q>}6<)f'B qV_ 'X`R ~m1C ,~/ tGY8I;\;vX{aSCkT.uolY3`AjLjOd]5ղ{]bc@ 9c},lE)76~r&]gN}+վyڟ7焜ĆIpì{҅uXbKUMR=$WsZArYEBp1f*NLDg+=-&i\0a7!%%S7<˞ͻAꦦőȀyDRS3݀h mWaxe8 C pDjdPӾ5]r]w=i'ag'`i?>g$~b^Xy&Xa,eF0p98Η~QģQ(Օsr /@:00p_IF&XXM2(i{V1@(%K+{ڟ|Wܵă@{X<(nNM[:lxC-ע_ sCc@ENEYݎfJ&VDI U5!>w]tzu¾Ӿ74Qb:rV9KP+4 lލL08ʂ m)ƁlaNER@Ͼ106TõЙ=67dQV]Os2lgbnjϺvGmN"@T7u+s&vo '7 [IATNPB:{yU8AXsy6 L >ϐA$*wv?|x{7P M {K|;b g!lx-q]pCSF@B'z-qC׷pй+='= %rcTE ;eI25,xz(J~ Di!VO: 3=4+rtRV?=;]: eyqpV`Ăφ\ PNtsd&TW;h"5b %;< & Iu#<=gbbCv-Pv$ #@qث0egJ*6AS'٣J .iX@^ D0Bi$ߚO ģc*aiQ !8pƢYM}b Tp6T2hA80t-MgtSEz:k.QšE H~&8D__F ѱ; ͑ߍ~l2@u}|@nj"13^!Gbog_RE0 4t1M͟5`7y6*Kl6O,zKV}~Ch $d(DHGU: .n?:PIE@22&2A=ؿy" ǜc?'V 9Xl;摫mIe(z\jۣ-xܒQgݕ*jYxsKe‰y,fU_n\9N[)I(#0Qϳ$?zʥYSm*:J0jH>yh>ZoZC4 k%VR#)}HC\1^ޡԻ@, IRl:[WdKKBxڤPcá"*c&ATaT%ː(ΉΓ`qjҤ !=JkQjg9b#!sBv$Y;zύZCOz8LB4_TvV6ͩ18adW8I!e6-R!Y97hrJWO`IjCiuksYA)NGQDup炙$?4W4qn\x1MˊW'~q t7cM~|;.**v.s˓$5L䘡ffUsKWsEJ^*ꅫfQbJoK|;\N.; %J^1kށ! dQ!+ȉ+v1-x͗EoshtN6mYsesEx澻I4 wow]6OK HSKҺ{iiߝk]c\I3FbRH!ުv,a_UAC%Oݡ0p7ZЉn >r_|UfZBKeu W\|[9!oQBN}8q|fevkG-$!ʋ&x4zWMXlM, ޫC\š8) Fp{*ԗN߽>`ѯ)ӂ4;/~0PDGyڜXg`JAdN\S{f)ԘY'doɆqu_kv0ֵ|s:"ͳkEd5boկe{d<'9ƬO'%JWx7/E o$enbtQ4% h Ԅ`{\Bn\^*r'89X"zkItu>O ևAUu!H\vh²idl&o@o)~\$(yvEL-'kGV+'C74ݡK]˃wG'˱ڏz: 5.'O<4y;N(!咶~Z-S֫IFzFiJ{.vJ_ Uc]fN'J_j(Qm,reՂDC' Dc[ű>ј {Za[PA;DR)Rao8Ê Lml7H:c.30z]BȨMhGEifnOnNǷ WGȷwC.'W+'Oi -s7> <*HlP ~T_j+vܩ,h:rMX`<˩HvBpTM-7ņe3G}`b4cW W*f_7ht;xQbX_.`:y m&{!-uS[w ȫO02:p(Viv8KRa)wJ :ky:y5 02?j{ 4wE]uQ4꒵qrfĵį ._g/snTQ3mа+S05~ѡkx'':BKnn[;ϥB0MkbYo,w,l4/6~&H\Mn9"\PceDŽ2W'owd]у![_O˛Ġ(3b2_/p{daxQD—kȈk7GN0"x{e8ϊt4_VyK}Ax@n^bޮvvu e_R(e P endstream endobj 16992 0 obj << /Annots 16994 0 R /BleedBox [0 0 612 792] /Contents [17009 0 R 17005 0 R 17006 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35828 17007 0 R >> >> /Type /Page >> endobj 16993 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16994 0 obj [16993 0 R 16995 0 R 16996 0 R 16997 0 R 16998 0 R 16999 0 R 17000 0 R 17001 0 R 17002 0 R 17003 0 R 17004 0 R 17008 0 R] endobj 16995 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 232.5 145.1812 243.5] /Subtype /Link /Type /Annot >> endobj 16996 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 216.3 144.2737 227.3] /Subtype /Link /Type /Annot >> endobj 16997 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 200.1 161.9837 211.1] /Subtype /Link /Type /Annot >> endobj 16998 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 183.9 156.7257 194.9] /Subtype /Link /Type /Annot >> endobj 16999 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 167.7001 184.2752 178.7001] /Subtype /Link /Type /Annot >> endobj 17000 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 151.5001 165.6412 162.5001] /Subtype /Link /Type /Annot >> endobj 17001 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 135.3002 192.4702 146.3002] /Subtype /Link /Type /Annot >> endobj 17002 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 119.1002 183.5217 130.1002] /Subtype /Link /Type /Annot >> endobj 17003 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 102.9003 165.4707 113.9003] /Subtype /Link /Type /Annot >> endobj 17004 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 86.7003 187.3167 97.7003] /Subtype /Link /Type /Annot >> endobj 17005 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17006 0 obj << /Length 19 >> stream q /Iabc35828 Do Q endstream endobj 17007 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35829 20690 0 R /Gabc35830 20697 0 R >> /Font << /Fabc35831 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4՚Gp#?$_l˜!E_@9?ɜ F0P|sLѨ\U$x2X4/s(RҥC ( 'C9Pma#bwo$l endstream endobj 17008 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1555) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17009 0 obj << /Filter /FlateDecode /Length 2898 >> stream xˎ#ίـ@H9>mދ?Ubl4ٱޅl^'ɕ?K#5#+?}e6إןY1sIU9Ak3?Vebf/r:BPm έ? VpXE C*Yob~/l=j.-(@e'Uq|~d{<~bfm /i,K-F*i&Rԩ^ۜVƮ4$M\R`JN%a/S${ x ؋ d݄F|6$68ak醵hQ0z3|BRׂ[^ 6䗾.W +_W"R/}aX?Pt-],j2xiSk .28(]وF c>G6lq,:RO؁/&-m+YM \[bﶵ*h&h[hc( F"בb6}d2%=CӅjO^?y"0?m؉P/ј4Y)`lV9"{WDF1A }M7 AOt{g!z>ש9[5S'8=<6YPÑ.Ⱥ)@# `zҡw5I\ 0äԜ@>Ud.Bu||ڶ do 9"q:(QZ;0.Kʒ㹩+'uj>=G9VO[9{o4&f6.ʃ Uql1Py9@7/=rd*VA~H?f4cA*p O3sƁ/OSO@[C< méutOn;rt7&J{[Pod01HŸFF6^ ҶR66$^7[ABkLs{qj0Lz]1 o٠}X@cBɽvQ|l)é&JVKB?LO5Fp/clH]wZWfTeQYqoS(]DAE>uOZSñ7=<͍eqgr | 1])8a!EAq纚r?-U զU359hPxf&!˄([L{t>BfLm3׋27=ueڀg@a<'rQܣCrhnsfC[F )4e|@@\ -21N9u}#2qaTgQхޟB RkDW .E=ml|mo(╖IS#_FK"V}o$FoU q`q$jz9H^n/;h|htxkmx`o,v iM{H$-}s@S y/DlR*f55`;)rv]*Dע rEXOc;a*UlM_NH`w0XHjCȤl¤E~a2*KH4Z"HnMR\\\R.|>/w_GvqSڢUX 5 aBNl< Qa_#ϛӘ/2x"`2gnO0 C]Z17ið5;VPexF *h;ל;^?\Sum/  @U6D/)A],E~D(i6yMw endstream endobj 17010 0 obj << /Annots 17012 0 R /BleedBox [0 0 612 792] /Contents [17018 0 R 17014 0 R 17015 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35847 17016 0 R >> >> /Type /Page >> endobj 17011 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17012 0 obj [17011 0 R 17013 0 R 17017 0 R] endobj 17013 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 392.425 140.952 403.425] /Subtype /Link /Type /Annot >> endobj 17014 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17015 0 obj << /Length 19 >> stream q /Iabc35847 Do Q endstream endobj 17016 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35848 20690 0 R /Gabc35849 20697 0 R >> /Font << /Fabc35850 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< +) [n-ӡ~1OEʚ jo Lj;|/(q1Ur$bE0EPoX5g$z'9!^\y( ^)Al\9 " ٷǞ5" 9!Mqc7uc* #Y|zǣ|"j@͠zfQJxpfE3Rӻ-f_Kimf11:}Yw9F&JɭURj.\gy4ܚGp#?$_c<<%C9搗2|<3KB&K.҉ `RrUqHB>W!ӘV tw%BJԡ0 '^B90ډ_'ŶT* endstream endobj 17017 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1556) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17018 0 obj << /Filter /FlateDecode /Length 4374 >> stream xɎί.s'  0ݣ6l99)`&tm\ǓrVYM&3isGZu*uf ~4:~~>ɚ}WcrӗZ8Ʒ\˯ӧ/G;LAb4 q ,LY`>}f|d0/2eN:-Gxu|Pu:gˀ>GC_vND@|Y/';#˿:< $ A#G ИVh<ېI;Hy'H9 ݄gsB`m;81ZlN0 0̒0/>|DӄepˬL@- p괉e2@R%f_.0H?Eqj :?Vٻ5z ' '(#uchK8<{޶Tv'"/cI:A@EX2p>'" C OMbNV6nvcaP ]em@Jmq>W(uS3 M(Vh;Hl<82 h=9< ̃^S:cvnh11=D'-9H5ChRMLELC^aj&mHtb7.$mڜ3P &=YOBr+ϡQ" -GyAl,1 $Ő n0D@el!i.Bip ryШwZTwо[ە%Q=CS)gFעj X+QdYV*bYWo' _a!,nbP±+.=7}gjM cnꙥSx{' /X?^'P#ᨦ ̻ =C ^ J7: E8$]^`S∞Z7PX# >A߀ȗe3P֥u͚"B,a|4IV8+ <(L-)L__&\WhPߧ@Lb0Be@K,CC!86(} /+R}WHC$!\Lr8a߯ԫPjr 䄤kh<f=}3 ܸ:`/?[k?D$d?NQR65/-uSKu ҫʡU1QYJ|y,`NYq9\\c`mUC4#. ֭\gK { :[T4**8m;URW+Vk=Qab >񾜗Y@DZ˟"e{zlD7.+WY닅{J_r1ʨ]KC xfGպdM-4٣c7 ʟ0̞]N}P$}wRui\R7%(&ڒzAjKZ}~K*b{nb~b7MbVE(ʂ^16Y|&P {a;pEu{ηP@(`K\F҄NahwX[,Bu[[T>b:hx\u]zghlDo0V5$ ۼ0+;,;@1 T^$Kֳ$ %O˫7Pdq6=pԄ"߰v6taCP` RA+ءV pk\vkWc!tY7[-ˠ,WX3m$X_'8- ;s^k;?F uJ"e^Wmo:w-mHOCkBؗi7iJtY@\qX 0iv93L-HĞhwpGޕI9|y !iYTK0ԩjؕ,7pʋd` =Uq\l3 tO0 0Lvy (4ȿey)!є+NDf4[OW#'ڋ^&@DROJ T:Wy%ݵ}O[ۙQTҪQx[2it-V_jw=mWo?Ks6nېЅ%BfYچ6Tolh׏7OB\5ՍeUXF Y+FKrU }|l Zj"*6 W= >5=nC>;4}|sΝl>a_M5ͮŰN5.g} rA]zHqy:%H{I- ݜjvZvllCx% Ouι-OCA _k$WjZ|xwee1s2K^~WVg`I|0Bו\տ.zE~iIzۼՅvm2;Ęd FA=?EU.W3m"fmKQޖ0twG]Yb;&HlʷW q!cvXHJff&1_!wR察Y@,d 8J<5p2 Cx@P ~XP2 6[:sEO})fEfǒZO鼴~5fą}u_$eAu/|Ăv"^x s"z)hȁ{^alĜu~O`A;L[0AꙣwJbY ^lb]µ(RBYYK9iQ|gWGC ]PM[䴗 d$rg%; WSn ^X,zjNEY*MN.l=$\*2dRY 1wrՂړXȻUΚ\]Mjs1BekhR^X}KC^K n?4 nݪoժBX3=@F{zчxS 6^N̉΋]<u6}:2N> x9 ucоdЍ%nNЊ@ R ܤYf>jt7=jD~zJwTglA\r߀`Ck{|9 G'Fg(qё(r-.P*Ko+E7[sPe< | ٓND_JSf0A>8WT&(eNFqRx̛G!~DZ]㝟-m8c,بU2@֬i. vWVr7q7>VkS*6`Z2M<茼 H52׿ˡ'P`Tj@.py{ +N@+=D+إxH.Sf"}e,#G/8>wVJ/R**n1*TJj_t] '  ͇x}[~H%!]<J_kMi6nE^ TWjbJ"ҽ/Ԋ־TlA4 c+f>R /o29b\Pm, :6&ەf.|P0}^LY}$n~ `紸;v3per^G$7y0i2`\r-zі;'pa.q'L%E_nM _'gZc twm F}'ȟ>dz-|_\p,}^`<it]! ^ ^|ñ]q[6Z= CG{yN]h7Ypme<+ok4]>A#'˕xЙZ_ȉ endstream endobj 17019 0 obj << /Annots 17021 0 R /BleedBox [0 0 612 792] /Contents [17031 0 R 17027 0 R 17028 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35866 17029 0 R >> >> /Type /Page >> endobj 17020 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17021 0 obj [17020 0 R 17022 0 R 17023 0 R 17024 0 R 17025 0 R 17026 0 R 17030 0 R] endobj 17022 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (add_bp) /M (D:20210608081201-08'00') /Rect [104.1732 258.5731 139.3347 269.5731] /Subtype /Link /Type /Annot >> endobj 17023 0 obj << /A << /D (unique_645) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20210608081201-08'00') /Rect [104.1732 242.3731 172.4887 253.3731] /Subtype /Link /Type /Annot >> endobj 17024 0 obj << /A << /D (unique_690) /S /GoTo >> /Border [0 0 0] /Contents (restart) /M (D:20210608081201-08'00') /Rect [104.1732 226.1731 135.8807 237.1731] /Subtype /Link /Type /Annot >> endobj 17025 0 obj << /A << /D (unique_695) /S /GoTo >> /Border [0 0 0] /Contents (step) /M (D:20210608081201-08'00') /Rect [104.1732 209.9731 124.8257 220.9731] /Subtype /Link /Type /Annot >> endobj 17026 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20210608081201-08'00') /Rect [104.1732 193.7731 125.2547 204.7731] /Subtype /Link /Type /Annot >> endobj 17027 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17028 0 obj << /Length 19 >> stream q /Iabc35866 Do Q endstream endobj 17029 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35867 20690 0 R /Gabc35868 20697 0 R >> /Font << /Fabc35869 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HҬЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17031 0 obj << /Filter /FlateDecode /Length 3234 >> stream xZKo$ W@W~ nM` If0sٿ^Uv{2r$#%.T?1UgZz'GM埮Ij%6WR:?H&\FM<==ȿ?U?J۬22Oo@ 4 ;#QzF_jC.d>)jEJ aB g'A9e.1*چ`L8B Q&JHHLZ?j¤|'񢱪!-b4ᎅ Ie>%QL[M9XMϪp=CmXy(s.ql4jyZ+P■|q6p=Oо|փ)o- ʁc&0DZhؖFF)v^7ətq zhؚh!b|w BS$[ӬѠP.CP|}CYv{^p[o+c _@D˙q10T'xwAL*Mnq88d NeyRtd#C@c}:x#-@v;x#xx߇XpMB7$GK~Xًm!,>ig2E5ӌ@ Frw 2PSWTM7A͓1jU^28sDXz7愘Qs+.+Ga MFz螵RO+(% *!4 1dʥjhr]x>{>J$Tƥ0͍*0H-ZTql+Vt `Ax\^D%lKDZ21Cd!q3 [h׸z&Mzy_o˻j]CCZV\Vh-:֎|oM\RGwM*P෋]- 25C5 YF y48=կܫ~Wb\t﹝9EkNN+P}ob_iHS=utWYmUڧV)F't3c s8mu+OBcۢA@+Mƨ$"S %J.؞n(ur ~ބVc,zB}}brermk4-궀|IGT *XGeE~ KTF^SdRcZSqnl˦X@a@+n?Ayг![?x(,Lhс;Z ..n e x9 >+&XUT(BOOizsiwަz[0/ pO9+?r tm^2Űc?ؐoBg$5USϾ6BbpÕ(m؆CKC)@ylqƽ>!:z? k:lnSAiÊȬwՠF9qyxSƱV- @ȅk>;iju|Jח5n= ql@8 e p٪@-9sy˨>I=:G %w$׻Q^UV3n:OnTq1?TzO  '<eW-&W>'~u3I1XVsxUɰBMPbJJTr$=$I,k6Xb(ж]qBoQ-Z V}):@Z=tR9x'{cJ $(<_KAJ$*q? '[ :O}`PЧBK6J0cDA:-x ŋfѥ!_$E~إ .~Ca5,F#W҂H{vmM\EA7%[ݒ'Zx—^j]zR=T iBn5f$Z~+ D~1%nDzBPGћLPɸwxZHv-z}"r3[ rP;([rxMl$D@[o$Qck3 ͓,Ϗ qO">W@NEf@JfnUXf˜Guz뉄?]5}!tિpE5> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35885 17038 0 R >> >> /Type /Page >> endobj 17033 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17034 0 obj [17033 0 R 17035 0 R 17039 0 R] endobj 17035 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 425.025 137.278 436.025] /Subtype /Link /Type /Annot >> endobj 17036 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17037 0 obj << /Length 19 >> stream q /Iabc35885 Do Q endstream endobj 17038 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35886 20690 0 R /Gabc35887 20697 0 R >> /Font << /Fabc35888 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTΛ7acX`~߇]&`j[i8-y-xvw7HR-ЎYzrv"TYȵ7$G5UJh<)*e2 f *c*h;ɘҔHNIx5s剢xy"ZĀ0r2dv"`IPg{ֈ.{RűM]wĘ>Jhx&$߄|j.P3Yu#LSVwRu&[j{JLh]}ɖD.*pZu8>϶fznC2kh}'2g("_@9 ?ɜ FP|s(dWLj$x2UPcY@wP&.Q8ΡR|=B/}r^ endstream endobj 17039 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1558) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17040 0 obj << /Filter /FlateDecode /Length 4070 >> stream xڵɎί.s_ &GANr .[UuOFSEv,]>,,/`R~f5e!/'-7oN?[㽒R7~c{_|uI}%,],Ab?-_ƒ^3.Ӛ/.ߖRdH0[}_z%>޽ 0b @U`&=|3],6g`96 HJɈ,!\qVlyӮƀ|XSUl m(v05 Uv X2 u4LC]'[PTUrҨF(rƣw'FDE 䄤34356TƵ0U^v m@B4U,ZVkwZjP^VE2QYK|j,2rBJhF, ֽfK Str_% -@ԋT5HCoX&fꦭ,Qİ qiw9& %֍HF%SwDw.+3;TX,=T2+{ɨ] U%? ߓ l,j@G~q' sr 돈{Ph4n ^7d= Mj'>R#R/?!x{uri&:f3zC =gvM0<^wP;N(ZR˂UFY@#jYPh,^W@UMKd P6),ւ$9%uqkJ%L˜.%gD4Ƥ] /KZm\RB2"atae&hǘ=͘';Vz]]:c qEX G *0}X vu*8 f5~r+5I9ک" 6Sa AMn͖a,/H̠ f"\, P2CT>K9 y/> "M8clԫ@\x k6tCKh `)$䖲3,x%ϭ>E^ P꘵bJo},ԋ ֿTlE4 #,f>R _drĸTYd;@2 l]ZaHa E7)8]7 a{'դT\K  | &Imى{}f<#Q3g pIb 8J2ݱrO0\2Y>\2Yxeұ!` J; yrO/=\Å{#^ ](\5C֓/~?/Hy' EhEQށ-^0ãܯG֯Xt^Tb~/K2^AnHM|lG:I~ `!s`D endstream endobj 17041 0 obj << /Annots 17043 0 R /BleedBox [0 0 612 792] /Contents [17054 0 R 17050 0 R 17051 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35904 17052 0 R >> >> /Type /Page >> endobj 17042 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17043 0 obj [17042 0 R 17044 0 R 17045 0 R 17046 0 R 17047 0 R 17048 0 R 17049 0 R 17053 0 R] endobj 17044 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 279.5346 193.2457 290.5346] /Subtype /Link /Type /Annot >> endobj 17045 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 263.3346 193.2732 274.3346] /Subtype /Link /Type /Annot >> endobj 17046 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 247.1346 162.7152 258.1346] /Subtype /Link /Type /Annot >> endobj 17047 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20210608081201-08'00') /Rect [104.1732 230.9346 183.3237 241.9346] /Subtype /Link /Type /Annot >> endobj 17048 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 214.7346 176.4817 225.7346] /Subtype /Link /Type /Annot >> endobj 17049 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 198.5346 166.6697 209.5346] /Subtype /Link /Type /Annot >> endobj 17050 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17051 0 obj << /Length 19 >> stream q /Iabc35904 Do Q endstream endobj 17052 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35905 20690 0 R /Gabc35906 20697 0 R >> /Font << /Fabc35907 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ZHЎYzrv"eyEƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3b endstream endobj 17053 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1559) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17054 0 obj << /Filter /FlateDecode /Length 2816 >> stream xK_BUV ~.0Ci?K%UMuO{lvX.O!IV?O.N?1'CO/I[>>O;clk%]K>ɋ??_a(ʙ1c^?FxY'R/?ď;{=wLw7x,oyN:Ky{%%YLJ)  D"x})x{?X*&֚J'^[}x+1 H8”Xr>7i&c.@:B)b^-91Rr#ׅ&\;5.z@h5^8nGDpfpӁ@m$g=l8oWWiSFnv02!Xh-jN3<.9#zU1Ԍxum9jQkYjMs,2EPbKF ]@"kkob7Bp0pJ^Qxn-K"ye֏;TF;z[޶M/w?)jvX'qжgZ6TK;v}t d]1Ffm{-֖ @ #dKr8qU+ޭ|ss\]J9-s]UB<He#y`Ё 'kqZgÏ[.%+9ӫ8$8s:/Ѐ@|(v6arKO +y#d"lݘ{&[WfUN/7;e_:BHlm"X$=.m,GAy05pKL7V4ϟ:g71?|*qrxjh@[n״01*"S:sYRIuS)` a81t^rExU-)mR?!AG@$){,{W.H/H2i7 9rtR6^TqڢLefFAJJSz/[^S״`qĖ a hK>zYLj@CY!e,Ŀ.* nj@%+ HB ^lgfxҭ1Hpq h0ren֋9MS%Y)=1 6SL m;sKX_Kc25x]>طfxRNQNط|^4]2M3|,7!7^6V,߉)- cח*I.kׇBDS.]\,ey\E.r)]:j2 \BC "u jFNRCп/}3D1> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35923 17062 0 R >> >> /Type /Page >> endobj 17056 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=hbm;v=latest;d=pg276-axi-hbm.pdf) >> /Border [0 0 0] /Contents (PG276) /M (D:20210608081201-08'00') /Rect [289.1165 343.825 322.8975 354.825] /Subtype /Link /Type /Annot >> endobj 17057 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17058 0 obj [17056 0 R 17057 0 R 17059 0 R 17063 0 R] endobj 17059 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 17060 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17061 0 obj << /Length 19 >> stream q /Iabc35923 Do Q endstream endobj 17062 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35924 20690 0 R /Gabc35925 20697 0 R >> /Font << /Fabc35926 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7o}:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17064 0 obj << /Filter /FlateDecode /Length 4365 >> stream xˎ$Y=rANA`}Iw{(oQY49#f|}],/`.~U,Ś/}W/_q9Yo|3bO\7~O: O 't˷%h^ ?=3I5Y'gV񐘱-lP$L qI6R᷆⴫1NKuj!V3ם*n$vT5{OW6f5}\Q6E[sOo 2wtiˢeBzԄ9.LM+ HZQPX[J}L$3 jo+^HNJFi{鐤oزeQ>JXP :ʑ1e,oa0{TatcdG8?`~7#Hf"j ZAr#ldoL䓃-OրSf?q,^i.] Hx-aP (f0X [ 軂"`^F47ʔM}%J)f{x%9Ds68@,\Ǖz(q h2֩-F@I:BYw0!Aͬ*$?<:`RꭕQ݌HTMj Ks"jZC{ (])a~PH,)ږk+u[.0cuEWZaHւN~42Mr NAUUUb޵fEo R"I]/H_/{{eE24xpZGJuڽ`H d`cQ\)UGGcq'jײ#돈{P:h4 ^gY–鍃7ۺez[Xn=hM^h#}kѨ##pb{&edAe)lN,rаenɎ6dUdĭ;;u/ka4hBȥ'Ypmb9%DGi0x,Υ7hG9p>`W# cm Yvv0K;9!Rvb%^GZN4dpqͮF' E<%NXCXa=aD}gaub<& LLj`|d4m 3E.YLowQCnPʝ8&Ŝ9 y35n-ocKgS.mkbͯqc<S1bL~h@Pk].j΢G14 ԅ+ @R !,h{00[˔U8ގ:7jFC;wbjYǑ6 sKF6G[,ʳoofJVukxL;bEYٌk1꬚aw&a$D uN.C)uZB |cC:0i吺SP|fz\g(M'֦Fk˗h}@ho9"YO}.J|IarK0n%]VPN-hƑ̖]ǜٯ4no4- , g%;-edQށ} a22_7bf[ nuSeOҏ{ۧ’;.Aޞq8,S~m>t?\rekGX*3寵FZ|k}t{"J+5jeOjޗ\lEUky*V€·7\a\Pm$ `1ulM+*|PanG1яj]c }e3Bobv;)7\;)14/9A]|KJeWdo_;֬\iۺ|- Cx\c> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35942 17080 0 R >> >> /Type /Page >> endobj 17066 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17067 0 obj [17066 0 R 17068 0 R 17069 0 R 17070 0 R 17071 0 R 17072 0 R 17073 0 R 17074 0 R 17075 0 R 17076 0 R 17077 0 R 17081 0 R] endobj 17068 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 504.4346 184.6217 515.4346] /Subtype /Link /Type /Annot >> endobj 17069 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 488.2346 186.4367 499.2346] /Subtype /Link /Type /Annot >> endobj 17070 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 472.0346 195.1267 483.0346] /Subtype /Link /Type /Annot >> endobj 17071 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 455.8346 192.6352 466.8346] /Subtype /Link /Type /Annot >> endobj 17072 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [104.1732 439.6346 170.4757 450.6346] /Subtype /Link /Type /Annot >> endobj 17073 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 423.4345 210.6422 434.4345] /Subtype /Link /Type /Annot >> endobj 17074 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 407.2345 184.2422 418.2345] /Subtype /Link /Type /Annot >> endobj 17075 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 391.0345 202.8817 402.0345] /Subtype /Link /Type /Annot >> endobj 17076 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 374.8345 217.6437 385.8345] /Subtype /Link /Type /Annot >> endobj 17077 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 358.6345 203.3877 369.6345] /Subtype /Link /Type /Annot >> endobj 17078 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17079 0 obj << /Length 19 >> stream q /Iabc35942 Do Q endstream endobj 17080 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35943 20690 0 R /Gabc35944 20697 0 R >> /Font << /Fabc35945 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKf endstream endobj 17081 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1561) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17082 0 obj << /Filter /FlateDecode /Length 2225 >> stream xڽYn$7+x64(Pd'ʹ 4@ߟؘdJjp7R$c}doi cN!K_U'㣃wN?}kC6I6駗uZ磵Go#\bЏw3J,{Z뭋,)>,/^{ q;ڃ309X"v{~ XLne{)3+i3yblWGXaBp̶9z{P$2_8)օ$2\LYҨ2y(Ž',EȔߣDΓI==vY8G%x&r{д ^).JBW!^|0 X # B e`΅6.dqͻ?mD۾n1V+h< :mZ^ e0:sD6މtt&.דg&Xu>ɘЇI~^m\Z)erw*sk0 0HY8(( ;23FO,skT8,+ps{BuɜQ]w;v݈G_Miat%'F!By۔렩M3-yn@B?Z%YIF(1" #:V0^uzA¾5ryȧ.A z)>oPeZ|K)&g'(TH i|j475\TF 2MaF6g*?(?yѺtp-I[@ vEP;=00GQWQ'?kyd`O I6nkМ/ 7mM-2Bc)TcGA}M 0?"N/!'G{ՎsΕ2Og aՑ#4AfO_ؑ|N+%V[CG_vrԁuL5PܡX2EL  t?oٖ~ĉ/:օE8&E O_ۜaiPP3 Zd2x]/ngյ,;>:kL{(K6Ϙ3ӞxpS/:륡 dy 0+MCCN&^ RxCZp&N!_Tϼٜ~֢dMtVo AWaјp[.e4k-)Fmްkै1 hXEuLF#} v#Î[@4e<("d8B"UHU3Rm;nIK0G;$d`@S1+/XH+ hecyE}6/gbVxzBxJЏ3MEDX'?t\"gyWh=Att G}Vi,ts$'"oO-3&ѱ:'hI^i;ia %Nk\ M GW#ˠcp%7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35961 17089 0 R >> >> /Type /Page >> endobj 17084 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17085 0 obj [17084 0 R 17086 0 R 17090 0 R] endobj 17086 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 354.025 137.278 365.025] /Subtype /Link /Type /Annot >> endobj 17087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17088 0 obj << /Length 19 >> stream q /Iabc35961 Do Q endstream endobj 17089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35962 20690 0 R /Gabc35963 20697 0 R >> /Font << /Fabc35964 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17091 0 obj << /Filter /FlateDecode /Length 4307 >> stream xڽ<Ɏw~E P(2d anP {kD.UHL2ȷodZ~,j_!RvIu]ZO5v |}[IJ瞗+_ 'yR/?=_>/Xey*~HA/'m·?E>Ex$Wi UjB/0 -_2fQ#Ư@N Kk.IO[c:cB eL?yRq Ke^e}&9Nڀs=`:PL ԚSmhl,J5,~_oC\5\+W@`h {Ns@9*Q{YL51 Ys2 Us㎣>*@!E$bMIxj]#F_Kt)zL>5yQ{aY:u `Xdh3'irF`Y!wx 6բf Tv|5*v1-)=8*MqNuBl*T3`Go  UUWzd}fl; M"РQ *ou y]Emnm#;u"oJ-h]=9vC>0{v#[grF,}o3IM{؊z9Q=Q/!j+: vģVG{+:/KpȰ{!c$dշWǽmQ/-c7E|TA.i,u;##?g7d->dַd=F+c\՗^#qy!?k.Xm!|m&7;dRLaJO qLm qir;:>`H=U=>T;UЍjjĒVnۉ5Ú U຦{_^$21ل5P>B,ڀ;b{4eg[^AOG(DUNn%|yS8q矁RlG.p3907WV>+UE'|J ܠx|tXF ?dv\B}/xmq!e:V9 0@??'? 3,B, j'CK44gxXvF;ܗ^2 4.Ƭ~|X:esɪKB]2ͼ0xlp4I9uWWK+џ#L(0b/(D˳"wsDJ A |( gGހ&9HGY*[ykX[EODlQE[iHADe' 1xz&s_a): }n"VݛJo_pX$*M ~W:gFg7j ?۷) 4,^Te 1tlQGV~LƠDdE(\ТR'8e*$K:qBK}_Tw1]S Zxe&LPYOTXl2=eM Ys_QO#i EICKۈ=vӌ\]4 B5 M=ěG1){%s1ci$ |(p@Ƶrx^ᠦ @Cފw>$ڤ8YݠC<) AM1T<Cx_x7G+4g1l,Xw>yaz ZG"'dSf2 |\>zA~ԡ>*-W*HݒR HZ*UOƒltqLHi>xZ~g[zM!u\8-<-P^ *O[mG QmyX|qߕA;M\-h0XaN*A^lň-ma0F2j<Z \l2 u:앏.6pqY 2 wݢ[,~,f !9iwa'#z;zy7g媽u}Wn:x۽^ݲ]NO (/C|h60_5iXPc4:m@8H-[= ɰK:pV_S./S3ϣdG)L-,ܯxqr}Pk Uǀ(!SmjuqclM|F)|Ln5kvdq~_$WbҜ@z!{)ci~3W[tuGA 5wFscK1l]÷cMr{TbM@e;x)T||CsQMYCS<_RP`PJ@)hd{'O ~" )γ[X <628u<{808TW[>vl{WĘ$՞HW@HO~<L`qs[̈ SF|osdC̳[daZڬ4w )*YхL,,Qꍙ#9X&Rh?M&l^Eg~}w߫/y;8B0ǐLkVڸo[m_{Wqǭ]gLתb\t4:ޣk|ONN\ %\CQDMHۛ'X/x?^]0;^)/t0eM\S8\/q` 9Lɟ TEYFg!t-wVo)gO=|A#*ꜞ]_;SXt[N|ܫjS+8_f^s endstream endobj 17092 0 obj << /Annots [17093 0 R 17097 0 R] /BleedBox [0 0 612 792] /Contents [17098 0 R 17094 0 R 17095 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35980 17096 0 R >> >> /Type /Page >> endobj 17093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17094 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17095 0 obj << /Length 19 >> stream q /Iabc35980 Do Q endstream endobj 17096 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35981 20690 0 R /Gabc35982 20697 0 R >> /Font << /Fabc35983 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H2ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Xj endstream endobj 17097 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1563) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17098 0 obj << /Filter /FlateDecode /Length 4355 >> stream xڽ\Ɏ$9r+, /@ ̈n $SI3 z?Ѹ8KUI(DEНNgssQ' I/X58D$kfG_ru-_,yR%]ᇆz[7){Zqs =|L堩%?-PҒo⿖y}ׄ;HP/&|nƮF~HPbX-L.!yd#ʮ+١3$WR4R^U*&6-jzkU˄ % }s[ܯ^nMìੋJ Oo$'?-t &% ^3'4gM\}) \ySFO3s}:㹩~6*#e8WZw'9 >%EqD͚HQF\?nҰJ_o WؔB dzxV&v N!tn Ɋ`VRA{Ĕ5:2 #dʊ6[w~َ}LG oeC?6{j1ʬIz^kor<@!`hƪd$s0jOԃk}D-eveOIG~G4s=~=g2gZ7kHsh%!TXSRY,kMui;s1v ]wi]l [fHYPݡƐj$Qԃ5(sy6wǝ/6RwqY7=pѬA=$s`b/י4Wfok ЕWv`]pJ-j%Ή#;3kSz^,?b)e1F{,vDLѹR58G#[Ea(0Ie9W@ rl)f0Q Fޗkp~=W1N–{[Z,PљE7E+ 3wSSqK yeJ/H`FIk{ "_RXGѤ~vr~g Zn5p>=[>cRyyU&4 {LU5C&)JPy=l1Iϝ"d~0,W\N5w="@QA`-*be]!-hӶv ,<p6f]`!n0$p4&9tK=ky+uSKl ;y?Y6)h~TBи"9NA$7%+xa(}a)xfyjNX5{:G#D ]ch ڽR(N8`A Czk;ު{msr•#SscTʺe-ck_Y/q1 GT"N( g!Y;p#s0:pDFvc_ahmc?e+Y?O+ҽCN>+ʄ{%]I95ڶݳYۢ#Uνfs}7{at A0n7jCCidb 'DzRbxtLk22 pUSMd@w_sC|IIEumkY޲ɯz%:\q/Q޹=9[kb c)Yߖ?TkS;Y|#8o:%`GM~ Vm5 k…Ua qTŮyraUL~_>o9舻^EɠjӂHLKqV U%{ɗ%/F>גgiӚ= mzk7JOCF;qJwP]\~ #ՕQnӗ5Pm $ v:IYmQ<ߗ$57 D ^hkqEL0+ Z[;{-N6^݊A䋷Kܸ#64ۖl<)w=<x|mHni$`"EeZkW[q*? 뀣?6PڕHS?ACW+߂\Mh \8׬oTZľY#IU "+s)TP1vLo4St`@*i<)®j.qn.kmK贔zޞc~]Nt&Y-֮4_ܞu}ɄVv8Ή6 QFie u1- o7 7~} }.%#YT難!1$?϶[p1y/{:Nkι8Fm$|5~6o2ц&&0C(|g=^\H"u,cQ\C\&gٸģ 1y@=N3(mSG翀3q瓄a |;|k$y#OLg >˛6M;=<]R;'Lkt/'͎ۋkN =k bj:Kܐ8zOE-dg endstream endobj 17099 0 obj << /Annots [17100 0 R 17104 0 R] /BleedBox [0 0 612 792] /Contents [17105 0 R 17101 0 R 17102 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35999 17103 0 R >> >> /Type /Page >> endobj 17100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17101 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17102 0 obj << /Length 19 >> stream q /Iabc35999 Do Q endstream endobj 17103 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36000 20690 0 R /Gabc36001 20697 0 R >> /Font << /Fabc36002 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17105 0 obj << /Filter /FlateDecode /Length 4418 >> stream xn+ίs1@`[!Cӛ'RE%~ n.ګX\G|m)b$^_H| Վ W.~[vҼ(E!P>r Nj0ZҜkS 7Ly~>1_L3 4ƛ!c[?{8^Z[BOL#]43t>Wgqڙ>,#nҬ DybASgٝ3R <| Krio?#u9pJo+l2Ae}%iIwe@@jtMa\!Joڽ-@H)A3@ 8]e=7Ec(b|;-\HT^40aP1H|0sVCwҎA n?$ &zpPࠢNGNlRm/—`L{|‡<U?447N:g/B渲ܛ [aAC+)M-6K%=Z.LpCQ #[Qf4 Ѫ\mMm1oQ6nЈ rYT_hpp@`EP`b*ETVZf3w5`] IpoiGlkl2+}ӵd&*O&}$[$YUV&mIM"vN$F<"beƦVkn5Ȝt+0:~0eЯ\Qn-3 m Fna)=6۳QGmGl!e=PK/Zr;3Ybz[A.J{ڹF¢0C VN9-H;v}C7~A{ť q m8Bl"b<:/rWI+WH^Zpl#iV*rh&;=bwkz8WE&< 2 ʃEGf)&EYD405ܞlRa$qqԹVu*8\ϊjL7/V\qJũ,F!CҎs3ɾm?ձGyp #^M#i`踶16+1$Ry AJXE<.-1aܜy30GJ vّdA%P5 1p^Rr}9*=GFbI;Tմ0WISV 32ۄyy + zx8g|铻#8b=+9Z.%Aq% %rKѰUF= Dp г&TfiCJ &r.en. %T"V]l UVnbFgIb6 , lsjHEwk{%w->efU+ԥ4$DF hO5Ҳg> 'Cz`oLF<ٓIs)nf aI}$vu^D79<Hd+ r CX3JqToh; ^b }lQ sB œOΐb! ׁqj+|.nr3sSe,p>Qdd#)+#IgzuW+LM;zSUefoγ lǺ.at|e \ TN7*RwЭj?,'R-:5:;ٍMEoGtF zHyO4#6#atߵyUBAPg ыՖSJUP_i޵}K,vna._?{fUO.+sdz>$]Z`Hk:wCmlp.\T"(:3Q*T|VcY;͝_>ޱuՇpf)ŘXoܙ}Vzz̫gE!EK'Jrk!wZ8gl4; gHxն׶j8葌y锳L@Wsi;תKkRz$N9X2؈sٵJw+z_lOIwÅ)f+'V=aPW fy 6 <P7S7a] փceKٱ {91UcAyGwY8|^Ȝ%PHwZ^>/R [EOYշ{³Z0cnUhUF.`rbNK͟Vƚ5zgdm^抗^eU_–V/qaGaVĨ8P X^&5!{9Zz}t zkV<8c럖ͽVkhtL"h`"}I\9cίġ 0}zȁ\A%::E*.scy[ fϽD; mqw.}Cp{N'M#aV".B-6,sS'VmŴ嶶ъPydD 麃tc5*ϝw3:ߖTɴ"M7mD̋n& 0&gyr? bT3 &=Ү5SQ ȏ=KyrYAlb[;Cwzgc2_R{4ҲJX\V}Gvt9:uT5I#—CCsSЀdBFDŽZ O:z8o& :F^27t+dʌVw7Cq 02p R 9WnQ+oflaA/e ~b~ܫ'uZ8 0Q{Rz1-L['/ Ʋ ͺ Q3oiߚ.VT$VFKm5l0Swd2+a2RGmwm7l,܆O{MsIo%V2c֡5>j~րsiX&ΨRݓ)Ty@|,t& ¨X'Nȟ&`8%p?3i[Xqi=pA2ij aZEh5)D0 y!^ x5|$3||<}+$zh,޿R{Fl;MrP.=\ SIx{,_AgQgb5L]!i@=e$xsv .Y؆WB[Nt$E) endstream endobj 17106 0 obj << /Annots [17107 0 R 17111 0 R] /BleedBox [0 0 612 792] /Contents [17112 0 R 17108 0 R 17109 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36018 17110 0 R >> >> /Type /Page >> endobj 17107 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17108 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17109 0 obj << /Length 19 >> stream q /Iabc36018 Do Q endstream endobj 17110 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36019 20690 0 R /Gabc36020 20697 0 R >> /Font << /Fabc36021 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMQ endstream endobj 17111 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1565) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17112 0 obj << /Filter /FlateDecode /Length 5034 >> stream x<Ɏ#w~E B]RnçFf._,ܒRI3jLf0=Z~]~loKӋwKإ).E/URЫW~mQiJGT|Z>Y)ࣟX˓XB'| : )@qO"`ᡍ pOPcT`Q_k7\iÙ_R8?=ɹFN~$Ia", &tp' \=N~>2fX'찕$}šPdL*};ȠO!AG8 99tA V XJwE˨Ka c5hVq)JBFAn^`{3'uy) FEe $LZl3q Kd9L,v;Ce5Q(@y, ʁbhVڨG+J6q [WnmQMAccLf%弙BnEv֩NnwZK*:;mٝʹ~MlD |]zOc>Kmg jۍvն,Wlഖ'0$1]*:N,6`d/e`U80~ާehkvhfSYM& n'sSwE+aPwvvmRS>u5[p"#ro9ŕVtㆨDELW4 ڒ]I0b] =ngssJFbZ?wW'ufp\摑ٻ"_2f P7X&@EᛖMKܭ#&5U'Ư&Y<]W!BQmDP9iŒK*'s&VsA]^YDz[ t8̽cfwF#!2Q8%_qRS$8nMiDA^A?߫NfjVSNo_]aLטbB34ɈnR.c6p(u:|II2{q܈{qvh qbߵ{z\n_Sjg6l@oZP7B=2ڸ0n'I #2Jy'q?ƆKC|F2{Mʽ)mɎ `.c,F#M m6ʟ̂,'- %RWQ&ojepaS߫R91 AXtk >f/epNM'^2"5I1,e/^jWR4ܞwSk-Iv+wt9GmfuIRRyͦqŹwDtaZc95;dtS]vQ''7m,9OM03%'Ώ.Bf˻wdCfLnB`cn46? nӝj\`Ug\M_豦߽ &Q+5y\WTeXjiIm"^w*r W^ ReFx9WqGW%Gyρ~Z8[!HJfRsp5!(9`A}\  "9o7lѬe|L:|K9 # pw^1aNYLM_P3OHnw_|jv5l~HEHԍVY4Up%g @yϿ_sg/U_b&ĢVߐ$;3g!3ڃ1x_CXfV9~3X@l=؄^^={Z^z@چŁs I~#JroN@X)/cHgm0q`X֫ /_tb׆#8xf%@#10:wcM|JfJ9-1zJxo" `ʚR&` \0`p$X& @HM7QX-#ь&w<4ၹs>ֹh"& hR3FBe^fy#9أ%\[K49:$э]H Vlˠ8d5mC! E?j=e:ڻRxHxuo˿ 0#3b a.aEms$Qe*'آÕkp4Q{h.L491 Z)Lc?M4x p:Ch~[ QI0e58(O-\~7!bzJ&AQAs#@=pAم2Kx;-n`EҐc 1[j:uꪑoi UΑ15@Z89 zg;k9ON;q>Sz:ift~(ހ4ewm!qP@BiW4LX]?v|mc.g=cӲmztϼV8PVs8TP1A>d9M%G^-o=KAK=ZAT~Zw^4)"mJ_(93{7=vUh| DyVݩ!8ߤpz10]"g'X4kT"Κ.w|5=?u+7[; wYy/\э875Fpb1ߠk>Ho*FTg+;ƮF_bebR.X$jI+Jc<kSP&8l5sCCYKRA2aGS/ 99,(e*oS| ] P`JRu:J*G]\l1_|B^/@Gwqm*,>L)ہқ5^2NYp:EnZbUZzC/mJa*B젤 Z*:R[&g:WnZ.{WW'~7ؘٟ ,Nվwmv 8w2pOl{n vj1#M[.0Go(Kl0V-yrC馽PtyŚV +\U^ 8~$Җ,' rD04xJnʣ{6qy7QbhuZMeJxΏ-{SSɢ %ύoeqq^2v2O;lhoA}óߨ~X}.f]~Z[9qti{G_"Ew $lM=JBt¼Lj oacChw(civ'j9?spx:_pWӎ\\ب T$'ʁVϗ|^ _˷) J*I]|~/'}8\rO~Ji[BJxM}̵ X@C/{Nm? ?* B6/1GYvq'K!` ywQ1- endstream endobj 17113 0 obj << /Annots [17114 0 R 17118 0 R] /BleedBox [0 0 612 792] /Contents [17119 0 R 17115 0 R 17116 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36037 17117 0 R >> >> /Type /Page >> endobj 17114 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17115 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17116 0 obj << /Length 19 >> stream q /Iabc36037 Do Q endstream endobj 17117 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36038 20690 0 R /Gabc36039 20697 0 R >> /Font << /Fabc36040 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7Ӭjl?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17119 0 obj << /Filter /FlateDecode /Length 4282 >> stream x\KܸW|t{-` ''A`TdR?$6ݤ$XE~._-,/`>/?Ř/QWWAg ܧW|:G ]p+]K|_ߖ)9^0翟5<' O|Zo_?ibr\6C_E}\֜64 юbF>҇pޫ5SVW`E\Da/|a x&/ X Cc'Hƛq|qBҮ/ JALD_g&Xοi-˹s"U3a82zhfgdB&󘓖W3Q,BhvWqmjE*lr|^ _dUIhQ einZ,.FIݒd^kF,Ɵ;)&TD+k NJWڅn=r}[c5p= 7nQ=vAdo?<6{ԟ=DH5{W݂EX f%ZH* (ɁO1lY$b q]Ha Vq*'ބk%OqWBb[P%?ԅeւTF]cR1I\^`<XUo&Xllnۧ䦷uwzУ# H4,5erS[㙊R!,` Nb}VM1.K U+Lꦰ.NX7Ye%BoQhn'6z.ֹt?ė QK/KQ@dmd39KE7,c@0p @B lW7w6I:̆q"|LQ0s\q(&KvdH"m jX(%*WgV\2Rb$ZwlѠ}1Zu"{ˡBs AFcauli[7o49I5s".!B=f_,_۳o~zYz~a0< &{B[˺šCLzط5e6cR| foFihaؔq~2A;̠µbb|Drý(<njײw@JY?759nVs饢{ZmߎJ"CT)D+$ ~ Q)5% ESn$=Ѷ0(m}Vc2Tdb:+ΏBY=Z4 R>^JB_=~ڕ F!d QŸc@)Ux$YW)^Г;˓n^F"cDF Q5*C*4N'=Ȼ6=$tC\rQ}3}2,B:dQ7bo2ECK y"=d#^rxƣã}- NRͅi}gyFOp8+E[GJ~_.%b1,;dj<ܪt-Kc *%cڝj84k`zS&`w1LmdR͎9Wee(O?<խZ(26)sYgqgG15ި`[',Gta/NEJ<˧x&H[Gf,B.deXO`h\/7(4\vݨ]5 0eJ' ڏN=yr̸ M 3UoH\n T؉}p V[5Цyק7,Qn]6k,?T1bhA/r#UVn4VV iE<ؐpJ:9"EyfX-m{dcc 0 X:?Żg]Keݺm~k6&p`VڼVe$AWtۄ;NTz\v\쾷J҃n3) L>pI="VڭLB\;h컻nŀ2ȎDN^{H?t)RƀceLTeFծ2 "\(ӯ䶥=ჃlXl2Bx\c4}*tIÝ*_)C\5 עɖ=ԈҎ?)=,-"&׫nUہӞs_ǘwm&̆Kb}^ed HٷR.|fNTnzعU[sMG!Yfn XV{Y~Mqep@n5U''o}+\^CF-D&o2-jv+)dB-DYxӑWk.%\ZJ.K6uQ ⽺3ND! [8v1wuWZRU^HH2y\'Jvh,E7)STeƭZǡSRsjcX1\!܍{Ga%=&Tn}-5¾&(c9y6Ala+&N' rD|&hFgqx8ݣ^Ib␬:3ZSϜbOG}!4XF\[xw@D|h;vgI$ yư8ȱo Jմ-zxzZ5,w}cT1tjyT-vf?UAc]$N.QR/uK =?I(w>y|#}j 4*ݴ"AYP\-r,[)DPl('m;sc)J)!nDr H\l~xPK xz#ޓ[#1ylr=M ˰"ٝHs1>+>8HT%Cod[٣j+wF1 >s)T^o^Z';˻WblX~?-V-nMi 6]CC v۪ hOCv}Onvpo' $I0yEX_-4MZa:vmܤU-7)W{ʗ)ī;/wiAB-`ͱ@=U  _5^Ri:R֎k¨լ^vN&(TDa'zq;xٖ֭*\ae!2ᦅbCҍ99էapUI lL%/&uA0=yߣ` o]e@h&{:!-uCòҠwz W*__RxwtHb1DK|Au1M[ԿgSNu\ YsōGZY)\=V4XnW4DTGZLY'䀧:"%*NLF!(5_p-\[eY,;%_S@D2 S8#C-ܶvK(Wz_3OO&^'huZMeey<d˥Tm~b+`Y6#Kk/T_jQ> AF鄘|{+1 ͟;~VX1љw<|+|[^_]2,^O0 8=ɘ*bYDQ }pnޘqo#X;q{eNoU y0~%zPgWӣβO̶w"_hH?u endstream endobj 17120 0 obj << /Annots 17122 0 R /BleedBox [0 0 612 792] /Contents [17141 0 R 17137 0 R 17138 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36056 17139 0 R >> >> /Type /Page >> endobj 17121 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17122 0 obj [17121 0 R 17123 0 R 17124 0 R 17125 0 R 17126 0 R 17127 0 R 17128 0 R 17129 0 R 17130 0 R 17131 0 R 17132 0 R 17133 0 R 17134 0 R 17135 0 R 17136 0 R 17140 0 R] endobj 17123 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (apply_hw_ila_trigger) /M (D:20210608081201-08'00') /Rect [104.1732 323.7195 202.5682 334.7195] /Subtype /Link /Type /Annot >> endobj 17124 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 307.5195 195.1267 318.5195] /Subtype /Link /Type /Annot >> endobj 17125 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 291.3195 174.7052 302.3195] /Subtype /Link /Type /Annot >> endobj 17126 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 275.1195 200.7917 286.1195] /Subtype /Link /Type /Annot >> endobj 17127 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 258.9195 180.2547 269.9195] /Subtype /Link /Type /Annot >> endobj 17128 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 242.7194 159.8332 253.7194] /Subtype /Link /Type /Annot >> endobj 17129 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [104.1732 226.5194 185.9197 237.5194] /Subtype /Link /Type /Annot >> endobj 17130 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 210.3194 177.2792 221.3194] /Subtype /Link /Type /Annot >> endobj 17131 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 194.1194 163.7877 205.1194] /Subtype /Link /Type /Annot >> endobj 17132 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 177.9194 165.4707 188.9194] /Subtype /Link /Type /Annot >> endobj 17133 0 obj << /A << /D (unique_320) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 161.7195 198.5697 172.7195] /Subtype /Link /Type /Annot >> endobj 17134 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 145.5195 177.7742 156.5195] /Subtype /Link /Type /Annot >> endobj 17135 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (write_debug_probes) /M (D:20210608081201-08'00') /Rect [104.1732 129.3196 202.1722 140.3196] /Subtype /Link /Type /Annot >> endobj 17136 0 obj << /A << /D (unique_325) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 113.1196 190.8202 124.1196] /Subtype /Link /Type /Annot >> endobj 17137 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17138 0 obj << /Length 19 >> stream q /Iabc36056 Do Q endstream endobj 17139 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36057 20690 0 R /Gabc36058 20697 0 R >> /Font << /Fabc36059 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H Zӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17141 0 obj << /Filter /FlateDecode /Length 3561 >> stream xˎίyq~4Z7'ؓ'Xs離Xl猝&bH?l𑊕gd2E|rOuQi ZVᴓnu.jS1{ ϝM|"/J.Ddw2nu)#AUL0 ĆO*ŵ,0r0` ;AȵAHB~5ƾp:k{:Q̑1;ּuc#11m$1:(W@n+N>Iۺq;M]gUe%cG.;Q>䳓~[?"?M.1͠ioYF &ei@V8jnzGXSd5*S6RTNN۶S@5(X1 >i3ڙh+i r 7t^ q T`oM|H:DS\ g21a[7hiJOljt t& ; u}^Xm"zcFxC<輜m|~ø(SXGukfVP}V=k'pĤ|LD[T,_~\ϪŨ bd)*r %Y M h 0Oʍ_@3t`AlpFp e`@k400aXjѼ$ڔg{\ Uc2z*TsTl%bOF{$*F8Ҕ;n6iTǞR&mL_cyh?(NV3 z\IF|m3?Mv`S nSN Jheڒ3D,8h|SB @”8 RİOՄƍ1 s8ŹB21PI?^y[79Uc0ckq|d1Z?1gk=}5碆0vNxc L q$Adm+ K g=28fuv l(duc6'FyNQK\¶%@B#/'8jX8b!F|TsLZp,j ^P2xcIPvu# jRYN.h,OQR {:E}PUd-~I#aB?Y E<,{IETӺc!%웜IkEtqc4PP}dC|Who?.Sfj6n,K[dm ۠0̴=V_ Y 0}A+yꃰPxO\n**\\و}MѶVyX꣧厫sFVQXInUK,)LĄ: ;]mAfVz(Zd^+\ Qy⁾ n0Ɓv1$>RA_҉Eŀq]}M%E nhRySY/q85>ڍ.j߯ 2paS&g}[tck艇,*q&ze^[u xZJuBw..߸Bq =YQ0L:cpr<^{ x.d{UÈzqӮ\_]Wϱ[e\V?dWǰB.NJͮwظh) vVs?9wl gCl(^B<]ځا !"||v 6Ө1p hlbipuFz؂@G)+^E ,^^xBx92@m!de!ft}:znM; W1ˇ1Oȋ5Qz]zŶrnaBlm>x/8 qWן]1*.Pl+za7|6Za8aSt`Wr\) ]H~|l8YL"h|"ʄ4ל޸ dR|=AI'|!,{beݮW{:!Z(rI~TR2{.i5UMik3kȑv+]JnRZS}ٴ>Sg⿖/60 njj@e%K|4흀en] 7lp Z׶6FE05*/t1^h`.DGIxwDOC0CåCvBT{kO0MVL3 vXwbex>-mmGF1t|EnL. ni6ɬE4nu)d` y![BjHԑu{hBzuMVf?טɚT$^= [SX鈧ֿl+4f4LUKVT;q1LTƪcxe-^%/qLuh#1^4H]308U(-𝅕Lw )~τ{?-BfdO ܞ`q ߍ_Q4hk iv}-5Xij9}w,?:&] ƃ8<*oT/T%&5jN& endstream endobj 17142 0 obj << /Annots 17144 0 R /BleedBox [0 0 612 792] /Contents [17150 0 R 17146 0 R 17147 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36075 17148 0 R >> >> /Type /Page >> endobj 17143 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17144 0 obj [17143 0 R 17145 0 R 17149 0 R] endobj 17145 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 17146 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17147 0 obj << /Length 19 >> stream q /Iabc36075 Do Q endstream endobj 17148 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36076 20690 0 R /Gabc36077 20697 0 R >> /Font << /Fabc36078 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nTӡ~1OEҤYU.p' JR endstream endobj 17149 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1568) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17150 0 obj << /Filter /FlateDecode /Length 3814 >> stream xڽ[Io#ׯvj_BH|3ANA mBxLuzm2|bS3SS666u~N>&7}yv:`5fؚOJJ%o( ;8m4a?~K/QɀJ*' \6ӓ66;}O?f˙DY.^i3fj)R м]]).z D@^#&WlM4C%wf YdhX~ʵ>0f21 Qyꙭ" ;enCq w@:-woHCRSVuRF=衙GNvO{@:FgGV}YtUit8ݒV+>5w85W0)\kփFFlᗯ>Hf Q^} y3QS*~0,+>IyfL2<JFR>Fu{ S.m#Rހ֭)J4cd/ZqYU SbUR.kn76/BsWV? HP LYx*0uou$sLE80]/?NR\ U 5 #=(,Cχk7".d[<XΩ@W꫄5}e11ц2^A!ోIxA6LHI l ިGHx4{XjBE+ׄ@fzk)@ح@ ~* V尼a#C\j k+;{q̷M goų VvN0t=sEI5% djHs +)[0Zqljjzйi|tx 70(0 uk,> bDip[5ZQF$wc::#]P^oE n'gX$;β502 m_`Bj"nXZuS-p&mB%ۄԨH7,osMO4t-N޼l p? &֐nd&˕qg;I'ix>FTBO7`|\f6p/ǾuZLk 0c a]Yv-W^,&-ǂۅ"6f] ]6Ɵ:TU SvbPKj=J*FԄIj%E)3(PI]&p]5ra9RU(%hMf5y&@iDe5j^z{\Q |V #6mX{V/5+ S*{(* IkiO)[4M2XT3J&I,Ľ:X"$) #f-$:4dm:u̪:2m3y\%::;<807]r+ 7zqFc~t6w 7]Au՟TLFkAUΥp*dn-PW=*y/gGrGLBXy{ K4C)}ڸ::OtMUOn&qTUw\9=TO洙s%!Xf'/guGi3Z}jdztRzySO)Nwi`9d~ '<,pH{6hN8-Z6>>mf kXCqpXh;FU%qq7:^1\:};Jav(Mk=֮# őE z^~4sq`{jO3O?aA|34!?kBӧCi6:|1."b`#Į 5 k-Yx.7<Y P`VW;Uz;sŲFKO)vpKkK69¸HPJc>s^;)Kvu2tQuFh7QLi iPV[QkxDjɸL9^5<PE. [cśZu.|E4o^&Gˁ(r e'E! khS&W!{Zia<hx6qIXĮxl\tPpҐ;><R=+W1J6y% wC)XŧaC] A z>"і؁u>/Au^|8nI9ـK}ܜR 6SEb޳st/=.FIT_5HKHpJHJWKBGG˯ͬwEr+ѳÞIKp8HQf"52a#N  _өI@%0*J3%RD0 >ڂrIKZ;זSk6w,{(5:g-ٺ!4S.jrlealG9&#Շ* nݰ7s!,'mA{1=7G*|XgRB8T\ _›8^MOp8lr7wo7~kKp BAxB.>}gu;+8"$^GɎ xQ!<}3O3'#<ZՏJ 4Sqݶu.@I ~ ?웮pI8qY[\J؏ʜRuMcim 7gf4\귎g DtDE+F7 endstream endobj 17151 0 obj << /Annots 17153 0 R /BleedBox [0 0 612 792] /Contents [17165 0 R 17161 0 R 17162 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36094 17163 0 R >> >> /Type /Page >> endobj 17152 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17153 0 obj [17152 0 R 17154 0 R 17155 0 R 17156 0 R 17157 0 R 17158 0 R 17159 0 R 17160 0 R 17164 0 R] endobj 17154 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 436.6423 199.3617 447.6423] /Subtype /Link /Type /Annot >> endobj 17155 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 420.4423 195.1267 431.4423] /Subtype /Link /Type /Annot >> endobj 17156 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 404.2422 189.4397 415.2422] /Subtype /Link /Type /Annot >> endobj 17157 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 388.0422 205.3787 399.0422] /Subtype /Link /Type /Annot >> endobj 17158 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 371.8422 190.4132 382.8422] /Subtype /Link /Type /Annot >> endobj 17159 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 355.6422 207.3807 366.6422] /Subtype /Link /Type /Annot >> endobj 17160 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 339.4422 194.3402 350.4422] /Subtype /Link /Type /Annot >> endobj 17161 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17162 0 obj << /Length 19 >> stream q /Iabc36094 Do Q endstream endobj 17163 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36095 20690 0 R /Gabc36096 20697 0 R >> /Font << /Fabc36097 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n ЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@%tw)!i\vhw)Fa94C-ZݛD endstream endobj 17164 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1569) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17165 0 obj << /Filter /FlateDecode /Length 2449 >> stream xڽj$="6>eif^WjiJUGDdܑi _/Y. :>F?}[}ϝ1G>ɇ?o0+yX_1n^D%3 s#.F@!]Gi,Jgx۽$ƖEk>3܀;&ƽ3IJWb`֢)0d"}*: p͏ g@ *e/|m IBم76eQigj}Xo6ι!)ShPdL|BhTc\=MG?P,Hg{g#wٴ11y"ЬnL.5O5ĚlRovӽ=Ķ@e0:m*@Jj{3PX Ģf|GNNunIײ\gekEAj^ռu&`]s_x`$c :)^mDZš04WjE  ,sGa @ó&~0 Y4Hr3*''#?b3{^L=gJ=H bO= bLD X9sG-G 1T;6]}BAIFrWi9kI1{9)_\O9!d0l9'z&Qz"o]oD0`܋{+yX[Q NyhCYc<&|92iX8q[|P??tC5D-e[:0SOTc`ϣ}nܹCkPpԍLjb{QjU44J.-8zbpQץ O;^q' *W y]31?/5R,22Kȡ0^)m692_z,BBZ+HYx;_45vg!H/;ެSXfRJ/2 52}4{M/pl L95w$@'-% %6tovѮP7{o¨}Bץvq4Twirh Tn٫DOi`Ք e.hB_imT2oGqqMRQR'%=f`F%qo=nrsG2:Ѱ}Y`}ՁV*ӌ J%u$wI jKJXUyx^p5t~0:7mKvS?~TթQ%@*>BlА&+wSųP}f`,7joM-J&%.|aN EemP ք?h;jE@ wFn3tmk9oWO0ϫĻzW-Ԥo;Jw4o qunF 0T|̠'pZ3^XdGJvjYôe7dz\/w=Q4 tڠKx`[2[ %IFmޠ w3o!$ъ?6eL B #E vlG }5F9bn\Q˓ak1Rڲ0ܲTTWX-l-3X\qK놸hK}>yY&3l1;$Pg87F6PffI 'l7!+}f'=^"S G0">ۜh69rTmcc"2AM2$)gLGJY`:OdpFV$!x9euX ~Q ²{ghpvRNIPN8C>o@׶"C6ŰgtqIq4)Į?)̶-'dx1i. %5&x%;闑 oT_6+To$ 1\}-k7fnac_Ut ]keby {KHr Lno:I,a~Bgc}DOړs!ݥ)+ir` ;hI1|ğ+,o'N`Gx{!GqdQ5> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36113 17172 0 R >> >> /Type /Page >> endobj 17167 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17168 0 obj [17167 0 R 17169 0 R 17173 0 R] endobj 17169 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 17170 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17171 0 obj << /Length 19 >> stream q /Iabc36113 Do Q endstream endobj 17172 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36114 20690 0 R /Gabc36115 20697 0 R >> /Font << /Fabc36116 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H"RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 17173 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1570) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17174 0 obj << /Filter /FlateDecode /Length 4013 >> stream xڵ[KWl`iY M ^|Iꞙ=)XXEQ,]>.,/`Ο\b鷱k1Cx\NV]nw̃[Q']Zۙ]}ʦ]}_O?-/W!Nd/Kоzj@O^cHjMfGe J,1Yb.ZP֤Cьr:>kp2  ʣrgag "uX_zD&b.Q$e: "?{OIS;s1ڬi\~Jŀ, ˮ> `j5 6( m+0w ZVgluiaX[8L/4-TB-qpW$[}^-m{J4Ե1.W޼ĴJZNάi! -Ol7pfHk-za8j rzeklNG e8T~#w\#w;7O.PTUqhEF5xtdEΈ#pOHo ~,n*N]( 8斏wAF+Z \[’l=ld~ lE7D7m6Fzix?2V>2(qHVnTom|j־+<6 9~y>;US5Q;~vfC}߉XEܱ^ygoq;CO귨H B QĜ̣4΂#CQr:WOB<`CnbGx\ȑ]/?.'R M u@ % G(|a-CCŶ!x5(CobMRJP8 eRkj/-׹v׋iUe (N4kiG;18j J:6 X{͖l lr_%$->` 4*Ŧ8}UPWzW)޹Cq^X-}n?E7ިy^ X&g;Ue,VH*rŸwڽ0IUǢpka\ r9iRZpp*@XƭF]GٛF, o imluy~f _ ivq)gHboa:}<*mUaCS2uз#/X 1 ͕P4V=`UXG؀}TϏKv#m)Kɶb3!̀=80 nhEk݂聓t٥.1;9׆4/CHJ&,{d^S vPrǩu[֐ЕO 0M]-mLh$}dѢZv}E(`~ RE+ff&hF+؍RI_G=OD{T~gdX&Y‚CXgH93egWinǔp6/ƀhWM=Qު[p+(C8*\Ǿm5ddQ-8\rfݛGEhx Peyk!>yӖ5H/<;V<شDUbe$Qv'ٸ)wV3BD.O/ݚSܑviu C?>` ;? ۧHdTcbVun5_;8LD6!8;Zoh8r$Ud2wz3ޅX'r7a[]I yΦô=CG;_ 51YR*֔KQ#2->,`shh GL39r,4[9}M dx8⭡s\VCzlqpoXGjQ(XC1V};%4ϾpDR$Qv&[.*G|]ę>Jl(b_10"tyY}޷0{_FI.7E;dn[-os[ ~U_0ve󖡨LwM' ú58cλQ !_7C3%TY|,j{[f {D?FM]on#v)}>Hr~0r;do$!-@8{cN!GC&J=b &8GNA^݀ Vb$38-kW4)Rz|K&*mLGj6Ɋg˒;CW(x@ZG^SľMZ\o&㚇0AL <-DR NM;4i$ekX8 h7Y"v^{T-xvkQ5kd>w\.TKyMC풍֒Vg#؎6wD8 @e17+4&6t%6uw A Q}ʹfiRѶ 2oh\oގ~$v js4-唧3Pc(#Xդ֚lS}]ܚR 6S0ЄuFg,_EJ.lLK@i*)n5ŗGw/":URPV*cɥ^Tm\b+`Y[1(q.|iTYe;Ar 0Mf8(jRg~N(&Y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36132 17187 0 R >> >> /Type /Page >> endobj 17176 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17177 0 obj [17176 0 R 17178 0 R 17179 0 R 17180 0 R 17181 0 R 17182 0 R 17183 0 R 17184 0 R 17188 0 R] endobj 17178 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 436.9384 208.8492 447.9384] /Subtype /Link /Type /Annot >> endobj 17179 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 420.7384 195.1267 431.7384] /Subtype /Link /Type /Annot >> endobj 17180 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 404.5384 198.9272 415.5384] /Subtype /Link /Type /Annot >> endobj 17181 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 388.3384 214.8662 399.3384] /Subtype /Link /Type /Annot >> endobj 17182 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 372.1384 199.9007 383.1384] /Subtype /Link /Type /Annot >> endobj 17183 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 355.9384 216.8682 366.9384] /Subtype /Link /Type /Annot >> endobj 17184 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 339.7384 203.8277 350.7384] /Subtype /Link /Type /Annot >> endobj 17185 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17186 0 obj << /Length 19 >> stream q /Iabc36132 Do Q endstream endobj 17187 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36133 20690 0 R /Gabc36134 20697 0 R >> /Font << /Fabc36135 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wZ endstream endobj 17188 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1571) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17189 0 obj << /Filter /FlateDecode /Length 2415 >> stream xڭj$G_gCcH( j sA0JKJn5ٙ}ߴ-*׏O9,{SU;!ÌI֭{|{QN{~@ Eyk p~YVkŽ xhy#nF!^ؔv{ʞZî@8dmu5ď? b]+z& .4,Шjqc/Ǫr{DG2?lm,{sY}FxerhcDD? A2A<͡|&ef `7Cl ] f]uMY @nj@mS@j6nGWI􍻭v͂}ƕu6Q6\:sjxUQDwM>љ]s_x$s2>Tv3PuSµڈFS>`-h,[O? jNp*2r3uϊ#pD5v IIcRi=sl\k3S:w%ttq5gHQ-]!JCxK Sʞsp )sy'Z.1~|hJ* 0fJ `V?5OCd=LƈE< `ch]JYck-'$ ֓oP=v8Ul4M2EcSLR4I1ɷצLA} +2bm1%4ι__1`tF2nϻjRH~QcLeOfh 5Lgip_4i۵ $t#!<7`sOVwD!zkR~[&RY:t[+ 0ObD.`X4݄LHlp< UAYƎ \ܫYvp;lDq mV '&dbBYcg*" :^ G6Q:A[FUAR ^ y5]-G5sOS9KmݶI;hN:aUڢܞP?DԵ٩[Dqj}/Y$>BJ=NO߯RHdoIQ/|7]0OXO$ )??Aj ЋS>&`K\!A,K1;T0c&7o’)t;bpKWAT)n|` Յ E ^W6d(֌73uЊ@'A vh'딫wS>ן wىvԙլ; wiކ~^ OX^V|0>Φ m9$J¹j 6% Rvl03lf'\//(Yw#$EK8 pڀg-–eC4k-D6Do kIGcMSCx̤U@nxwQcf'*7Y.OfHNy9&3l9'_HT .7  Ċ͒OT/oVe.,TP4. "͉!#JG%l.2Zam#:DJ$)Wl凌:?31ʯP& -,ˈCkug@,7d4<2 ;*/$(/bGlE&dE+%΃KכKK3J l¡쥒<Ԉ_FQR}æCV7]f@ 1ײ2<6V TMڝ[3˫usw;I憙eX=x vZΘ죽'iCBKiSZ_W _ o"/ѥ9bBb'x=O{)$v US,ÓdJŸ Uc1鷌tkȓxӑbWXoMjP*?sZ6[cAn\,u)C,^Q@]t0Ö endstream endobj 17190 0 obj << /Annots 17192 0 R /BleedBox [0 0 612 792] /Contents [17199 0 R 17195 0 R 17196 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36151 17197 0 R >> >> /Type /Page >> endobj 17191 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17192 0 obj [17191 0 R 17193 0 R 17194 0 R 17198 0 R] endobj 17193 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 363.325 137.278 374.325] /Subtype /Link /Type /Annot >> endobj 17194 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 363.325 175.096 374.325] /Subtype /Link /Type /Annot >> endobj 17195 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17196 0 obj << /Length 19 >> stream q /Iabc36151 Do Q endstream endobj 17197 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36152 20690 0 R /Gabc36153 20697 0 R >> /Font << /Fabc36154 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 17198 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1572) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17199 0 obj << /Filter /FlateDecode /Length 3410 >> stream xڭɎ\ί@?s_aiCb;4K~?qyKz4zȪbmd(E[m :E71bd׿PRcb|'g7oXaA[nkX=|}z s+NgM/VOU%bd('8Xv^۵|Z5XlbdL_|zg@cAyh+~㶇y0x&|!iFHJ?_SS+p_cDt i-Z ܡ6 r! $ dj}f<'[C2tYLxAkD@`[Xj)hJ[cmW 6kŖTqn Wg1OOƢfU/<;_".Z36 CqA>l;k~ }=JXcJ$[TYwҵ&@,(u=t?)K VH xd ft@ J>ͪ%=)Ơqv@9z™࢚9 jpҘ5R: m@At]JK<(e&dTd;CRlIa!j,lْ5ܰKxF ףgK2 KH4$(V?]iEp\@ Lu8&>Y)l>ő`)f#]1Cˊmh㵆Vl*=*s[Mc*VMd@da/2~Wr 'qRzN k#ՄXƽFCGwZE'ju$j#DQ!KZW]\J[-/ u*(\93) jjPm[(LmDp؂&.m;h*ͬ0ІgN+P ^C9`8uarð67Aa|8Tmb 흃%(gbia+'/1ͺ7T;8oVs–iqw(ŜN?.Jm2R4*FXZ#"7ҁ$c(zV^|c\];W. ϽS+ɥԪ4% " 2? Lj˅QʃÄzG1Η!XӝeD+#: b9ATOoַ0l\G5(6z~ֿGFSpN+|v2D c:ʶeFG31(ӊfUt ӔӐU,)S+,>u:}oVU~d(b9fZnU}a AbBwkotB IO,,JZ Ŧ9!i.6q_R*w%C}OoMDXӑlx~'5 EV%ڭqfޘCo\3 bUn yǶ!M8ZP x$AR;^}N^/) Mv\~/58bu̻0Aud~޺RUltOieK\2qBP4} WVو릌5[pa!J]^Ɯ !V84bHCΫ1N\Kc`L0n 5DR#Lux0]$mp]O30 V:.,wkFn3u͹s|Fb^m<2U3u7qavOc! ;#Ws#u|Tq,Q x5=ro'p-%ϐ'v$MGH&XǸyY'=V ހ䖐V+r,NYY K?~, lR5i hT4@`dp㺥&38g۰BۍO[oLx=jL&H#~Bs&]SW'~95xqPZBGG.dgj4u]ҿF )`8ɧ:F5}e.N3GZ/8>matbP'bbQ$!T<~Hg[2L%Oz|c ,G7bQMYovRNrjody4U'6T\c< [|87>wHqQ2ƫmwۥAQǘ-7>o=osX]5em,!j\ru:o>˙.DI໥T_r6S ^UNsvIF.Еtg|t)^6M8z%!19mP!qb9_/{[Kn,g#<%n~[G_^`MV٤upC&Epq.۸׋ tTjX^UlM]Puryo{ѥdns =L@L[mB.zA endstream endobj 17200 0 obj << /Annots [17201 0 R 17205 0 R] /BleedBox [0 0 612 792] /Contents [17206 0 R 17202 0 R 17203 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36170 17204 0 R >> >> /Type /Page >> endobj 17201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17202 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17203 0 obj << /Length 19 >> stream q /Iabc36170 Do Q endstream endobj 17204 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36171 20690 0 R /Gabc36172 20697 0 R >> /Font << /Fabc36173 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$^ endstream endobj 17205 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1573) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17206 0 obj << /Filter /FlateDecode /Length 2973 >> stream xKo_Xz(zJ_Qd %;vݴ$D{FHV)ON|ӥhݳkk6է5C4Q?}0ڭ.Ήo))p470,=iz6N!: !h,x`52 Mrۦјc N{>`5o!!Ôx>@{q\iW,anFpsF3*Kpg:v2 pӼۭ0:?48?59#LGѻ>3[hMaNaol@Œ0+q5o.r8OخpK l3..ʥ=Eq"͹aFY⌵X;+ [ȣ qz:^̽^8Ux oΔlv\BùdiJL bŪ,G(%^gk:߻BAuYњ~ƖbK񾊚iwUJ$tXR6<.n‡8XgsAX`E6f"mϷ6+*0Cbɫ,( J%VkWGBf*8_@(⾨ZpjAـ;2.|L,\hj|i_ }<$XO1RuBY .BN8& lǍ_SkU\R\m8 NȄVox@JUNSN+'Э> WeZY&9e.`؛T9/+*`UH>@2D"!vu=#TIbhб_xL+[`Zg'`vVS-&v,/su=C<13yրpmIDڇyULC~9RQ0Xt] Tѝ*`_P]b+_\A-a߂Y~5:> q>0U㉇qy.LsfZJoa[ql7ĸwb29,t\]㡋MRQW|N⻅ҖJڠtvʅ?xϔb4 1w#P\{;0Hmڔ0M¢RpYp9,iS!ve8̊e~O!ZVRm,Hkfkw(Hj[pTEMֻ3 iOR+]ҡ:7 XTqLn>Q]nr;6TfyiS|zV壐M'I,N_|#X]пk?t;O_Q>u58D m -O^P]ti(K/6L2R)0t.pL8>k#`h^kEz >Ӈ>86xInl2n D\Xl F0_dt\z9vdX$+S8X$z0s=4,IZ> ᅬK)#^٭bZ ڬK9 F9b5U]R\A,/qnV q$ v;1ەL 9vrElKp 9ՏCsY3Rox'<6O'?<%@pWAh'!} p+x(8fG8_H s,%>̀! t >q:42#kv=OOwp#YN<6%x.?=1_@mAI^rzڴH+GBlGj_{eN/LE׶lqBnuLHl,{2V @w"d颕Yf endstream endobj 17207 0 obj << /Annots 17209 0 R /BleedBox [0 0 612 792] /Contents [17220 0 R 17216 0 R 17217 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36189 17218 0 R >> >> /Type /Page >> endobj 17208 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17209 0 obj [17208 0 R 17210 0 R 17211 0 R 17212 0 R 17213 0 R 17214 0 R 17215 0 R 17219 0 R] endobj 17210 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 316.2346 197.6017 327.2346] /Subtype /Link /Type /Annot >> endobj 17211 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 300.0346 192.6352 311.0346] /Subtype /Link /Type /Annot >> endobj 17212 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 283.8346 182.0037 294.8346] /Subtype /Link /Type /Annot >> endobj 17213 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 267.6346 181.4207 278.6346] /Subtype /Link /Type /Annot >> endobj 17214 0 obj << /A << /D (unique_312) /S /GoTo >> /Border [0 0 0] /Contents (scan_dr_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 251.4345 183.6262 262.4345] /Subtype /Link /Type /Annot >> endobj 17215 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 235.2345 180.1062 246.2345] /Subtype /Link /Type /Annot >> endobj 17216 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17217 0 obj << /Length 19 >> stream q /Iabc36189 Do Q endstream endobj 17218 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36190 20690 0 R /Gabc36191 20697 0 R >> /Font << /Fabc36192 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17220 0 obj << /Filter /FlateDecode /Length 2514 >> stream xڵɪ_灪=+ie7>dky2H}ǖK~h{DFdIV;aӟ:gu z8eYXK?lD`lZus)s-Q? yŀ]=`qƆ1 2gh; 樝v=vdwT`Jn 9n{OA/9"brF~gr<@se'lX )O<.gn1|W'62l%F|]f؁Dgh;&Y/bDZ=S3O<uv(ÀzM|.&,[x7ܣyf&]#n'm$Np{J}J-.5Iz+ͽi=հǘPR>^OU&QĆm*BcH [INU^&t-yOSF|vG(=PIkus_Vu:Γֱj(@J/9ӭ}9 Tf3 /7 ;PݚwPRMlUbS"ˉoW`59:[{J.!#`|¤~^R'sdRq2閜i4%!YMPˎUee-KTQ92[VU^YZ6/V31>,!WrɦKNk(kĽ]= rѩF"UxkԸ}jkfPz=C0J!6UiMw%(1iB"wm]D!"`_[/P@-v;<:?NO6G(" E Qbv09&+Sg @͛8gzH+:[Sl 7~aAج)@?<;@9 b)msITu3G)W/)|!^xeիRgj\;J w qvnZO{X b~:CO6ilX}(N5D!a20lfdz\Ֆh.GowE&dˠm)M%ŵs5Jlh¡kԕT줟R})ᳪE.x$lsx/B!ԏR8bc/H-RGdΘH7)mRL+`{F_C!sĸjǬ3DzFcv_mO:aEY\/x_BsڅZ7qWxk)\UXX9{_(֠pj;TIJ!z3"vbzσ YL&6 endstream endobj 17221 0 obj << /Annots 17223 0 R /BleedBox [0 0 612 792] /Contents [17230 0 R 17226 0 R 17227 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36208 17228 0 R >> >> /Type /Page >> endobj 17222 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17223 0 obj [17222 0 R 17224 0 R 17225 0 R 17229 0 R] endobj 17224 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 335.625 137.278 346.625] /Subtype /Link /Type /Annot >> endobj 17225 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 335.625 175.096 346.625] /Subtype /Link /Type /Annot >> endobj 17226 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17227 0 obj << /Length 19 >> stream q /Iabc36208 Do Q endstream endobj 17228 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36209 20690 0 R /Gabc36210 20697 0 R >> /Font << /Fabc36211 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 17229 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1575) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17230 0 obj << /Filter /FlateDecode /Length 3843 >> stream xɎ%*k_A^wm|0r3~iu^83y]%J")(d& f|bϏS))iwu4}'kO]_M_?h4[o|3*5=ڝۃڻV1{ooӧO+ 4/Fo8% UzθOkJ4O?(;GpV?OYNn2k9hmKBYUX^ $Gl; Ɲxզh9Em-!$Dg "KgxE; ^N'}`&Ho)(b-> Ff2§ Jv93r3!y$-I< Zdd(%/Hg*Exhc@'p)>|D`$fImcjRLMm*/# B4 `d;("mw7)鎬DP JRL*}/2n\W rBx0@N`0"#&<,\A)޿+f"1"F6@[i͏;jݙ{K2*U@Rw,;J.1y#9pnﯴo/Q{ߴ\598 bE+K롸;iANKqo kQ0[u`kⶰmT M8=hGRj3ntDj>+Ыe=/O7gAQ3HqC|cJK 4#YUiX.wѥ -kyQ뼓u-j̤Dc:XБ)/zJQmW/egb |`pm{".Skhg}֛">' .t5R=j-e)guH ]CC A:e5$ttG%&ungL@ˊ V_俋 0"+BȲ"Q/]XG66bg [-\A /I)*Zm\GC}+CJ;[?R܋u.zZMtTtXE=r|Oj[heU@6sO8WбMoF:Gz2[í/\gqMrO A~%uSG'IxuzT9xqlp+D|aܞwpy˗Y@n=[-C}TZU֩{݆J-vu}{[M_& ,/1S%x[~.Qi.?O9`tLhW2 .C$d ޅXΉf_"b 6pV4 -!-&9쏁zU TStG=LAͬF _q-x) *sF$-(ȑNQI5i֗RrK)Df- /& ,!eip[+u<5dfB8pm4Wz!.:[{س2[nJSRlzjo\ffꪻH蝛V\ϟ,0@&:X_Fއ{鮈e6JVrkce-WٻS,E6k:Z֫Ai9Az8G-ẵpݑpjv,qy#.~[a7a'=ˎHƽg="#E5 {զ_(l5 C bӑeY{XZ-̥_^ej/:?5ziܯ9Q2q̭90w83A8j2jR!hJ)%LQru%B´J+ƴIkDڴ>P,R梍cI< Ý*K8f6{( .$I_bCdˊ5aii\ːD{`Oe O1*o<80=O:=L)% ZXh*e\DНVXWڞ navfC7ְɎ.mkTS=3k~5M &ɬ&x$ʩُ֜gɥ1{ɍݟ6TS3(ޱ#3u:)9T |klXJ #CZmqJ[CqðcRTL5:.} B#(K"n)[ƥ}Aj/w/"J>9kF @tK.jz V6afi3K _dpPd;Br jݶRaHaDž27QLQ}c>yȯ y!DT\OrPoMٵ3UA'pʩ 5E/RNÇC|Z3Bm `wVѧ3%З ~IKX}ͱXD+ڡ;l^> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36227 17235 0 R >> >> /Type /Page >> endobj 17232 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17233 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17234 0 obj << /Length 19 >> stream q /Iabc36227 Do Q endstream endobj 17235 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36228 20690 0 R /Gabc36229 20697 0 R >> /Font << /Fabc36230 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ& endstream endobj 17236 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1576) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17237 0 obj << /Filter /FlateDecode /Length 3517 >> stream x[IkdWh(EU5Y9y/w_ P%d* $ߤ++?ve6xIӿӻ㛓_ƫ.*VA]娵Owx{' ;'{y{:G:1|nD$J^6@=$*I%461kcӜEd-o'$949eC)"k>uʕ/ƨ@0%j8'iQ@MwoIܮ$YmFQxm8e"gKFRx) le4'k=g~X39=o xnmdm={D|1\rԄLǚt-r eE> | A;$vrsG^Sq8!ȥb_MJޔ{v{WV%JtHgюLzĮe1S-4Cd頡X J MxS45c WO5Pk *. c%O5Gi5j׸~`hRh4ѤE R~4W4 MD6;OZ4in7+6 -3۰ 0@N SsbQf04K0c'K7}*zt:zyAb[sXjjhT8/۴uRe;݊nUWKNnzq4=-9מᴲqhoʾ[c d QCHa!A73H mG<M T6k7]c$nsj̎^%뻭r+Yj֘`uQ^V|[;$bT/ Z6Re*܂|~=ẺjbSN| vj``5v:f R`l룦iR0i5p6|+;ԣLh>VxoZ.*Xm,k7Nn|2P4lo&8S}I<`+W%T^NZStNǶ $S݃E4T1߃!c]aM V:]虭33v aZӆX up U[}rgJV&ZIb#InIkEB!œqf }T"-0:V3@LX[51 :OD@Zq iH+~iO"m`i\H!1[n |}J|nn^R1rvPUBUUK&"6Uz` ~ s@-ιMKr/p*rɹxצ vVS<ڸ|/7{ƬzMbWҽ qLb3up_ݺDV$f ]a2փ rDm`/🁅GȸUq_{ӣA͐||<%+F8!vqnSgqMD@rhSUjiM=m2~#MSF2ukj%&gf {#*S%)gւvӜ;3\yS6g:Tf`0f7~}gI SUpjCmI2 Λ;/LbSNek6֬]v]M#z^m_bQ (,n0A⪻^?Pw٭ryu)jv>fё mtUWm85M?7t}a{l<νt_#%e«oe9q.8eJ)vR`6[A=&d00#>1=nCֿIIR*fzj1|Q:;ȴ &z78}Ȏnׁ/谷*x&2Q,J~$>PR[S"S% D:h2qG.r.˻9/kĻ*uС}Z`Gv}>(kg&l~pj %:2>f?--v6c{!&0A+?=n2TLWXAB8 g<a{A\0qpʜ~b0AoT׆ youEL9 \x 4 CXyVlw+\LLGYЮ&oh#F'.(M[hp&~xJ: Ek\*qj_p-h\<#^aCR$qG pjz,WT].ii؊:W=&o!o4a $([0\C'RlcHqA㧛mw[Ѓ!^|T6"NNMOs)b…v-IB4Ω"߿yoC:P_t,=ZohW榶eYawGMPc.TO`2|! $L>?{hoO*ߣs칖s܄#):ڵ ЮxʁPDy%Ooض)}O~O߶.30~'=XS Ȣ (0<M1HpM=F o_ VU}WmN \/G]svh٫ƪDGO*du endstream endobj 17238 0 obj << /Annots 17240 0 R /BleedBox [0 0 612 792] /Contents [17251 0 R 17247 0 R 17248 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36246 17249 0 R >> >> /Type /Page >> endobj 17239 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17240 0 obj [17239 0 R 17241 0 R 17242 0 R 17243 0 R 17244 0 R 17245 0 R 17246 0 R 17250 0 R] endobj 17241 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 308.7346 197.6017 319.7346] /Subtype /Link /Type /Annot >> endobj 17242 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 292.5346 192.6352 303.5346] /Subtype /Link /Type /Annot >> endobj 17243 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 276.3346 182.0037 287.3346] /Subtype /Link /Type /Annot >> endobj 17244 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 260.1346 192.0137 271.1346] /Subtype /Link /Type /Annot >> endobj 17245 0 obj << /A << /D (unique_312) /S /GoTo >> /Border [0 0 0] /Contents (scan_dr_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 243.9345 183.6262 254.9345] /Subtype /Link /Type /Annot >> endobj 17246 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 227.7345 180.1062 238.7345] /Subtype /Link /Type /Annot >> endobj 17247 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17248 0 obj << /Length 19 >> stream q /Iabc36246 Do Q endstream endobj 17249 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36247 20690 0 R /Gabc36248 20697 0 R >> /Font << /Fabc36249 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM>T endstream endobj 17250 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1577) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17251 0 obj << /Filter /FlateDecode /Length 3782 >> stream x[Io%W൹/ @&C\Ud~RW#{0Kmބ.gtJt\_*_,HKI! KLlJ urm2DHsB!ϝ/`5dkx:;cb=k`OliwQ ' R%̵.$XF̬eXa/-Bsσ W9S[cI9{|a5)xޱp+FITbƙBX{یazjL B[9|eŽ{PYjn)q+lA',8k/ӟzHfk>̧a9U1 +eD7Qm<Ѣ`pdfe ^}_v At{ 2{kk;kd:}2LLWw7QoV"VwDT&{,앰 (a{$;N[kZؼbRVO WL̃܄ }aj bȍܾqɥӳ`bg)B}##d[W"o|<%N+ovD>h f!ݞnx}ܾFg8ľ}%4y_:rc[_#XqjߨVΦx{~f" }N$uԞezIl/ r4d/lx߹1!p_wݞr+J:13si2bٌPLs>SV&7عFq=a]tc/˂$74|1S1}M7moV?؝hkyWW~pa;W'~y7υYj!=zE:i:0T1,R6@qxdLPG>-5<Ƅ[|2;ƖYSeVl?>Χ)߇؋f[&GљU0cѾ3zej!7ebߪ/-z5 /T'#&Y26|nlN};yv IW<|jU}.eSr<Z9{t68L伝^z*>^;3f~G|%f/ىvmn?;Aof>i]4yftQ{Q>E.@E_ BB%gY7K 1 DCl7yцǨSXB<,::J^ҰX#1Ӑ|+puFB ,"$~PD t-Q%EZ"mahW@ io/%c+I0uL\]}.BHL (!hfL 1߼fzN=T!*}Z>`5 ذ/̆겣'lFuf=j8dEBtqVq1E.5%HO7MR@7Tѳ! |'UZpHP)q &(Ex7ywvŪ7@Cͩ`P楐|Z#YhO:A@@]"- Θ#>=uOwt!$^C3` |tMU u~!A+ΨIXܼ[hO 1KI dˮ03"PJ}c[\sY\\d, tZ4pI %@GhzO$ iC*1Kd+.ā6#u`̩o2/RzwhL!)d;:*V{H$gb9̽$JV4m`#>=ǍNEQD)=sdQŐHbI!zsSBBψ)[-!UI0ҙ5oRO訒J']bJ\=b93=bgrqu$UpwD.Io"k]O^/3눈]d.Ɨ2x ˋExzʅ:Agb9ϧewԊQGS-2rę|r}63 x⥗hWr]E".QzN>Nl ptu4$q|hY6A}})Nf 5L!R0uLc=qiڮ(F"N'Fˢ]żH5=OژZQ7*g}e=( M |X@&RbAydd#ƣJ`*K&j9J4̐=MU[MjlDꆩrhp9vpWQGy8t'7Pg 6eGG9L1/gFVZ0<ѐ^8W5CHsoKF+ѩ3gZYzƥ637Sz}cV:6ʷu=3 "7'8czc̘Md qn mFMSϛ F52abƴC,4VSWفJ\E'1Y uy1]BD{$q{!էM>*m~ \}m~K1z ;'w=/xu$Rq>=T,QrHoLrȜWJM3xA3Fcq<_}{3+6q$k͛1h>,S-\cL~߯pvwmƘ{\78.ᜰ z9POsx^4`1F.[.I:V:s9M~v]CMYw> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36265 17258 0 R >> >> /Type /Page >> endobj 17253 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17254 0 obj [17253 0 R 17255 0 R 17259 0 R] endobj 17255 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 17256 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17257 0 obj << /Length 19 >> stream q /Iabc36265 Do Q endstream endobj 17258 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36266 20690 0 R /Gabc36267 20697 0 R >> /Font << /Fabc36268 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nYӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17260 0 obj << /Filter /FlateDecode /Length 3710 >> stream xڽn$ί/ @V͎ &@ 0sm\GLq^>/v1\uiuva) :>/?<C~y~]~3& |оbuEWR{k/[\7s<~_Y}2nyY ;~X ?/FZhv&rf_:>ZKK:H`q'c ,(L'UxNW]=@~;GS`ģ~ + VtxYƶ vjz1>sѧCt}Q -q,k5"O=?2+=jkYuJ{~|DA' HY$`hB/-0V6-_Cc5 ; , X hxDco MwZu2]M`ۨb!CnP 8 `kCWзn1gy \y=@szB}d >ExQQ&Qς1qRoǣ^46Jd,@'gWaV8؋{2/ MVQI^EUY+/6t,\:B*zxt\W.jlqFen{ 5TAN}GKT<7 +f{`1ԊLۀ^쁷bs`֓pܞTR\.@%VЋ1o^rιM,#Lm GY V0#E"d( }"-Ƥʜy}t>-A2@a9211_;,{Eцr}m1~N_MR j" ,i䝩M^ godؽ"G eА]k>G/xy.߃qib܅mV5Bd(ԗR9ڗ-->b~Y 5WVX>qٜӂ9K^k!pRpG0 !6M~ei>{ @ϳ\l+3vJ)Ÿ²Ify!p%WUIz(DS@AcD9!zupQݜ*$*pVR~P_۔x !y:JKa~yk/F1D-3 <`S&%EԪXfKJ{/ /Xr_-($eDelݙ^guCB*w1So苢lV [a=c%=퐈fS$}͒7F\Rw5\V,C+9<_bc^2׹x<ڭXH5K n+lDpaqOLթDܰ&n#NӸwxBސzu/j6yemI, 6=: 5G9tQ{&J)A !;7Si¯ɂYPSrr|]­!vgNvmY0\Fy\ dnLCG072 mSMŌ_\EV%Zh*,n&8pה`1gJ,C3sEC֒P;]bz6qv_t)i'3w VRRIrn.$ l(QMo7G.|My^ǩZE EHzuI}x&g'Y2 配2w-7E'(JvtETۙ U1>MZΖ Hi…4_$sp¨K`o¼}033)<#qvjmHwb˔-!֒(:m' V>MZU8qM`@33O)IQZɑ(vk4i۾bnJcҏJ'IAၷv%VDn14g]4MPPĆ .?J{j ٦;mQ@0%iIM#)qb餳s(˝HA2(aW"qy*\'OݾzfC"}XP;-xTFb1l1-{."ɽ|Wr7rEIny$4j$NMnu3N amʜ45F}XaGlHӚi=|I_RY-eְ Od] \kZ1ƌe("6UTN*(>h 1\x|KedO?) AQǘԖ D71^Le}e!k2mb\z㒫QN8Ӎ덥^Ȗl]D3!@`Ù/=wOUZbB}Y3L|vW,}ϛu!β 02ˡFLTP0H+d܃y4Ϗ<dz۞~򖛡h3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36284 17271 0 R >> >> /Type /Page >> endobj 17262 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17263 0 obj [17262 0 R 17264 0 R 17265 0 R 17266 0 R 17267 0 R 17268 0 R 17272 0 R] endobj 17264 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 182.4767 608.2] /Subtype /Link /Type /Annot >> endobj 17265 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 581 187.8502 592] /Subtype /Link /Type /Annot >> endobj 17266 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 192.8002 575.8] /Subtype /Link /Type /Annot >> endobj 17267 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 177.9282 559.6] /Subtype /Link /Type /Annot >> endobj 17268 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 182.1687 543.4] /Subtype /Link /Type /Annot >> endobj 17269 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17270 0 obj << /Length 19 >> stream q /Iabc36284 Do Q endstream endobj 17271 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36285 20690 0 R /Gabc36286 20697 0 R >> /Font << /Fabc36287 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HfЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMKX endstream endobj 17272 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1579) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17273 0 obj << /Filter /FlateDecode /Length 1518 >> stream xڭXn7+x6 0d 7DQϫ*=%-cUq_'*ruY6cR/xZ}~Z45sI{}~3cP||Ԟv'(87S/4>tE18 C} JEJA6S_іd':hNW ÝtP#w,PD_픳m@iN_gAV9HI}Ӯ>WQj^u/ٱvFiREBuY}f!Z*RkC&&~?;y`̖:ec@П>j/hq+,}9K}s;t:fG|Bϓ'H\%a-Ja14G&"L363eGa>f+ضX `I H&K͋I`x4QsȨjʖR؂Vٞw[6 -B$g #]N#p=b6n?'`t4JQkt66=S6sL-)%*MPFw5,Ιx*ɅbF:59n"γ''M7ΚP>e: ]z@Uշ7[>oW0^`ǨubGNۘ!΍}P4ڣ{4;ΐf"z"d{|>کUlا-ualqK\/(~n˷pIm9=hCgZ;Q7pQ 7kF1fkS١o[Udun x֖Տ[`teL@#IyȖalTe?F^oKVݰpG[IWqe[3ӀURzeUt,6o 81nU*/; `I>K^aj]5V#\KoDS3CcKJMH&MXTRG^zYeT~0f"CP822uxݢ.NF"ɸ!QZ-3tE7LZ?5GphLl1ʔd)"\uk-ҥSvc%.Ke # lq/8u)zAxR}hG~!*ߤ:gt\}m>%I=4S!`,嬾%L2+y=CDK.NO ̓|!dND~^8Ĭ͏mW;Cl6f5==4b|H/Q<_xA=ފ0 sx8gHM(zǗ[隓Gi)m,?M`p8Ow9+ l|!I.Gs;T;*6u߻ڹS~=i 35d~(9wJa eui3 I endstream endobj 17274 0 obj << /Annots 17276 0 R /BleedBox [0 0 612 792] /Contents [17282 0 R 17278 0 R 17279 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36303 17280 0 R >> >> /Type /Page >> endobj 17275 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17276 0 obj [17275 0 R 17277 0 R 17281 0 R] endobj 17277 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 287.225 147.728 298.225] /Subtype /Link /Type /Annot >> endobj 17278 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17279 0 obj << /Length 19 >> stream q /Iabc36303 Do Q endstream endobj 17280 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36304 20690 0 R /Gabc36305 20697 0 R >> /Font << /Fabc36306 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}\ endstream endobj 17281 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1580) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17282 0 obj << /Filter /FlateDecode /Length 3844 >> stream xn%7sWѾ?/@n4C0Iݗᦥx-p^WH(Jbe6ITaf ~AO~f9eN遼}} ={Zm7S`f# 1e FcAu #OOM_<9 UzθOkJ4/?);OpVNXvn2Kٹ0KBUN|:Ð;j0ƈc't0 YP&NZGbxQ1Ie?w"{\=RЅֽȥ$ݑ1{Pi|g3'%С1G_2# h'+cѨh&* a|Ix; JQҹ2#r13QTIZٖR)v< aW4ip"EE]+`-6%m+[@e8 җ7s0^0 ([$=nh;j45?0”vX2pNj2#Nd7F:ąP$?=T! ڄ4P7(s9Zv"s#ԟj/NXq;0&[dl{%/X {ڠ<LpjuЏ I[ITѮtErʝ}W$Nf',5d`vi ^hg==NO4 <&w0&Q8" c?8f]@[iɤ$3vAX!y~X9@idKxeFT3I̳ydKW`\CȠփ338e x|q5D ]7 !C xŀ@Yn# ߽BlLe=G%_:@UuO^Ij4nbF b`Xު[Cf!aqɆ5χ}pȒZ6ej@Zf.ࢷA*п%WH iS{qa5GxŠzoA/2\ni$'jdO1pSˊyU41Wyp?q%Ly%ϋAأYghV6;u8 |#PUhD_0_Z;ndCJ>5sGܘ0۰F|CnZy^Kjš+)+ 21<kV\qTw(&!.GAph@6v-75,[B4,A9W-tUr`2>+ƫNvydʞIY pO}ޓsάl xƒt=#Yk5:nv=ul|ðž@c lºqKgܘ~EQzkEzIw2XڶJmH]^b~qݡ5t ~M${+}Z`nfU)&MB{ډP"͛W]UUH:Dr0fU=hc|U}M_'LUB@ o!i»z:}䀂 ,] QX;+D_'@ ȓm$x ;b8'*~Rgkm6Q)}# tv$Ii(0}^B6U/.iY (pZRUo?k7p$5(ȑNQI5i֗Rrs)Df- &/0YBhʊӨ[+?5dfB8pm4Wz!:[[ز2knJS/RlǺjo\ff[]蝛\{g,0@&:X_Fއ[.e6BVrkcty-Wٻ-ڥ%"ٵl_6pka]qXO(ա0}:)2XT}/k+Qw[j+}V{>BjW+n뛅nۅ^iWnkQꃜHs"Շ:qFӉjۋ|Co ">a57@!CXat޽+1R)+9)},ԋ2[لEf `oM*.>T6َ\Fu|&{3W=(jR~~}RVXt:7"\s* GG9t 1Ԅ^O߆/0r^| ;!DJ)Χ.{Z%9e`cz"y ~akJI>VLx';&dMG '}<=? OGSrӐ/֟XVgEyF")He8OC09\+~[uY?߲/g _> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36322 17294 0 R >> >> /Type /Page >> endobj 17284 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17285 0 obj [17284 0 R 17286 0 R 17287 0 R 17288 0 R 17289 0 R 17290 0 R 17291 0 R 17295 0 R] endobj 17286 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 214.9426 182.4767 225.9426] /Subtype /Link /Type /Annot >> endobj 17287 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 198.7426 187.8502 209.7426] /Subtype /Link /Type /Annot >> endobj 17288 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 182.5426 192.8002 193.5426] /Subtype /Link /Type /Annot >> endobj 17289 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20210608081201-08'00') /Rect [104.1732 166.3426 177.9282 177.3426] /Subtype /Link /Type /Annot >> endobj 17290 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 150.1427 182.1687 161.1427] /Subtype /Link /Type /Annot >> endobj 17291 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 133.9428 179.3802 144.9428] /Subtype /Link /Type /Annot >> endobj 17292 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17293 0 obj << /Length 19 >> stream q /Iabc36322 Do Q endstream endobj 17294 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36323 20690 0 R /Gabc36324 20697 0 R >> /Font << /Fabc36325 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 17295 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1581) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17296 0 obj << /Filter /FlateDecode /Length 3864 >> stream xڽ[Ko$ W9@+z?Fv`o!iC~HzUUI0S%DQG4o^9#f|}[j^[*E"_?>ko R)h%Q鐔zxRz6 >+R\ʏ(x(Z&)#t2J|ja؜7Ϋb4tя'D*g`"<d.Ei028C#I\wZ{ `K@ џ 3p,Ev8\NK<6ු ql4<<(roajX#fC/2b(tG)I*`;[h,*#+]HK1ʇ`-\PT>쉕:بe6' Pja?"%^y=6r>5rDtl s [c{g$.܍L3܏$`#:52Qʤ t$&Q*sW!%N kPby94x-i*7MP CB(cqťg=g*j]!z}pƵQeiGSYvFsWT-T]B!`Nq>HN̰f"$궃4> hSTY`LbCrAˑڞZ yn'B8ĎkpntUʞ}a- h9eeVI} ɱ3hV澬59{zi];$HϠci[PKWhi]sڸp-QS6TUD*E:zhU?1=2!*Q7IJ|x6<74'M5vk7HYT% |_km$`m {^^I5q7?Tt|x$ԃ۹!8΄#&ۢߍ~spC<\N6SV*P)עvYؘD@$m5,Obhí.}lCsۓ`yb;@X.~a/I=ϗ 0`agBfS%-P]K׉Hדr./ws>kO0_Zw _8 `P9ܧ 1#Ѫmo,˕2LPM<ŷ,7$~P{ыL\>;(/C_+.ӱE?<#7r`-DoY|H R^G}MÈ8v +^dZ ^uͰ0Xۅ'*zML@UvU`R2ԍ5{H3RT1"u=3h]YuE\tM zJ%`@M Cӥ'k"MEhf$c~xA2Npm,K/VpO0GRıתDf0Gbb]BW.b%&XJ<2E5E\3C4}AB0f@ux}T{"Qcs{* 'AXq*tf;_KᵭO^+d;A2+-ɍ26=-ELEI=HxßB/8(⮞bĚGxTEL=H2BNE JGg1OB`i3<>|GtyyǑnR\' 1Cgixq",3f̃0G=>D?>z#~'٫ĂI?syq»c{ %s:ޗcݙv.͵[EC*?gל[^?+^2CȆ%pt֣.'^yKXV_ endstream endobj 17297 0 obj << /Annots 17299 0 R /BleedBox [0 0 612 792] /Contents [17305 0 R 17301 0 R 17302 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36341 17303 0 R >> >> /Type /Page >> endobj 17298 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17299 0 obj [17298 0 R 17300 0 R 17304 0 R] endobj 17300 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 430.025 124.1605 441.025] /Subtype /Link /Type /Annot >> endobj 17301 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17302 0 obj << /Length 19 >> stream q /Iabc36341 Do Q endstream endobj 17303 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36342 20690 0 R /Gabc36343 20697 0 R >> /Font << /Fabc36344 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17305 0 obj << /Filter /FlateDecode /Length 3760 >> stream xڽI7W@p_.ӀO؃z2ߟظef" T]I&Ay1'J.glKӿ/_Y:&[rO,O~Pf۞L{o>| {aOL+ĥi #5ӢX2ҫv%Dfg[1W]K(kҡ~_$zNko;"|P|/ ' Gh 1!Ka)ЎW1d! HR+e:Ќ?<p傒Z|0}_fM M\k_|3Z ȱ@"A ˼Z?BrW4N?wi- hmE].rZ~J[}o r$$[}^}3l5z$"vo]t'YC `eT5dQXAa OӮǀ,,>wޭnw:Rqu{< F#3ڮGdV1~g"G=!ГY_A jnˢ %`o'-ǃ sKǛDFF+J9wk |d,H O\-Y~`O<~/+82`^'Фj5H $̞'a߹SbP{~ԉd^bi+"Jce=H/0:aW=c}r)^y^SRpd`M{gZh @0g)w_Տ,C(b @#r¢'@e课 XgV 8$|gМHK$xN6N=dB2T5x)iP0 O \^MnK2rdTl\jCZKܻR@̪rIQan 0HVj̬ɐ2JByIm:6 X{l7`ǚ-K@I|Գ4t5HCoTfMw1N$qCq;KDݳ@}o?E7DU1 9YJ8XE3_¤"w[TqA`mG: Ǯ] sܖASvJ*!Zʇ54xbrnU}7 q!)a=0q&h93cLwΪFCD ƕ s}Zc0e޷D6Cލ7B;@ÿw,|M bHGπseowQ 4S4ɺߡ㓳d`YG9K"cM s0E&VN~kauto8^n}W=xJ!֩-CX4urAWU:TP7#agK 5hrU` =%#b@, JjL#)]ڏlo$=2QqTl2ڦ"^ǵF`#oZHM4P/.nRRYycޜpB$ jdHҮwI%va\L&sՋrƳGU ΄L,9jjŐ0*y`NЅ!٭Fv/ߕ/eTd$Hz@5L0Vj2uik25aNz/ݳMb6hᱩLþ*9|8CA.}ƦMMCgTIA3jhZQ<Z[mOL<@a3zz˺=Ze d5|og]U 7^K.=E6mS1c<ħ fIc4𻴸Z^ڍF5g=lfᕪ>e;bw&!4`GK^M#?v :nRptCo0Ktg]ﲿykK/ޠ pn@u->n|cjRXW*ŭ)`3cYܗUg8rJ:MmCv\}jaКVZ`+f`o'-K|,N0Tj|Zjߞk 7o[+hEdam 6jOfGjK(=Ю^:S [@;=U aZ;9O⩛׀(qӑ*v\tkl+M:Lp<F7X F4H2C xJBH벃zG .PWț=:Zh?P~8݆|T=+*__RGt<GW|Du1M,p}Ff|՟* *\-(|ݎWLif4˳"`ѫKT)(qWe6IeP$8ЦNx$i=|÷KZ. m K3"SJ[OM^QjhuZ!8L[.j{Yde9a1pS,T_*lH.?ALvY ) qfmp~ܜ~0p7laGׁnT\. m`]-3'nn6zr{ޯwpB ]cӇvǞ/I{W ۃ1Yxua`Y+b~Fy$WC?.8_Ime934_+l`O!&>vAv;f~ĭYw~1qwuuBDN*轱_+szD~`ɇL7 ?ήҫQp^tNa endstream endobj 17306 0 obj << /Annots 17308 0 R /BleedBox [0 0 612 792] /Contents [17314 0 R 17310 0 R 17311 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36360 17312 0 R >> >> /Type /Page >> endobj 17307 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17308 0 obj [17307 0 R 17309 0 R 17313 0 R] endobj 17309 0 obj << /A << /D (unique_550) /S /GoTo >> /Border [0 0 0] /Contents (save_constraints_as) /M (D:20210608081201-08'00') /Rect [104.1732 582.9 199.0867 593.9] /Subtype /Link /Type /Annot >> endobj 17310 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17311 0 obj << /Length 19 >> stream q /Iabc36360 Do Q endstream endobj 17312 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36361 20690 0 R /Gabc36362 20697 0 R >> /Font << /Fabc36363 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ IçCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17314 0 obj << /Filter /FlateDecode /Length 1563 >> stream xڭXK7WhE, ;3;r9ma6R㱱MvUWSi߉Ҽ~~Ճt:bY}9je]6hgM%}y}Wk1Z<g<ϳ:`75\O;nX4kgKﳮՆwdaV$_A В\}g wɸS>C6հDh[+ڎ-)bOIlՍPźζ$QaH^mp=Q>RuJ(26H+Us$ gY9OL:S\m M-Y_EzZuG!%\IZ>jkZL:}ٙtY_~iٗ{7fDMЊ[; ?3\mG<1#-ZF&U^9P^bRH?Ņ&fb~|uɛˉ[(JZR6ilq/k]oΑN>eUnJ"}kiɍFx*r ƙj萾1cv?{[nV^&ǻ (sя=v$6޵=H/QoOœL ` ];!hqG8a<#"'rY%0UOz19hR ˍJr[oqyHwQvzӡ%arsO4PP?sFFN8b7C܍(P5dO# lž]L$ endstream endobj 17315 0 obj << /Annots 17317 0 R /BleedBox [0 0 612 792] /Contents [17323 0 R 17319 0 R 17320 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36379 17321 0 R >> >> /Type /Page >> endobj 17316 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17317 0 obj [17316 0 R 17318 0 R 17322 0 R] endobj 17318 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 400.825 124.1605 411.825] /Subtype /Link /Type /Annot >> endobj 17319 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17320 0 obj << /Length 19 >> stream q /Iabc36379 Do Q endstream endobj 17321 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36380 20690 0 R /Gabc36381 20697 0 R >> /Font << /Fabc36382 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1d endstream endobj 17322 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1584) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17323 0 obj << /Filter /FlateDecode /Length 4355 >> stream xڵɎί@) 699MbAwK~?o&{\#"Nq49#e;}{JUSN?ds1;CVÛ-Z{%uGԷ5ß1@qL_4NSоyzxjo?M_'=Ǽ'=kg\59_'0 ߧ/LO7.΀XSsҡ Z/@Bji2j?GN0q} Gz?e(36z#, )}-p)@%s:! _Ed0sTLf_"OP~rn}W|cq7 % e % {YG= j9MXq鏟4=22t2v |G <,Z?A񑿟i>o뱝vDK `lW%~T,Săpq2P$4X@tqXweBގy6D܆,Р%pw ?w`V}E:hnis9'и0K ؄EaA ؼGӌ OocaSc@-ש֪Uֆ̺Wm va5Ľ&]fF5oS+~'?]ϱf[ Lv:؜Er|>s?wlU*}G ev_1{bo#C/-\DEn zfD/ f H70Dn[]ϢZ2$[% Z Uúlm |կʿe6YW uƭ7J&^ 7=QK\%5Wf˄[ +M3u}B+OF hbeheu}G(4qa(C닀5¼fVJT!5e0.~R%iM:= IGh<f of5V!KKVzmh ُSyTTMj KsbjZCAzZ90QYJ|Gj\X,!fũprW X# 'Xr-J+DX [mآ]^XuB|*U1S7mZ7l XKk0[^J]/^Z-uAtbx@RDmM@s55:X1lcr>OT &/d[*`8 pI\҇Q@ qgHǿIiuw~v53ݗ/U <5k!%7~Q }=ۅozE[Mx-kDU15L¿&^SS7~9:#=1/NBGG¯ͬwEEG.Cr YP XW h0rasRzOTQqCLoDEpH|8lKBn)[;<8%oxn}tXz"/Q+5jeBRAtK.2[sLT*lH&Mv 5 )t~fX܋/cNSRNa 7TeY.)ܥ0%ѵTFL|6hp /s\wj|G^WXrg1vr~݅<}79Ͳ܃> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36398 17330 0 R >> >> /Type /Page >> endobj 17325 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17326 0 obj [17325 0 R 17327 0 R 17331 0 R] endobj 17327 0 obj << /A << /D (unique_549) /S /GoTo >> /Border [0 0 0] /Contents (save_constraints) /M (D:20210608081201-08'00') /Rect [104.1732 197.3732 183.8077 208.3732] /Subtype /Link /Type /Annot >> endobj 17328 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17329 0 obj << /Length 19 >> stream q /Iabc36398 Do Q endstream endobj 17330 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36399 20690 0 R /Gabc36400 20697 0 R >> /Font << /Fabc36401 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17332 0 obj << /Filter /FlateDecode /Length 3523 >> stream x[KWyM6 0F `998?hdo.V],V*4OZT))|u'/Slׯӯ{47 7nQniz y^hod@o= hk##'->x~y™ÈJ2ִ9Vg_+^2_|byɳ *.j}g{f7xz8%K" h5ETD"˞^>/Dy$>N A4==&&7F.H62t{<:;/~I;㜠CS23/Ah|2d%]yFI}M7O{P[L"'eP?XKBOmߞT~\C@K{wq(gMH0/`q6Q,/ŪVj!ub r J]OQ&ͼ۹z/A6Wf ٫+-^gE!7P@ub 2g^ԁcZCGX>=;K?w>JeNU &r?L,hJ֤ 7"-BО۷A>?wKq9}nfeO9eѽ%=@+vTM13U m.KSf:Tj`2Ɵ`򝜵%TVg&SSō,A_63N4Nd%՗Eo(pF{Q^j9B :myKW/yE 1=ށw3POa t+ՉݶYw"vJů\Y$"V=:w # f5SB|[nR[nH0AOճw6̈bOj\>+:.9l|k(S#w 1!ܬFWK,K4Kx9⳶" n3nLY^Ka59@'컬Zľ(E.շe veEm6{ J ~X-/st ]iPq.ojuժAt{UgLH<܅ij ;u U5i ϼR~^ya1W).%CŻlʒCL̎X$0m0Pg~:1H]p,o$TWT=ܺOdk$:Ŷ]PRz){ҎŤY s!mA V{ y\Z]=|VkY91ؗ9G&,sZiQ "r }j3W4ۊUW;,s]3>Rtp^(5P~?xe9f6!KRH,D/w Dpd 9M?/?MxղXdC# %@||cB_좊v`? ҆&JP:L(y/) (ӈ͠p\I%:$\n;t{Y.wAlk^V{Z=Baw|'87Hp+C6 .&p܈QY=-!(b΀[\/OⱲ76$8%*wiciTq&'q{b̀JRbXpC|RGޅ^39X'_b01£0*Q*y F/׮g/qLWz <˕#Km֒5q+u{ԝbIL`Mvs%~V1~x՗-> nqs?peQC p #F?PY7`Be Qz93S8ޗ"0KtGodc&ȴ_xb!A{۳ffi[S\6nL~ $2Y42 :+fw $ϑs.hݫl} 5fw <6};3o3}Z1vvv7O,80li`<Ч+wD Nɗ(Hcc]ׄ.g.YwCox`f ։\ kpN.ۜKVx&? i+M5[X/GBwO;>ڝ'HTL $ـo?M攖`3_f] ^FΨ>dKv6yyGj?QL~:76&<6}>mSN""g\ -JZ0GD]Z׉)\\]r>yO|`^`{wuS-,,iB'Z!׻G~Ct`MG6]xwsP^ú1qڪڰ5qӐq^oZq,׷_jQDnsFW)`M_@.dOl)/ LzèR>$Qؿm3 `r* x ړ6VRٜJ[dFIFJv'[FK~Rs+`-k3ZIh^FPW6|+ D*44nP)nj"0+6K2[`nQ/D,K  8}ARlahsI-grI?)` c3&-G3'k~;OQߣd)=RI'}Xv-o"3Y!?p3#=U~Jke;E0YgO ZPYw}6ispl>/sypw*L*"v*nGuNJ7dk b=l_2sE@]\L@a endstream endobj 17333 0 obj << /Annots 17335 0 R /BleedBox [0 0 612 792] /Contents [17341 0 R 17337 0 R 17338 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36417 17339 0 R >> >> /Type /Page >> endobj 17334 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17335 0 obj [17334 0 R 17336 0 R 17340 0 R] endobj 17336 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 305.725 124.1605 316.725] /Subtype /Link /Type /Annot >> endobj 17337 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17338 0 obj << /Length 19 >> stream q /Iabc36417 Do Q endstream endobj 17339 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36418 20690 0 R /Gabc36419 20697 0 R >> /Font << /Fabc36420 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ-& endstream endobj 17340 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1586) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17341 0 obj << /Filter /FlateDecode /Length 3858 >> stream x\Ko7W{f0h$MܜaX,*_=3-Y8W-H-+&#?B;h$)/q$qvhQBӋ|Q˹˧J[׃6wkmm/Sx<\ǎ_pvO?ɧO 4,roߊ $UjVVF? #< _njz9i QIE#8(N2;h\_pjmMx0iO`%,.tpʃgs21(pP#WQߠw zn8hd2 kxE.{c'B8HwK}ش%X.//~1g[nv +ŎSa٬9)lt.]5Ɓ|X fBweɚP?}\8UL %Dh[fw!&nLタaO;͑ލwúA56T_RaYB#,T@b;x@w*/4#ȏX^<-XHd_t"M"oA|K"ԋ>CWV+%LfZBiŢM:Zqj(q b2P? C:rˣx/fxrgpPȍKqcVH n]#vk9Ⱦ"eE6/#uTFKthN~`e!DRlwN %eԤ { .X[}`xXhWZ!j1[؊w]&^X uT9u$WġIv&͠Al1ٞ ho}۟ mݡմ, :5ܸ^bQQZ.:n Aڑ(20-]u8v$QZv\Qt1 ^֜WP7XQjZ~bjP]j+G0UZҪoB-[lN!Ļl!o !# >;cqwy(rPačZpy`MIKHa KqoP7N۸9uMaNj\jؿ?K+7[EZWMkf nJ XՓC^mG,*M0 MȹʰἶYda YdD_gPdJI&0rSvi-eaJON+ZJZ~⣧+Cw7駚#dr+v1)1us(.j˩eAaTns8}H,BJ"#LrF}2RfQ 95c l<8V &ʂy 8l5 Zξ@Yñ &mP,CRq}#,- i`$"r+^c^Xj,fKv<|L Md*4E!N.ޠAm1t_'^y|"hTMǂ!\SUhjc0YDgT');CJO<e 4>v@_X`f͑1qX݋vd;9iqUl!G61T*6,6I^k/]?);P~{E~x'M˒נa zkۺMK^goZ-}.w 1s}9}}RWQK\: /n8GOp98fZY.ԉH Ų`YlOq)q~YS-<2}w|6lͪ7̑h\P`_Zd2%CקS6)|G鲹LDӽV$(ZFE5G趌+aq7yÁꪵ0͙{o i>@1vИ^F}⋴sɛZ ~7W1`jA{ؠ͛..xhwdô ЊgT\pi>Ac<3ؽ8;?iýaX\ kA-.uU$K.ÒZxs+.Ms (BܩH٘iFÔCTح.Bǥ9s1W0 Dl9_)S.ELZJ# hMwXt":A 5kf)&cI ?`p:J|4= 7a|׆TbM e7m0R_\4I4ks1'H:XW4l9 +lal5j Z]UKYp*6K1Y8Tcޥ̥bO~9*qFƌTH㍚J)-3uO"2/yѕdX2L ŏ%Z=1p唕sEj6ƐBFi;5f{==j~3f;e[}sx7.ӯ߭1#lO|n;o 0+;Np2nXɉ3k9^5='v&^:};9,+`Xw+өCG˜{{u:?3P5e'{LoAݕ/) ?gx.<ƀ9lU]5]Z {lpMyΩ46QT"#7v9̹ {b5o`i) endstream endobj 17342 0 obj << /Annots [17343 0 R 17347 0 R] /BleedBox [0 0 612 792] /Contents [17348 0 R 17344 0 R 17345 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36436 17346 0 R >> >> /Type /Page >> endobj 17343 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17344 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17345 0 obj << /Length 19 >> stream q /Iabc36436 Do Q endstream endobj 17346 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36437 20690 0 R /Gabc36438 20697 0 R >> /Font << /Fabc36439 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17348 0 obj << /Filter /FlateDecode /Length 4308 >> stream xڭɎ#ί1@h%uA9>ubAOſwVFbYE~oK&k 񒠿`ov\H۷.qRBg! )Kn_xURxOxVeaQ o:Tdi.ό+C_++%yzbROrEo`|Z~[ޭl|%(\~ pL'?qvs3gX1sêaHلw>ȗf*57/eÇ=|H|H&0X_W%ZLsT0t:kEǪqmFBl~*+fMZWqxm6gSYlZ^mKI@_s OdfÆ ȣ_%[{؂&9%alGj;'>9er^sc}tm%ˢKHR=je[QzԿJ dUa:lcqa;P`ұwaM%at"3C44f8' :1f*@>Oc?iQ<(ݚ4&/Bݶˡ 0{\K+]6@ոݲ8H#ovU `Yρ[B.9hk!KnBrc3SױsS;Ç8I'v2eW~*+gEBo~ 7vֲ[;uSB Ly&Vd-u"R{rZî{ HQނWRuaҪ.s_]Zdem;Ã10V>[;}:dadPwF[lD?7RrU<ʐ[wp)-0eM9TJ *YE,ִ}tݯ$B٦יv®,Yoy C.#cGĴ7Y@dnwv?S 5蠮zN..UH k(>Bdnr WhlV_*aڴ2 (qcP ԭ@*L,ۊe1Yne=P5٪uj>w Au n'c 0xU}&x/sO}cMBES}{2C)t%FeSVߣ{{uuLjWd~NԍB~w3M?::gs-Ԭ7NLxS_ 5GǤ`M"7!|Hah'kĶV큥}MSy~ R(e {&`>*TozҌ9{Yo}.#Gj#c=U˽G)ev"-B2:KQ}0SyQJߩlC Y }mN;6΂ 嘻Êzr{rdVm ܅ug6o)^;t^&riO=lM b:*푮ڷB-dQs{V߰R1Ű|򅩘Y:ͺKVQiXiī>ҹ~*@SOОz d]VAٱH j}Ǥ#Y-"u%d.*}!!UՖͼoޭb{{šYJhִeW? 7dV`c>Em筎Ipn~P{ s?-aw/˔drWi[Vv!SzL*Zjejnڲ)hܦcS}M AWO[i52R+S;>nGniԆ^{c;8K.XoV5q}+) iUՄx>E}>c9 ^؟Ec%~9? -N:[t/r>ߧ <JCmx?/p;\Q_yq9qcOGӫbu;W}wQO=rGrRq0u'] 0Y'Io w$.ŤLZh0L"h[e~! :&Xlr7=y6#|4Kt\OFwaa6LcR=PzʲC?ކ|jR:vNP:Uk<*&)1Ѧf=DNXi;pqAi'u~YFQ23o&K\kЩ $kDzg^uM-yJqĶω~ܠwz]+rCأH*>^&Z*Ihѿ;̗I45I=8k2? * \8f}$柀D%ɿ "F:HK! If=CkuwFB0ɿ -##¥2 މS펰˜9DOCl#3XH IcJўmt"]0uY֥T@RH6duv? `Y"mh'G[&gY#5lH.ԝL{3h{_Zȉ~a 9"vʅ K$Ȏ:.Cm7SIH4ϵM'&\ͫr0pWXG5iV r*:߰z5L>OLoxq9Z/ϣ0gR9ڮ2P x_>0r|>/[O)[e"1!?_cxAb2n E JڽH%sکp ;u)3U`̡@ō[Y}gbѵ\DW|%\\Ie/$Lw"LE+?i endstream endobj 17349 0 obj << /Annots 17351 0 R /BleedBox [0 0 612 792] /Contents [17359 0 R 17355 0 R 17356 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36455 17357 0 R >> >> /Type /Page >> endobj 17350 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17351 0 obj [17350 0 R 17352 0 R 17353 0 R 17354 0 R 17358 0 R] endobj 17352 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 552.9038 173.0772 563.9038] /Subtype /Link /Type /Annot >> endobj 17353 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 536.7038 178.0272 547.7038] /Subtype /Link /Type /Annot >> endobj 17354 0 obj << /A << /D (unique_540) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20210608081201-08'00') /Rect [104.1732 520.5038 167.3957 531.5038] /Subtype /Link /Type /Annot >> endobj 17355 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17356 0 obj << /Length 19 >> stream q /Iabc36455 Do Q endstream endobj 17357 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36456 20690 0 R /Gabc36457 20697 0 R >> /Font << /Fabc36458 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶKZ endstream endobj 17358 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1588) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17359 0 obj << /Filter /FlateDecode /Length 2014 >> stream xڭXKW)^ !S'A 0}ٿER۝-YMk-O(o9 Y:\g~. #ok]=jxx)OG~+PlCQޚTσuZkQA$eh0~k YoV7:3H(,:0O Ze[MkjU7(t]Գ_%6]i6=/ ?K?*rV/H\12Nʪ F,.%"n2-K/i1<<M^kc$鄒Ubk@=*6bxTo<'>ߠ\BzOu?Gl^䋎ےcahM>|8uYy9,ٵRNkJr.3aǾK]RtX/^z~P7(:F=3/C%3n #mEFm!ܒK\/mXwT0_7c@jLn S||pK<)t?raZW؋Ķ)8Ls#+|FCnAL?\ڶݺ%T!YHniz9v4/Ca!#1eCp6 'w<:0"@Rh sWz3ՙZ34?>V}oPӕ3/8R*SQsH8 B#Z7bnL5RM;KeLN#o:`)cʸFƮ]˺P"*P3]!0 [C'቎ݩ |(PqAwכ8Q hD_ˤ>G /q6ԗ@721Z}~X.pxpOғ|oX:'|/EzjIゎ@w` mYeɢ~ϬJ9+g_#,e-_KBo0؜pMb@~CHGm:\\&r:*}?sX{}|rrÀ?dBآK?mT endstream endobj 17360 0 obj << /Annots 17362 0 R /BleedBox [0 0 612 792] /Contents [17368 0 R 17364 0 R 17365 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36474 17366 0 R >> >> /Type /Page >> endobj 17361 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17362 0 obj [17361 0 R 17363 0 R 17367 0 R] endobj 17363 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 354.125 140.4735 365.125] /Subtype /Link /Type /Annot >> endobj 17364 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17365 0 obj << /Length 19 >> stream q /Iabc36474 Do Q endstream endobj 17366 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36475 20690 0 R /Gabc36476 20697 0 R >> /Font << /Fabc36477 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ §C;fũc8S.@˂Vm Ln;|/(q21r(r1"F Ɣ3wҜHr$sBDQ@@$u$4W̵R̃sV7a^_ DXl˒sKhf>eN9 M \0YSPQ8F$!ī류N>wݝCJHO;Ρ\NZu^lMQ endstream endobj 17367 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1589) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17368 0 obj << /Filter /FlateDecode /Length 3725 >> stream xn$ί~1rr)%zGf bylvXd7^b6뛬}Zz'.EI-хh˛R>˃Qp\yKQ{Q>\F[qi#i/8DFJ\߿$ ݿJZ6a w%f%fâ^D 0T=*^>'S ;S(]Zr4wR4 SnQ|R.S/9 E^AGlZ$rSKZad$>x/u6%;\lI%u!sU]eq@k& G4FSIgh?G QLV``@k*Z\-V=?S,N:QCÒ7 C^ ~UpdDi*'~NRFy<3\Yʄ1&y|ȧbS*ۓǫ/pXi#l zq젽L//py>fsCJۇ5Ʈ]{{I=NFš8q^[qhp}j@g;:}\b׹A'Ly-+ZV䵬wXy/jmB1%QVfG`KvpQxE[0jąVwW6L,nN-L-xo(r&J A05dRH'ż}s &]Zb fIE)IJkң0Hd=p?Iɗ#.d1,pĈY`6߇;N#:H]Q?e%to!/R˺G[ҷEΘ;d5O6vِdHJGWcمW38Uq}WD[:i^蔎pF궨DӮD_61CMV8pU8Z3r쩘*HYskVulҕNn>KSȩ: }O+I)WEG<n-^: g-e|zÝT&$zwQ`_$j ֖y7F3L |EiKd҄"I ȷD2x_Y }ccDI[QǛĕ7 L"0$ߩV!Զ+"1̸8E?(B!C׆+XO⽽,L dzRJiTXZH(oUY%Cfmu s :1t&6KpTV+K \G}(2^%_iktXmW%GfJoNukuj8zfP@u=@3@hg}k_o{c+jZlC wP)ͪҽNk.Т tff}hb2ր[syp'qOf/nd> }߬}fȓXrOZlE-?'j'j#D-vQ/$i 3KS }C-@Ν4̠]v@9J[J[XM5k`vY3 0\t[BVM3TG@C^) QM8 ou;fX),-6` =BV-C* Vҥg}N޷O}Hݤ}.ᮕcY2pGZ7t+^U5Jw*Vz*Ԕ `?[ʈ[ʡ/JlG ι2 uheT*L5+kA~ D$ -Z v`*MJW~@ 1TM<.*5*&\Iƀ0>/Gra_H"ѝ"Bbx׮;7s5ɧ\aH&4CIJ X _iU_l ar912nKOZ0?{bSԖ>h'kރ'enʣS;-|M~CɚN@|͙^q7@,w{'i;UXxkZEG/!kQߤ]b$54Bp/|.dMalWX"}Q';<$k0,B w eEؐ2.&rڎ݁ A'M/{ qQ߿OR.>/fQ]\:S|QCp&@Z!A+| "7-K-ci6ԷMibх`SQ|*@-nҽkd6saY^u.6:(͙ nr09 RL$fMYg(Io,;O'm{D1qPseY(~l=]s|4=c;'n5RG4jKۯh.ti(k+j.ob2" @ Wh =/]DvD 'j_Y(z quOC!#J5ˡZZ(lSvUJ35W G,O2\㇏l,.mcFXWPZ׵xm暴ݚ"Ej)}+b*Yt"Q0G&RhSk^/UgafD_ėmnԪ?1 S6wEc)Vz'msMYmDV:rT?jU6sW>N}Ez4TBoW!%3`Hۻq yًDS<*G=Ǔ#~@_>3éO=aNn^7mע9,5-?ĭ;\H;ǔ X9ld7wEĮsDLjxTf>gHeNk endstream endobj 17369 0 obj << /Annots 17371 0 R /BleedBox [0 0 612 792] /Contents [17380 0 R 17376 0 R 17377 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36493 17378 0 R >> >> /Type /Page >> endobj 17370 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17371 0 obj [17370 0 R 17372 0 R 17373 0 R 17374 0 R 17375 0 R 17379 0 R] endobj 17372 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 233.5847 199.1307 244.5847] /Subtype /Link /Type /Annot >> endobj 17373 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 217.3846 204.0807 228.3846] /Subtype /Link /Type /Annot >> endobj 17374 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20210608081201-08'00') /Rect [104.1732 201.1846 189.2087 212.1846] /Subtype /Link /Type /Annot >> endobj 17375 0 obj << /A << /D (unique_732) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 184.9846 193.4492 195.9846] /Subtype /Link /Type /Annot >> endobj 17376 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17377 0 obj << /Length 19 >> stream q /Iabc36493 Do Q endstream endobj 17378 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36494 20690 0 R /Gabc36495 20697 0 R >> /Font << /Fabc36496 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ -C;fũc8S.@˂Vm Ln;|/(q21r(r1"F Ɣ3wO $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau3ϪzkEŐLD}%l)l,1aSi ܟBd#UO\05cDA ^Xb>!%$]@QXy P ݛX^ endstream endobj 17379 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1590) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17380 0 obj << /Filter /FlateDecode /Length 3520 >> stream xڵn#ίyu~Km9{d6<f.*_,ٓ]Mb[~Z*MgZz'G8ʿ8|ڗڰ(eVK ZMcqJy~'RW8_V `$C+`3{gp4*Rcx~<e),>i#@@MiH'%jKA 2#,{R.&dR..ޗx;+@&h.`F-yҹS>/`ۗE;oWqN+ӷ]p=Ȅny@h#E<Fp2R!t2⩼01% >Ԩ%\W/Nb v&ũit~F 62q>kX_cqW-,C{8sz&f֊Z:"#b$EF*S*'nгQ?nìXL>>63%l#)ĨA7.4OsaAH{j\XN+]2"\h5 L,-.Vrw~*Ham~H1E^Mg"H֢ZhmN m;iJ=Jd4Dt=|Q g  W:)i`6™ ;B'nOڀ ;6Qs+ŽN{6pcs.o"{ZȾפ&LşHa i@gyOd Ale zm Nೌw^r0k[ |*q)EÜ!"1lihK.AB_9(Kv.9 Ug(dh5g@@/@STwC6oy3?Xl12[TfWn ir)m"7Yט) @ˌ#9g+x#`0.ۆ2Ɏ ۜ,m8C@`~Mo #tңæ<$YZjAf%`YKgCDv1Wtw%|5T?Ru;n/9 T)i;ʐݭ<'~sC\/O*TbTNX W&:%(R;,BEojU\.Cƒ{S騠Cu(!O~n MwՀ<,T>[]/$I]jZnkLwpp.9^8)oV IAN| L*e5ނ 4i._/7Fd _ih$_M6aRDd\_%?rѺ:"{L_?`?.29vP=,l[$S9ȎI"i^$@-乗zb!/ _fwq[= nt~1fZ-nzq7LpВN1}f z66" wjqta]) 9M[yE6)8\n' \@b>mgweB5\rkIF4cXLtB\R'TaDu3z8) F [~O2 qd44uR4FSWL ޚܘ\\Uɭ&;ɍ2F~x*ef1zH~f3e%uHnj!DZfXIf-iDP_. gw H1uRQEƍV@q/xK)Qz\َc,h?GsOtҔZ3iHAaY3|3~m: 倌yRP gB= #\Wa"'_ \8'E+IƠX~Sp>1N'f5qCĮB2r5(P®PLunCe " 1y= endstream endobj 17381 0 obj << /Annots 17383 0 R /BleedBox [0 0 612 792] /Contents [17390 0 R 17386 0 R 17387 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36512 17388 0 R >> >> /Type /Page >> endobj 17382 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17383 0 obj [17382 0 R 17384 0 R 17385 0 R 17389 0 R] endobj 17384 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 319.925 137.278 330.925] /Subtype /Link /Type /Annot >> endobj 17385 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 319.925 175.096 330.925] /Subtype /Link /Type /Annot >> endobj 17386 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17387 0 obj << /Length 19 >> stream q /Iabc36512 Do Q endstream endobj 17388 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36513 20690 0 R /Gabc36514 20697 0 R >> /Font << /Fabc36515 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HRЎYzrv"eYHUC.$G7]Nx41r$bE0[R,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}$b endstream endobj 17389 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1591) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17390 0 obj << /Filter /FlateDecode /Length 4263 >> stream xn,I_Q瑺}'n$n,q@ KU -"2̊cQI/^RgJ"/ovjyjfyy] _fۛ-oN巓_A+l P:lUZnli}&Eyz8iۋO OIPE>Eh4xjZ~[f'OƯR ]az/(ep(U4ߜ}lS/a~A:PW)5R?ѻrO0/&,=@ƶ'$J )E`dtk`nZ0I<PHDRY8kNОtj _~O  GN'IH^mĩg 1́'L5#7O#D,`L|άTՆҊ1hHT,@ugn\۸N60ɼ _':+H: ?$k2`a&Ch(!58YmI;Ğ545:fWq6K-Db6O LeS7A{Q{`5]ȗowpjԱyѐ<$ɳװH*,X%+¤uoucaS4׉֫U֎ةW "6]em UOP+]u9-퇽J%/&q|BBQRU !gE]XV<2+ @r!bZ NtԳܛ㻦OLt Ι+Uꠋ:'ר-lH(xca5Ub9jfMCwq;tYOQ&\~̮XDu$J?d oUƻ/=As GYY1*5%K%ȍ'BٮP cm9zɔvRx_JW$%4 f<= ›O`e%Ʉ(ǏU&7d 2~#4"]P&l'[gEA?|v.)#w|.6ڎa=a=Ws\ܝJAq:CXغ;0ŖX-X|.?jMjͬkwjUV޵g$ҪħS]HoOt!ӮV̻;Ot"W?X9 ѹ/#\B0(5m!cЇvMnw!PLnBOqqvtC D zx>;"Ĉ!Vk5Ax>U/m{CLڸ*mtP9b~{F/r{?C;UXp䃚߭hGDa&aB„S>YR5/@s^䄶t\rj^f\*m򾔢FӈjQLg(y& (ضiaA7cz59lN찰Cl>(e*)hƭ:8Q64aA3[Z`t/)#W>a-M`uQoѷa,^yܙq! nH&삋RBFkHui_3>YQnjzM YztpIzr**1z氻`|+s*D3o\`q9A|$ɺdybVzr F3#êh h}aVӫV74*gTuviv|+3%=n6W %~qg{x`ΝI-˰X4]xx";HX' N039ur c}QJH4+lg_th c_+ <"aCP':%@1'F9~,Ϸe..S]zG_b^8\\qI7'8PE8˻4?lҚY8_x ~OuFKS,-}ܽ/+L ];JJCsHrcR]Os*RgtLHJ<{l{a_dbͥp=hSd;5b6Oچrlx[$f\xK.hndٮ0+ʼ_xŇ k/'X 67!*m<Q]_4n!!B).RZkMl}eEKJNUtc5YfoIu`1|Ĥ:0J̐o!,lT#:Z+wFscIMgu!z7g1R_+'U6h*ČVC)r5ɚԿˡԩ<3 P`H:h >r:)VIl~, %(pMe<ke* &(U_p._QJs'I wK3Ztuv @o|-?rɄ\z%\VkJmlVDUX'R+*+r (*֞ f78WɑhSemeWӉ6ݮWk.elI{1WuLÍo;)n{%*QbH&ui[hw0n^*tcOKnTۇ|!TKCr.|n/r4:m'$(ŷ[ws0gB9о31a NϊG#|>z{b~.w`MƃF%Pn߁WMqӧ4bܹ.|v˫P̡@c,^,?uWo7cJyb4] \OF˞A Y4n0yV/ϖ endstream endobj 17391 0 obj << /Annots [17392 0 R 17396 0 R] /BleedBox [0 0 612 792] /Contents [17397 0 R 17393 0 R 17394 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36531 17395 0 R >> >> /Type /Page >> endobj 17392 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17393 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17394 0 obj << /Length 19 >> stream q /Iabc36531 Do Q endstream endobj 17395 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36532 20690 0 R /Gabc36533 20697 0 R >> /Font << /Fabc36534 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 17396 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1592) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17397 0 obj << /Filter /FlateDecode /Length 5234 >> stream x\Io$W@/ @ mI0\s*iz1SIf2HM&5Iw%$=}jB?]L5RӗPg)WNR89Wzv_$u>N@@|"!0_J|8wysڸ+$th@Kח'3XaTQo1+s*o;XRcl?ߴ:C=|Ai.W^w}Y eU}9hoB5,wNٗ_"~5u~2I٪m3*#;uɫAGD?UGp))WJ<`m^ȣM )h: svB/ũxs`sP%lnroWRW$+Hg,cjL0]e~Gls Vk+z מ^ 5l-A&9S< C0 ҬT(go$!'i7iq'HU罱 ;@2 }WE0 5s$Zcx^c*F68HW$KZJ=)eb%2z\k] H-X* M|($Byͣ>&j|(j5Rq%}j\؂GѐЬe C(p!+{ZJ2(п1X$K64uy7"@{aj#yH>%D_U5 aYAvstn֚c[pDsTT/?Nt2qE4mN&͠ʤHΒ$nVlD?72?a܈fjl`'Eeд&ϛ"fK}ͫj:ۥ6nm58lsXU&L br97/3n-ff g,o%VܼcZo/č'q^ktr9.[S`9d\)8In!h~7o-`RaVj1uIo G眛%xS$( \1 )d K  ~ȽxtыtMv/Α Z$//(BpSy,B6ƱXy3[\@=mE!0r<\Qw_GO Ci l7k VMHCGA?fzh&ɭ@Oztw5Nƌw ,)6~uFir 8U 0J0:4:a)IFa-M C'>d&M5$,IJH?ҧbJUʞ=u#kOD]F i7Ϛ6GMlvpnsjA2znRy~қfA.;cGj>8}|µg8Cm>*\j6pyPbK./'%t1e)H)bԼ?UG0?,4dwߗ}N*Q;nȧyXI\=! 5.B+:I!OP(CZ9;%f)FMV#쏥t;x1cckU@8#חkF+Ie,ܫP -S^ 9a?S0v%duL9M㕉KУ$􁷁6r}\7e^DoXRDT\fi9 qMȻ* D ֟F@iS[a4Ε -i!bqUoa &:FmN༱B\O@a|Z^7O IdIs=_ӬH#&;eS=\R Vm4N+C{d,k^9&A>iCP2A2l(*y%E)yG+:Bw1Ei"硓 fqiYx^/NhRM ^xݴ\XHHY9X=~TA Ě.YfDIfRߦ2r74A"HG01{ierh%w含tlh/&h\ܜ?z\)6 #$LBOWRX|}_Vx6m(ls!{OcuWJېcG++X+{wvh=Z7@G^lg*ʏo7_}9/Ɠ٪JVPqeGCztl/տAw6s̐TI}[~kѸVzD9!j_%&=dKtk92I"ck[e%E|(agq|2^=ˎl]BgC|s=qȕâ)rp#}#UF:W-NzdwW>>ܠMm9"Ԟ~%b\%xb[z./mGwᣋiNNo#p;+Y?4tܥ[ʡg;^+ۢ_0 5j )nY\+*Z7q ˘Z=Ʃ]sގuZxAy{@A[jmK˙(Z˿W?jUncAAYP^B]V"5R)i[v^24nlqk7Eh{IF;>n0oct lUq mڱhើ^`2oin#4=+cB /B9Hk>ZP(#Ms-?~NP VTH⬏`B.ʅ^rFVmV)$DWI&fCFqd\-Pϝ. xggNK>m( Q )"Z jOigP} t%*W/X,:w n I%7Z1஁SsY"@m:>ϲ*O !B\HhU>rO8TZ#7TJH^抣k;wq8֦tcuRURrcbM{UGȴWuZ19fcvI,iaHVUL;U6] PjPFIu. ?r~ ߘ82Or%ܛTNϴE_p)WwV#{WX4tj3հpP֢ ("!JWGA{)Bi6ѱdaIF\<V /sj ltǜ+fW4BO6f&3KnF,î-.ƦKsg1;yhЁ:yHN>LJ9(JҜ}[Y;3gp\czhؤ$$Q؞6^6y*L&If#m!h >YS;@HgRÁ0BA1 zW<myX=Pܻ2"'t[@ҟW G[o7{'>(|='UG`^7vK7_\7ڧH_ @,؄EvF3"tN϶3XZ5!OʍSyu/.J\ c~0e j6( Hx=?>„WlcXCAO4(3CE?s~n lVJk2XRf|Y8r-iNbACQ endstream endobj 17398 0 obj << /Annots [17399 0 R 17403 0 R] /BleedBox [0 0 612 792] /Contents [17404 0 R 17400 0 R 17401 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36550 17402 0 R >> >> /Type /Page >> endobj 17399 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17400 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17401 0 obj << /Length 19 >> stream q /Iabc36550 Do Q endstream endobj 17402 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36551 20690 0 R /Gabc36552 20697 0 R >> /Font << /Fabc36553 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HRethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1f endstream endobj 17403 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1593) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17404 0 obj << /Filter /FlateDecode /Length 4370 >> stream xn+ίs1@0`IVMb `N/ a~?pnI_I`-vUYZE/1ӗӋwKxKןuU3ߵA*eW~yrT:$AY|.߭iJ'[N'3@p2k럏Q=iL23[z ӓ Dx'U/J+|.\t0[?-?, K(ei+㞖='HE {$q 2}exe: 3kY29ZK 90IV73 qS:莪M #fJSN>U2~G;O  aWnWd{:gۍfD"!&=h̥eŽh@D %q[ȸZ" gmFE1W*r╮[aV5RS>-"2OjiReZ D;"uݳ*6Y-]23O&qsa'fE-hWV˞,XdHC6f+ ]6ξC\Y+苊ksҩjf͇]z[[zm #H5Zz7R y%˨ ǷpJ$(5Z{=8vU+w 6X]6jPU^r!4ԁgQPGp" F?G!Ȍa4=JZGa6pC2#VFŰr"x9ixqpNZ˜AD`f߂  =jqypgpM!N3J?.Qbԋatz ;M^~%A|/wx /=<2`@B7  t@!z\I1o +dh[ }vq_}U{su\V;ιp(H^(nRZw@Gb$"Zf)Q#YU B F0Gecd .eCs= !sT'0 -m%%bXɳ+a5(4-GR Cnj0]@ ч3m3o&N51źqoѩ> {`w &s-Ȭa5ׁ;8qN3ōSF [v5HKļ4|Gf%淗MM\+UaXq؃GVx%8> ŦdcS+jԐ `'.Q pg@BP[|KJR ]w11 Y̓۲P°_jY>`Ь;uuL alj2=f[k{qyk7ǓSڕéstƦsfG":+ʴwx[3~O 8 !Όo:Nm;΃ cHyrc4oYpxq776w6l P|ظv1Kf­;X yK:ZQfὪaDϞ koj[ D.K-`?Z5qewKWdugǷد_Zʴn{}o{B\c><,Z)H~^u:/~ ߡQ kzE$r((Ⱦ` k\z?+zƌG9\~ܥǓtn4a75Hd1Yc;ڋ7 Ө^#qCۃޫXС䛚nг[;+h,dmBUNֹfD{ða {lPu~#'rŽ "j@TVH:쨥ݘO.w_h& C7ks?;ԇ'Si#D.aЃPSɴ/07>K oB{1AzL!~6gϫυx=)h4|j{e9?mM)GXv}G-lw]hӥ2 :Rz ̍h%C7ЎkT9kp {t&Z5y\i`%$zIJs,HSv"hGk2uYKcƥ؅ne&Nޛ_޲9|iZ՝^Spగbo/d1?_'(NY62$-ދ7f(EuI]Njg7_ݓzGWyQ3(hcƌ*u#㦴0R䯪vRRVH֮%\E ߜݪ$!ƣc€R7QZЊ{Mt~&#ˆWpCfs3 ì+ D\SO(N;CeNo,les^ ~N(k2X$nb&Ѭi0Z\!JMy6dK3D<Zel2biKP1.f'&켕&zO;G8bFm ^r?.îu^-˛1Oȋ5Yj]:c (CpҘ(r{i:KnfvWSJ~/ ZK_[O'.m 6wn>(&ûXaLev~^ʄ4ͤplQ7`ҕ9 @F0} J7555$DwNIVwİcF6v ĕ{Y~tGb)R?_n>·<>^$UˏRPhBӿOr/zO7ΈHбUr!cGbm*Ds `=QF)$Q=*D\kxX aپ -_>*h\*+q?QJ^=دzhKX]̰/D(ON񹿣>Du1F6lKre <Ǒ}(by!T4A7c~bs۷BmTLFjmr]X.h~xn{1ics7N1[l7 tһ]TY< s2uaJhJ*h,59pq[3ǭ"Qt=Ẓ2gBuMgY31B')Db}!Ƥ.>Ǘ ;>i!Cn\{O΅ag^ןkV*T)s[*k, 4>PyCprβ@[ȻZO# endstream endobj 17405 0 obj << /Annots 17407 0 R /BleedBox [0 0 612 792] /Contents [17418 0 R 17414 0 R 17415 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36569 17416 0 R >> >> /Type /Page >> endobj 17406 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17407 0 obj [17406 0 R 17408 0 R 17409 0 R 17410 0 R 17411 0 R 17412 0 R 17413 0 R 17417 0 R] endobj 17408 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 197.6017 686.7] /Subtype /Link /Type /Annot >> endobj 17409 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 192.6352 670.5] /Subtype /Link /Type /Annot >> endobj 17410 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 182.0037 654.3] /Subtype /Link /Type /Annot >> endobj 17411 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 192.0137 638.1] /Subtype /Link /Type /Annot >> endobj 17412 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 181.4207 621.9] /Subtype /Link /Type /Annot >> endobj 17413 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 180.1062 605.7] /Subtype /Link /Type /Annot >> endobj 17414 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17415 0 obj << /Length 19 >> stream q /Iabc36569 Do Q endstream endobj 17416 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36570 20690 0 R /Gabc36571 20697 0 R >> /Font << /Fabc36572 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nӡ~1OEʚ 6\H&7]N8jer1""( FnpJs¯"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]h߄}j]֠zfQJxpfE3Rӻ6{ik_KiRGcb>tD'W9F&J[㫤̵R̃sV7#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}7 endstream endobj 17417 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1594) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17418 0 obj << /Filter /FlateDecode /Length 1313 >> stream xڭXj%7+Fo\.3\"dO9U%ucbVWI::PKe)׏ϺNQWG*˿?I;F>=SC6OW3;k}}}PA `7uGןErt$tw3 DB'ܐrAzA 1FbpO?Б0䃎<= ?9N-$aw^+G;' r ʃ}+]a,ۥsAF}L8$ "3D>JTwggix6dۇQau" ʩ4dIU/:R+gckH^OŚ]XsL5w+d qvd[*>hSMQgq".'\3Z=b-ЊD!2WoO37%y2Շ^B_;u1GоШg=ʹ;8XD Ӌ 9zwH|>۫UlسNa807[ ׳[m-Jv-t| .1_AE2E } iZ~q!H{#1!cfө5xh~ -?}gjV;R,V5eF$)Εa36ϩɪTzvS2NZ0K-9KO/!` 7X Jvy/ .TբT\1: դAYo[uG +Ϙ"x6 gH/^\/oҨK`VK:.A_[xah5Zߌmm.&o08W9P|ٚ@Ŧ塵miw㾸l)5Zs 3۱ z0_NfnD:ӍM\]v0xwLPK?vj endstream endobj 17419 0 obj << /Annots 17421 0 R /BleedBox [0 0 612 792] /Contents [17428 0 R 17424 0 R 17425 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36588 17426 0 R >> >> /Type /Page >> endobj 17420 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17421 0 obj [17420 0 R 17422 0 R 17423 0 R 17427 0 R] endobj 17422 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 319.925 137.278 330.925] /Subtype /Link /Type /Annot >> endobj 17423 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 319.925 175.096 330.925] /Subtype /Link /Type /Annot >> endobj 17424 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17425 0 obj << /Length 19 >> stream q /Iabc36588 Do Q endstream endobj 17426 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36589 20690 0 R /Gabc36590 20697 0 R >> /Font << /Fabc36591 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0yj endstream endobj 17427 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1595) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17428 0 obj << /Filter /FlateDecode /Length 4288 >> stream xي$]_ }@3Uݵo㧱w6̾LMť I/__RgJҟ/˟vjkk>)7lys*$Za멶|{;O5bl"]<i\8^-NSҿ ZS&JLVɫ? ;,0~^>3̢F>RX\ZtYӢ=ƣT3WXEgV  '?;ZwԕPJ<#"D=!OBCP<۞P(2l M& '?J eD e&> o!K/}g# cIҫ5p#O8  {;r}X0RyMJ]PJ)2ϙ$PZ3cDh0tT\CugV\6DO Xdބ,1n':+רA$f!YH#;4@7 x'6v*m3@=&1uӤ(}X]M3[0p02JOO~^ ދJ  <>-~pdC hHoL_G$Qf*Za҄U᷺ⰩQqMD*kG\wث ĮvO6*_z&]׮^|x>⟮xYH(J*WR? 䬵bGsQy{s@9 ud1lqgaq7ywM@3WAUiBVo!VCB/S1Q3k2}u#^Qhz C,#;q} ѻu]})RymCT{qM߻O='r GY1j5Es%ȍ^C$BٲP g 2_NL銨%,>yoGz j >L)L}nB!d? f`c 7F= HT yBۉיk>rv 8C>g2kVSShۺv؄_Mv _ExeA!fa;XX!Lf6uQ$7ms؍g&w ^|[P~-aۗ nV~ tMg  ў(m#N>E\tEK @9aRX¿/,EZY%r;UA"A ur(̠GmWq#4u3}7ܱ8vPΥ`sV~A\ۢ&t #{s:YwƊ2ZEK/5^b4eD0LhVZ2jmU%Gg}W\ƺSU!uUR=7j T{A{nդ^i_{/&e:4xpJrE{B^rqlpj-Eaaݲ |,Z)vѾG8' j׳ckS):h<^ffزzy`{X->߾VvNjqV_[BZ03[| .dZ֊yY'N+!:W~%W bĘP8 z 08Frn8X>]D0S梛C\\4Fo*zvN"z51bH`DbM(n-r0ہu9oeIOʮB>u<ݶ.ft{{E>ϛD%- V^d-7j*~ I \i NycLgIw> m?-8Sf'`FɥR(oK)I`TR)ZF19ulqwᑬ0`֧a\9 sJjvf|D@%d"[BZ8&q#c-N5'S4N\Nv'9G=Y&?nɰ#êh ~FWz 0fy^bzY9 u]P΃gL4#; (ϊG#|Obb~.K( #ݺ⦣4bܹ|vyf Q͡@m,Vm,?uocyb;4\ \wF˞A S2Ihe$ endstream endobj 17429 0 obj << /Annots [17430 0 R 17434 0 R] /BleedBox [0 0 612 792] /Contents [17435 0 R 17431 0 R 17432 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36607 17433 0 R >> >> /Type /Page >> endobj 17430 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17431 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17432 0 obj << /Length 19 >> stream q /Iabc36607 Do Q endstream endobj 17433 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36608 20690 0 R /Gabc36609 20697 0 R >> /Font << /Fabc36610 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ ӡ~1OE) eA6\H&7]N8jeAT  cʙh;QLdiNU$R9!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]h߄}j]֠zfQJxpf%ehwm-־0Z|fOr;Z:[hj)\Όl iV)xkEŐLD}%l)l,1aSi ܟBd#UO\05cDA ^Xb>!%$]@QXy Ph'-:A/}3 endstream endobj 17434 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1596) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17435 0 obj << /Filter /FlateDecode /Length 5274 >> stream x\K$W@~ &{=,k0z H{ؿlxaH5d2 =Ffhe"`_G[=ӼOϓ}D[kfuu]u@qRF7J5:=cJ,Hht@K?YL[ٳ(LP"|%/QD].RWB=]ǞE4' %"zR!?42$?k'egt% 1^"Rj<  ou֩J XʜGTŽXywy,IoY.G2r,va3.#KX޹3{#tsӬ&e,$ȠAXk*[{>[,أ58O "I3aSxY793+Ft g~c>"sJ͜WZwּxCe\?1yƼ~|+7,P,[n&"/ <_OЭOL@KkpkbC5> HYn;.ѱ3Z+ {IagQS 36CfxMF_E[EY. &w]0Pcorhj7[GbQ"mRUPN"O!#<ض&}6.Lj%E~ۨYb)Of5khul1i|T5 evk^hZ]a3HgamL--e^6VIy?k7cZɬ !UwaY`@6: 1v*C"'$`ґkia.C&ʐY;,QEt{a՞Ŵ,rqv$hõ(kBka'+,NձpX3BvaEpTzcu5|_WO.6y %_3\{3N]W~/6~N}ᄇWwB`{3G_POKz_.N7kXr >,<")>5U6TB/ ?':F{`J)2vwl$kHzݰ،[nd؉{Ybݎ:"I]12Xu]޹׼fZjc))L Ԙ25WNYxV1ΕfvQuU,{ goa8pE-WO޾H74&k ɍMGX*crν;Cړ\4)1?O RIY֕ Gn8"-o9%M"A:Q# WJZ`&Hvo@ ?^rNb n$ @cnj=%BqlVxօ:P&0j{[f-@fxB>w<Ȃ/ģ'y̡4M΀eSZ;vLG wHCG2& wObudZj=e:޻R'Ҙ%Ձ_q{rgB+R1AF&PF1:,\;<FT҄ȳ&aJjا\i! ^$[)p3d&wUCix5AbvXňM0+:~~kKɖfVUgp==/؀VQ6fIgwYO%ᷠ ddk &%7mn#@!:fX,!Z*oHn*;: }*5N )$~9F~Bܐ⚈ "qRixb#au)")*Kg- c`KN`\(7`|aҡF[&_&):Hn p(xFYA jo;I5tC&$!dR{m{f>q"O->:1|z;i @sϴ++zpf#iI+ KFGQTTi*1hSVS"J/whk٤M2 Ysfm72QbR<*/j/U s\61>9eZR2skqlVxNm-m(q-)S H FJMuaiYp Ǹ (U!w۾8wRqI{>JJ:pl~Z!ay!i2t*'DUw\abakQunHRpz IeXXch}D:nTXX½21ivy꧆! Fcʑkz_N8=ZNBx`#uSkJF~(uKd@ݬ5"3-2gC;!.Z}hnt>N$`i (FO1ʐ s%T:m$T,6A@g@nc 9Qfʉ!ҁ'ceQe3IHTN(:tH"!.JĪQȊVZ:׶,L~ZoiC&W^=YSUF6 q#R(R+D!b @F:LAT*L6%vvڄaŕ@U:VíbM fxݴ ]8t$֦d4;?}sΕŧ.aئDf2r74AAfr=`ٴ2WٺQjTQ|?rYMdńSA$7Y|6(ADN Ha!aR򧞃^KEv b}q\Vcِ+/9p~W痞ǭXCjMjk-VAOjnC`Ilt[cۨx!ulTM:[MtsoS7{mƩs .H Y 1&j%c;=-g"N^ptύCvwYH5G c/zmoؓ24^ Y컢{̽D;V{|@#m{=hӎFZeKq/Ed3ufPi^WߴgTp]!!v\P3_]F Ңs7mb9=B12\!iB/c#6kxq\Qv"+W%P˃5*$<\vivDTPqUI#>x%JR|l7ScBUɺx'xFMi :0T;7T\Βr}@p`)W, 6c{nq .#*Gw~7\~aw/旹VN/n/ZgJ9A[%%'>Wm)ɹ>ߴ" u?N^,Sn~PìԘv*5l.y18Oҧj^DHs;u/r'zLVcr5D|lczx@<lpoR-[K(XQ6ϴEpQ\+vuɑBe{0Gd9w@ن ȣ ]PE IVZ [M1J ^m "daB%q}wog) FYWh&ML[;:6 ˰k Q5\w?E~~%.tNv!,##c:.=@D ̒4gcuAf,m?x8.m=4 l:$$Qٞ6쁋Gy&cS9$_m!fh YS;@дwq6e #t-(&rgͅܡ :o3p uϽ+3I1 b_[OعUko$ybO||'|7="|kg*\U;K }gt3yh{9>Ŀ:W:E4eyUXI[ng0sll=%P(2yTnʣ FB\(X |#W<]p,8r룴NC3& xgJMlNcizrF_tZ E%,'Dm{>>qy7quccd7T2\cdG.+,\p3ߙTp'Eݘ۳~\5VŁ o6EcH&?YoPlQljrQ)]7ɓA`^eD":rūW+> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36626 17440 0 R >> >> /Type /Page >> endobj 17437 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17438 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17439 0 obj << /Length 19 >> stream q /Iabc36626 Do Q endstream endobj 17440 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36627 20690 0 R /Gabc36628 20697 0 R >> /Font << /Fabc36629 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ \ӡ~1OE) eA6\H&7]N8jeAT  cʙh;QLdiNU$R9!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]h߄}j]֠zfQJxpf%ehwm-־0Z|fOr;Z:[hj)>t\gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17442 0 obj << /Filter /FlateDecode /Length 4395 >> stream x;Ɏ#u< `8 (U6V>:52nj2Ūn#V22##޾լNxIŮY_[e|Z3~ڭFY~Z߮Z|wiܳZ_n0O˧NNE4??iQ&+m~ZWi1$x'u)/ZW`liӰO*z#[㒸i= 0Cl^>-8G~^<^ :UuVf'cϤkؐF. \ q|_;&d{^D!<*[T"'t u D'YF~;.PT 1w o I#7;@T.^d %XWÕ;yZSnTnYW$9z:cv9 ID#HJ1]qix%BhY[ 읅 ;3l\ީH0*\FEn]^ :FlקTZI-:9%O괳LHyUb@^ʎL(L$4>i$xģhмe`B8ݎdجb.ec60{Zd1Do}D7_pp}h :=R0`L8WzOyT̈́tׂn|=.npnZM5 P)$(sV@]pK܆M X.\(4p$mxoF b1C5 c !H|Zjd=k^8 &p߭W RebR>_T,?"&11FUa"9VSKܐ*ք$l-UpIxae= D |+4mr^9\+x,ރ4̔0x ZY~ aAeS^ 7x.8ܻ`_3'^ >\ ë *E<Ѭ a u@SBmh*MxomvHжdM24pqˠpks̥T 1(qVpΝxȀ"`@AJ PQ4HlxVH7(N&@|K{]7) 2Ů`ϋ;C|y; R,S\ L)~ǃNM(w94NHEFS"@'J̍kٱe%ך\!C r֐P,C-~ך $p}dq!F&Dkc4Syd0*a}hYU|2* y2bb2Gu Fѽ^bqbrP,Y5HS0uD rjmGR փcF.NLÙN Az[-{IS8~X1-:5oG؝JÃ.g 2kXM@}8Q!tº֍mUVbZ۸|Gb_%wMM+WİM$Ƶyx$%Z:ϒ4> Ůdr+j `r$.Q>zd'ErP"4L嘀X,͕Al60lzHJjYYkwꎙ%.-d {̶ nPHzj'Շ9޺ec9ٓi&NJ2U5侏k?`T'aÆQDwJ.UyLmqpZ[y֦(skn# 6wx9$P;^Yw{n5jG,[l bmXP2x1VGGGPeyZ#y7QT> /oTpCƊK8.Iu1Po)E:Z4{҇*t'rSɒ[[M幻]24\pY9 H01^C=ۈg, ] -ۇG41pxzf72@:,j⠚j鑷_T}A 5;&z.3O*괣#):ډIJ}+SA˜nEsmy2zz[9eJ,hAAz,7*RcN=\G>tk*ޫd ٳ0O1޹qR^'ۜllݬgh;: a9:qO9xNP<3}o3G Kq#mƶ*G%L5O_Wij~b?`_[OZS#DTLsw۩8xmxb^RK{y_1nLv?ֿG~ YtJPAlʚ!ĸ(.X2樲Bq`k~ZcVxGH cS'R3$Q|7Cxû֢JXť}k3z@c3cjU]lq?:Nb(3$J =gsr0$SS(`Q"Y{}o.ﴸ(7zyK7V-Mlg܍"ϯύ=Dh4&ʂ:~wu|w7xւr^~{Q4 U!e^6%/M Ii^'u>,ɩ`hF"f汲b|$$4g5O$4x,hެM9hM. ƋnT .@Pc؟goL},#;J!u}Y Ha 9*noc0$9*#'=h9,t.>l_xؗ7ЂQ >(kE<`NsDžo} |GTmkJX EgA&^Tgr mtjy\(H @B=/Z,'ZD~WڀIeٸ੮{ O 򕺿苌хy@;J%ٙR-I,HP=%!Ҽ)?;uƗ}0ꐵa "|G.6[| )΃/o1.,Uپ l]a1Đ,>n&e8N3:n ,898N<>Hl*Inok?v R&YG(,;+=Z1X0k9Wqq3}!p 0&~y4(Yx' h xƄq1TmB|y煀b_n> $W+Hb^0Y 2x¦53 (@HkƖ ?aHRr⯇;/U7 6:PiC&n!t=*d/ `!s endstream endobj 17443 0 obj << /Annots 17445 0 R /BleedBox [0 0 612 792] /Contents [17455 0 R 17451 0 R 17452 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36645 17453 0 R >> >> /Type /Page >> endobj 17444 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17445 0 obj [17444 0 R 17446 0 R 17447 0 R 17448 0 R 17449 0 R 17450 0 R 17454 0 R] endobj 17446 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 197.6017 686.7] /Subtype /Link /Type /Annot >> endobj 17447 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 192.6352 670.5] /Subtype /Link /Type /Annot >> endobj 17448 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 182.0037 654.3] /Subtype /Link /Type /Annot >> endobj 17449 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 192.0137 638.1] /Subtype /Link /Type /Annot >> endobj 17450 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 181.4207 621.9] /Subtype /Link /Type /Annot >> endobj 17451 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17452 0 obj << /Length 19 >> stream q /Iabc36645 Do Q endstream endobj 17453 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36646 20690 0 R /Gabc36647 20697 0 R >> /Font << /Fabc36648 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17455 0 obj << /Filter /FlateDecode /Length 1294 >> stream xڭWMk$7Wh3{r9y8`C^UIxMlzU^}/i2{uuSO1ji6hgM%}z9Y#ޏ&? JOo#>sA@hY ϫ>Xw92=XN~._Oo hm4+FQvދ((%il~M^t0W)֐5)9KMPؕX2fs8;Wj-Hu4s3R8Yaۓ~\3ZlPD@+N&Kb,\e?|ߐW(;pWz]f~ y^njx?MF=i؁a"b^lNΙԻC ^b޵p&ñ_T/bNjkQ w" pIm2ɛX$SWِ~Q^t1?h6Z귚!XlުwVf# %*WMYp2^ VDE*(U}cNZz& !L|` 6}D:7i%,N8 u b@&>zvyhmqu-EƜC_{rr7v0_NfnD:ӍM\]v0x 2!f[ endstream endobj 17456 0 obj << /Annots 17458 0 R /BleedBox [0 0 612 792] /Contents [17464 0 R 17460 0 R 17461 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36664 17462 0 R >> >> /Type /Page >> endobj 17457 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17458 0 obj [17457 0 R 17459 0 R 17463 0 R] endobj 17459 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 425.025 145.297 436.025] /Subtype /Link /Type /Annot >> endobj 17460 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17461 0 obj << /Length 19 >> stream q /Iabc36664 Do Q endstream endobj 17462 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36665 20690 0 R /Gabc36666 20697 0 R >> /Font << /Fabc36667 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' 2~f| pYw.Z 5J8 [nth۬_{u ]rYHUC.$.p' Nf2j[&!#(H!`a9 }' #Y~eNW3WHˣO1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"^G?+QO T>JщwlVbVaצb/mk: s[({L̛h*$RG"eSRj)\Όl yVذ/? D?l˒!E_@Os#%p!g%`݂` hT:VEA ^|v,Os(R.*x P/I NЋm߽?X` endstream endobj 17463 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1599) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17464 0 obj << /Filter /FlateDecode /Length 4136 >> stream xڭnί$fyc ''A`/TFa&z˷,],RglJT\bk1;C_NVÛrPuO|hoC( b|my4Nvᧇ_mk,>U;<),?)0|"qqZ&D&O>aZ 5[gzzcx$B\ǃv~&Z|0>?a"fM M\kryJD3 S.# }C6& <R8[0+@<\ksǀ[}Z`g ~ -:`WJ)763LKA0ٽJ1)aZNά:ǐY&2* ɂ "ySi-0JR5]kTz^1ۺQ% akAkCyv!ʦ-ekT*j] 5  Sch>h͡W7/1OcTO^_kMKA<4Ktk/F7t^ 582u69b@U:qPWkBL!R۷Z<WLr8i?S[(DAN=Y9& f=LAͬ*$?<`R_[[$8N`٨Ԛ\ERZiQSJ|_j$Tfe@y:V ֌X* XrmՕ^48[}أ2nLS/\lzP UaVX;6&zZe/(}R7ʟ7(yǞ sY M<9ܡVEaQ׹,<&Z(dd~O2N @G:@OHա@\?R Ўil!oHzc0՞ˏZzVROf/&j1s T^9}kʽ!pb/FG6,[n4]mA[iBil!adB*`hوa k肵1 0VeYh-6jE/Լ,pVqYB".a/{l W~Iwz5')o[Z#}"ԫ/KM۶jkm%;%w\N,y&^Ì?Suڤۀϙ;ȶ^M-"O*6=0^;<ڃX$ ̡S2QcV?Sx<yHhIhL}r@>3\hbcC;C,"l3A\pqHܗ۰Mz~ksg1L5Gkz DȰ%%ʳ"%ٴ q1@&FownUM|2{ܫr7JE R"CdYB%O`QX` $m}h3ovwnk*o#RUSpn|%nNC($<)-Mdpe )Dj1zb=7WnWgqӯLr媑 < G_6 -!jyg0p0Mq2Tp4L3`i it\ =&2F` _i jRph4dGQ\=U,$p[|mF,"b;$ʣ*;>HE35]DM}h1hЩW ]w@qh݅`]{_ iIVaReC̃HsPN(7DTbF› 1-QpՍpb 8BĤϑ#D(ԄBαgfԤ47h'M.@`;`\m =LB:| n2ifKA {Avkn|wR 3yt7r`w`&S}5YDE8og^]oLZֳѢ݌5BrZ?)(3:%5*Q&J QjF]D z5/m"g)v`d0a"eMƵY#M??@7dCv=l ?ڟP'8 A}`F{#fiHđ4ikkkݥ;qd3j=q<,Uٯ)w.v!O0y: 5mҦ7\3&IA~o@NJ 椇\=Sщ6iH}ȎZmG,ރZ-hVzuʸqHG *,_Hn@mO hUA_=XVaK:p@ufGj䩕pWR*,tO1LVkGt5qu*8 }JTtU[9 Au 5ryT&k:W?455[MpDί˿i"M36Wbaҕ ;>r90H[,+ 1ίjF 1 6U  |§+|}Jb ,S@GU]=YՕ׵)q pO< p'ܡΗIgY~=ԉ^D]Z‰?e$q+aqb4!sWR%(ôc*ȵRѡu+pe>E.沵3,M e/FZ|}t{"jj(uZ ei>\Ey*VҴ|(No<ʸTId;j2l7ڛUjÐ-n3_G1'i>:wGB0qNŕ#s8cmDߎc:-.1, 'vA^6wrT/]RY/ \HpۧqBe 0 fPC\LB9 c6Wo <'%~򭜚ɍ}~ >SsӎAyñۥvkԺjgѼ@7<5n~D7:]^'3o{ XHE-? !> endstream endobj 17465 0 obj << /Annots 17467 0 R /BleedBox [0 0 612 792] /Contents [17479 0 R 17472 0 R 17473 0 R 17476 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23913 17477 0 R /Iabc36683 17474 0 R >> >> /Type /Page >> endobj 17466 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17467 0 obj [17466 0 R 17468 0 R 17469 0 R 17470 0 R 17471 0 R 17475 0 R 17478 0 R] endobj 17468 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [104.1732 567.6038 204.5317 578.6038] /Subtype /Link /Type /Annot >> endobj 17469 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20210608081201-08'00') /Rect [104.1732 551.4038 185.6227 562.4038] /Subtype /Link /Type /Annot >> endobj 17470 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20210608081201-08'00') /Rect [104.1732 535.2038 168.1327 546.2038] /Subtype /Link /Type /Annot >> endobj 17471 0 obj << /A << /D (unique_197) /S /GoTo >> /Border [0 0 0] /Contents (unselect_objects) /M (D:20210608081201-08'00') /Rect [104.1732 519.0038 184.4677 530.0038] /Subtype /Link /Type /Annot >> endobj 17472 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17473 0 obj << /Length 19 >> stream q /Iabc36683 Do Q endstream endobj 17474 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36684 20690 0 R /Gabc36685 20697 0 R >> /Font << /Fabc36686 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [nՒӡ~1OE) eA6\Hn;|/(h&c QbEP"$,)gD1)WJIx5s剢xyRٸs@61 Ej92;0' g5kDN 9.J}GZ\ #QB3Wuœ}"juZG)ȃ;6+)C+p|צb/mk: S[${JLh*Ȩ%#5fe8>϶fU5ۏ"bH& d_ ECC 0yW!K1_|K RBҸRsh8rF[z7}^ endstream endobj 17475 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1600) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17476 0 obj << /Length 19 >> stream q /Iabc23913 Do Q endstream endobj 17477 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23914 20690 0 R /Gabc23915 20697 0 R >> /Font << /Fabc23916 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17479 0 obj << /Filter /FlateDecode /Length 1689 >> stream xڭXn#7+x{/!r@ANNc;~S\X8`. ӍGc0M:9ʢNPIdRZI|)RMs,ka] >4 L6_ KЃlD, `H#ԟW[hi鏰o )M.+UlMfǶ`4(o-ok>Zm&l0@x'n Vm{-,vk-[bDL6=<hKM ym_?!tγ&M]h^G9/_$&oER<;hbw3b&0τ ]X+fKtޝܢbM-rG 2 W.hqj q~^ȴg7e *I># ̓,^4ciꫝZjd0LG k\uV[avW5/@j.2?mrs)jxR6%aWaPkɐ}3&hl}joz~3x -#Z{V=biTʚFXAKqƞkHUc^wK3y0J-g*~}𲭛ÀJ@<_TXgͤi xl x%xBgfQoIbjC5D+és$YhOpJU.T:7j)^d&Rz1 4Τf}*EV}gnE qnˈ G5fV$1¸#đZEkl(8R>oHח@k0btŅ8/~kCvee%.MlQ/8I̔$nWT73#*% E'^ qHGK'?(Q.J83K?G'؆2<=~3HH|ۑx2߆d}8Qɯ8Clcym={x^9Z_9b@wigTydwXM(78ݛq17=Zה{Qi)m-FoxP;.J| yC;I_-߄`2-* uHDO}_=v?مn4\6ξaؚT/Rpx?D endstream endobj 17480 0 obj << /Annots 17482 0 R /BleedBox [0 0 612 792] /Contents [17488 0 R 17484 0 R 17485 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23932 17486 0 R >> >> /Type /Page >> endobj 17481 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17482 0 obj [17481 0 R 17483 0 R 17487 0 R] endobj 17483 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 439.225 140.4735 450.225] /Subtype /Link /Type /Annot >> endobj 17484 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17485 0 obj << /Length 19 >> stream q /Iabc23932 Do Q endstream endobj 17486 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23933 20690 0 R /Gabc23934 20697 0 R >> /Font << /Fabc23935 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f}#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17488 0 obj << /Filter /FlateDecode /Length 3921 >> stream xڵɎί@)@Wմ $vL6n*)Z,fTm}f ~4:~\k:&[>-=ir|nw;}Y]Hw2> /mo}FByͼ??->/~\.}1rpBO^cHjMfGe?fg[LOW@%5Pa1VgO^k{:aWݑ92 _)p'3 nT ѦJU@1qyVD;^/u DPx p=>/#_/ѧ~oִ`뿖D4@\=S1LcWG $ \_2 ('zz}ܿ@q4a*7Ij}LQ`|j;u[ҒCi#J c瓹ʾtj&ϒl9V9uNPyZv5\I*_/B" ZX 1dGeس.[:v}yø MXE1cF `hW h'xXBS0 Cu@ym #sEa*CU1h:ހ!`Imzjh R '$i6q؅D /Xu/S m@B4U,ڐr{/bjZz@Aުr`C Ԭa>ey5ufdYq9\b`UC4#n%] H-@ԛ4t5HCoX&fSc31lz GJ${a$վQI({7.;M;*elVu*}˕ɨ T%!$6jpkc\ t:'0G#~;jO6m yCNֽlzdM\X5> 5Bs] Fw(4ZoQBޓu) NfwK.@m`/[VюGw b;}c #S,.d`#ZH ۼ 2Rr7&lC9?jJWt +NWVR 1eP}c(1b=ӂM5B%`qO?}!q(ƓTjMx@]ZR;xZ.=&$xb('[ڭ$jk&kI;IQ"\USkXDqGKisK\]%¼gx\Ͻ/1lSt !E)ё3Is^ACJot^o! 41k"?34aø<+j_H`⋆`q5u$Ă{UGhiW7' *hIQ4h =c1Y;lΎpQ9J@"6w۷۸ c#‰pK#K N-yxN&>Po!,-QF/ ;;h]^S2T:K ˟ߟz|J@i ~>eHi y=kp`:s78b: V<טV.Ҏk;zԨf` ƿ51CMBQsVBVĒ@71l8=:l3ՕO".73,bY #ӻ|!WpZ Zħ fEn_w+hJ­ QeN:NqȐ70zɴBYԽw%nHC'"SԠHB@O#)HJZ9k[$S!"%(v9<{i2hAX.j.P[6Hһo0hHZzx&szLcE|cM\LԞ qZ}1f`9-N귧z2xy!ǥ}D'7żUҔ[}}^0o'*Np~\&F{-SgeB2I) E#v-=WhLN(YI$^W_V1 _t.U=V ZIX1Y;YejB5hjR=ɤJh*έsd/IݖެaoNCN x&!4ns|1 DX $$0*f55ir3Fr 2'Pm4#D'bTh,<@%|$0wj|^jvw J8PA+;!t`u&P똫K̗1Oȫ-7 ϣ􀻺Iur@;=T abeӎ@X}Cu(8!nk| %n:֎kB z[@lo[i7&{^0dx׭2l^cr~^ i4,D_JWfʃz]}bq'r2b1JbInDz__ZG 9 ] <5{:!-~^y8}=R |Sj ,G#e>bxMCPgFPaTjy\(@3KK7+Et ^įOɍ<̳BĹA`S'Rj보xyXv J235>+`m1@ZO"-Ҷvy"C%O}by7x:|PCc֚m*+rHc˥Tm\b+Y &#՗"n`hoEC ó$ُD?#]~Ewn\@p T\Nt'd 9nUX5c ɻC+~[ᄎ@Ǵ'6!mgBm px~C$Pt\BH+d>i'} 䏯N_%ZYY} _=4'cyq;[DQ: C&sp½/)‘/)q(9Tkd܅1˚|Ѿ+;oohsI3 hXE-&= endstream endobj 17489 0 obj << /Annots 17491 0 R /BleedBox [0 0 612 792] /Contents [17499 0 R 17495 0 R 17496 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23951 17497 0 R >> >> /Type /Page >> endobj 17490 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17491 0 obj [17490 0 R 17492 0 R 17493 0 R 17494 0 R 17498 0 R] endobj 17492 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (get_value) /M (D:20210608081201-08'00') /Rect [104.1732 539.3 150.1257 550.3] /Subtype /Link /Type /Annot >> endobj 17493 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20210608081201-08'00') /Rect [104.1732 523.1 172.1972 534.1] /Subtype /Link /Type /Annot >> endobj 17494 0 obj << /A << /D (unique_197) /S /GoTo >> /Border [0 0 0] /Contents (unselect_objects) /M (D:20210608081201-08'00') /Rect [104.1732 506.9 184.4677 517.9] /Subtype /Link /Type /Annot >> endobj 17495 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17496 0 obj << /Length 19 >> stream q /Iabc23951 Do Q endstream endobj 17497 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23952 20690 0 R /Gabc23953 20697 0 R >> /Font << /Fabc23954 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17499 0 obj << /Filter /FlateDecode /Length 1794 >> stream xڭXK#7WhEoX/a $ޱ򢿜&V(KR_ȴ`J̵!aSDԐ$ϰ)$1#Z7+d ?H,O_*َ_t4sv޸F.Cg$]xQ- !ъE'a.S`z\g xu ^eVohg3?8;uedtC^hu4Wd%>X8:8L!jVRd#_-#;eXLMvS'4^jQ,FE˷gIo6yK5.Z޹ڀވaH##Q)cjo4#Ub O|]: uO]U֔0$z R^8܋TTD#V~38u(vI_q0xn¦2 @o&,`%ftx, E,35i5 BVmѻvoGu]c׍eJ4R+2KQV^P^4RlHߗ@H1~ƖS#D]vB]{ȮXIk8ƶh(RI\^A4Ζ Γӆ:Ɣ#ʅu-VDxB"aF9DozoT2NܠQ"6VW)c\CHme> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23970 17506 0 R >> >> /Type /Page >> endobj 17501 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17502 0 obj [17501 0 R 17503 0 R 17507 0 R] endobj 17503 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 263.025 112.8525 274.025] /Subtype /Link /Type /Annot >> endobj 17504 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17505 0 obj << /Length 19 >> stream q /Iabc23970 Do Q endstream endobj 17506 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23971 20690 0 R /Gabc23972 20697 0 R >> /Font << /Fabc23973 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y\ endstream endobj 17507 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1603) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17508 0 obj << /Filter /FlateDecode /Length 5120 >> stream x\I$W<@/@ά.w*{0}$E-Y10zj2Đ(#%ZB~Z*7OFJ;48|xqhױust!Z]R><(xr>OGm[$mN uƷȯ_o$9<&rA_EO'RF5:-Ga俘g ^"aI8+_):eGiе'bO8nc#L81dcB ]*-V+}ƭJ-:^{}I!l3uI0gy2W`{ Fn`Rӏ0 F<``# fk>4(H*"H*Cjtq*cd1k!V NjYBe)Ξe0&. PxrF9ε;{dMVNBTmr9!8PP/x83m ͙0Dp-+ A:0- A/a^A3^8/>Hxt}~HhCzF}GS}&ԉ^PDAa1e _$!]UZ3`5Ew~B'zGݒ2?I;oa/{ cVuuW'ճ?~fljoe{(,XOn+{uv=L9ٔrMZb';"ҺP>XriH1Nz!^a< }k'ĝU%rpQ ſω{\;Eǂ(!X& IbHrR\拖 j,=={ y 5-+m~ +TKZoĚU$u9G"N_aq>XkwnE#Pih2BJ&+$hBWRMG'J;dT30w:aAW|ȾV8vKSIVEY-S-Ui:Xߋn uoDxvN`TGVqTmشj4YB-GWa>6 £4[a;V|go,w⠼Ԡ=GTk[gjjA"_ՃeQi4Sc "F ̔J'&ͥ&WIk_U T0vuä".I?u/mP)cRѴhơȥG5 >U%ճ3uJKyIˡ rjWO70z:K](E~ 0]tΏ2r5ʈ:Ü:>Or¶jwQɽb9x\9NqAXa Df?IX3}QjIrGj@VDѻF&N<165:1K;ckk`= E8ƴ`P [X<8Tqf5B ".k39ҷ|Nρvõ^$¯OYDl2.F*9iƳ)tQ"5YZO?I//Bm%Qa`ksPfp!H7N 4Rƅݐw,#QS Lle<$ȶ vv>ֺP|1QdઘÁ XF,a@ ϋ'P/-چS eBOFiW4ڋ '#ij^%F?4k3jNvRjS$KqueCЃs!5n{<$paџ<(MJg'@@i< N09C@f$! ic:ImATwd$ثP%[H챑5U`V8tRZ(Ƴob8SY@ />8Et 2YltbK/+?VOՂ;D/]A9}٤R@7B`T:mIQ!@1qv 4hZXUΊ_Da@@C{Ri'^Ȉ7+%VN:;+ Ѽ+ARb1@q;2 *&4HG э&T\FPF*,tje87w_67=2GF5E]ґ jwJy+"hE3Ax))ڋ8tQKa:8VdqU7-SX%{/ψGyR^k+XAa%x0o2X X#Lr8pIS).v12N}yXp69e!P(ϣkp0ɋX"3 #N:quVg (7 7> FH/Qfőq[0s=jsQ+Hv"4-4^?}(hb>bIVcM 95~B |&{ă 0*׶N_=flįs[Rt;Ň'Qu;< R :KSp\z֒<8,ɐK׸JOaTO#@1v !W`,1ZӑtkMbIkL.cOЦdD˼w HLvh`"oBMv/4T;Cɶӯ3,9]okyˋ2vņw /6_rEX,/@qEHft:r ӆꔱ·bLbIZ2喆Ǔki_'fH2owAndli%)j-pS^h QgbbK6ШL4‹-)S[DZJlYF=G eTOr%~jXiز: F[oy-cĕ'a|mַV`M7v,LEa4]J_V:T\ܪ* <`Vu*=)\dO\%1dXpVx:t~7NMC2LWBJ71pE qS6{{/Rq@%/U**'69~Tʨ^1IczeDp~Lu U~gYT+S6.7]AbBRlB$ޚ޸ *lgHCohBf֚ޅ*Vٺ3ȁOj'alby8qc;JJ:᫡eG]rp\ݳ4/oB |O;|NDV?n,]bcfyi BXZMM///_ǧv/z~&d23>",i ۮú?0p~(MvL+V{ zm=|#c1!5ׁ9e%dq*].B endstream endobj 17509 0 obj << /Annots [17510 0 R 17514 0 R] /BleedBox [0 0 612 792] /Contents [17515 0 R 17511 0 R 17512 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23989 17513 0 R >> >> /Type /Page >> endobj 17510 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17512 0 obj << /Length 19 >> stream q /Iabc23989 Do Q endstream endobj 17513 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23990 20690 0 R /Gabc23991 20697 0 R >> /Font << /Fabc23992 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 17514 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1604) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17515 0 obj << /Filter /FlateDecode /Length 5495 >> stream xG4ɑɑ "G^IH""'eh+oUhWgP0 RX$PvByޮBc`oT+e+R F9"WsQ"kpIm"yB\Q̌j(`8K=01Zxۓ"äQIͦO$tpGt%–~?KeÄhH"g JaG&FX:9c=PdۘL ZwW "HYRJem՗^mȀY8%k6z'Lϧ޾X^ x  (@9txl7<R5'ڮuxl%W\ەy;n⻊h;UfToϺ{]pPhϞtfEϙej6hv[ nYgcŕj MxHsXQ5;=VUhTX6G]*c3-APj0D7*Ns@~xp>Gz#(&aP듂 BpkYs!M]aC18uhD&vkRi*$7'ӑRiCCHj֤c{t\ dfr..qF<<75Jpij_׽rz򣐧Fgbk@DZR3G4lsgXƾhk>0zOv \MpQʦn6?r$6;*ׅ1H9m Qα f[TVcnێ i=8T[ rrެGIX.?7Q_"j#zj'ncC}q|5QSoP|Cz`4pSAn̅}jF&elZ` ՖwcJrg.\\1oD4j:o/oP`}Y.3VSOV97f(-ݓ6`ӵ`␼=zVJLehDE6!`zzGTGӘܨq*W훔Ekllm&KhOqjdkf,e3XTUsЄ%ll&s[U>֎d5>TKF(S(]l:)3%Yvnnz=b6lHQf68fG*y`۽ɖ`C[OUwqq&/on^/alݽ^>((VYcV2t! 0s&BPѯ>%~Ng6uΪCv4E zdMh @%8Я1,\"e6hYpA-LEX&TyE$G 5R] @O1AЎI͔5:MFts<Tyy 4^X) ^{&G9k\]DKA0N gԱY]f낉h0j9e8t):#pIi?\ 9xXdPr)QP+wD)Qax bR/TƢZw 6FӌޥAV82Ln??*@7ڌTiq8o/>q|Z>L?  8d$%@)+| ="#UPv떈\ehcPA -_ Pu|%-.8UGR@% CAgΑȔBS6{4`j$A8et[ l/+w6v YOH[M{I\I~uBe4Z߰+w8i)( Dj((|Pxж7q=+e{t))A`+Ҁۋ.hXҸC ֗ViqGοY~3 =ԆhӀvӑu)i~0?5JĔӨʞkoQ,f4i [A޸JT!MYV>+uavm8)eܖ^Ig JjU 15Oo{CJ0 U?M@w['0sɥLcǓT<5]{5_Jzo?\bUE 4l陟֔ yVOǽtb+<GήD>r::xVeǃU׹Gʽ^m Uaˌ1D'a~*>ka1MwۉZ6ޝ^.VO*!Lb\# {|. qA`ReWp~lF|Dxx60ApӍ f M^Է=O5RԮ(ö: rq.毚h[+פt[Q{N&ʥ[ܝ[e kB=vsVӐ)v(ۍK}/,Nݤ^BNX(܊gsﲢ(GX$.S-=9dMQ{ Cax/;eZ3pmLR?OͰ50 !gp*d[h3^k_~sTšRnp/ RmsB&>!ܧk)yUN.묡}]HΌZRϬU8T\$ {, O8{bzP̓k(,?ٵP pFez`8Q[44gNq>j ^N}R ` EBl1L4vd1A|Čz%- z$Y2^# 'Z6m2 ;!FM TʵhOCc]%ȭivVBVTuP6MW;D,PGdW:o춈)\bE =dW=mIpsipEǜ* [Eh Ӳ<(;Q_s>OlڻSnmKՒ"GEX/Nҁ/]Gp!(2H7*Θ/zw,#^z&;0 5mb*݋ڊ~:[Z1r]@>|眨8y3˾1'eWk Q֛ǹ:[m`oF62!8p? }P@whbjߌj~`DZDͶ~ BhUj$ލ0| ԗïC7[}WGc@ژպ)ۺΡ51$lQB˟=zRz{`հGߘ_ :a0_o{z/! ٛx峜-WҦxw#| ݠnB Q5箱͕M]1w> 6t3 =g K0v(Zr<o4o (Ą:ckF\7T< pJf_2>N~+@7`tTG  a8́#fYWЊ@CT][DMØ' (=R-k (~S&h"c@9 eL}P$o !iTPkF"V!ؤ-8qҫ1:*b옜Rv2&pp-]S*OK{8ʜ~560ҟ* j_V R4QǰΆ*)1an شCQ6;.T_SUtwG}F/Dȟ1)<_}>_M^dx. ޡixm^cõˇW o0^O A*> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24008 17520 0 R >> >> /Type /Page >> endobj 17517 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17518 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17519 0 obj << /Length 19 >> stream q /Iabc24008 Do Q endstream endobj 17520 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24009 20690 0 R /Gabc24010 20697 0 R >> /Font << /Fabc24011 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛC endstream endobj 17521 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1605) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17522 0 obj << /Filter /FlateDecode /Length 3906 >> stream x\Id Wl/@.9h I -vƃ*") /c[ÃC";IkWIͥ^H89o_&M6tB(!uZ!_`^~<;f$RVpGpۋ߄p;& OΪB 55Hk .pwd=]PWM.)ԤB pS=ŤJ.!1$(!?dt 0}gיf*783$IWj\*"`|; lNhkLqM7Q5}Gf9.k 1S3f% 'eQqbI4 MUE4~&B31Edʊ 0+\2c`LnZj8i~=x(Qewn+VJS.LFhF/JEOn!Kx.θL:35JGiĐ+F>Sa [iNO"s]Zb8g8, CbȠ'͈Q+$IUks'LNegЬՓt=C?fwU>VbY%/Q $i0,<=:U aX /0kQoϢjط E=Meٛ/Fu<%D>),`V - A#͖M'^&s5U hACѳ^Œ9n( Đڨlz%z4@v/b,7^&&eITuf"zt]`T984gruٓa{có9:+9:*G2t]\NJQdzff`mWHi*߻* k(a7ح'oeU`7[nv,v_|0j_\ⅇt;o [ oYQE5s囋NV=r\k]B6cw}+ts [nތYJ E4Xo]"ռ izH^R5?YOU ړk_6=0 n7l=-<8d9&Uݭ |"(Fv8 ;#]L$uNܑ1 ?P ԪWyE'2 !TMG.'{bJN<ضvuݹX5-G) KT&nVs[lYp𐅀iVPGu \k^NaU"0xҲ0r⻧=8Thqҥg.ggy[zG):}q֥}+z=>Sªe.9[X˥%em/SgCh1Uw>קys!F^}-o|va`H7w^]V> u! {=N*? ޔ=wcp7 wcϺۭ[<wn 7~v|nt:t^Ļئӭqdv*st2~AqR?ޘa )TQzߴo.{̟mU`Z7*vM涹?;+ӸYv!xx(vcn e"nA!]]E-֩S$I5.jXЛ |Lz4zj[*AH[Wz*~UBCwFf! rTۯ[}I9<gL 8b4!%H )F"U,Ekv/+柪8xԆw jܥ@//4''xaktHlZ?d( jo(t_U,9 ~#h>-! l6)!P W %b:ut7Ne(jx %bZ@xPxWxkAɳH'Iy,?!LTC!PV,_X^h6q!kۜ%f{l<|xguZ 1vvwuNJDӝ; "Nha":r w$K9mJ>\[ *NHAlM{TY_YV$r3A]tT3;Ad&9 , i# o'FڱvfR&aVLC`Mhi[;ؚ`YU3HhzǯH%^іD@ .[oEҼ_]9&NɝUIa¼[1TqX 4ĖVt vi\ɝ1 >%}/GץkT pQRy jU+bw0K̨W8yKθ3 Oߊ.E ϟci> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24027 17533 0 R >> >> /Type /Page >> endobj 17524 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17525 0 obj [17524 0 R 17526 0 R 17527 0 R 17528 0 R 17529 0 R 17530 0 R 17534 0 R] endobj 17526 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 185.6942 686.7] /Subtype /Link /Type /Annot >> endobj 17527 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 155.7467 670.5] /Subtype /Link /Type /Annot >> endobj 17528 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 186.6182 654.3] /Subtype /Link /Type /Annot >> endobj 17529 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (set_data_check) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 177.8237 638.1] /Subtype /Link /Type /Annot >> endobj 17530 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 174.4412 621.9] /Subtype /Link /Type /Annot >> endobj 17531 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17532 0 obj << /Length 19 >> stream q /Iabc24027 Do Q endstream endobj 17533 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24028 20690 0 R /Gabc24029 20697 0 R >> /Font << /Fabc24030 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 17534 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1606) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17535 0 obj << /Filter /FlateDecode /Length 1258 >> stream xڭWKo$'+8Gjxdr[iF8n;Nl1P|.WY.Y:m>?l[}2D^[2%et" [M\D+sƕ>! T@߁A;jb Q:5&6C'Q9 R9(WyAGj ir%ޭz[{йg_YX[Hsy$[ڼ$U .,۞!qQ%Vh$2]p׋.ozu̲uCzi% q{M+ r(n |:оS+-*#or]7-Z』.t&z_c#[#_H\P][M7osa ZH4Pqhy:rlA*[ Hg.kn:S vH endstream endobj 17536 0 obj << /Annots 17538 0 R /BleedBox [0 0 612 792] /Contents [17545 0 R 17541 0 R 17542 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24046 17543 0 R >> >> /Type /Page >> endobj 17537 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17538 0 obj [17537 0 R 17539 0 R 17540 0 R 17544 0 R] endobj 17539 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 415.825 111.681 426.825] /Subtype /Link /Type /Annot >> endobj 17540 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 415.825 139.8465 426.825] /Subtype /Link /Type /Annot >> endobj 17541 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17542 0 obj << /Length 19 >> stream q /Iabc24046 Do Q endstream endobj 17543 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24047 20690 0 R /Gabc24048 20697 0 R >> /Font << /Fabc24049 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17545 0 obj << /Filter /FlateDecode /Length 4073 >> stream xڽ[KWtlBfVc 7'r0|Rb6|OH{zfw f**V|[b ܒRfYoy5.-MC\srX>,??Y[x,o{[n77k/4ʊE˧y%8^<#<-˿!ϋ]ZȮ6]_#4.?1vaq3va[ 5/٦;[P|vgk - y=;wQQFZ {wӨF`s/(O6m> EBEEA|h19|l1n ݶ`gZVX`|90p:c^z>aYuO<1 ]ֵK"V`j?}XJ.1Rum mXfG 8(A"/X^|/v,iA=᱋e%zp+hb*"3?|g7 My%dZ>4gnrsFfNGv2{ Ӟq63n8C1o֡WTDJmMųTH_S"(hF#9!ѓ;J5UE;Е3wO>|QPu^a*ٌu`YuQ0+L1HnUH ^2CVtgY"WY c 5d*!u SWL[WZymB_'C*^E;<3,6;jF5IU"3nVwh3jZc[}RQNR@+Dj:K^iІIڷ6\_`V߲^q\Vj $ i/@`:G$ߺI:h9,wEzW_{/,&&ڱ2⼿Q HdzHAL;A6h4VHgj]N>nm4`Z9ɹ҇ɧ6H@F3s`,E`n4ˀhh .4b{h4Z;iCaƘ3o l)h9ѧ衙< Q'c>1}^U^/ uXrvCQ)M S˻&,kȓ.==[! d8ũNIh1f'(եT7A D],<D 5&pLAKEdϡe)Xlw>icݖ.?fԝ&(,Z&f:<1KkE. L4S֥A\ɵlrvPE3H2$C~]jYxqJZ]k4K7y3b)+֘㧛{]aA\Ʋq 8x!`YX/Q " bA¡`lԁ3@Sftok=-Ht#싄 uQ4[ӳ"Qyg=]bN5@k ;\n#?Oi@Y*3* ⁑L*>ܢBGj+b55yIepnA݀B-&͛~çK۞ʶ&8=k=vKB>"w#>bzK(_4viШM/%rukT?뽼~OZe2Gfh\o6 oa`jOIrOn꼺Lm>*D淈^wj(~mk\77؛|p NyM5)>ye5}5yf>vf*B>E;|S󖌂& /cϔh'࡝}ݵvZ})Us9m9n!CQ[n8{ u? LJݥ@>7Z1Cmq5%J-xoNES |6! +OuciZ}xYܜYfs/Dg_'~uX. yN(p}"H" C0햺O1͜kfZVnB@vOc1];u Ϥͩbx|k:ʜ =/5bX &sjME*FUj6"0_@Lbń2&3dɼɯ1:LqfֺEyCvqSnsQue≬4@yZֻz7߯|+gޫ|cH;1D \f l5UeSsxH{mBHFJr!#_W = ݭEy7D쉛wɉ&xj/U\ f8ul_p,|J\J3%RĦp B7x~H*d[ϴ,wvj|mXZ$oQFLFg=ٻ!4שGF 76af琖m_dp$dPYeA25(1š{69rReqqm(&4_l7b+iy7..KY.w[o]9u$n9`G{|FF|$<]~|7p)$GzQLQaR!՛u||˔,僑,O/|V׿Nd`O?+A7r5}?ۦ>BIg.'{FtԨ♟2lD~U֥tlCHFP>! 6tq endstream endobj 17546 0 obj << /Annots 17548 0 R /BleedBox [0 0 612 792] /Contents [17555 0 R 17551 0 R 17552 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24065 17553 0 R >> >> /Type /Page >> endobj 17547 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17548 0 obj [17547 0 R 17549 0 R 17550 0 R 17554 0 R] endobj 17549 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 426.9384 164.1782 437.9384] /Subtype /Link /Type /Annot >> endobj 17550 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 410.7384 169.4802 421.7384] /Subtype /Link /Type /Annot >> endobj 17551 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17552 0 obj << /Length 19 >> stream q /Iabc24065 Do Q endstream endobj 17553 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24066 20690 0 R /Gabc24067 20697 0 R >> /Font << /Fabc24068 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17555 0 obj << /Filter /FlateDecode /Length 2645 >> stream xZIo#Vj_Hr@@OF ]ZIx.WRߔU#W_U*U,vv)u, zSO_{cl=(%]K>ɋ?/@0+yX_13iI!@5ϝ*o 9~'~υ1-IgisIERkR 3\s6]X8ګOp_{Xx+QE?"al,.9OŘG \aq찬YΩ+qF{ Z^[Y|d Vp mQO6)wE ԲlO6 ϶\WN3Qn`>,&f0Xј2l74><֣DҀ9j;gE)rhʾ\wxb6o9B3 -H6loE[GopVI%>JN"2a̝-r1w® 7*()*7ڜB)*482]JF<q> kTpђl,QWg:bmw Ee:̼R6e`ެ6z eZy@n<Պ.rwykfz6Rk#YP46eJWYװ+٬p S/7xhּ7٭0`rfPA" `FUW_W!194wUNJS=0MdGro([e-옮qM:B5[\3b u8{)%.| *!CfTEt9~6!UStV/>\!i>Tk8qg E $4N*0!kQm8wdE51rҫx)Vi=Ii0NSvm6=p!rnW1'WJ AQ<rntiԪ0.\ŇR7ÙM ɯ=JE %tʣ݂k_\fg/=>-ߔ5DxF5)Ơ:~U_R_C'/O7">DIdE<^KrM{afZge۩2/=|}+ةr7"}00ճ]L/rv~qHk =y ׂnM^c{Oy} g* / ژvDbɇI77b\j6tSI~!c S|ܖ~ܖ<(CnpzGv8l<+5P7ŵTj+k;ۗc`&4=c} Pkg3y^¤}bJ/bQv$oY F N?] B׈ǎf:&qdtdpR|UA=tIe0*57m-X/?sjLv|ec<,Xqxfڹh8x,/q옹ɧ{;ix,}1a)c-)!P qG0Mxz]fqb:xT IJpdC4#S+y<[2uSy۳5fl,Gͨ#Ĺ58O[9'E9ytm,h&"7^D\2\o4)n?)̾- .^qKH AejH΀SVE% /BЏ%|`,Og Eq翔B"DP B<~Ora|}:h?Gs VzC+mƻH_D,ySx9?^|_!QL8~_A963IMB|?ܛ/O<4ND*xwڜƽ/k}%4x!~9DsbRYES ٺLM endstream endobj 17556 0 obj << /Annots 17558 0 R /BleedBox [0 0 612 792] /Contents [17565 0 R 17561 0 R 17562 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24084 17563 0 R >> >> /Type /Page >> endobj 17557 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17558 0 obj [17557 0 R 17559 0 R 17560 0 R 17564 0 R] endobj 17559 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 362.425 111.681 373.425] /Subtype /Link /Type /Annot >> endobj 17560 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 362.425 139.8465 373.425] /Subtype /Link /Type /Annot >> endobj 17561 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17562 0 obj << /Length 19 >> stream q /Iabc24084 Do Q endstream endobj 17563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24085 20690 0 R /Gabc24086 20697 0 R >> /Font << /Fabc24087 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17565 0 obj << /Filter /FlateDecode /Length 5328 >> stream xG:yu\\Y,3UǪen>hhj~#ئta9jN9ҚCLߜFIߧ`I;<`Ip1vz&B8 {q?suK ߒBsM^NO ewZɯW@(F[<+Q6=p"/u+ @9gUU6V)Y m!:(&9UfSIj($SPF}Әqz6+B&S+ׂ€zix9dTl\jU9C[jHVWrMn 0!y53e2$Xn R! pBu/ђBt`G82vnBSߥ0 ߨJMԫNE;5&-kuҽYRQ*l?E7ިyőS'KYM2%ܱ\bPR2&vk-* etLcG~qOա\?3ן1ln柡mF!YJӟ>>q &~ZƠ{ʀb} [Jd<˙,0vЀ 騮z@%\ ,[}J Wݲ t"xsT1=["DapG8HPpd5cxZ6.2@J:Xl2DA6626R $D )APIŔ8mxw'aoTɣ\h!֎(H*K#u^V&dah|  ETnpv#v) 5o)DSOԈZ>;'ϣ%p!C5Di׈C@%XQibg@4f"E@)x9jSWCѤzA j*T# Y-|ZqPb!@@ @L1;>=~i"@ŋyڳpƃM^hXFAB˚NP [?Gт{ W]-ӖLB[Y0# Xnl\~&j^f\h,hQ+66QI>xh EВx ҤPMȊ3)͉ Cu-0xK~jʆ]#6{, )lUg (!4$?ZQpXF";:B`1SK`IKQ@n)qeQؐP!@nNqEģH׷Za94vЃ!`s 7؏#}wA8FTμB~?(L̃yӱ޻ 'D4Ө)ߵϿ_8k T9e ϓpCCqGy1 I.d_K7iyI8mR9;SSC7nc8gcέ8>rO!^1XRaFHGZOkxEa!w; A*̓ҶH^ZNWW*=SY6"Uj$@E= ]X8TJ kYZ#`F0J/_~E-=i߻Ð_G﯉T!}H?8"|è#x wIKw{i0o"za ^GJ3c;10rg^&Xw[H~p+ΆƠ5}krugf_'?*evEWbZ`$_5 ?DƻS"3 J뱎rHa*|?ɠc$6}VG}^^!Ϲrg$ ]]Βo[9j6<IQ7@M;>}=ʇj%%PuJc|oɸi;x5;ߺ΢z,nn/zu#E撎Ӫ{SrI^ZOÓK]LpPo7ɲL+ԕe24q;X8|Yzɇ3ZE;Ŵ{x "̺qNM̺Ec&0S骩q 'zk<.$ʪº0jzR쳿ØJ ,`EGHeGzR[<&ҙ5 gr3U@Ox0MCN=c$ĩw1m)‚eRQhA+qBYan7I9hAݻU#7Pݼy_;_rx<7W8Q ͙Ů;p:#ףnE  ݠKe6bnVAkrf+mo#Q#3cs`J6.N+âM3Ҷuc^V43NM',()'p{#ʮh/ p({W*FoKN/3pG1Ү67,.&P?5}Xtͮ-55MmJ:ޣ Pq/ ƸyJfJU2x%5nQ(VYHtm*![g-ʾ4°{ C,v/ eErip3hig0`l"q9Άm^'>^vcd]Z$e*PtfOxK،n^}eyrd]G4iG'.%ƘNc~Of5k15:gxdA2dɲ+ucY0ώ|vSjR:m  gvk=n<d''\ٳټ"!4 ӖvdGBܯb!.2Slt狳~a f- oC5 q/kbV-m,1R.ZJԏ!JjM~ZXk4&CvrX*Ou-I -7.xDr@4*+:4\XWSs9pcV:lYMڴ )WGʗWS>"W{◟ kvuLmU\G 䮕s0pGWh`|Ni+TX|6.PY1p|Ho~f2uDgT1;fA@XtcF?ހds}B.``9Ă܋* aV PWFțNqsI Gpu1ݎ|yW'oyA[o o63Vi"FiBt~}Bu1&YSUf%+c.Z+@*^- h E(!ʉ)E-|W,/zscP.ʧ2#5|e*V!K3 'CҳxaP$=S8տК`& BgCJ. lKsG/()?5HޱEk{gA NY+$]KR/Y.CL, Xq.<7HpAv2n7ޛAQŐ-jF` :s~{IVzg T9We̸1'u4%CmT~.Hn\N%MR|ӵ_899YxC=\10`|)WY#ϔ%u+=oo\ yIV M/Hy?& s2K1lm-MK8bcpoZ<ï+ʜ;/UdG"Bzg7/}p=) 3 SI] endstream endobj 17566 0 obj << /Annots [17567 0 R 17571 0 R] /BleedBox [0 0 612 792] /Contents [17572 0 R 17568 0 R 17569 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24103 17570 0 R >> >> /Type /Page >> endobj 17567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17568 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17569 0 obj << /Length 19 >> stream q /Iabc24103 Do Q endstream endobj 17570 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24104 20690 0 R /Gabc24105 20697 0 R >> /Font << /Fabc24106 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17572 0 obj << /Filter /FlateDecode /Length 4605 >> stream xڽɎ,sV/@c7|s& c^?ܴҳ=;vURIEb[,.1,b.?cO5:|t6ƅ3>19q?|ncF=0p{I N$ 初w˿RA: 3pZ?,7ܹyjxUps~`:]h)gc#<#HR۝ř Rl$_=7Ɉ:sjIurp8ٓ ڷ=##eN>ҙќ7J%;1 ZLӮZ~`%:S')];AM:6ᒬN" l0U'ιNIÙm ^q:q @F+Z_N2(jc 7*kl{ʹ 8T8AKzd>V+A>.# hdCʄ3#vj>!  /+VO$̚FpAS~C=,ÜHH u) faA$:>~`1n ;+]&&b5AҲpZSrOZgA$6§n=FK$6l/t^Q6ڝStQ[>8h4쏳 @X>3ƙ= l!آ~OyO6E52+P}Yt\LtМoqc&u$`{ a7'~i?S^M2rB1P+ ax`D$w=ρyL4Ǣ^=--4gqYV7NمcIQ|s,*ˁ;6/y;9 7Zoԛ+w݈qm0+;S~ac;1YL9bNw:fް6qnY{D;Z:WT3.`Gk6&>YO`c,lTMG8".]p>l)lpEgSg 6[!*bM9ֻac_"x3_< XG[a2w`dcpjW5)MYCՏiA0[+-g⣸4Cuu[7t6^5-/r:w}k롹'0 q׶!MQ%排t$+è Ÿg .& /R8<Gt׽yو9kR 8G,⑆2Z؁6k{Qkx7ĬBpter[ߺU/G-5]1k#f'"}}3'{^"W -wk:bǥwIޤ*N^uv7ѻ,F:HE+_Wl:s|I6++cjQtWքoqq`rhmOTUՅ+(ݯYŠbRЪ Q㈁I9,kuRi|HN@{(E3 z.'!R\"\ Wt>ۄƣ*ێku]gTl>'D /ybnk! DLShhR3gBnW;x3_<8%UyJtBu >Amv6 _ vnǩTE#˩Ց:Em ]2qJY?/R/0БF_7CYw#K#%W&` >\uISkFފ_[V #J=M;UGܤE15lyaʬ!'^[|! 󾇿Vyo%eN'Oѕ# p ^z(ˮ4bP+$=T+ .\6*% :#Thz)}xI 115 .Z W`t[# ~ xyt1v =M ,pR@&ByQq5y  VbE^qJةBi&_: qqS#D/1դ=QϢ ! њCZ3|+/`)`+ Cx]YN5 y`ciGxw~Gzɰ"}LδBz =ND<7=kZX7B?\?wJ*U-{4;OK}l{ dl;f4vڡV2M;8W"Y{@\f;y5+v8O#O2h!6pPk(߬=m1ymghQZ;F/ܰfϦ;&!SX/Nɷ[\qԎ_b_Zt#UC2Zt oSډ~kSX^l1]I߯]iw h`ЂN9sd+r,)2 l: z'Э=MұۅM urŅrn8d0UHe4Ϊ{)sL|c+=`ÑW1[xj_}^H}qi<~'&=2- < 0ES0RgfX;j Ocv049-a9g/xƥRhY#w*͚#egW+W/~H7R ψX*LF& 4l&:ӣǃJG,nTB:UQgeFy.wQGFzB"1!W qIOMPbo}njD~_?ֹj@ѩ5Դ/WVfjIVV3?M|@ bAly'ss%ʙ٤{q=. ȝ ytM|9rh.acQYA|n]1#ߦ_% [5A/Uz$ɟkSRۜٹl3a{U>k7zwQ>kc]cvCue.CZ%ӝOPԭZǷR[˻+0 WݼzY>ѽy(nCڱ~Szh.a`h[?9veGW0iteYu͜m"4CjWdu`HDwB\Ͽ<| aLp{WS^[`(0LݣHMG9^27ƭn rC쳗Y`ߕ䩲jq7g4ajoeo6g90'x륵nf׼Z%/qcbn)mZb @bPoGJv7tf¤ZI6sѻ_>q Q.tqYC FԤK^Q 2bADYcqo; ݜ9|+̧|S!x+O9Ze9V% (/ңMgMCԟ/kNx)>z!k[)щkd0RUƠ@>|odrh=Gc%Feeo-`:ݖC:RuQ#!/<>'BU%`g!$gݺ@p|(eA endstream endobj 17573 0 obj << /Annots 17575 0 R /BleedBox [0 0 612 792] /Contents [17582 0 R 17578 0 R 17579 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24122 17580 0 R >> >> /Type /Page >> endobj 17574 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17575 0 obj [17574 0 R 17576 0 R 17577 0 R 17581 0 R] endobj 17576 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 492.9 154.2562 503.9] /Subtype /Link /Type /Annot >> endobj 17577 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 476.7 172.9177 487.7] /Subtype /Link /Type /Annot >> endobj 17578 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17579 0 obj << /Length 19 >> stream q /Iabc24122 Do Q endstream endobj 17580 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24123 20690 0 R /Gabc24124 20697 0 R >> /Font << /Fabc24125 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM= endstream endobj 17581 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1611) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17582 0 obj << /Filter /FlateDecode /Length 2088 >> stream xYIk%9Wܐ* ok[ 9 sLu</В.@ۤ IX>E4Rw&+d̆H1ۼE5ZÓdA;i^DUAdr;& ]ڂA|Dx:ٺ3kxuN: 'y- I4'ҵqŲ./gF _o0]l9s)0ÿ*dۆ*] X[s.Yb6.?2c;=[;u{U=Y9rpW5HlnaM W]jU|0D7 N?/+!REZ pͪPE = ˥UZ B+;AER5.*Pg8ND8C@ h YT0*Ԃ@8a+9`epcӰ z)g4\{tUe'k*=x!VM}VK-~E¢/cQcOc׬:db5lIM0 =AyS"w5PzjU^=d"Vphgaјe? P8{75ihZ烱< Gm@NnO#m׽GBjY˟V8/bhi`z)Xb_ o7y4:eHrGs~ Rd^Y({m) m{ PFܹefVc] nLGg$DO1⹤AJ#+zgX3cvUtK2$[zf6^F+Tv3[3ҿph*pb>zvk{i#+ϕq?w?(}y ͼKe&&42KV^QO{ Y0$`zK/&oZG IՍ(w=B8Ճe=,#VvH4MZ󝳻+ķ515v f ޵iM /5S)x7` ^NKӁuK~\^ ؤ ad"TJ%̳V 77]rJ@D4p}ȮZuĘ; T7O5djNGW%@UMLțL&o.B7/]"5`֨v`λ^gnҘݒ/['BvիEWyGN]}e]2xSotѤy1ծcL~Thq ^vD쫭7άf 'b:bC-YyWu\M.v5O-n܀:N~+v **R5n#VBXS1CϘ߼hbW,_R^feM+&fʬGޞ&8*GadS!U*R[zf04c뚥:I_ ^<ⱾLfJk@am^!^(,ۥj(NU;SʶI43:GkC451p,Q 0)ޭE7/Jmg<у}֧p=2nίsPs_ ,MT~m=j~YH+Jm%zj@5`p| IjaQק= ž=OjmJW Z q@pBw4خ R/pé0pX, QT~<|X;@ RxayM]8oec5Q86ջtV endstream endobj 17583 0 obj << /Annots 17585 0 R /BleedBox [0 0 612 792] /Contents [17592 0 R 17588 0 R 17589 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24141 17590 0 R >> >> /Type /Page >> endobj 17584 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17585 0 obj [17584 0 R 17586 0 R 17587 0 R 17591 0 R] endobj 17586 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 301.425 111.681 312.425] /Subtype /Link /Type /Annot >> endobj 17587 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 301.425 139.8465 312.425] /Subtype /Link /Type /Annot >> endobj 17588 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17589 0 obj << /Length 19 >> stream q /Iabc24141 Do Q endstream endobj 17590 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24142 20690 0 R /Gabc24143 20697 0 R >> /Font << /Fabc24144 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17592 0 obj << /Filter /FlateDecode /Length 4143 >> stream x\KWl@ " 6 䴉3v/[3b#"ůE"T7%F~~9OKdԘIomU`W(|C;jSN"ݝJ9zi.anܪ l7Qi-;>ʿO?+vr0EzNWWEAjԨFϓ?(Oi"?2vV;;J9J?A Jg@wQ8?J=@!Å g +}0TYXB ɕj8!,3Eʧ< TgJLã XQIy&cAG&J2Q~W8;2P_ĤVz f_!!w<n]Ta0p~'3}pr>G*G域6((3GLC&7<U}~5хta"mj=<:hОkxV#Pz_H :3y7Ñ> ݸ/ďjt?'FGQ .ltjT3Y LXg͂Vm>7geD_"Gg{4#:mGڸDex-څQA t1CL,OitD#g8GsODl9Uyգ[Kj;a]/y7!U+{yoc\X~L *i wqSU WbZewLw.{nOK󘓙~&EV7J#<"7-s:HNneei?Hx^/~O7Z E">?d"|Է8njNHg~b!yךgkߴIB795ѺкvJɧ1 R&;:4%wϖ+_$t3EzwA`=if?Ja-M\׃ mK%-7P56PMoZ|~bVZP7jUnnw2!5K̈́`v J| 7! t)\֯㫚իOBx)zT#%\{iJ!X1Y?4*\7H uB?j~֧2AAˍw@K :o$[ZfF\/UhxlDc+0C>S҅#1mW5ǵوP!ɶLUƮ +uPj-:⛹אf! / Fﭙ 2#鉶ME8=0iV)*)bxC[7q(8wdI7W#yh4~˳ Fh=Bm0D-.Yf n4[_leE^ua ujz\. j:^n7FXMw֬0 E|s].ΎܵXT*etnv: ~ μҀ4hƣ̩u*wsmk-jq}`cYN6Nu,ϻ٭pv0E@ {~)] c!]51x܉OA"MR]uAE|rtb~h VBlfj+QO*Dg0zͨ9!_dMW#e0]I "q 3:O\Q6b͹yKc^,`Kwquv糴@7;di:?Wf=V0c*V %=գϏ'ٔkD7&=Ie-äkh62/ܑ22"r3t1Py>7`Xxze 78?DzؘHͫ6H o,PW&ɚ9ch?`Sp݂}˧a'(x.eD-p :>M,|3;| -v]t/X,O`hUJĐEYKp)s1$69T}iUNwOcgR˔LH'ʗ* F?["HC_RʆwJRܐO璓K:6j$ύQIUJ4eRF:{Tz&Ei>O]1MY=9&ω"9 zk1{=f9*oMۉil#5G?u7YTlBbv:@gf;7GftlfH"^gǧJuY{(q4c·zE\ݥlc:sSI1pGvK ML@'"3 jW!G:;*#8pu8O jR'Sh.uT40'O0-MoΐSa`?yHN+LՠO26Yr8l–c B׎1uׁ:2W&IG!f endstream endobj 17593 0 obj << /Annots [17594 0 R 17598 0 R] /BleedBox [0 0 612 792] /Contents [17599 0 R 17595 0 R 17596 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24160 17597 0 R >> >> /Type /Page >> endobj 17594 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17595 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17596 0 obj << /Length 19 >> stream q /Iabc24160 Do Q endstream endobj 17597 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24161 20690 0 R /Gabc24162 20697 0 R >> /Font << /Fabc24163 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫Jӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ_ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١POe P/ڢ_Ћm߽? P endstream endobj 17598 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1613) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17599 0 obj << /Filter /FlateDecode /Length 3852 >> stream xIـ@($u| zNJM￴G4sJؾqcV /_.z&0|x)|l^c&?eҜ8R`V}2JIN|%˔Qu*%kQN:( G)|.4 VdAφ[%$^_+M)3ua4pl _"],L DFP@3_o0 .M8 g@Dz9 3)O7>Wi }i6d:h {iNr!P  ȁIkT5 K0耚eg̻>8#h MX K0JfC!aP1Ho*a:P敗@\d*D5ku+"rFЙg);QM{(33WUlm Gu\IsI8,Ytx4'ѣYۃ R4y!yY4;6I2OnhvUdhu OkFVioHCwa7xeA0Ktv64S7qvVX$ Zթ f!ALOV¯LZ"$fM31KQYzD”-T,?^ƢkPplY&g&smoYw/mȬ2WS[W";7G3=t^-R"/Ίi\ ĕ@oWQ(+S=jVņ) j,/ &o܋%nG(em{84﹕,ל`L8v.Ԩ;' T$Uo0ŭpVu_Y޴k=17eXԬ[v9v<)H#IImxhWy~ץB M#q[{Mb,F,MVn=yx+M٦xkM@=JqS|y!@6l|>8*k1̞|fcƽ,:6P:ɜ[CTꧾ e37mИƕʠ)AfZNI3ޜi[g32/]b0$W MDLZT96]\dGb:ܫ[ox,{UNVO^W^%cQJ&Z[^eS(ua^3ƺ*] L2i)ΪְTa{cecfźOosu 𭚝iZ^J>}t.1G-4wOE-iU>_Z3Hb~i?XPF |zz.^zV]ِeH :o* Kж*lue[#ھ#zT+z=9t3a| Ϊ+jFܳ{]V8pircR xRe]s90P뒽wo4^kiMvȆܥ)˭0 ;ʨݝeT% ǦΙ,auQNͨ2cq4 q淚UGĒ]&g7^SM-=j@NV굆{OS9}J 1BVɨ*A~Y+4S)s<;^B砟yUm_߮ߠ3*X3Y]v} c!-">.NQkTrq}s /t^d}-F7˻(/-7~m{Q,Pr7i B1OӿoCH8,ʿqMa[r:ꘔu ý 17zrN:쨘`Teҍ&p:)x0}L,<6d $bCUA f(:p r1ķ1ȋ%]]RM6,#6Lf{$(Qe5[_yŰ)HAζԤ{Q3l^ar~LTB84em`qRiMJK,xBFk/jjyFt9EqeYa8[ lJE-Ч]y\'bX X"rIZ|EqQ4neC9l  \ 8fy$CkZ%=g `^:)ypȗ"x(q*cqh0rbkAIFţ< n*i(ծHW+kHw?z6_ydB&wk=’<¾_rG{Fmdt:эfuXJgx#P]͒)΃ʷ8bm, vh:6&ە, ]ۃY7jn#&Iwms)cRP~B1:x> i*-OOQ8Y_/F&GغTv1+ː]b>oLTס5̅培3bu~," e?GsgBe YK%^q0W&,>!KE g|\0^v9ܬk( R-.rsKwʄ+Wt0bx k9]7 MzoH!|I8r-)]#Lw,d [ao endstream endobj 17600 0 obj << /Annots 17602 0 R /BleedBox [0 0 612 792] /Contents [17608 0 R 17604 0 R 17605 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24179 17606 0 R >> >> /Type /Page >> endobj 17601 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17602 0 obj [17601 0 R 17603 0 R 17607 0 R] endobj 17603 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 169.4802 608.2] /Subtype /Link /Type /Annot >> endobj 17604 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17605 0 obj << /Length 19 >> stream q /Iabc24179 Do Q endstream endobj 17606 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24180 20690 0 R /Gabc24181 20697 0 R >> /Font << /Fabc24182 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 17607 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1614) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17608 0 obj << /Filter /FlateDecode /Length 1518 >> stream xڭXn7Wlidh `A0 `]yȈHnU,>wN<&'<~L:w<8s\Jh\T 0!kNLh3\-A;So{'1\DMws<^/)eOMIui_?#:&U ?;g ~*Qw6;᧙,"Z `B3<@ {c~>#˱> DH g>7_rN#RAPD8Ǽhg-kշGh*XhS%:uuY\r9ل+Th^/QJ+tcMe3Fo]+ D,R&Ȑ:394 9lYBv (\,+*U4 ʄ2y =>4b#e'ZmM!$ټk6лLI;$ZKmؘ&8+`l.^7FDܢFa.e[yS%w2pG/& ap5>X/j,q=n"W秂RH?C m':v:?k(?*o~Byr+݇z]&c>f65ht4 ͎'ZmؑVBF.}8fga!XٴGb-D5l-ʎZd^tv"<B %K ~_e4\f(ॄM@KTް7ِT*,䶀gYg J)Ks%^')OiZseTd[I^k sJw(9 XTI:cyICeWu @Wͤ |AYYv጗zRX,H 1P 8vDZkE4keW-۴ uUj\S> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24198 17616 0 R >> >> /Type /Page >> endobj 17610 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17611 0 obj [17610 0 R 17612 0 R 17613 0 R 17617 0 R] endobj 17612 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 372.425 111.681 383.425] /Subtype /Link /Type /Annot >> endobj 17613 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 372.425 139.8465 383.425] /Subtype /Link /Type /Annot >> endobj 17614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17615 0 obj << /Length 19 >> stream q /Iabc24198 Do Q endstream endobj 17616 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24199 20690 0 R /Gabc24200 20697 0 R >> /Font << /Fabc24201 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 17617 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1615) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17618 0 obj << /Filter /FlateDecode /Length 4130 >> stream x\Ko8W ε=ii}wY|~a&#_Wh:*O?PTsXdT& yyq^%خK%M)T`qJxIk3T x'=t9Aݳ{Kө+x̽a),>gO'],Oϙ}$^qD{Qs8FuKڷҩWܺ|oBGx˫_mG;tW~8 ^iv|5/l<3 ZX$i*P-+ME ~fe*7xvNEE>/nC&_(&j/Q1#f,fFaܸ:bĵZn-:*RVjZ:nh-Չo, ĨYJ\p3xPYFM pk+?4xdhXkoiBU20&ULb+J.*MrNNUU*Uf&}ޤ6H\^ِWzd}آ;0QM"РQÍ*/U uYE2B>G-E{GQLGkفFp;qm𺦼}7b |bjP]t|yUZP*U_[`r!J| BNZ/'N+!:U{ F\J00#t!Qx܆&cnyxg!tsi{A!.m.nN^,* ѝJ*Z55bHk@`J7ZQ^Gqۭ⪦\X c=L+@ް3m'"Cf./\ boDPH(%I=TR A<>כ@9kWox/ӊJЭETҒjP`΋;Q-_"|HNrl"ӢpeRXh%u2&9?v)em"{h!\R;_UVrƌ[;`.颃2BL eL +6G~,3! ]۱^Kp[a O0g7dfw`Z0EGéP^chjiFFhTwPw2h^R! [?% HUȂ5 !a6Π@eD|E4ɘ{t<[N^nN !!XQGC$hR@3dֽ9,L()ɵa!cf_'ـE,Qm~y[#eᗕc^4@M̠P;~ 眿zԬSя}Gɧ;R0p6FUNG*;:PȾ og@QILn&<>'@ܭ34 .ty"5 cGA@GezP+(ӊE82pa7% \қY!my+؉')$NC%zazTjXgeR#-s?`XŊ SFWh tܔYiTaO{x fظNbnF8BaN뙰ԍak :-srK qP4?|7 Y=Hb8&lxQ0S{JЏԢ1cP_+F.ޞ YO7=P[jz+/bc9Ѝ_B1ÆS .8Pv0jIj|X_ ؗ@N[-2y#"i do %ӳe]y@Z 1#KTR]pm,Υ=4Xa;~84f[e,9_+\r1wz ϹNNi4cW{RN-::z`D'~$,MVC\%0"Z|X&8OjW jmϑN)#)B0DŽ;9L?Y|q61}/+;aRsSH "@>v)]&א}VryX X /@Ju7QBx{QAܩHOyz9_cd>F1-r-9b⤞}y )o.SMIjv]s&ݻvN&νܑ:2qeu.:*m0胸df9 qNgAE\ Uf➼Y_$~,ZCݭGs~+'n5֥5h)#\RK}As\MY_T4ELh sxAgE9D,'TGNZ,saG)'8ȫ{X,ĬRzRhNH]/(1g*|!+#f0AO ldlHKeFyԚ⥽c~xaF΋$p(~/؊2kϹ+ #K?%# /Uo9RdArvjrͶ+z.zG= /<6[:^LI|.p~Z_ X!S]`I%Z`^n\4^,ǹA;{i]=eFGA#9HpC:fγ/3}9WCHaY5s<)O:^?S#_ϼ ? 70'}5W`ߨy+s:Y;CU`(e*Tq+ezWXhg c?ٖ?1B#L[$(5Ǹ endstream endobj 17619 0 obj << /Annots 17621 0 R /BleedBox [0 0 612 792] /Contents [17628 0 R 17624 0 R 17625 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24217 17626 0 R >> >> /Type /Page >> endobj 17620 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17621 0 obj [17620 0 R 17622 0 R 17623 0 R 17627 0 R] endobj 17622 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 359.1462 164.1782 370.1462] /Subtype /Link /Type /Annot >> endobj 17623 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 342.9461 144.2737 353.9461] /Subtype /Link /Type /Annot >> endobj 17624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17625 0 obj << /Length 19 >> stream q /Iabc24217 Do Q endstream endobj 17626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24218 20690 0 R /Gabc24219 20697 0 R >> /Font << /Fabc24220 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM  endstream endobj 17627 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1616) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17628 0 obj << /Filter /FlateDecode /Length 2760 >> stream xڭZKo#yu~Km9{f6ԋgFlXŖ[*V=gT*r|Rxg>Uk^uMZ?uZ?zyvZ_A=8&F_{)`tlDxqz;ЊR3AE xP fŽ.QxLKai]`eJw%t:xݙ;z(|ya8?Xl`,]D78,LχDY([DnSXS7HxZv:,P "MbF"8rYwm9ۙEF! 줃Y^l=@2! z3P*J2v@ę =έ8".2hi62̙MAy O_0WB%L֍+p@I%0"W*k.E~@!V ZYGi}Gu9ose-ѩVu ٶ5~K./i4H.,z*V :g8f'$ŦHe^#Xq6c+g FKK~@w`JZg :(eORdӰ :Tj(oPbIEy3^2:kpYa#`Ǎ 4q m$܇q 0&ụ1~hId-D.CܡPZaH{m1a \Fa!.͸¡zZ) Fޗ2JZO?z-}Hjfk>^d(/6-+k/GQr֎Damy^ Vqƃvj:tkPA8.cu]zShmjj? {:LX/?˼WqT `ȩD.f~ή>1RṮ21 'Y&'r1&#H:;mJ 9du3h+s`r}/KaӺ0[&O0Hz)MqǞ[H]fˈjd085q Q, /2M0 g~>3M$JH'75L fI#23B}7x7v*[UV{[h'l0sĩa{!l֋vC3M[DJ25IRэ?Yi?ZKfLKBm辰M$^V AͶ$(+|[.MM[B@64%΍7.)^*d;Ar+ j#eBm/0]I F)՗i>I ,M=E9WW6b]< ]I;݁A3'\zWTx%3&>RI<%reȬ}ĞI}YE| <&G8{dkzIC>,@`sZ<]^/'ܭl&\|+H{q?VP65 %Zxh͇\'؉ G~¤O `;џ/k}A KzKYdt' YhL4c endstream endobj 17629 0 obj << /Annots 17631 0 R /BleedBox [0 0 612 792] /Contents [17638 0 R 17634 0 R 17635 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24236 17636 0 R >> >> /Type /Page >> endobj 17630 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17631 0 obj [17630 0 R 17632 0 R 17633 0 R 17637 0 R] endobj 17632 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 273.025 111.681 284.025] /Subtype /Link /Type /Annot >> endobj 17633 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 273.025 139.8465 284.025] /Subtype /Link /Type /Annot >> endobj 17634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17635 0 obj << /Length 19 >> stream q /Iabc24236 Do Q endstream endobj 17636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24237 20690 0 R /Gabc24238 20697 0 R >> /Font << /Fabc24239 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$F endstream endobj 17637 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1617) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17638 0 obj << /Filter /FlateDecode /Length 4129 >> stream x\KW輀IM2@AN 3ԃ,rۃ b,*ɒ÷ f;|y*  Iӿ→G?X3|M6u~J>&7|~Aj^T^mw*WV[}y㑮uLP7"I!0m@? ʇ2xT93(?#YE 1D_><*MOfJ_* K2g? A|&a̯@˵#A__FAl4*'yhlTiS+?ѝcn/}x{xa` ̎ABeg88YV@xlR;v:,Eu0)㶨ja;D=k[&o[:Kfs_FiG>U~U&8T'|<'ٱ뻫zN<檈׆;rP[qdc2g2C3 Y&3HB?Q&EMz$zx=)i#LG:ݬ}?DKyg8Mt!MQ'chϘD\Tε%8MO Diu劽38H0Ls,.o=G~QpX>0{<#gV̶޳^?W-~*`D}NBy;찅v?p?$##6?hm_RnLDy]|yZ ou%7G˴q|_ Ռu7wצR ʭUUu¹U>vm;pGa۟3vn\nM<q{|HPźc 9Y˜޾ouob膯Lr3«ipd z /'`,7zW anѱ2El낃 #ArEs_+CYxoDx5gBMr oTj(/+.4yf5QʵKA0Cح@ e?MGUʆR(/]ԓVhi!BiUr<' $棟L Ϋ1Y&CʊprIڞefęx"u/\8laN SQdwyMin'AMLzL.Ba ]dDU?E'mOZ^oqݵ؆:^js%bUQZ:NU!S6]u2^(8.)Q5~ wm[5֭GwCNZmA=<j=POXkջVz?F mHՃ\H' z N īu yKGFϋ6[^D|=wX7zd ppǏ\{x"{G.8O_@_'@| puo^)7Ww++H̓O7 dp-Ki2q%z[1Rhhz[a*};%G^:q+ S"X_IF4U`y0R;]l^Ŋw8;V6zX>T`JM"Ʉ1 dApYn[OF@]Q_#^gh ęg s?g<?$+qᅨTE̋Sv)TI&(H5tf0;q4Lθcوda^%'7}n,? NzLI̼lKce|eSbe:>farf^-: 4u,7,V^FK$L\j, rmqVGNQvss/cbQ* 2 @~HJI8 KZK{GQ4>b)_w@V*KW -gp ,K?H͖.b)qd|\U6'$mFņ8yR 9D1pM/V`٘9u+ wMk%񅝂]@'>xx6ѯ[~k΅F RF7iܬހmт&ݩ.lF-mڇM֜lk>016_ImDxkY*f',6[pEV^Ṋ:2%>dQVaъS#k+MM7P j%ae;aF[f}tum7d/b+ҾXQ1l<[Sp%hqʞa۴\/߫5Cf)&~WGu]O4 AuL o)k]Ǚtb|Xzz7^8ц{+z^h٩>]>zYpy3T7qaERGP);"􆛩л+(׶Ƽȼu9I&:'87TkQG`?O:kcST p@L'pj))_1~ phs~q7~V:L^a޵tIےSɇݒK Zs--dWQgia; `7;V y0Y+ xjC i %.:2VGoޙ).P!ֶ1rHɩi~LO^Ti"f2oc8䁴 C}:}/>#AdOl>Lʜq(<,rk) !"Hu1)Jh R'2@LR!,Kjk`T6ΐ\FtBMvLU*Ľ]Rf'>-y2:>f`qNÆCynK^܌֟?Q#$Ze7-`P3^Ib"=Ge[xWpŖx#XQ wy䙞p|' Tm̥-?ԍNXB/ 3ůQ" o8|KAg\1o߫s(IqL(bfό ɛ8E] y,!CUu FY_+* endstream endobj 17639 0 obj << /Annots [17640 0 R 17644 0 R] /BleedBox [0 0 612 792] /Contents [17645 0 R 17641 0 R 17642 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24255 17643 0 R >> >> /Type /Page >> endobj 17640 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17641 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17642 0 obj << /Length 19 >> stream q /Iabc24255 Do Q endstream endobj 17643 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24256 20690 0 R /Gabc24257 20697 0 R >> /Font << /Fabc24258 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 17644 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1618) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17645 0 obj << /Filter /FlateDecode /Length 5069 >> stream x\Y8r~гy@: xOe.f^EYY}dK`0nCEω.!RuIfQj[j*%z[|]zRۇ%^"с6X)+KsAc{c{Mp}; &BwyTxW%T}7NVNa˷.Y{{0yCS8\̴YWy]?gp˗`v ^i$syJс_g`bҷ%a+:ȈXI{K^$BQibCazoyXt_B$и315˂|rnPk4,>x,P.,8쫽Λ% }UPyQ#2ތq$ZGdƀ.?D~ѡWb*)?{ HTIZ)mTǶy /&zZɣg}^r]~6kQRt%u:a^Š6ZRk.bbeI"gsdOzb1aVDRө5h{Ũ FR?Ŗ{9yLgC_6P&;a2V=Vm?b+i4Mͽ~1jLgͽͪE}WR`XI^X; \N.,8jLs8,KC Ãk? MN8ny=NTtCRi&NPDd1: :fgi114\mG!hA}cNvtwjj!qjeaAB(رlqIu?k>Td]QXbZڮ|"Bg* ի43nuNMP{J'RP>L[D||4 4`_A -id&a8)Vb_l);!М ;W%KqCڤBW䷪HyXz?ω㺦(MS"i] .Ϋ-wʲFo:48guC:bȃ/T}dl?#{}^*jݭ'O `M\m!j pUEV*=P{T`Y"L 7`*A _ hi5èR6)o lض&#jXv+y'Xɏ1!9,mk6E8)3Kۘ:!@ԁD>=2 ?d^iG' BxxX?hό.źe;ek(=']eLiS9O0\.&Á?^dR()ƯFFhM!^K "  d\k}G"vzs>F5HJ' kÅSE55$%xMUEu0 7P}t87kj9_]͐G?Ք*! BnbE^mCZ}W*0~HٽcUIUga.Ôu?#_$mէPs9X)ncz-њĬԂOi(ik'+]_ZadGn Vjc%oPs7fZ@y6K΋R)XK1^y6 Cq/@1Uu)X+P9K@3&ϱ>S2k?`21b;Y;nȩ/ݱ(K ]W򆚕Pxg>vAtH;;*žrxG̈́\9pG8'nA֙-@ sgsS7v-tgIxZNؖ)9NMȜ hݻf6"S,|^F r˄D-ʹQgE^[6{e}8i. +]N =>n\{V7J 8p[j9&"A_l\V5i|dhg'_72oӮgrn~8AyTKujc?3֍oKg^~Mt.i^oCZGe=o4EԕG ЌL7uْͅ; 'lצKBr<t QѾƔHTXʵjoDtAU&fD>\pBy}v3˰uǐ|=~~928o#` άڷJ¼r T #yli_>UE> c+~'pzɴuP5 O_8pGsKw)Wlc3{yaǚ򜟛?/X9b|Y8r-}UwR3ɘ `a.i/cz endstream endobj 17646 0 obj << /Annots 17648 0 R /BleedBox [0 0 612 792] /Contents [17658 0 R 17654 0 R 17655 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24274 17656 0 R >> >> /Type /Page >> endobj 17647 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17648 0 obj [17647 0 R 17649 0 R 17650 0 R 17651 0 R 17652 0 R 17653 0 R 17657 0 R] endobj 17649 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 265.0538 164.1782 276.0538] /Subtype /Link /Type /Annot >> endobj 17650 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 248.8538 217.8802 259.8538] /Subtype /Link /Type /Annot >> endobj 17651 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 232.6538 154.2562 243.6538] /Subtype /Link /Type /Annot >> endobj 17652 0 obj << /A << /D (unique_747) /S /GoTo >> /Border [0 0 0] /Contents (set_input_jitter) /M (D:20210608081201-08'00') /Rect [104.1732 216.4538 176.3717 227.4538] /Subtype /Link /Type /Annot >> endobj 17653 0 obj << /A << /D (unique_749) /S /GoTo >> /Border [0 0 0] /Contents (set_system_jitter) /M (D:20210608081201-08'00') /Rect [104.1732 200.2538 185.1222 211.2538] /Subtype /Link /Type /Annot >> endobj 17654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17655 0 obj << /Length 19 >> stream q /Iabc24274 Do Q endstream endobj 17656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24275 20690 0 R /Gabc24276 20697 0 R >> /Font << /Fabc24277 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1J endstream endobj 17657 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1619) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17658 0 obj << /Filter /FlateDecode /Length 3019 >> stream xZKWammrrd6\^(Yv?vCfHb=j -W_u:],vWu|XxgӗyO1^[3ET8cNɘ36^lc8%P 1N$YM&ykXhLNGcugyPք E2ޤѱA1KmoL#Yޢ9hga&1\ӟeCRvq@Zۦ3P+Gt>N",=!\恥'"!ӂx[ߤadlr{[O+| S *L&P&C°YXwg~G>[o[7X gŎ@0g9xld΀ˠ=F ymw &yl3qd%6 6`8ש7QQ1#Y3rjaDv[`w blf/'^9AlzP^` QvldQIP|(ŊR d>#jb{hĻy\ۥ LB2v >(VMؐM.QmYX݆AFfEIsg q?cMQHA#ƙn F'@0 <)˜<' 0m~)+1 `8j60^Ded#L3)9HZf}rq{_jnrI='P[@,AiinrF{q]Y֢fA:`-\MI<#C@*S ]e;hzŵ햪 QOL'Bܐ8Di dB-=+.H!c6 d`.cڈټݬ.8y.eѸJaa۷"^$c[*#:V~-⻅]2X(S(56FsdubmWľ>,*67ţ߫#tVe%[AcP ~Į11Obp"y}[+ |>i?+BJ0TuL9ٹ' vz9e##T!,I0p#WqsD$YIxnvXfܿk#UFzC5$X8 W@+Vg}2UU6(`J -Xk&vR#=X`>>%*!J2E ; ZZZ,j] Gw B\0k)M> f-7i9 WW-f*ߢހ+vЦݒPA}İY؀vΕCmAr@όzbӪDs+0LSQCEWr%n2)\y06E|UnMGAaP5&Z'f39[k΋I}^CxK!ojnBAc):7syB⢝KU?.g 8FCMB|8CiϨoodyBW\q% 'w/~D:rRd! /NNgꦟyr}Ni ͛8wϖXǁj[ 8{`1^~Em򱒑h0cFQO`;N*g8` ?F^`b3/so;[JPe2v"gOGԊeξSQ`q0yeI+,A▚CfB "_mJpUJTO[OuRJvx83qa<NTxf[8E,:Dt\$R~tK׌;gK+Re~ӱAW<;C{9B Uapן L)]Q@l*:Y E x4T;3: ed C Jji"luE P/7)c2AvҊH)$ֺMk9/Wk'Qf]ݕ:s MZ`G4CJg$ڜng{x Fn+fnzNwPG vjn maC  s=$Cm禐RVY0 ZQ *Hr[IG4bDҧfAO=Vaf①E=>b\vɌ3օgRRT5Zzo-2XuC\v%O¿ѼtP6g~ص>3$FHg75L̊͒q/$^hDH 3 ȐU$/~G6WQ+8,Y5Tz19u_@` T>XsC\`< 6.ިhsp#@2t<OR~Yh=GcOt"^gPL@ Э.~ y{0כ#1oJ*܏p\YN6^/pEi/h 7"CU@]t^ endstream endobj 17659 0 obj << /Annots 17661 0 R /BleedBox [0 0 612 792] /Contents [17668 0 R 17664 0 R 17665 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24293 17666 0 R >> >> /Type /Page >> endobj 17660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17661 0 obj [17660 0 R 17662 0 R 17663 0 R 17667 0 R] endobj 17662 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 291.425 111.681 302.425] /Subtype /Link /Type /Annot >> endobj 17663 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 291.425 139.8465 302.425] /Subtype /Link /Type /Annot >> endobj 17664 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17665 0 obj << /Length 19 >> stream q /Iabc24293 Do Q endstream endobj 17666 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24294 20690 0 R /Gabc24295 20697 0 R >> /Font << /Fabc24296 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7&o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ7 endstream endobj 17667 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1620) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17668 0 obj << /Filter /FlateDecode /Length 4301 >> stream x\Ko$ Wy {ͮ& ;%?g]v,0tIEQŚMb?.dV?yIORLϿ*8m_qn4KW+Qrݳt7j]D|+܍uO/3GØ2H~y?M_&_ןhfM_5eUp &Ca ~"|#T2r=ba=o`y":xm=|"*(Es R)@ €_ %h#jW ~)#6l\"` `G.pOc,/(.g(t'Sw.Cql)F->DЫ9v^BGg;0%La_8(c}9 =nD}8D;V9|*B.X£`^B3: . w30B ߇@3Z<&( `j˧i1KF3ЎkEWE$%­&Pem዗; S_EXH%t4,K>w\)q{k<1<.ZziENZr=-75Iu!8b]7*BTV.fCqT⤉cMӦi }U nyjWMEز?kR0k~\;Ħz Ƞ^D0Ws=B]ke F]e Z]3>.X]TDDr`}cU(~).F{p܅X`H;~)#7#љI/tâNpۗD;\tȡSNJN9^Ktңo>|CD^a8 Rv ?C'aXS;gݓ22d@8ALw X#air8$3jeZ }ۜMnvybA$|E^UUދxD| YkpyONO1UU-' A`~~E/ĂxN5":3Z _2syq/rM?DLqfac]EIhI87{Ȼw{Pc ?aj};+D>cr.qT-lve&EF{&T,#7֛ު[!fsV à78ZG Uƃ,8X-`YzY8 $Z'C w0aEPCB&+Π3ŎKō*`Y因Yixdl0~9)D/7bIlET{粱sgɨ6ڳ˨ H[xֈI= O 4G~q A3@<ܞ^àݘJHK.$KUd8p_~0_IDR[x29F @xSwԂ!T}>=5uC-Z6`+P\ЩU]z#bl)2\JRC鷯]iBV1iI0"74"? ȥ+rC+BM8JG{8ZWP͍w6gºВY.6ENu=XR>_0\\AZ9 f,10ЗkSz f+mF.z+f{WM2 8Q c6q"hZ6qa%1bHmR(֊"fa0mRA/&H_ȇzOD} Swh0N.^9R$<%G0wpb>X'j%TNwc2mt&ӣ{kXxKx{Є{yusW,>p-IPvBrv֍rb=:o;& Ÿ!}21)yЙ{V2]4 SaJyDk`iCB=$Z:s z]m?:JI/RuHiPdhP(qȔi>68p{lOG)ѢIO>?jt 3Sn.Q+5<Yf^/vb7TsY4(40mxf!4R-Mhjk6u2gyC .Eb^ /vL͵2KYkU?U4'U &JP9Ik3(SGd]b.2J2̭Գ*,0<ͣ(YnfY@G<H@lyXټJmiS^=EͤD"qnvc%wzrT79qk_NS(}t[.8h[lwI9c9w>Q 9ks7Rb6@52" XH.6e^k'kzeepu1 HX1zÕT[e2[? <&4W-p>,gFV9g[Χݜ;ٚS+D,-#v&p)[6voCG*r)š bLLE<2^9LYxIi|E)3Hn5~.*u2[{Y.ڑ:t)LJfQ\sÚˈ KԺ*;:[H بVqY[S96/@iCCNV+:eP*_R[T.~V<TeԿŜDz:~4tyz .!cGJϠK`.qbN4^H̗;ÞR.0[d3E쫹K\̉ b.r*ȾX 5^RJ/5fdRϱ5"UpS"hJ}J~RIw*KԑTZjr kb6)UJt%SE:\-Y"30785 /EnipQpաVdKՈIW.؋%ˁņƙYOoG>Aw_5{|k_.!\ *4t.z.aVi'|l6?^cʖ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24312 17673 0 R >> >> /Type /Page >> endobj 17670 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17671 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17672 0 obj << /Length 19 >> stream q /Iabc24312 Do Q endstream endobj 17673 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24313 20690 0 R /Gabc24314 20697 0 R >> /Font << /Fabc24315 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 17674 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1621) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17675 0 obj << /Filter /FlateDecode /Length 3132 >> stream x[o32|s l:@AO_<\dN/maZrp8H  ~l嗯32xӫ1JkUA~?/rIz=hmp:ZSp^1"c |sw|&")Wg`4VF]V:m׿t/e@˃ A404R 7C}A8TVA:sSl9r_|ߧAÃч}_~fŻҶ+SYWh9_,uahIJ^L*̿lUv ް7iACgec!i㕉Q.*Cg2p$LаLD|w҅$bl0>znk4x69 _WQR6XT~\{H}@`uT$v1dfhKŵ8qZMr[tâ#\Tq`> cͽLRZVbbGx X;k/@l:mV G`' !fLF! G G5d mh2Ϣ[blx%֘S7-˅$F{Oe=ͳ{uj+oCTD^W{#;-9)g"3y]GN[77#b>|WtizqrPn=.u!MF|)gUJZ1'̄4>p~ǝˎzT Ωz7~%v~1b3=X1Z,Ȗ-Ũij j kSЦ d[׿q2&USMB2~KZ0NaHq%xR#*aIwմHC7mYW8iF M1d Y/97&gi9&c{Pi8D4:ױ؀ࢣ:~Ĺv{]h(2Z_ οɵÔM{νt0W-7^y, 9ܑ#l5`<\xHi7 6v#o:t98yU&M0ƅwcsJFaMmPoEnн؏\dWHDt*ma;%Ncʆ-6{QEå+w0)){ysNgWQjvHOV&Vnk#|ŨhلRV2|3p;v.;(g bxKSα`x-ŝf\) *уީCȗWQO.R >;n磾? !0PLAw?ׂUJ@l}!5V6:>>\uvƂJtTq.UF#>+򗟨W  J M#Qz^r6%o.@Q%UT@Fc}ۖ&i jb R?t7ujLp7yfDn#@̸q' Xa &NOA2[\Hm ;b)P[W&(w:!-'%Eqaq|{E1`nZ)!?p16ٚտR& _* *]]^)x,x$ՖKIt4˵ bk{Ñ!G+l$T8X qj8,d \z+-TPsĎjL/D DBńnJ˥Ҷvy c-JKS{wtݛHF7|Cs֚m([nlr7E?/&x-n5pAtQ ~3{ Vu ) ~K }/ s.WY,/`p6^SvB~:$G?ps iEڡ֘pۺl[f\6J itl6}(<&~+sY pURm ˓@U`:@],90c3,Ny!Ng~O~O*߶y,k1$O =xId,Ca}e K0,c:<UG|3W!LOُڜb?+ndM[ QgW**+*@`B.zٿ䣚 endstream endobj 17676 0 obj << /Annots 17678 0 R /BleedBox [0 0 612 792] /Contents [17686 0 R 17682 0 R 17683 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24331 17684 0 R >> >> /Type /Page >> endobj 17677 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17678 0 obj [17677 0 R 17679 0 R 17680 0 R 17681 0 R 17685 0 R] endobj 17679 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 544.3 169.4802 555.3] /Subtype /Link /Type /Annot >> endobj 17680 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20210608081201-08'00') /Rect [104.1732 528.1 172.2742 539.1] /Subtype /Link /Type /Annot >> endobj 17681 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [104.1732 511.9 174.4412 522.9] /Subtype /Link /Type /Annot >> endobj 17682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17683 0 obj << /Length 19 >> stream q /Iabc24331 Do Q endstream endobj 17684 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24332 20690 0 R /Gabc24333 20697 0 R >> /Font << /Fabc24334 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17686 0 obj << /Filter /FlateDecode /Length 1838 >> stream xڭXKo#7W@ ` {@ANޝ,K~UEv$YdU|i5yǘ)hF~. X~yd֦1W|4? M %O+G& c=Q|D?ꊱR`ѥ/8'gkuB/(yh圉5A.H(LG'ckC_i>Y.&!H1jH\M+-iKd(|b5VxkֱHdڭ$$= ▾::ChHtvȦ,_O Er㜏*ukf kjkZLھԱ tYKA5e^ȶ𭦵j%#7KI{WiyO_;3Lg/xԿ?9A%t!(b3t98PS$P,\LxCřƨ}\x~E{!5)>89ɸ59M31Ff*9萷Yt*b( T tw}u.qpGFB\p(STL߯cG,;[&)b y# KrB: HQ~P^1ec#KRP8օuylQՇHN܅~٩~$(A4,k| 6sͱvG rjt8[g|ײݍa 9P,}RBm_#}?AD-[CrAq6hPh!4.y>t;UN!ݾ4dW}/fM,Z!c/0̙CjlwI G<`uW$ h_l[YK2b3)֤;lLԂ]ħTZVɶT!iΐ`@V7@t1Ё樂аK 2eiDt iŤɰĠ=]1(%W%YFxu/NoZ]m=8CÐpfLH44VLq!,c0pL/p6*3iLU+^`\,!dБ/`ڢNVdܮFWя `rڐˤP;xDFhk-!`C#8 cfSU0k!ĶO\njYHʚFHAKq瑽HUHHUs6vG{^,%ю3~w_)\0`T WƘ j}@,۸w!dg f3!w(bW@8D+àHّD.ǒ:JЅc@&nΙW^fBMù i|YaIUiB,--+u[ؕq_D|0じfhfy6bP6*@b"k-'nߺ] rYIk8vװ[K%MsyuB0%I?Α:% _S\iHz--H7{l*!07 ;x;X ].ݝb[0fm烋K,|z|<[H %re,u<=+m'iU0 Lq5ha9ߞg|,JoOJo}Wn@4ŗ:qDq?tgX \oy=wo:\\p"4;^|m.t[y>mX^nD865rb ŻL_1 endstream endobj 17687 0 obj << /Annots 17689 0 R /BleedBox [0 0 612 792] /Contents [17695 0 R 17691 0 R 17692 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24350 17693 0 R >> >> /Type /Page >> endobj 17688 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17689 0 obj [17688 0 R 17690 0 R 17694 0 R] endobj 17690 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [90 430.025 122.648 441.025] /Subtype /Link /Type /Annot >> endobj 17691 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17692 0 obj << /Length 19 >> stream q /Iabc24350 Do Q endstream endobj 17693 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24351 20690 0 R /Gabc24352 20697 0 R >> /Font << /Fabc24353 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 17694 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1623) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17695 0 obj << /Filter /FlateDecode /Length 4247 >> stream xˎί@+|$0`zz;@nN!i;v/ԃ"Y@HUzDyEÿ^b˗%%_Y>$:FE|~[u؞pS ܽ۞g5vܠ۝?->o.\._}1_XZq, /ˏ'O.9רCe\ݙ~|.*Ҹ:Buypݮ88@\>=4y_y|Nh YXV\O:Oe"yld p%̫Av Ґd[ p nunC偟AD(auX/ϋif[~UDG&-Z1v (W _/ڠ _>qU{ ɏϵfϊflE횝֦p6c} \&~/acAq4 ' ٸ0A `*gni!z+,pWll3(əU-2 aR"Fkָfp~k;NbOQ cw Dq ?mpjR"UX23Z`Ipkc~w0G|CC~JIt1K[f; V⁕;Ntý"v=q<`n6eg&Zy7-Y(J @c/%ZJjqpkDC'jsr.vrC|agk}n:2y7~/4&c3|BRXc]Wp@QCCn H,Ŕoj7ۥa8dF>$A?nOAkt] 7K\mS?!`y⪠M\5B8\)_\W3wϣ]:S &,У*0}X@oJ4ǀ(rӑ*[ߓ1k!<1LmK(l򖚏zՋ`&b[eؼ ,3h7-D߀ 3>d! `uP"e zX}]vydQBb#g.PWțɞNqcK-Wpu!JGxʷ|חT}]BO;:"dzTSI4oNϔxsru0tpu@!h,[\Y5^Ԟ!&($SST`R kkiB5X* fj|!N':)#n @ZeRZ.v#,͈*/莸M^QJ:ePQ2]\MՐe) -876őKee['n7ޛAx7rՏӉNćBat 0]p_rb6T([<0勱/֏D> tPh,GֿK>-ʜeH 8ZgN3,1E|}'" g bڲui`kZpɸQʢ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24369 17702 0 R >> >> /Type /Page >> endobj 17697 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17698 0 obj [17697 0 R 17699 0 R 17703 0 R] endobj 17699 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 169.4802 501.1346] /Subtype /Link /Type /Annot >> endobj 17700 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17701 0 obj << /Length 19 >> stream q /Iabc24369 Do Q endstream endobj 17702 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24370 20690 0 R /Gabc24371 20697 0 R >> /Font << /Fabc24372 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛV endstream endobj 17703 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1624) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17704 0 obj << /Filter /FlateDecode /Length 2021 >> stream xڭXɊIW!b|_ IȥR07 sTjP]6_"Ȋ0_l}fn:ۘ1bqYV5N?mt/"/|Կ\3kc}5Ƹ~VxiX\QθvN+huKĹڸ5mZӋڧYIJD0',L7`pR;u| SloO c92ZƺEQv}Qil,IW8A#0<7wgu5k{:)1/oX*8k.Ѻ4bIZ:U[1]!>q¯ҹ8)#džP6 O[xqTXM;Nn*t6\wsD5xKX0|6A."r %vP2Iʭ%9Bxv(L7ԂdrAWV3(?<2^gmZ8J?4̴ xWaz(:N'ϭMHn)!Q i'nCL{z8x]ECv-H @Oj.1?mqkȌ57)!_KA1j]/cH1 ?H4eLH_LQau;fJ]aGԞ%*'d=lq=-RjFmdb/ꆴsL¿^_^V /KLjWP^pމY 3; T/U( +*14r =ԃKڅQ{u]SW-_#r2uܼE>os? Qt̼:Z{i6c' }: ݣ#~ᩐa-e@_oη H /g ~YNcG#~cOwdm\cI<oq؅3υ04ʟ9{_ k?4zvbߐ17}n6.CS[τ!G=0 endstream endobj 17705 0 obj << /Annots 17707 0 R /BleedBox [0 0 612 792] /Contents [17715 0 R 17711 0 R 17712 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24388 17713 0 R >> >> /Type /Page >> endobj 17706 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17707 0 obj [17706 0 R 17708 0 R 17709 0 R 17710 0 R 17714 0 R] endobj 17708 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 401.625 111.681 412.625] /Subtype /Link /Type /Annot >> endobj 17709 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 401.625 139.8465 412.625] /Subtype /Link /Type /Annot >> endobj 17710 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [145.1595 401.625 177.8075 412.625] /Subtype /Link /Type /Annot >> endobj 17711 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17712 0 obj << /Length 19 >> stream q /Iabc24388 Do Q endstream endobj 17713 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24389 20690 0 R /Gabc24390 20697 0 R >> /Font << /Fabc24391 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 17714 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1625) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17715 0 obj << /Filter /FlateDecode /Length 4156 >> stream x\Ko$WyTRۀ zw0Z \oD0HF2* ,ښJ2Fb N].,/`__/~f5uC|\NVÓ)$~z6M{O?]vcA׿._4j.ߗx0W?PEdxWKkMf_]i6VQt٢⪵+a eM:4(uy\ )jm_B 죢zL5ѯK>#|^ 8:y>RxT31Äߝb^3|xe:Gi,gŁB3Y!^O?Yӂe?{/c3` ch.Z?ϡ` zm=/ 3&{kV6_Ρ[}jo@|$]e#u2hC)p0ĸ&H ` }^ *) Kwzr<2]UI[x41d֌jNjjs!d٦⴫1֩jT@\w:(ݎ ]ÎQeM)TTx̋V?ỹhOO71YTզ%鹌)K -K}'$zάyre57Gp~_n-͔jxW4fwya4.8x@Q&w1@fgths η.6ڂpK1.v<#PZLE̘f4;'kp2݋AI>lo>ש$+T UWkc} F7!z8L;TcFZU7>{a]}vڍ(&u*m|wRG~++ iy!?~$Lw ɰϾߟ/[IھkRa?W^O/yWWyLU ~\ږu}%?^1=9OAg!$L{kh,*+4- d@H^)\w1 M eE}G,ԋ~ W_%`U-+юUfLBSLr l?ԛP&1[juԂfZ J6`S[sAkUEA| .eG75U^*ZJ Uʭ$V"W\2V\[c`ˈh8zhVF!Bk&ULbϊ.BS\3zP)*߹J]Mel胛6\tzAVK**IS}R/n:CʪF[ $Yl"=TgVΡd2 |,ZkJ |RiJTEK߂=T1ƽLyzz|jzg@ S;VjP۵v՝Z.f~/7A k:*`60%3!`EʣWpɶĂu 0|nL1zWG~\ԨRLL|m#n]ZCjlZB^ jbڸδ4H3 [Fc4Vp{V>^yڠ]zNs4;m_@4=gxMiUرaA8|6a96VHXMp"l6!Ix*i ZƵh\C/}=Z!Rwwpv6wa,I[%@3,{f(9#x#'*p& .ϞР+@Hx^^ [ю2 %Iz}0C\2GQ(UqNg&PFg͑( ξhh!AT MAqi:|C2:'$9#QD(`ר w Epf +,2X2!4A4ӈm](MٵA\,8e50yRB~R p]ZGZ'2R? o|O/җ\c+ߓ7v-&ofW"#;hN)~>a"$$} >2$uC|.H:*̑櫉4KiW L୾u朡(e{?JrHӤlsj]7h ;bEN7-M\C%SqwjI5.iDoZȄi %" Z]~ R]9o:C y= @:U'u>vD2+f}eK c_ddܗ]x ΋2ޥ)%80!PCѝfzT[ۯ+j>5DRaNZ:z '% B8 ]U,vi?zQm 5HϜ6sc) \lA̚0Gqu Ij4!b2f 6 ,kN#)7.x2ZFؗ#V3f~1(~G`*!6.8$E5^>:H&9:d]uaa5EӗwNּ z#3ZWGJjm2&^eJ/s]Fj ޒoja>* s'd'vqg)oNGs=g i)WF5lV!3L=%zዑzчToi; kKyGr|z5Qhx!d&Uub(#C7zMk}|G("UDip֋+|M(xCM,ZԵY ˍG j H.c<:!&?tco&E C ]<.|cGboY~sϖ2v+̷M*@g㜊+,֙cq& a"s6tcNq!a#CgHWsJ~_?-3a|} 3xBt%H\"Zn|p-lB9L\ -r֑g:>>_ 1`R #%΄v.CM4dL> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24407 17724 0 R >> >> /Type /Page >> endobj 17717 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17718 0 obj [17717 0 R 17719 0 R 17720 0 R 17721 0 R 17725 0 R] endobj 17719 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 170.4578 145.4837 181.4578] /Subtype /Link /Type /Annot >> endobj 17720 0 obj << /A << /D (unique_476) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_arcs) /M (D:20210608081201-08'00') /Rect [104.1732 154.2578 179.1987 165.2578] /Subtype /Link /Type /Annot >> endobj 17721 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 138.0579 169.4802 149.0579] /Subtype /Link /Type /Annot >> endobj 17722 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17723 0 obj << /Length 19 >> stream q /Iabc24407 Do Q endstream endobj 17724 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24408 20690 0 R /Gabc24409 20697 0 R >> /Font << /Fabc24410 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 17725 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1626) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17726 0 obj << /Filter /FlateDecode /Length 3552 >> stream x[KWl@L `$ ` Yl?EI3Cv%쮮WUY ?}3={7't|vN/X╟_IYgw_l{y2JFLWezGs H!%0Wh`jsث䥒wAo}^Vq)(3%isRSg"ռ gaig1O, /+̹ 6NdY}򞞣dIIh໶J " `l>*,M%*H)D@,؏H-،p0@9ubF5J\4o$s3xoQVK_[V#6X'@ɡI0=+ &:"&4KETR @>'F+c`x4'6uLo0:yG Xlo%[ݦ6_7+fo{ٕ̾ 4/ݐbNqip\Bt*ɜxZʟb30}{ξMTXv9.֤82l[VY.'&A6e}8E)Cav:)+?WEy'2C9$cJH; e(FZ}61c$Mau t`{?"LKDA A)Y.9KLsR{_S/J@ YRhAn+TkSr41^^VyyTkqLSsfc%Y mF謢2֎e]ĞCV钮@ #eP.)q! eϗV 12*KDզxJ1ɖ52;4 Z(? ҷ$5@Cۅ'~h+I:2[0SḠlAkE@uԬ5PoEuiFpjH*~}|sZt}9[(3u%vY"u5IGOLژmI.7&-qUC:.LyX X ;ujD.Z.R{* dvؕ}U`/@KYHҸҺ{zd*qnQ@WƲ4Dcj+[L)!."ӂ-N%bi"{)Ʀ tq.pbXF04Y,t.KKy+ Q`fIVHQ^ LjBP6TgأCtA0!:(UҢ|Iİkl`#3z~~?;\lׯ6@~ )`͟,pK] HgԢӮa?! OF.,ʦ$'}Ŕ#=+[=PwhiʴOl3M'tey{@`Hfl%1i^w 2b k7!/N*<'|? |k ^lH5F\pϙD {p@ aO̬Vǝe3ܨuW'ZI-* in_AǛĢhvfQ3[xmL 4L'|Ȃ75Y?۠2R$Am0%%`qxg ]?b,niEEO凴Q!ϗtE~P, o'Cϕ׊ԴՀ9+ʻHz I@S{mٹ"ב.$ÿxwo7uܻ '*NFUp|mZM_l$cFZ'ҦkZ?^&ѭd8ï/Y2e}ơipӘЄMp1LXxzj뵘l#Hjl&۶Pw)0=Ihr#akOO?L^d@zfStlʉ0>i-Exϴ_|~Na@5Tgiy4nQ }$5z6>#=m$UJCjΤ!O,@iGD+f̓^/'= ~ϔz_>/"1O"$c~^p?E ecw|WAù#sUaRJX%[&`}bd\l(2\ʅ,TEg!1 endstream endobj 17727 0 obj << /Annots 17729 0 R /BleedBox [0 0 612 792] /Contents [17736 0 R 17732 0 R 17733 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24426 17734 0 R >> >> /Type /Page >> endobj 17728 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17729 0 obj [17728 0 R 17730 0 R 17731 0 R 17735 0 R] endobj 17730 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 358.225 112.8525 369.225] /Subtype /Link /Type /Annot >> endobj 17731 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [118.1655 358.225 150.8135 369.225] /Subtype /Link /Type /Annot >> endobj 17732 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17733 0 obj << /Length 19 >> stream q /Iabc24426 Do Q endstream endobj 17734 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24427 20690 0 R /Gabc24428 20697 0 R >> /Font << /Fabc24429 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-Ňn8>϶g՟EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ* endstream endobj 17735 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1627) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17736 0 obj << /Filter /FlateDecode /Length 4935 >> stream x\Io%W乁Ls_-%9im.[|R\יd Y4[]-5,/`?~Ww_?=ŚocUcro?iͲZ o>׷᫒wƺշǙMrCp/;y #OOM/A_Œ޴3.Ӛ?+[hV._EER|IK([ҡBiK^C&-(Ke=h`lo|(Lf\!/Ҋ"AHH`}/..F--q_j ~gw~c7uu` n-9~^ɇen?7Hcv44mk&J)UYHm>կwg@wd c1k=j,Y@\C3lvH[s`'gF !MBhbQ{9wW,eGjY/܏Vm&hy@Q~vj+0Wg6cb2eȦ\=mL[!|maX/r izurټmYzԝ n)R;>='+D9ȅy,9 CPHO; zLPCB^؍2u׊RItFiON -δNшòAʠ?C{RF:1 `x[hL^bO x\)_-r~|@F+]T/@F7 |m&qDPy uXΩ>CSo,M&ڠRo۲C&!/&9lP8SO))M!+R 35@3mfoc11 A /X/굕[n9dTl("-9^bԀXZ+˧& 55Gcp^M2V\F W*/ RǦ! puOޒDt`YB⒕w&ɦ8YҐW: ޹6q=.]p͒WFS}k\;5$Y*eLVe*}]Uƽ^ ?_B>U[jѿp(N QJ\Ac |SAa=ju >G@7@jiy>zV :կ-#`r!=K} VBF{z -"":WE>Ћ0ri Eq3CĔz&$n}G G03BV8qnmPyj]nn1?kOkBʭȫSKj^19v`CQ}tYE0dt1a9Ln {]\ZN!q72gӖ %"YëKDjr7DE0)MQ.$Z,OW!'mga96TDUn =co)@>*ff˂cRˊʋ9PIL|!'HS<O٭$Zp= e9 ZUI2h6Cp p#(F1[ɧłF2 :cYC:P,ѳ4AMu($!qI>(8H[59@v bŒ@@/^ӊG gl(p{M5 Xt"VV ="#*pQwq$PhA,-j",2-zZ>8KZT-l 8MGTR`%Ajfx IQGJ*gRbKC%t+,SFE%pxBxCtj.G4dF$`Ug褷HJcd!ҊZ+ FQ;Dˇ(9:`< (KJ5pG, 9D5ͩ#&h{gÃ/ <7*( }+g^-5Jitߵ_k ljS_Zuqp:C#ùcf1Q"@&yègCd5#s=𢴹+"(AX!E> H@Z7KXjdPDa9>̧Z>@>P{(Y٩u*T;mo^Hs姶y[ x# EHHxz@cSɦP|Q1R!wjdE0J/_3TZ4P{Ҿ7_(D[-֞kbd6HDsWfq#ag0`v+2Ob†P[:cCqՋYJ ì,MZ+wGEE؎3mG1hM)u=X#`_P\/v9DIK 23Ғ7aD[.lh8hG}e~_.ٮgm#%C+:"x*n-v!$VM 4GVK\%n]e-JpW@3$f20|%%m%2FtuM)^] VC%Kdڍޗ7x5>6ݥ&*A`Zi9cVѴ3qDž1Z۹!]MsNrRک6]){$Bcؑ<@+T5&M;qxN˪wq7ۀܺ-.=i+2W1(Rve-F!cP| =iFrO>Dk#cOvu0L Dǖ+uH\4IU(mt>:k.||c SJN֌uނ\ Ğ,5L.h#{1PXyZE %x% x M϶:N _<-{AucW{旟`Kw"-UZG 쮕c"u' :^ 6̋8H[ojq] wkm+I<]O)|\o~(f2uJ+T1u{̠ܮ# ]L T1Gzn^v,\ŝLpދj^nӟAbz+ƜqsJu{pu4ݎ}ǻ'oyE[o8 >lf7-n b$dMEYO<#54Fj4F[RQ@6&T˻"b}ћY8Vi n|,Z)b[Wb68'~&}Z5,)dCEIZ!տЪ^>!? !)nI[;ܐàJO-xutoXj1ꜵdkJR}Lԓ5ֿKWle, $>"Ή&7 .UVN\Fu|톽٪ ) qOG1e>B槿p0-M*@G0Gؘo|`0w|a/ν覡\sׯqw:DtO8Xke 0íB*L{{}1C~Ir6,u#'`Lp({v5Gv孕*?+sD~*ۮ`wu ;ZS$] endstream endobj 17737 0 obj << /Annots 17739 0 R /BleedBox [0 0 612 792] /Contents [17747 0 R 17743 0 R 17744 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24445 17745 0 R >> >> /Type /Page >> endobj 17738 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17739 0 obj [17738 0 R 17740 0 R 17741 0 R 17742 0 R 17746 0 R] endobj 17740 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 324.55 169.4802 335.55] /Subtype /Link /Type /Annot >> endobj 17741 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 308.35 179.4682 319.35] /Subtype /Link /Type /Annot >> endobj 17742 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 292.15 187.0032 303.15] /Subtype /Link /Type /Annot >> endobj 17743 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17744 0 obj << /Length 19 >> stream q /Iabc24445 Do Q endstream endobj 17745 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24446 20690 0 R /Gabc24447 20697 0 R >> /Font << /Fabc24448 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1L endstream endobj 17746 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1628) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17747 0 obj << /Filter /FlateDecode /Length 2849 >> stream xZIW<*YZZ栁sr e~ƥJv!HoBVjvϯY-NuzZ/}O1^[3E4s9sa.M)81<(ZdYJ$9hga=tgv'c>q1!XlwWչ`7!ߜ;n3ϣIƸw<0Rwyp{h<Ø#U4h.S.ɹTɮ}ox85խ?6 ;_\bIAuGBhT߃~!MP= B"p_0'߳:CLH[~o/F[^TR`>|^. MȺ|fWZrC䡆iD@HVrc'K4/P%\ʹxR7xrB>#m ց(u]} $3Ҩp営3'ЭFC|Ṕ!ݾMj{3@*vL$8k;A8iwq(~ I;.BĒ~Լr~G=z'f1SxjMrd Jj6f6-_Sn3@ Cw%-ؒ2RSy2fbD^5j- ~ILAp&qqT_@ƏaM^&"r3܆@mJV1^LeD[}&ŔŴm} 8Dj4y9Н4Cθ!1*x[Ge ^̐zVq 19s(9xpm?L~ù곖AR>k3;%}wJ f2̭`ZP2Ӝ3:"g*:1v5볟,@Qsp&{: < VGw]S!14T'd#6|8iEҽCi1U҃%Ք:ܞf_=o5KkCyui )%[#@ A8V`PӬ D;1nms0b|ejꝋiyXS*(9\JLbN:<*f@@eZ%K:zͿщX@iC6 >gYXg:=~ˣ.E>=PJ) Ntf@spO p)ŽY^X |@כ b~Հo0[wq0{%IV`ױ'Fb Ay`e+NX0OAzT9]<]9PnŌa +)nu`4Aw-02(=SK {c v#hYJqh@ "w'f)gqݽK8JtnU{Wy2d,*vf= Jn w'w6Mv[hHB;%h6I7qDlC="^qI}]* ^:?NWmP1/g~ʹD7Ӫ` #@"C'gvjk`qs*(k0&LцU ;X^6Y'1X^H!Z %mSKTutЀ[%/WkUf]iuL.-Qz@]pfs#vPϻ_  0 26MXrYC qkHB~aX26ٮ/_j4FU[8 rZKG2#E +s~Z W,^@QG|D+v ޔ9 Z|33xb]EGі&*'$=,qƙ-%R@֭V+nHJPG[$_/+Zʀ_vuNe2U3MC#Y|rl= ;e t*ىDRD^D;#I-g%ЅyG/2 ùh+ g|&KiQ2Ӑ1C}fdj3?|,疴 3n^ϨtƲxeF!._acw2z!#CW."b^"^D]r\TvVbsFlBs~KIU^AȍbH 5K_X\9YX\|?3< 8u,!QN'J^N 0SZp7$:rrbyB# Q?<.g"c}OF#ݬhם40tkF+MȚ0pޜg<+(+<>t$f1,{+|4tN0ԍh9y Y vfĿ09cQow>s݋BX;(i86$/C g럽-LvBW] U'z endstream endobj 17748 0 obj << /Annots 17750 0 R /BleedBox [0 0 612 792] /Contents [17757 0 R 17753 0 R 17754 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24464 17755 0 R >> >> /Type /Page >> endobj 17749 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17750 0 obj [17749 0 R 17751 0 R 17752 0 R 17756 0 R] endobj 17751 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 206.225 111.681 217.225] /Subtype /Link /Type /Annot >> endobj 17752 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 206.225 139.8465 217.225] /Subtype /Link /Type /Annot >> endobj 17753 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17754 0 obj << /Length 19 >> stream q /Iabc24464 Do Q endstream endobj 17755 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24465 20690 0 R /Gabc24466 20697 0 R >> /Font << /Fabc24467 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17757 0 obj << /Filter /FlateDecode /Length 5261 >> stream x=Kw:/P1g 7g ;Mvf0.$")J"Uu23b/S))iWuiӓ>26u~I>&7}2;Cf<=ޖ;%OZ b*SZ['mC;ӧL>4lSо?i72% q ~)Lg'掋֦)%PYݔM vZG.zrQ9ۧsS &QNd p䩡BtmYbw$0laUb>Kx D_afI}c|k%_ O' Hz&<3S1wpvD{PJw%.Famȴ4 Tߎ}kM}yF?x/ⵋ 3RPcxG80x#0?g,"I$9y$?ԧ*ƙ>&[L_8 [U=qc+"?r/wJB:@ u@4Lj; m ǕS ^q NDb4)rڗ2^ĊAe3hP=XxfgcȲȸ ϛ;dԍ'n(rZ KXɠ SVi5[ؼUv ').rѧ{@6 b親)ϻPԾ`a[> Niّ.<nZw 9mN _ߺzX66j^afj1JAF~TP/N(PX 7<g=z4h錜gr3#bЙu~bйa- ,gjɹ-i>tP4Za+RA%8e8ShP _>wgٲwj^*s2:x Z~pq ļ̰y O;m;!rfDN熾+1C {I*a_*^] 6sMSa跭eW?[WSYE"ܾb_&ڶ xom}:n#3w;Oe~4o=?^*Zf(]Vp^<#>t޳)#A/N_=oHT7j-\%nex;i7:4{7gSqcKҎMtfJĮ3BUd!Q3*|lWIR?_+jc#!ﶡy70r\0S^>.)Z+pKo izb9hpF]#s]qF|!zw3e [Y6&,3k^i idګp MM Fsg%ytQwﻷHĿo)js745)~>K7C C^ѿCQ>OзUrddZ1x qs5Tq58'WH6[ ݷ(mhR9}^LfiOz8Jke<=C BxScBZG@(/tI?QCvR~Py Hʩ~ WE ̷BpAB_ erKvHlb~ >PJRC!7',]cQ8mPc5LW\ ^  OmtݖdOQqUi5sK  Ҫ7QYK|yfVKؚ4JbyIڟ23b!N6Z+-S8%e.o M}bS>P4|*55SpީY lExEҽXRQ*l?E7ިy)wW.e:Z+e,V>/*le^E*) lG2j=a#Ws~;@5`qeyֽKWZzj-Xv WZìVǵ8+"andB:H̄V75!|҄l"jIaDnhD.XZKQVVd$V'AvvH+#exQÇ5&j芜3cvx$[~1ڪs7~vw W͜,CfKƜ @4 mav8n\oZ.j `p<pu+ ]0oV ~!K*4V(o:Lt00ܐ2vI0:t }C,$:$JQƽ[+}y6%mP_VdVN+H@`k+ 0A}"]@phs%t`&L~agf~4 M>%J=DwNx/śobz$ANFk.EI0`1Y(_WM,1%4FgZ~͐F`NjKio@% 'ιAIeqhAk’&d*|BCc6 #j…0EX - -:lUe6o<# !X%AaPhŊR*9`l) DࠄS#YA`:#ljm{D"C}!d"%W24#O|s\1aH?Q%rwD9GPLSV$?,>:G` jR`/Aإ0#jN=wP#j`HS/^{wD_`JLTH3ZJ +H}lY1 X!HCKA&{iPzP~f!шwCaK[ 0d c9T| z@g_PZ|F HDF5Xƻk.Jm@IMp[GΉT!rJ:`D[ծ]%q;3w^ n'1aGJ [:3Ǝjؑ ä,MZk9qf[q>ܴ[Jf5 iwmk\gU ߿dV:?D?wզ`/9J;%:"2֍ŸGүguA |Ε;#y(]b V֚iss(TnW?zΧi7֗=}  C1Yqs䱸!䵽xNd<=$xzq ԭi_s\CΧn&ƄM_JpfZV`;דr`SņK߲֭8C!וyvmWT^X 2WZrci͎jD*=*PJ}Y #;,܂)0|]{5%I-[(ͯUyFXFÊ"8,N`TվnM0@`b mG:2N9yD:ut,+3_ -50סW|D"^m@<E3e)gqW;W q@'y x)J;nr+&.:RV y# .P V$x3N1?Ti2uU9UvffЄn3}/,}2cl $sWY=&eNWXMݭ̐`@IUX:)[JXA<5!%7~֑mԻ 7]P>Z"7bbF*M(ЍKȹϨ.F$kR懹ŒĀ2*\qTji@K(1#8˳"dUbe %fyYf#¹bľL,dx _}p>K70*g*<ZnqAH(i)$얲k\;2x#]IAjϽK^wFPꔵb @.hi>\E:e( 3KkqǹMG U#$ &u,U*wqP#6s'>yN:l=&)9W]cw*O1,.)==tzPd`Y#ag\30쫇7 x*1Pp.5Av{%?!,/ endstream endobj 17758 0 obj << /Annots [17759 0 R 17763 0 R] /BleedBox [0 0 612 792] /Contents [17764 0 R 17760 0 R 17761 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24483 17762 0 R >> >> /Type /Page >> endobj 17759 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17760 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17761 0 obj << /Length 19 >> stream q /Iabc24483 Do Q endstream endobj 17762 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24484 20690 0 R /Gabc24485 20697 0 R >> /Font << /Fabc24486 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNP endstream endobj 17763 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1630) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17764 0 obj << /Filter /FlateDecode /Length 3154 >> stream x[Io#9 $r{A 0*^$K_ނAnXX ߄ /_E}w"i|_ó Ͻ R)+)DkWR)=xpExՊMcd1DϽRJ=V6,4&йا2U \-˨K-Q{  2ܣyar~R*:rAe ٩ ^(b)p{!zPǀ& @^t* p9T&5qr0 K:PY !"; &*ȜȬ.r\́3ҸBuP -6 7ELj vYiQA#xI`tO)4̱q9BO,g6&nĞc5uMv@J6n#ImsNW0s.ԅQ4̻QRN:cݘh}5|DEF껋u¶#F.` tŠ5}~Q0%um>u ±vfz 4Kf6m5~L~Mï/ i= op3}P <:[ 2(̃Rm{L,uAS%wI">u,d ` ܜbb|~XaJ{F߬9R8p;ϲ4]jgU :0;]+86NuAtʗx}.2X&l5׊`"縇HAһfDp ̓q.+ɖ-\ kVO5N&^1M[f$/ e3ov!q97:Їp/݁Wv=07_ 1g/S цMwo0=Dl3x-/dcGuT5z:wyT}f[)q`SSa fh rb̌F;L#(&*CF6ff{UUJb^4mufP +KBcUoרAa 84Ť)'l%M @jXoG K.ݚԧ$WmBtE0W9/o'ZAۗO7WMzpgZ/;>qB[+ľW"nAfFofWRx42FFI\)B!9!PfDgcZ(SX|%7^)lB`?0Sf=U2ڙ!&{d`Gă RZcC!"`t .T-?GGڌʣtڭњu50@^fAks٠1Inbq|D;ŽSA s'XcwZa8_b!˪LxQt3`W=vQp(8G|ˎzZ_1D惉Ƃʑ3*.x7*nItc6tln}eg7-sOό"!oؾK\C3%"Ϛc2!ѪWًD:@d5:G'P]եcߒyf_E6s֤ua\pqW Iq& ZTfh]F/:SXb[*M]W/M 4Cq݆>YPg]Qa٦4v,=LkA~ςR< ]5lP@6B{$%=*jR1ўl8JSlh>)'neTpw&Nsa8eŋit8 -#ٚ%3ݕݹX~ w[7ŴX¬s44ƃcYQcX>O9Ysw<pF. A^.bM;3纗<+7'6d[r:oV 픻Cm\-m؊NJshZ͵\1׉mGܪ#dSӨc4^>0c+Wf<8xϸxT2)cD49㕣<3;;>P•@^vċMX({֬0۹,Uf"&h>䯤 '[sajgu;c^F @fIA$B '8īD%^6e10Q,I $Qie:eΧ5fG3%̗Zj}*-$ti*4ƌD%4OT:h ʭ$J__[O_pUh9Vr7Ti2xhQ"W263"r t0Pr?Adg3S!2A㿥PO0#Y4h X?Rt2t?{?/M[l[sx|~77 >j5.9?/͏IThg[_qJHJWqr!#D?%ǠkK퍒{*MZtY ;~FJ.vFa8žXhJKe0૔g*[‘Ψ4\6x/7.1sZt .xmT["秡9k֕$(K6:y( 3 |x)6Hq~C-dvPnb{>].=FLeksic%=G{Iz+g:g~5iב/K.r`jj$.CքلCg)eiS0] W\YwrޗwhoOXQ\97*1 0dMf)@$P1ꨴ:p=3.dG" ğjXipy.h<{;QQó~Dco,*xMk #opjLp`|e\_"OtXbb /K:"Cdb@hgr: endstream endobj 17765 0 obj << /Annots 17767 0 R /BleedBox [0 0 612 792] /Contents [17776 0 R 17772 0 R 17773 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24502 17774 0 R >> >> /Type /Page >> endobj 17766 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17767 0 obj [17766 0 R 17768 0 R 17769 0 R 17770 0 R 17771 0 R 17775 0 R] endobj 17768 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 536.9 154.2562 547.9] /Subtype /Link /Type /Annot >> endobj 17769 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 520.6999 144.2737 531.6999] /Subtype /Link /Type /Annot >> endobj 17770 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 504.4999 149.6802 515.4999] /Subtype /Link /Type /Annot >> endobj 17771 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 488.2999 169.4802 499.2999] /Subtype /Link /Type /Annot >> endobj 17772 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17773 0 obj << /Length 19 >> stream q /Iabc24502 Do Q endstream endobj 17774 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24503 20690 0 R /Gabc24504 20697 0 R >> /Font << /Fabc24505 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17776 0 obj << /Filter /FlateDecode /Length 1811 >> stream xXKo#7 W\`z?@l n)#)h{YhŗHY[m?ѐ/㬎AK(Y Z}.i6kk撲`+Ľ1!`4xa+ƈ5|xiL'r;]+ JG,:"t0&;Y07>N;χBV)“Xv}f1g=`j} !Wޥy;eqB'+,ue=N;Vԕϴ~퍸b̧\C4wy@ow#ќ>2Zӱ^9!6K>0t4܊瘖9?:s?կ9Zk<A2>GBO{ƛH Hgdf,"ƴ("M 暈_MApX!*'ʍ*i-=x'ZZeaNwMI@ʜ B}9x2⑽*&IExOZ<N (:Sbwߦ)jke /?nMx,>IwO|Ad<6E4YA8,5)wSbG= ]BCk‰%O4GH8'Xs#+o<;l"4c:қ⬫h&ogԌX>I ri "rC-pEDUxjVP{mUsJ ūjGSzqM6tw UP*@aZZC@@by-z#o5Iʡt~D,(lK"ȟ"r0MEɶ&ɓB,^ohWpsCE[7[Eeʴ*s6TI3vausgT|V^Be.ֹ8JPwȴB=J/t$f='94,c`ꫝZjXa G k\sP[av7-/(@ӟԆ]"c%RޥlFN1j]1ńm7Nf|vKEՎ[ UnnPtE/wfVD8<*6U\he8u$kI455D9JU-T4h!^dSz1  =0SYaQ|<-/#kk*^Abޅ1"Z53tE7rmG r*8КH1w,[Kٕ!l),lb\Z7+!FKqC3[*|w_+8ҩ^r}w F\Nc|ɑ.}vcėE}4M!%Fh~th& _ ektL{H/^ڴם4t āځϨP,2Ѡ7+?X1OnkFR_cJ[K'<;'& wc[|\-a2 k}sE *qy޷;NY)4x7/G37 }_6.;B]J~? endstream endobj 17777 0 obj << /Annots 17779 0 R /BleedBox [0 0 612 792] /Contents [17786 0 R 17782 0 R 17783 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24521 17784 0 R >> >> /Type /Page >> endobj 17778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17779 0 obj [17778 0 R 17780 0 R 17781 0 R 17785 0 R] endobj 17780 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 439.225 111.681 450.225] /Subtype /Link /Type /Annot >> endobj 17781 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 439.225 139.8465 450.225] /Subtype /Link /Type /Annot >> endobj 17782 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17783 0 obj << /Length 19 >> stream q /Iabc24521 Do Q endstream endobj 17784 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24522 20690 0 R /Gabc24523 20697 0 R >> /Font << /Fabc24524 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 17785 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1632) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17786 0 obj << /Filter /FlateDecode /Length 3746 >> stream xڵɎ#ίU/@c42|r3~TRێUNiEÿRץՙ%%e/,_~C_-_^=i`5|ܾB_'c{_'3m)8"~C _PZIQ!nAԥeE?O/ t=5?PӢX24U;), 3-f k)%5ЈoUoww:i/ZGPL QPOX1[ V'z2&d 1Zb l7ЗWVx}qq1ڬi.jzke k@kj8)*z ~;J@ۧq𺅼! ^m6i2&ZruA@kBsݮ Vg>*LT*~-a>&|KԵ,HB6N]Ɂ l+Ǝ7ԛe^8_RHlL ,yg$dfqhdځP;ucA)h<5kMrYP->&CgKjBܧ5S/)8Y I`T\>jYkb!s8?)Qß`{s 5׻ܹuSI6cp%'f Wk GeG`!(A1`ahi%E]$?<^[G|fzB< 9X ׯ®Gv;jf3 %c.סDaf÷wסlD8[4Xvܩv: (wkX5YzrDp|n&4^oͧ%fQks$ ؏5 Ǧ=(g!Ly µ-`1o' NCEC#;LJ4q/zjS 69$m'IR'4f Zgxm$J6@i6C)wFzc/M%)HFzx8gMć}H\E .5$7$ɇI#2LpEAG+\`(m)rQ)VRl(݌MZI8LM\`5H/.r{[[P{hMq<V192(hT-rQn[ζ~L{i;%n:ÌM1a޹}&t}|۱7tn@Fvx?;],MC}f-_T)־FuK?9\^CCR 4ktyٜЩk?^ Zk~"й,\c~%&ɍwrɳs+FM;67Л5xɌH;O}baMu[<'J+USh6ktK.,/KM gLF4;pHktX#ݦ2V ܤU >a% yE~K ]3 (Cp(gQMf(qё(rBcz.Clm-!&9 C^t5cݚ-U8&/^H̠ f!\,(C!&@vaׄPʜ0ŠKp3?6W;=#okQ W ĕff`\R{ J_H۠U·!|7lebqW+k\VgHuh0tjpu@.p)vnnVMP^ ~+{^%ѐ^)pMy&DK2#G/oZ**fTJ-:!`\5X'\ekgX'2ʪec:zx*|P40>5uC(>hK M,ͧ_\x|#5";N7kモ! _n g-&,2vG<&8H:hnʢxn0n?Lc^#nؼ{YӬ{m)"a㮘vӇc!--Rvzq)$F)G $gʓ8&x9M~'շ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24540 17793 0 R >> >> /Type /Page >> endobj 17788 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17789 0 obj [17788 0 R 17790 0 R 17794 0 R] endobj 17790 0 obj << /A << /D (unique_621) /S /GoTo >> /Border [0 0 0] /Contents (get_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 546.9 220.0307 557.9] /Subtype /Link /Type /Annot >> endobj 17791 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17792 0 obj << /Length 19 >> stream q /Iabc24540 Do Q endstream endobj 17793 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24541 20690 0 R /Gabc24542 20697 0 R >> /Font << /Fabc24543 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶX endstream endobj 17794 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1633) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17795 0 obj << /Filter /FlateDecode /Length 1558 >> stream xXKk$7y`@PN%ԗ[wh;͙5+OMF;N>NaSOٛSqhOKNy#QXa㝱!s“;Xl;:Oet RB^H YGEMOA}tꄿ<ڂf\ZT,) trº#"'GxF~O=Hy[ͺ~UKxHMQ`<.: 4#ީڐo`iͺEךyM*:oi85{X@]JFO%N hD}ɲ"(YYiZmSY%GE 8bw8rm-^8з{,Ͱ8?Vd"6is:]a0 YFd>$5aZ7aX6x@4K$drȓ FtFAU.ruVUdl 5D}& zb"ѱ:@U˧|?]ahQkbG !jF>QLOۣy4K!=EENlGv*6lvFAeuq-xY5m=zrN=NDμ ke”ixl|-L7pQ W9G1eMk~v)e͐U VU඀G^ZtLSiz$)w45ט:m N#S/};%kY7l%QgN@iBy{2c:oM;mWWv J(>KZanM5V#XKkDs3CcKJMH&̓Xݦ62 )E;L[MaFA+RW8Je6膡\k@(]Vc&&Z3Sؒ|%o]N";eW@^V?)n6ŽЖ$u#DS&urр|C0ےUچ/6W Ү^r}t)gc(j`Cѩpge^Bø@>u6Nɸa]tǡhjg,xǺ+%JIgtTHeebv59q?ܳ#]*=^ɭtI[ROlc 8hl:<yCR][?Z2nK[X.hm;*&XΜso sm7Yb4n%6l/@g:ېQ lPK_ endstream endobj 17796 0 obj << /Annots 17798 0 R /BleedBox [0 0 612 792] /Contents [17804 0 R 17800 0 R 17801 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24559 17802 0 R >> >> /Type /Page >> endobj 17797 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17798 0 obj [17797 0 R 17799 0 R 17803 0 R] endobj 17799 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 410.825 137.278 421.825] /Subtype /Link /Type /Annot >> endobj 17800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17801 0 obj << /Length 19 >> stream q /Iabc24559 Do Q endstream endobj 17802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24560 20690 0 R /Gabc24561 20697 0 R >> /Font << /Fabc24562 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ endstream endobj 17803 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1634) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17804 0 obj << /Filter /FlateDecode /Length 4582 >> stream xڽ<ɮȑ  <xʀoa0'yd@bˍdի6dddDdI-h%|>d]zkϿ:%[>[A?,ps ImO>(yx|ڡ> C/;7F/?/bHojMf럕]-0+Z>1bfڹimlIJ@ KrpuOҎT5Ea<&{x^|1RX@(@hi b}["+ /Z;Nd !؊/ѧ~7 b҂mwJX3e Peϰ . p`mVc^ɏ܋X~ (60Sh㇅TS} @@ tͻR]~" osdwⳝ u1W"kX|֊W'0Ҳ:X,qG,4U_,U᷅c=}m :[:cqlYg[BnA^O^s=-h(]T>> b6#Y`yf‹ʰ?!{ 3I͞X~M_Qשf{+iV䡴ʱI5 e/"Z$4zNUN=u^['Yߪ"\g"|z^½G^ LeO;iwdx8A"Lb\ǰGx̝R>q@}/QEL+0[DhG]RaE`hW 'F Ex`>C4b )bPi~ 7 mR|oIp x8xi?SKF(DS@z}/pi=\>,?PR#OLkoL EJq?Z#2"ժq8n8BE0-ǜ6㝴ӎ8(s2PGo›`NJW_BǛ%גϽg5Ȕt_ |jO!N3J/tX+h<40a₃{lA%o`8޿%v41aK3cM9^1ԑ-O@`"- z+8).eCйixcw fH6S%#m:><2iPw w1!ɐ1 X{3@%܆tP2I1́ ?MH6>NYm'_d+wW閅Wv"$%n1wQBL`"-ޠ(<*t椇O|Xq=QΘQt USoDΈLELrtqeKRWde7Qm[A&cC&'jl 'S"Gndr5Uvg>xLn!>kY#>}u cb] $@V 0f5P Ra|gB<6sg2A1(}[28iXTxS>rl2t58]eIE x"MoR;e[mu %L8gpێc\R!ysbΚYYyĖ{1t &eQq=^v K%r8MQL3+Iĕ6n*Qv;ǫ*]M9S7lGm;k2Ȯu[.壮|Q<[}y t!/@GwumUX G s>6:Js`j-L囖2۸$Ql>v>&V[6iabp&ûn2 U8&x!mgb}.6(}3GXzn>8Lp9f 2F)<&/ <̳Z!\Sb49԰~Q*-^fCL/DD hD߃3@ZßEZ. m KDE+ii=u۽dZlSY鐃Z^[.j[ф3)΍o8b\P}, ~6.ۍf|P400'wga_Q?5Ω2h|ClijTNɜ ~| WL.poGM; ybgm~ O.OG%WT4_gy_=l2Y% GBɋ@$x_23By!ҿ:OuN9ӵBx> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24578 17817 0 R >> >> /Type /Page >> endobj 17806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17807 0 obj [17806 0 R 17808 0 R 17809 0 R 17810 0 R 17811 0 R 17812 0 R 17813 0 R 17814 0 R 17818 0 R] endobj 17808 0 obj << /A << /D (unique_206) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sysmon) /M (D:20210608081201-08'00') /Rect [104.1732 171.3579 201.5122 182.3579] /Subtype /Link /Type /Annot >> endobj 17809 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 155.1579 197.6017 166.1579] /Subtype /Link /Type /Annot >> endobj 17810 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 138.958 195.1267 149.958] /Subtype /Link /Type /Annot >> endobj 17811 0 obj << /A << /D (unique_261) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20210608081201-08'00') /Rect [104.1732 122.758 185.5512 133.758] /Subtype /Link /Type /Annot >> endobj 17812 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmon_reg) /M (D:20210608081201-08'00') /Rect [104.1732 106.558 201.1437 117.558] /Subtype /Link /Type /Annot >> endobj 17813 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 90.3581 182.0037 101.3581] /Subtype /Link /Type /Annot >> endobj 17814 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sysmon) /M (D:20210608081201-08'00') /Rect [104.1732 74.1581 199.3177 85.1581] /Subtype /Link /Type /Annot >> endobj 17815 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17816 0 obj << /Length 19 >> stream q /Iabc24578 Do Q endstream endobj 17817 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24579 20690 0 R /Gabc24580 20697 0 R >> /Font << /Fabc24581 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17819 0 obj << /Filter /FlateDecode /Length 3373 >> stream xɊ$ِaR@PY9ۘOmSm-Z#"4ٙ޾I%Z*ï8,ϴN&8?^Z}mKmj˷o{CS*|Rg+#_\ =)]`\J㚓Qx 93|}y9ïk;c_9p|l9D=3 1t<X=Sk5$HW8)O>}̚SoZB%)D3υ[Q4m/lǃ8̔Rdqzi 3"1 0oUpPJ$V1HL͜[2GPK $o FPe9 "XD7sZrZhV, e2q^Y/nU~i[ؐq,t:E"Ep1Ie"tWFJ1`4I6~mgC>3RVLcH|`V`$Y(!\}WAlXfh/@?LBrj.LTۖݢG׺aV6.@)?nR8ܚSaE!fA9(@J|KE9ܽr=M]l)N9N94zZmL4+w|Sb%>nP dڏJȌMU}T+F`& Q il7ikӞPhsRBrfUis qXrRk{+8-vRF4*> hF}q|*qm<Vx+nt/e`vҠX%vF%Liۛq m*6/Jl;^vDžh`̭5eyjj+fPU')?ETx<:`6LvyB.RfYWcOoMOP\_ mқ4ܯ$,u;tL.KkE  ©к3ȵ-UXP=^!x0{Œxsآ(mބn$Ċ";TliEaAPeMZv )kr:Û,ﵔV*jڌkb#f^~q"WB&8rSt]%_/ =Kp1xt7 ;8{h0d&t >8,/D*szo\t BBIɷY }c"ׁ:r|d4DEcˁ_ endstream endobj 17820 0 obj << /Annots 17822 0 R /BleedBox [0 0 612 792] /Contents [17828 0 R 17824 0 R 17825 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24597 17826 0 R >> >> /Type /Page >> endobj 17821 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17822 0 obj [17821 0 R 17823 0 R 17827 0 R] endobj 17823 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 165.4707 709.9] /Subtype /Link /Type /Annot >> endobj 17824 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17825 0 obj << /Length 19 >> stream q /Iabc24597 Do Q endstream endobj 17826 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24598 20690 0 R /Gabc24599 20697 0 R >> /Font << /Fabc24600 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qVSޚGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wB endstream endobj 17827 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1636) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17828 0 obj << /Filter /FlateDecode /Length 1122 >> stream xڭWj,7+nՒ xl";@!I|C_TV!ӖT::Pw~V:Uw1l:˺φbJzG6</ym0vΐο_Ҍ ǃuGO<(gOMUF7}Y?U8HC)ll9\_~7MJ%VaB1}tzJdl%fdb-V"xc&q|Mq%@a,\R: p$u}8xB}D[*3vV ͌Ht.}n!|\}d3|C^ݒ_ ߬h5-JS,tp3D*WXJ=G'&zE ZnVQqYNd`2&-Wzv=U? _n|mr&V)jS6q_scԆ J) -&lN AG͐UV*v껪U㍴ ʮ+2 z,R޲0j*Um+UJl:1K-ߤ_RˊˀM[A\d:v.q:fd@Vjc,Sb E|֫Ь0Rvh:b=kYh3_{IWA]mJ$'pHe 2SD#164Ds[,jY7ȗ[{h=Xvb"QZQE7d/Qi#ݭdÊkɯouɛˉ[k/_+qIlq/8k:f.i7>S^YY>676mMS;YrTǓ0k!g X lfΜ7`*#RUX8'bf8<u! ybǶ^io;iPFok >OxGcx{Eٰ ܀__z6ţ޲’0N:*.9u/ AY X!smj NLe^ endstream endobj 17829 0 obj << /Annots 17831 0 R /BleedBox [0 0 612 792] /Contents [17838 0 R 17834 0 R 17835 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24616 17836 0 R >> >> /Type /Page >> endobj 17830 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17831 0 obj [17830 0 R 17832 0 R 17833 0 R 17837 0 R] endobj 17832 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 263.025 111.681 274.025] /Subtype /Link /Type /Annot >> endobj 17833 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 263.025 139.8465 274.025] /Subtype /Link /Type /Annot >> endobj 17834 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17835 0 obj << /Length 19 >> stream q /Iabc24616 Do Q endstream endobj 17836 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24617 20690 0 R /Gabc24618 20697 0 R >> /Font << /Fabc24619 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7&*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ1N endstream endobj 17837 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1637) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17838 0 obj << /Filter /FlateDecode /Length 5268 >> stream x]Ko丵Wh=I 4]9 79/T*llOyxCOfoƟT fiwuӓWu~I>&7}6ϝ!Osy INַ{%o |rdV|5onڠR>O;}Nvzȯ_M/A_&5+hg\_khYBu8Ɍ8kZ)KҡeK~#L#}4]²Ix$^@@1[h~DP8B tSdY҄iH/u.c30PO#0I̟OСOXj'(&{B4:iLrOCf<;&5OĨt^Kt$H;|3us2 1bAjhvj$?ҀiɁ9~>PhJ Qo#zޙ׈cD?D7^#w zC{ 땶FR%b6J8JI}w QAb;T0[ŞEO~M7$c>0ql~ϋ뛓zH"ȍ=cS&=QP|NL,l Y`< YF Ox*RZVvK3rjZf-S ͛2jwFˬ]Ž&S].+ #^W8wSԬϻ9_-ݒ)t,{4M4Б }/#`r{ԥ<8YK26RƾӷQFT?UF%g߫ I0ǙxI/ZBC Ƭg]j,wmY\Ԕ;W>[Z 斏 EL< 58eX/xXR"0>_ޟ~RXAI}kWLo5RӖ4= bAA$*y"{f½(5!W:>ɟ@`*V*S&-w$JUd,^q9,㈢Y8 mE:UZ(IC1죸7A1ū>$O>H o \ob7A,w;O?m74S?>i5ደF?92g>6wZHR94`ՠ&T[4jC"~⒥|l'/0!,ad:ӍԶ^׉,?`l6ޭQ wX#CUb?H8JƷo3 3}9T; ;nna\.QX4z?Wk))!y?; 9u3MS1qYMj1:( ~br{xeUU y|lw`Uh$ⴾdUJ]\fй81 ~_v ^X,h}%?E^y9C#IY 2%ܨ\d^җ\dܪ N}U ;sǢpKb^u8qa :ǡVoPZ}m[ȅ,u3҃nBz{ 챨O'rC're+cӋЋla6O?rC?rdC~>;ϕ͍W6vpٵn6\nW.7W?ppu!롺ݐٛ !> VPmc4 Z(A@!.O/6(풠y ˶̽ Ȁ%_ְ uPi{-* ׸Hh9rE@8|~qX}oFR ZӼ(—/)R1fsx X # @8( a~)Q-m%9[?g-t~50Ie\pea4y Xi}&jNi)5K^0¦Z'#YC*m! } j0}a)sx*'C 7(#9Vj59^%L}3|hhLP3I9Xٲ'K˚bFHseUΏΉ4sxW8yk!YLџUfxFg4qdmsu I 0h0-B( l^D^L|er  т&%Ѥwx`mi8&ĠN3hpi,XѤ2ltM|x`ɛ-X'EM/$O]TjHlc6~2'hlCvㄪ]~IxѨ)7PXQpi?C|NҊH%Z LVtچ˜sΧÜՖs)^E]"-Уv>M(TՈgNAy_8nGqIG\q:kh[+Vx\h=jE/+fuMB&*^-3h"鈾@f@^@\6(s0+pO3yTk]r:aYL<7s!)~Q׻ 7z;o|mE7E41} &~|Fu1M,g~KxBj.4t*tT!x}G>gEr}87ɉY^eYOp.-s1 ^ɿ`_EIH;ʧr J2% FZp +?\%mHKsCxQ~9K[R%mQK5j6e%CNhާ\jIUk+ +>"Ή*7 .UVF\F{t|튽Y )t~lA9e<+u>Cۭ V qN[C ruJ6!]-.v`낤0w^~ܯC.k 80%΀a}ǽ0u!<ӶI='6 }ʅs_/HzG0&;|)zӠ]x?l_]ҡ7s26ĵZwIlDq..T.Rpq%:BvQچV2?U endstream endobj 17839 0 obj << /Annots [17840 0 R 17844 0 R] /BleedBox [0 0 612 792] /Contents [17845 0 R 17841 0 R 17842 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24635 17843 0 R >> >> /Type /Page >> endobj 17840 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17841 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17842 0 obj << /Length 19 >> stream q /Iabc24635 Do Q endstream endobj 17843 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24636 20690 0 R /Gabc24637 20697 0 R >> /Font << /Fabc24638 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 17844 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1638) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17845 0 obj << /Filter /FlateDecode /Length 4493 >> stream xɎd9xg4)UReeZ85P7pdfU5@LñG^wRݒ4v)/_OURЫW~mY)m | F%SIsy_.E/R`؟a~J} tyCi Lsy^;|qP $g' iׁ4VI} @䠅Z:SWz&P$=g k@@}zZBCwؿ;Y-M =+bڣQ*@R?L3I*gA^NI[32H@:2L$5t*\E{RHI,]>b T$JZȎI nU`Ds3~tKGk0vC{Sٮ@ˡ{9.3{<-s}i#aȘBףiEO_mJ@ Vs'̢6EkdM^ ^zWӫH \PD.g]WЂbA4P!ex'x1iVAYQ5Kي#0YTa9vŏMo,]t 2\~o 2p0l^!ȜAg`)eq2hP2#6zrFd@"}.U< St. pWO\c Pi (#͍ iFOˏ{+ڒ :?~-~Zpa˯$r ^Zx~/c Nl/6V u)zi" z~L\6',.T8wha$ք  €n0j:Ss4~p &y 1uogτVfb0v} @' ≨2!vMS51:xB%֎AҪZk[04B5w®?h$}ed .r9)=ke2h:N&#Mm&S,p(L-@ڣgp:Х(0%; r{wIR#_bLK[3@6z>aJB|e"ف`bCv|WSAQzM ϓ%ΪW@xSB9ޤ$7vsIu%>1/e ?G`LkƑALw[*$ IC&}ZfAҺc x~$7bl9wA+*jpLQ(J4Ӟ|ܐF\Nմl  ϵ)cv<$w |2(9#?zX k$ꭆB=@R[ t-W@;l dMFk9=K"q`)h}]4ZT ^oHep+G#XzSؘ6ىm:Pn+EpcIB(PkL3h=FoWJ6?(+j~4%+0Kc "Ls&o5 XPBcj rpj4'uՂ[=+{eXZɍF)AnilS#MRyzv 2AuYq:G2!Z4Zѥ yC\7)Jla#!Yed6.iFİB81|Db0yLhj}+]KXZN^yIW>NO%Wkph 63@Ut֜tM&hHK;<dҹOqN eup#8UyiSc6#@ۃ,k h ٵKb@Uw؂['zOe7;$7DmnPA> 6ۜ)1s>x`(qN|d~U}ԑXH8>|$jg lg膣Ι'q/3=2rq7X 0NsOׅQ CRR<ޗĹ%3(+O:9B٤ߤִ%c]MK|iIahɴnÂa 2럭U+&[ +FԜ߷;/ʨ2lyggj۶&L»WI/OJLRF]jB{k ͽ0 m'4& tΟƍݺg/q̗ծ̋,"ox>3Vwۜ>x;,=X'ʶ">8'H.Q1n-ϧ6MMҺsw::kLճU|MRU"vh%O|lZ g?ƨw`gC6 {%'Q !ԝN}q0# )vuOVRbEbx&Dؖ܆:d ͧ~*}&*_xdהҸ{`M[޻-z@qm|)cY=+I<ZIv9wv^rHxϑpQ>wWx:\:t'cn wW3ڃ藉Ͻ:v<}KKgtJ!Ѣ,kb2>wX\FiMySn\c8 @uVECbqte,|Qv?5+,){pgVNzBnjN9?N,RZ8~8".H ZS`0:6*]p$H8Yk{̡}]D̥yo(5⒙ϥ|__3+Q_>Fr,u߄A@֛鐨$̻e?ݜѐ2}dfG6bOH>-{Vv! vG,JbA?@2خe' ob0ax2fF/fbo]/W3D<:wN9Jkb'$̷͙9I-2N4喜v3|ha9pw8Iǰq)JtRkCo P>C6QqNuK5g+.nWrJ]F1vʵ9Q@/_/Ί/VƘI4jj &h,`)ԋ&8,- OcK2dtx1GP1N-Q,. .* DmA70@R3ZA eZ`1pi1[̗1Oȋ5;/ԀI-8CX G *0x׷-!Yu'ՖD˿Zzsۆ+&kYn%pC5r~]R4+Z:JWf0^H8L'L(f èf3?>o}wN(m8sdԻ@\ p/}{?@ vLrBEaLv[x%UqDg-`*MhѿkZ|9>o¿kTaX*~\HB%mDHK퉒6ҵ%|5ʔqGlx d?-Xa}|[[eiXvJ<35+`#rоldrsۘ*aZ ni=u۽dZlS Bز7E?祘&hUeXG/lH&\F{ ~ f(F7\Z%tqc QK-w1^_皮pgKi "x |U;6'tΧ;<WB6?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24654 17850 0 R >> >> /Type /Page >> endobj 17847 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17848 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17849 0 obj << /Length 19 >> stream q /Iabc24654 Do Q endstream endobj 17850 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24655 20690 0 R /Gabc24656 20697 0 R >> /Font << /Fabc24657 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=R endstream endobj 17851 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1639) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17852 0 obj << /Filter /FlateDecode /Length 3478 >> stream x[Y#~_gbx @@6 &Yl[-FxNlh%vb_UYh ~l'QiH)Y霄Vcj^%h($ụ "gGj[+WUoDx]ο '(u:gO'H1ΝPAcc 4zȁt8_0N\x?;BGm@mWXbg"t*U1eUL]=pFVZES&Y(c]9؇jbd*foalRpCr g [x7n6&wI8ȁ`tTɻ|fv`0hLz#ulj5&=v8e~4CsMu7!-uU,%e:׳P ddA0a@6*84:52y ۿ5l#\뚥U7FwftJ*0ԔҟoSbS|$00`0dcUUk@# %Ϫ)2,;vZKwMsšfǯ 2laCL!An3 ץ^> k4ԥ1TPnH{$/q`F ] ތ =< ^"[Pz1bi<1A,?=Ok ./?ށFLn0a[}!KT{r>8/? #ܢe%1 '_Ԟ #ho%~D%~9~i;#"+nŽ No˻95NDمCmX:Kt ^A, ߍOXUM|Џ8M^z0_C{4[ˣ(qr7jLF? K섅Ӂ·GùWrh3l>7^H:2HGcȣ#Ta\6SGOkO'"OG)4f]H_;jya[Ŏt1H!?݄X=qgkⶖb -jc}=- * mMue[-5MK#}K@( C !sݏ3haa2.C'u0ӰxuGNs9,ɬ)φq3i'T]\z߀wl,ƶ-o[Iߴ:;Ts|pc=ocɬx|oDh`eEZ j 9>ci ]Ǧ6 ZN㭥px`zSV%l{- F~m#CM&.5Ue}дOƾ5ۨqqA{ y? MaR[\wMmRJjYX-g;ݍϸ?gul#BO鶴fW0~2TyM)p=lɋ>URd~Gsvo o $aqK'~e9\>+-)ۍņr)pQ [&fҹ+ ڵNid,C6(* Pc㸉RC常w!RݳE+gF TZ;~cJ8 u€PYc&QYc qP%| f eL+uyod6!jX+b$$۲yzH *@ *>BJ`H0T3hFz"^Tڵu8(_AO9Ϙ̋^j})u6|Qx:MHd!l2N _DLxZK__[O׮BmJ iV(bl cԔi*,U<+"XB0Hfg'&NWA\)*n]T}uqa-L`#0W& 'cim`UsHqhzhz7*kU \"s -yAs1隺_Ǧ>e$k"~SiX2BXD[~#qXjo8i *FJ23Gj\ Z2^K:Q3 JLT|(6 AZcC<Eܥm̜NOҞ}ѽdihuZMe)[6&RLeqk[Y)O}l AFi5nM@~x/7?}F7\"o徸 )O[kdK#Gv.վL?u#ͪ  t9Y wVe(@i+]Ľ18Ǖ GRe tY NepjE+f^A#|Yә|O'oSuJ*Ie,?Ǘ'z [Ek, sMael=l*U<3ۢ?`[+: BʕdCq:0g[Ld.#i vJ! endstream endobj 17853 0 obj << /Annots 17855 0 R /BleedBox [0 0 612 792] /Contents [17868 0 R 17864 0 R 17865 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24673 17866 0 R >> >> /Type /Page >> endobj 17854 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17855 0 obj [17854 0 R 17856 0 R 17857 0 R 17858 0 R 17859 0 R 17860 0 R 17861 0 R 17862 0 R 17863 0 R 17867 0 R] endobj 17856 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 512.9 149.5702 523.9] /Subtype /Link /Type /Annot >> endobj 17857 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20210608081201-08'00') /Rect [104.1732 496.7 149.6637 507.7] /Subtype /Link /Type /Annot >> endobj 17858 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20210608081201-08'00') /Rect [104.1732 480.4999 167.5827 491.4999] /Subtype /Link /Type /Annot >> endobj 17859 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 464.2999 164.1782 475.2999] /Subtype /Link /Type /Annot >> endobj 17860 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 448.0999 149.6802 459.0999] /Subtype /Link /Type /Annot >> endobj 17861 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 431.8999 169.4802 442.8999] /Subtype /Link /Type /Annot >> endobj 17862 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [104.1732 415.6999 174.4412 426.6999] /Subtype /Link /Type /Annot >> endobj 17863 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 399.4999 187.0032 410.4999] /Subtype /Link /Type /Annot >> endobj 17864 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17865 0 obj << /Length 19 >> stream q /Iabc24673 Do Q endstream endobj 17866 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24674 20690 0 R /Gabc24675 20697 0 R >> /Font << /Fabc24676 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17868 0 obj << /Filter /FlateDecode /Length 2083 >> stream xYn$7+x64 (-e0O 4@ߟA2xQ-Ȗߤ'zbsF/)_gIcrE5f :םd3v1Ax>y 2r;6Gzl#Z'[U< T2F_q2E> 7i+KA ZXl= T;gu3bSJ[y1w}!X>@I``ܱit23,:4"X#";!"4Hi>4vwu7v(ֺ 0v7llMIe?K`m)KfJV$KsF͡*ESeP)m z~<+#.ΐU0؍謄PWQTW^#T;]@ΰEi'Ԫ`}ZLȘ44Q[~A~2"1YQRBV)Q'i3V '(r$]w1? ?_1|Ұn׏Pp=*P-?ANT, &_~\rJZhCvUKm ͫ 0F :"]2="U)6H Ztli2u /wmHpr &8rahf҂ItAv}tIJcM|7 ؂_wxSmQ&-Qܩ#ܧ郲v$ڃBB/@M1աcQ%cT.p ˰FjF8i툗,[iWq\E.v%/&_3_Ŋ\$a>Cmʧ)bx\V9}X^+ВK-l#1}f}qL3Uەxw"Gў$]Qg7DztR>S?yX{*FO+{V׌HÑD ~}&2m݀Vx/S3$ky@|u^\L,ۥ(AUS[ʶ!ZN"W{M FHMJ}^]hhZjy̚ Qp2},0Ppi֮iʈ:y^ːwf<8Zi*Fl[gEFχqjhqh &/ UupeͣKwم 0 fo7 Y°#uug /KܹR}Y퀌|2[ʭ+lT@zNy~֌K\=.nH nw[jYCyGQk}*~:{pImw݂Ӈ1s̯;YK&;:&V)dyD_TX%{Ը~O DMh<6!M1~W4HN(6oc[ #)+`~SE+?is^w~t_;C:c ehz3Yĵ0`j] Q# endstream endobj 17869 0 obj << /Annots 17871 0 R /BleedBox [0 0 612 792] /Contents [17877 0 R 17873 0 R 17874 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24692 17875 0 R >> >> /Type /Page >> endobj 17870 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17871 0 obj [17870 0 R 17872 0 R 17876 0 R] endobj 17872 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 372.525 112.8525 383.525] /Subtype /Link /Type /Annot >> endobj 17873 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17874 0 obj << /Length 19 >> stream q /Iabc24692 Do Q endstream endobj 17875 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24693 20690 0 R /Gabc24694 20697 0 R >> /Font << /Fabc24695 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKV endstream endobj 17876 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1641) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17877 0 obj << /Filter /FlateDecode /Length 5079 >> stream xڵmd_Vnl0R'meUgL?/dҘ3YRW4<&v:C f6ccsG{e3~\њwe#H- /[ScUgL='p ƈ-sJ->RwbyMˏ.ԨnfKv@NbrLllɜ`gG(z~2`6?ֵa a ;Z^ܾB-&_ۺ00_N@_;6eS4nY ʱDS~giH;5NSLJ6d,X%=*g_ۊשjT`3n=n Seo*i,e{LMŕ{[P4TXlQ :dP&&[iYjc>V>X.&&քыmwGr'+FBq Rf..CD*\\D{A~AJKKMEI4s+W!f]"8: TlIj߯Mޢ=mAZ'-ya<6D9~BGN#Ld׃xz{#WЉcYOp=ԈwsN\CuHLw=R$|h7:qJn,P.+,QIBs 't軯"q$YɰX?<n{ 4]^ @O생ޯ?/kPM+udBbJi(a"%*,KC!دPi>Po6R⬾S 0xDX8@^̝J84PFRG9!zupQ͜*$)pVR0v{<8G Nޤ0<ZjP^V S&&8EԦXfKJ5VX5D3R%^>[ҕQt8*q<\3MH ΘAU4UUbnZElzNg${Q;YZ,oYFKn1ˊeh-T\l,=T:GQ{-bTddd`cQ}3&:&:N7aTZN [#T 1{=YBOZ]z?!(_I-/hy*r/;ae?G奃@`/gGt;KUi! VeWdAx[nVu9[4!Pôv0~83pr!JX0lDgx:Af "_[9Z xM*-!+V$Ho9M5LYt_0}Ln"SefpNSG ~kŀIZ>.0Xe#L6'`2U&҉7A9[nx/NP9IۗHaLy8Br N`U?ӷÖ*d4hC6nئQMsAre3aNvM'nos5n̅;x'% ryIdƘ2!MmNx[.S`<T8ta:iLhc"He'x{]ݎfW`ҔU1Q`vh\97MlUv}-cjX>'{#_M;sYჩ 01 r k"n EIh9uꡨbeuIϢxK[y9m']̎Om8m'/7?!Mb0vkCӬGM$HFGnv/L&9Od9uh9k)-9CdcJ{(oق)R=l3ƫ6U{'"^(cA fB{ 4sf:q aH*VQzDQ@E <S1=u@4yDoTa MPRH) G>VM5S^` &ꈓH1`S#̎NO@hӶ"0ku tOGTU'l_9 {$, %[z$`-@Qvq;G2+́!TĊmca3i]aX,C¡X&*5 *P"@W(3MHJ] b؅8`:>Q*ԩy\ZyT-T AY2 RY~L28ܐjI\an"0@`X @j7Pl qg áؿH=*kB5pOE^TV$- D+uj5|*!V ^ BR T]^XN5y`chż-q<;#=m5lHpHgZ =Ԏt LF+mt0\<\!t b7V?$ݹ@K*r:r&bPW7f Y_,!ǟ8^e]G2봥 7-]xE`-h+n@Y?Ǜ*uMa 9pS*2`F xAE>pS1>O^> s[ /󒌺-^](Fcv"FW_>xMQ!~sO!w Wvɑr:{ijUu3 %8FC.It SX^vWt0Y#HP<ŚNn2: 6SlM>/8 Kk-x;d!nxjoڎ{ 9Jj@?g .d3xk3ip 2!t&sY?:ȫCs+&CG!A n@W b00!a;>Ȯ|x UI{H<>>i!Ɇ9SzKA39/2hQ+ppOh@0ApPʒg#(V( 8`ςH-PI50U$K}q@yH(nH;oE mv#8Y`>I<&cw+kj\◵YI9ij0tF5BGGǯk`ݔwVL紌*AıW%XFDvNJM dd*<t+.ࢻi>b1Z2J>ouwE&hf##Q ϝo2a]%d?)̲-3`}z1[LjHihsG1/x)d;֔ETn3DttA]J,.`~%؟( /eLu! I^wyU4î 0:aj{:Hq!1EA!=C.H3/tdyx<\X; ,/t2ɳܘ3_%?"@<.O'ñM|=BݎXT!Gy6Yk ;x[.Xh/to$;3܁]ԡE_8 endstream endobj 17878 0 obj << /Annots 17880 0 R /BleedBox [0 0 612 792] /Contents [17889 0 R 17885 0 R 17886 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24711 17887 0 R >> >> /Type /Page >> endobj 17879 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17880 0 obj [17879 0 R 17881 0 R 17882 0 R 17883 0 R 17884 0 R 17888 0 R] endobj 17881 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 134.8462 149.5702 145.8462] /Subtype /Link /Type /Annot >> endobj 17882 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20210608081201-08'00') /Rect [104.1732 118.6463 167.5827 129.6463] /Subtype /Link /Type /Annot >> endobj 17883 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 102.4464 164.1782 113.4464] /Subtype /Link /Type /Annot >> endobj 17884 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 86.2464 217.8802 97.2464] /Subtype /Link /Type /Annot >> endobj 17885 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17886 0 obj << /Length 19 >> stream q /Iabc24711 Do Q endstream endobj 17887 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24712 20690 0 R /Gabc24713 20697 0 R >> /Font << /Fabc24714 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17889 0 obj << /Filter /FlateDecode /Length 3695 >> stream x[I#篈ұ/ JRi6> >cL2o%S04T"_x^do( vxIŪ_UgT*ߧë)cpWAU'UZGun<#?Ǟ xN O0kI9<qN] E;>t ̸ s|TY\[S1WwE>mg#őCQ:=)B8B=?׿.0OM28\A0Q}RqKyK9H)0S_ק9#ҾQ~AV։MӶNWii%2]w!a3F{qi=My0\-&Di֯l }Mdfg3C&v Պvv\ԡ4P1,I{'^{%XѾ 3 7q|p.`Mڌ}mwPK5Bd"/LB4=8ͣ~GO2 a혞R@) Qiو:Ĕ,K 0-PФ0x-i⮑1SU<=inOIVz//㺲&E! kr5?O=qh)88P,Z5KTCm}WDG7KM?]2)W` sD$ `D gs nrFTiɮy;sV2ob5zJ1ٮ& -ɠa $ .heGQVF]CU7SEȷ}R3jj쾟k![ϺG!Vڬbb@=k350ָzTC loLrzɳCK n YmgofLASM3Dvnp+̲"ONG'~GA'甖pR[[CD*81cX#9 2X+ؐ/j F}.E5d[8/"y٘gvV0/S3aWASdB)wMӋ z.aC3lL]:L4G~Ҭ(;&CW ޲2melZ,Wms U.GbRJ*}a݉l}@˫V`C]ʺ~WgJ^z&;\96Yb,0N&I mv@lFl"v6R>m7{]5͓šXD ϐBKBRXVh#BiFwb)gz3%E̮x#ߞ{M˚CѡVwkIa[n9\miUueb:b,raq–PBkIZ:. ]hi'% 16!-Jfm491ʢz**T+CL,:x\>$X!ޛk 3-r&aIŐWNktCQ6}0djv^ܨjX@v]x+Y-ԖƱdj  JK_K`g\ ٨K}E}ƒ1~ R"gX1~EюITsέw^I#;Q)gܬ1!#s(Ύ$[ mbXd8Hv2@J ie\fhNZ2CC}`XM<ˑ|[,9 $b$KUBbB4؋/2HCK؍x # ݻzY;s:{u70V;gdM?\˛b $[,6#u=lcHx!%3nn@iĠgT +$Q$`v]maW1щW tҮM5Ltɹziͼ8_gݜ-8QDnsGꫜp^."L rx!j;;Ė2 * _sz2ӂR~ sOZ7uBƺ3h+[`LTE7p)fQ~3~f`j/. KZ2v^ߙ3/,O! Q _a[$(+|G.->n;3 .6[ӛlFod;A + jmX^Ha-v%U&x&;駞 7 է #>|CVcT"f++S,R: ܊v9QOk@[,\1ṣ"oIiHAk|E;f>j#|^Py~8SIrɓi"~OΎB`C׳"ہMaRţ$QNP59zP5˟d]'MI,TEg  endstream endobj 17890 0 obj << /Annots 17892 0 R /BleedBox [0 0 612 792] /Contents [17902 0 R 17898 0 R 17899 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24730 17900 0 R >> >> /Type /Page >> endobj 17891 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17892 0 obj [17891 0 R 17893 0 R 17894 0 R 17895 0 R 17896 0 R 17897 0 R 17901 0 R] endobj 17893 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 168.8477 709.9] /Subtype /Link /Type /Annot >> endobj 17894 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 169.4802 693.7] /Subtype /Link /Type /Annot >> endobj 17895 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 208.6347 677.5] /Subtype /Link /Type /Annot >> endobj 17896 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 188.5212 661.3] /Subtype /Link /Type /Annot >> endobj 17897 0 obj << /A << /D (unique_749) /S /GoTo >> /Border [0 0 0] /Contents (set_system_jitter) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 185.1222 645.1] /Subtype /Link /Type /Annot >> endobj 17898 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17899 0 obj << /Length 19 >> stream q /Iabc24730 Do Q endstream endobj 17900 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24731 20690 0 R /Gabc24732 20697 0 R >> /Font << /Fabc24733 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6OaeΐW<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 17901 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1643) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17902 0 obj << /Filter /FlateDecode /Length 1251 >> stream xڭWj,7+̀gYdg"dp_S%[=Mlz*IN=-WiDM*V>Ȯ32x 4}9:i<=/K]TZ;YT A^n;y`޾K㕉Vvjt:I퓯zu;<>Ӂ;,7,cI<@wCc//w# wKYcNNRSTto*YGTҡD+e#gGG@8:d.9#mX#mdIڟPSa;X7*uüVV%O*JyJ&_.X9%B4m3je5A̓WsbA%޸PFLM)SdiزpU%q*SyIYx{I".3f TD$:v4\e.3f>ȋK ,=pzewU,Qݩ3AY;Fjᩐ3y踦g9*1*aV,bpӱ_Ne[;,kfM$H`8,Vp dORVV_s"+5@ϘPeĒ_,4Y YV+xWY,ŏ.-OU6]Y<'HyɺN٪TTT+ҷe04c+Yix'ѯij.Z v3u%ġNEd[r[DХ>&Q]r*Lm(h:"ٚG&JV/\ޫҹI .2Ѣ,đLٟuӴfh6. BcKWCӥF5z1V/"wcaf>Fl@ن(m_n2 ÈɧW<筹ˉs/_+aNn6ٽ0$uufݤrH`WVn;CG& W= _!qnOB@A36 o6dK8{tyj AwCuط _Jد]˟/v9W hz3uȨ`C 5L6 endstream endobj 17903 0 obj << /Annots 17905 0 R /BleedBox [0 0 612 792] /Contents [17912 0 R 17908 0 R 17909 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24749 17910 0 R >> >> /Type /Page >> endobj 17904 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17905 0 obj [17904 0 R 17906 0 R 17907 0 R 17911 0 R] endobj 17906 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 358.225 111.681 369.225] /Subtype /Link /Type /Annot >> endobj 17907 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 358.225 139.8465 369.225] /Subtype /Link /Type /Annot >> endobj 17908 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17909 0 obj << /Length 19 >> stream q /Iabc24749 Do Q endstream endobj 17910 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24750 20690 0 R /Gabc24751 20697 0 R >> /Font << /Fabc24752 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C:9 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM$^ endstream endobj 17911 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1644) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17912 0 obj << /Filter /FlateDecode /Length 4766 >> stream xv=\ɉJ,H"Yߤ -xWYaZz'F,?4Z~ij]V~}Qʇ[w.;݃6V'U|#7\\/?ZCNHjjtZǏ J=ІU)ye Jm Ghb;~@[i, '?,ї o^řA:Qo Hsk B[%%өI*"~,RydKLbR\E-c?BSԸkDh D;Q6vOeS%4LP q k\A4l%0{u`&\oadd01՟aưFiqb:YӾH0:B81,X.\d8ry*\o^*Uw%Ck<^|YCLG^<oTFxgpLZRTUYǎVy>@Uvö;*2ν`Bs Jg1H t2r8>NN^ŧs=Tƾ; EIIC NV;l!)tLxńb勄IMH@vLr?JLRfOJA  D_ݟpi"IӦȷD"PN(_uic(Q7J&DB4u8w%HnV!̂Ymxθ:nF!O \4dĵ;nK2rɨRٸԺ4K =@BUyeaF 7kk o2jV+zQvFȄ{JoU%{TP2N݄&^K)N_a@QCmFLs#{~5UبoԽQsN.e:4xpJrU{@.Kʸ[I QYvXYFL8`9ru90u{>[- ;[f8ggZ#_ jqTZꫬZ`d$ALl&dO4!~7"hDD[O"גCKS( 7*{>$3RY#!!{!K ۸5Ax^&^ q~&y9tʖCjx>C=`b^Vt"F歮!d-nu&1SՔp+o)Gmz“~y(]:9Ai PDʣג>iR-\=6#+`(LF9,)c }+KkXyW+JePߨЋs:[^(F˒mR n_uc)7 Li[}67Z]w]+ZBC:X\1aN99W,ulU_V;U!a+`ßʖV+R8um*hﵵxn7p*'Z?D#]y8L %Cs?[G 1aC10x? "7}]rE2-wPx.)]ǺK!e=0h?ӌk/ģK"Ŗ-{͝y6!e^m&5$'Tm8cAG1{0^5nWrCVQ9tA8-er\oJ- ^5-y)/({6WmoZlIES95bM0m뚴fZo u+W@p{b$YDڬ9唊k-RTMa%dݑQ$Z K[{3 . ­VZ*}לENQu m҆F9j>՜8KATb6$Icƈ=1#i5N+L3jWkﱶ9438‵1Vcn>W|34'_s&l5aOk5րWZ3 ֚1:5 .vl&4[IosٛD;/QAtMM|% :O}\KdTU+qz֜^\/YPEsBsp "ԊZjS3.#qU_\S.S>"[wϽ@xLm^E\G.}`1Ց >:_2N©?JGnZR+e5~?|PGĂ}Mu;la7-ΖYeWnu/6,}3XxŬ y)MI̘tVfG _,..;|QI61H Sة@]'k6B:-~^QzԻ P>yE{oxYl.i"Z8Xڠ]gʱ0ɚԟf0]FbZ6U@GX?I[ n9h+D6rJ? KyXf#¥bĹLRp?1\ KiB5Ӱ+x&N'ʷ!+ BZdL_~_J6fƥx",IТxcTMcdp^ NYkLb>U-{SSɄ 9΍&8}!~k1ۍzrG?< 0|/\6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24768 17921 0 R >> >> /Type /Page >> endobj 17914 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17915 0 obj [17914 0 R 17916 0 R 17917 0 R 17918 0 R 17922 0 R] endobj 17916 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (all_outputs) /M (D:20210608081201-08'00') /Rect [104.1732 308.8462 157.1987 319.8462] /Subtype /Link /Type /Annot >> endobj 17917 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 292.6462 149.6802 303.6462] /Subtype /Link /Type /Annot >> endobj 17918 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 276.4462 169.8542 287.4462] /Subtype /Link /Type /Annot >> endobj 17919 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17920 0 obj << /Length 19 >> stream q /Iabc24768 Do Q endstream endobj 17921 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24769 20690 0 R /Gabc24770 20697 0 R >> /Font << /Fabc24771 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTΛ7acX-`~߇]&`j[i8 [nXJOvջSp<@B1%swT+_qS0Urdd5F7TT w19W䝒 jE3D,ƵaPdD/Ͼ=9] QƱM]wĘ>Jhx!(߄|j.P3YuƉWl@+0kb/mTߖj'5yCod%# 5J.\e:3ϳ-nYl?EŐL$5l)l,ա,dXy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17923 0 obj << /Filter /FlateDecode /Length 2816 >> stream xڵZIoW<@W4E=@n0OH[[lr5Y[6w:k1b߯ӣ7?>-xmMԏ/{cS1FZј &~9c2,ɰuk0zw iot4.&A>]FW)GC%3tJgc⵷=\g~`ugVu@jA|8H$2Ux wg,'~;bEV(xŻ zٰ5T]#F$8iJz4j.xB]FI G{֠0 B'Ut'fhR1&{>TA(jy?#"h~D@@_}ȗD;Qi/pr$cz~R+"EQFİbc^Fe럪Y#`X#0U#eeZoLede\W֤h\whOpLvN84Hf<S$ݰڬx k?UљJ5%͝\J K20 (9о&'M+7NNvNoN}#w;gN UA=f^MK!UxmA j;NIIƦj42{wK 1In%R U eȨo=dnE*ZH(o hִ1 WfT\f)0?4T]Yuɭ(GDU?X'Y},t*=鳄%ݾU;WbNNNǮ,*|#]c0i 9VԷ:Իd aǍ )}/BM&3"ClV٭m\,_ W\p&bs#R _ t9 `dB"&_Q GzL+Ls`LOƭf^akV*Ħ:Wm?p 筦+ͦf !8/ * ]]Q|ͩ_{[B;خi|Z=~JXcܾ*j/o򡻾3 ҚXBzQ Պ rOC[ykm 3lSuS^0~yB3lU}XBƂP|u D - P5m(yV}o5x#K_"n-;|N9+NJ.3jjEøw"Cඥp nMx'RWz]7J?#'{0ZV%RTUnEQ k_)IlA9٤[Ec%9#4a]q!B-2ǯǯ @7WWg;ԡ,о;-)6= mv  vYov]ހR=oy; 򾗺F7 HO[bJfq f]ǥD.؛Z~=w oogc %͂5A%2pcײ}Y"2O5bXo`23!],ސOYl%pʌ:2]I@#:G{'dv6-h%bd-вq/BzʰAqeA=Y^fb;;|;] ~6.G?d5B@lVf 7Ai6x$C7qV<[,)M&T|5uY[XngYr2wMxL4m#t\hҮm^ ˹z͹fGj˼yxWojr.-Qm!.΍DKlw+ gp ӭ8%>R4JuS)a q3K sRfq> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24787 17931 0 R >> >> /Type /Page >> endobj 17925 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17926 0 obj [17925 0 R 17927 0 R 17928 0 R 17932 0 R] endobj 17927 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 439.225 111.681 450.225] /Subtype /Link /Type /Annot >> endobj 17928 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 439.225 139.8465 450.225] /Subtype /Link /Type /Annot >> endobj 17929 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17930 0 obj << /Length 19 >> stream q /Iabc24787 Do Q endstream endobj 17931 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24788 20690 0 R /Gabc24789 20697 0 R >> /Font << /Fabc24790 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nXӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XLj[//d"ר`O6OaePDe / §9 ?ɒ F|QH:I*dz)rݝCr<3/*_'ŶZ endstream endobj 17932 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1646) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17933 0 obj << /Filter /FlateDecode /Length 3881 >> stream xڭIn$ȳ.69-@7`4dC3}߱V]$,T{F7mN/ϺY. wuۼԇ-qgLL bG^{oumG??}VsDWM/V6[fd?) /L J Zs-:-iOg~_20$ 'xw0Ҙyz6dX''XY~lyf=|6Q9E-%ƒkE>GP“hBk5v6ֿkf%pC n @ _p'h$pG COܽa'XkXaBo!QEw/e V g3=~c|<smi84l'o7x,¿:| ̻f O1o!ŵtcV1kt`Gt Wb}/n@'CPWZ~XjEFNV1@OffH^ @(4 M9<|wh,H>gF7V𽗲DP؈PXe|vB؋gy<vfKkodؽ"Ki^T4☃#F678 &R4' UH؂ ߩs$3,xe}KhOAocv2+{^Lvn2C qzec_ēBx( } ߺYGrFU??D8 t]rCi%xW>yM5'7}'~qT3)@muV!G;&)/4" 3:b㣹gj)om)}o.C*H<ջT! M,F1` ʼ}_O,kW"1W1 }P]c̘}Hd61l977EUW-@SY*]q ZkAI;L=X]YKċ' 0YzIC܁X2UHoՀtM H ro2{hE.n 2\ߌ |A^@g w*[0/9J@0< "~!R?ðQ'Qe a+Ifl3 W-LAM~+a)<kf4nw\lLP2[@Nn ay_K(e h|M7r𕙏791.qTLxN{5>(sq(x8~Q;aKSฏ 7ө]ρ7"NpOi߰E[C|Q{jʒCJ1߇9 ! RZE>qq#` M%;FL 0H'/t?z4֜/Dȟ/<ï=O%2y/J{>rO7ߔR|K}46{ ]BN *Ƚu9{_M֤r)A.++jٷ?ZI}9Z< endstream endobj 17934 0 obj << /Annots 17936 0 R /BleedBox [0 0 612 792] /Contents [17947 0 R 17943 0 R 17944 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24806 17945 0 R >> >> /Type /Page >> endobj 17935 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17936 0 obj [17935 0 R 17937 0 R 17938 0 R 17939 0 R 17940 0 R 17941 0 R 17942 0 R 17946 0 R] endobj 17937 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 149.6637 686.7] /Subtype /Link /Type /Annot >> endobj 17938 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 144.2737 670.5] /Subtype /Link /Type /Annot >> endobj 17939 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 149.6802 654.3] /Subtype /Link /Type /Annot >> endobj 17940 0 obj << /A << /D (unique_635) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 169.3482 638.1] /Subtype /Link /Type /Annot >> endobj 17941 0 obj << /A << /D (unique_748) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_unconnected) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 214.0467 621.9] /Subtype /Link /Type /Annot >> endobj 17942 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 171.8562 605.7] /Subtype /Link /Type /Annot >> endobj 17943 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17944 0 obj << /Length 19 >> stream q /Iabc24806 Do Q endstream endobj 17945 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24807 20690 0 R /Gabc24808 20697 0 R >> /Font << /Fabc24809 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pԠЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17947 0 obj << /Filter /FlateDecode /Length 1271 >> stream xڽXj,7+jh]ac{Y9 az[=3Nbb#KǩSdx'zyrN{8KcEζRc\j\\H>:?=@h aBR3wPQ\O]+ =7@ԑ'C8b?QQ~C#c{;fhQ~ӏWX̕2\͋eۖKe\YEDEҗխѫb-!-崧aޭdC=uH 9"P3;q'3y=>o{\ mƶgڳڑtL)az R^4܊TT/"Ռzi[?<͸Y993n*,yYICeWu h7N d5fD"$x/65hcX%¤C-(pꈤkI4) !L|mtVi%^fKg,#njƻ23;=֤̂uV!l(a/{y]Vźz +㎔Gie0aPt/Qu#T?oq噲r<{"xisI ;āҁ9ZzeH r$^xhh>`uP#䦎iƏX=~/;x|K5$o|A﹏Ҳao⎊ Wǣ_{w;܉o/0&n][!-ݥd _d endstream endobj 17948 0 obj << /Annots 17950 0 R /BleedBox [0 0 612 792] /Contents [17957 0 R 17953 0 R 17954 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24825 17955 0 R >> >> /Type /Page >> endobj 17949 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17950 0 obj [17949 0 R 17951 0 R 17952 0 R 17956 0 R] endobj 17951 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 439.225 111.681 450.225] /Subtype /Link /Type /Annot >> endobj 17952 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 439.225 139.8465 450.225] /Subtype /Link /Type /Annot >> endobj 17953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17954 0 obj << /Length 19 >> stream q /Iabc24825 Do Q endstream endobj 17955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24826 20690 0 R /Gabc24827 20697 0 R >> /Font << /Fabc24828 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>T endstream endobj 17956 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1648) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17957 0 obj << /Filter /FlateDecode /Length 3821 >> stream xڭnG^_Ծ4䖌99y& K~V[IQrݵzz|]̢R viOykK_-_q9X o>׷hl=?*}O>|]^}1?X?/z%Ð^3.ӚO. /L&.P֤C%<3?~QÀk Nna1 q۝x dyRg  = t: P|R[1@#́8 {G?mִ`ۿ?~6=:SI]}܀x'mO3x܏}Nh [Y٪5[7b!^b=45uU]5v `b|5m=RL=y3"}E#P C@O'-sqSpLGӑA=yD~"lI7WDm:FBNM4D2ˬ"vy듌g&)S=t$}AQ}1a.^t%O$F(/㓵ʞE8<$0Z{'rE ѹafNB}735Nɵ1]Au m@B4U,ڔ{/V1@-= *F35kk``bp^M2bV\FW(f}͈`8iIWz#BkA'/%*vy4*&8;] V*ޱ6a=c+ZQj(oQKNe24xpJ|{J_rq_6[$;$$ ͮ؎6@OHa@\?wS i&n!oHɺ|MokpՍ=hMUh5 l{瞉iJ8kHk )[.ea)lnԅ,oAVU6v%Bz!v2 ؈6o9k܍ [Gz&b-pvҲr; rP}sNb*ԂMQ;3^HKm.֬1l 4dj>2L6b>tHZY\$W\wqoSsh\!0鉓1AO欄$=WEB,>;۲TJftTF*ٸ'Y¹3|A5Ge|B`0HU_`\7Su<\v ԠР/($Xm1yAzy fpʖΒR5cl \&X *[(MM V((|˛D>wLQ!U6࣓<ԗ70b덞/h,;=B]D0hztA4Dታ +)"+SSeaf>V*1oh]x#49s 6j6WK;|)*t5+k㌸HATM$,Ϗ@0-*pivr"42ɬx :gRHJE!O+:oj8VNL;,ceD _9-eP m78.UDdun)klk"c ~B홖4OTbj/# "V {x,HAR[ht.lGA.5ԥ HgG/-́y>qCzA{z:^Zzo( 5a\mWCX2g|Ka}eOڋu{T{&i1IxꖍM 1Q=\גsk&=( =vM'"N 7~fü-ip2M미MV~n7<'ZcB2x M"^513D\2pоAα֎~~t9Zc^K%t~+/!kn 6;1Zg١r/Pfդ2(n4]=.u)nиkUƟ\0\Oű%V>kcJҽC(Yd*K''o3o%F>D8UA2d'Ƙkk˿PT t&œVSnbxK=x v r^{Y }=zm}K|f`_b,@cOBDcB)X!RfkkGVݻVoBJdf7jcSR%CPSX0XǺq-}" ?-}pP_8K45鱾3v6t#ӌ'l,69:6ƛeN@C*ǺM oDp/aJ6 0v`KfM1Sq+A h4R t>dLJj&*e^-kHwV5P+&77%A`ZZA+H[vm]npsur7# yE~H̠ \l P27 @vazK=(eNߍbRx4^[!qޒ :>)1Q`z+dƞqsKO+u!rAEϣ~-oz#UopFg-`vyUwU n5MeC3'<Щղ\(x +ݬyyW,:܉oɍ eP!^(cq49԰}p-@mHcP$;S QЖN@t KBni[;Ҽh(ii=uK_Ʋ7x݌:|PCc֚m++R١er7U۬QlE4 #Wf>R/or8b\P, 6.ۍf|P410^~i/t*t66 9vI-kS>ہ{/_p\ w6*ŵ׫[YLk#ӄx{(%V}eQc\L!6P!`lR|LeGmO'%yZ9IAVgiO0_ށO|f;h{*T{c?sw?_'V{ﳄo% ήӪd'dŶXE-?"@ endstream endobj 17958 0 obj << /Annots 17960 0 R /BleedBox [0 0 612 792] /Contents [17971 0 R 17967 0 R 17968 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24844 17969 0 R >> >> /Type /Page >> endobj 17959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17960 0 obj [17959 0 R 17961 0 R 17962 0 R 17963 0 R 17964 0 R 17965 0 R 17966 0 R 17970 0 R] endobj 17961 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20210608081201-08'00') /Rect [104.1732 570.1 149.6637 581.1] /Subtype /Link /Type /Annot >> endobj 17962 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 553.9 144.2737 564.9] /Subtype /Link /Type /Annot >> endobj 17963 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 537.6999 149.6802 548.6999] /Subtype /Link /Type /Annot >> endobj 17964 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_dc) /M (D:20210608081201-08'00') /Rect [104.1732 521.5 162.5777 532.5] /Subtype /Link /Type /Annot >> endobj 17965 0 obj << /A << /D (unique_748) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_unconnected) /M (D:20210608081201-08'00') /Rect [104.1732 505.3 214.0467 516.2999] /Subtype /Link /Type /Annot >> endobj 17966 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20210608081201-08'00') /Rect [104.1732 489.1 171.8562 500.1] /Subtype /Link /Type /Annot >> endobj 17967 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17968 0 obj << /Length 19 >> stream q /Iabc24844 Do Q endstream endobj 17969 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24845 20690 0 R /Gabc24846 20697 0 R >> /Font << /Fabc24847 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p,1C;f٩c85 e!Um swt;_PL$V-dAQ\0j4ECIB%)Wu%)!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxfxoBD>.PkP=(%ypfE3Rwm-־0ZOt⩔L2:)WIkp:3ϳ-nYk#x#?$_}`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m.s(R.Q8Ρ\-z^lMD endstream endobj 17970 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1649) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17971 0 obj << /Filter /FlateDecode /Length 1791 >> stream xXKo#7 Wzkb;^-P6[Ne~?<;DD)C?P9MYYǠw֐&٤/k}1xj16~oՈ7mnxׅ,||e/4ʻlcuǖt?mpP&d+~pabt} 綺l`ouh]ߴ߈ȶkg][Qީ/ښ!2OqG4.Nd+w >Ԛ!;U˭?}^=a@|; =:E;nFÁxOr:.![ov6lic][ͦ[3 v8o|oZ/C%h Wa}ޫ`}) K֮mn9pMkϽY(r .A/ FyV8")E>΂)/(R5k5)>؝Xmhwy.?\V е* Ǽ',eIo%ʲT,Ko%g aYLYEe@.AzY%@H.> SS\<7+i|7'V799Q5T  I"H5 qcəxی+zrr^JND .*DBA7ӤrL=SjY  ^P냊Ҕr:ŷzuI=m+j\{iukOo. ǡl/^{=^4>,'Ҫ `w%iL_(RgM, r >(hk$& /U2kliȶIb|TN\']/fJEź1¹2nXt[>.Sׅb,X"WϑW#_ _W; ]`W/z]VSB7:2cBkڋe#X*i>!-Ac2pLOt6L!"^d쵌`G,3z-I%.ZJ\&c#m&ݵ@#_F5jz%K.1ǀ4ۜ'̌T"8`#7|N5l:eDzFn]hDpLE.h)>,;obq#@̗:/}ƒN=%f15EǼCN^Z4.Ss`PqvX0Ƣ-ahwxx7#3~ēEq > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24863 17978 0 R >> >> /Type /Page >> endobj 17973 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17974 0 obj [17973 0 R 17975 0 R 17979 0 R] endobj 17975 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 439.225 112.8525 450.225] /Subtype /Link /Type /Annot >> endobj 17976 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17977 0 obj << /Length 19 >> stream q /Iabc24863 Do Q endstream endobj 17978 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24864 20690 0 R /Gabc24865 20697 0 R >> /Font << /Fabc24866 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17980 0 obj << /Filter /FlateDecode /Length 3781 >> stream xڵn$ί賁Ҍƀov䴎R݋?⫧Gg&zW|]̢R vikǩίwZx7[Hv4}=+۱<3  <5~53#|.?-O+q/FӢX2|ҫv%xZSY~QOYa}i3؅_ʚt@Ot_pZG 0[g0&};m{q o欄x;/&Ʉ -n;~KӶ.nay|8r4:iq 1Zb َf=?ε`}o,..F5-6q*k Lϼc3΀#}>i`'h?#!S?DNԦ?}6d6؊+ @hn~^ZFw ϫw6 LD8mަX+Ŧ5 09a]fpb̐1[q1Z}m X@;u5ġqu១y)h`sƣ:%"{= ЃοX. oh$<Ā%?6h[4n!jOy-hfm xFfK]-i\YȞ"m&Yf`{fDeIKGnv&6Fi{fد ץf{vKZrU"y(mDr,}vBٳHgq- *͆ȱʩk/tb3VSf=3pբ>E_/R,IƹA FmWP=˴AJW fbPXS^bOЖ/?.R C MH^B)(eE ,CKŶ%PiRWk@ ^LrfߩPz@9! w=LAì.$?5xpmxi jׯ?$NQŲQ i=/-ϹbSK  2a|qVo]/Ȕnx*+8*pp@ a@HLBd\ЕN񠰎tKkT?oZ]Y½wBd^3J9~I\_g<ϰgyg5 xB6\j}eb ,ړHa[\юr8%t`945`R$ҊΗ5{ BM/1lz$ȺR8n rͪNGFJqmzpvPr+ԩnMʚo7A L-$@0BR赔keY;0վ!ڨq'1rԥLU.5yTtx#,ōpFoN3CfjRc e~~{-t '1@ |sW}iĵ-b;>Kl qVemb^v8!݌!450(xbMU3uQHCMb{\KAA {&в`Keb<]6-OmJl@b!d)`3cؗUg qHU+@CvL_i6x kk# )jNT?`<]^pcyY@_%N.v Cذ=ykcF][ׁ!/@Gw&[Wa{avWUUCJgX(qӑ(v\ـ~vJ4\`S~^0dl619_FfM6Wbcҕ9>tPʜ2H1C - "lOǶG 5(F] <5{:!-~y8}=RSj ,]k,5"H72׿ˡ w0tpL 8<3~]@[7y^^SZA>eP!ZW h0ra{SzoTQ3ێRIVTCV8aIE~orV糌=J98o#`ȦKGJLLH;t'BG> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24882 17989 0 R >> >> /Type /Page >> endobj 17982 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17983 0 obj [17982 0 R 17984 0 R 17985 0 R 17986 0 R 17990 0 R] endobj 17984 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_dc) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 162.5777 686.7] /Subtype /Link /Type /Annot >> endobj 17985 0 obj << /A << /D (unique_635) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 169.3482 670.5] /Subtype /Link /Type /Annot >> endobj 17986 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 171.8562 654.3] /Subtype /Link /Type /Annot >> endobj 17987 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17988 0 obj << /Length 19 >> stream q /Iabc24882 Do Q endstream endobj 17989 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24883 20690 0 R /Gabc24884 20697 0 R >> /Font << /Fabc24885 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {vXJχv͇׳Sp<I e!Wm ZHn;Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.oc&sqE fgѯOM٧j gVJGܱYI ]v4Lm'٧t⩔L$u$WʵRLsVWӬ/~oC2k,`6auPDt C%xp4N!d53pj WgLE1" ^_/Eh; ʄC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17991 0 obj << /Filter /FlateDecode /Length 1202 >> stream xڵWoc'W̹ң7H؉ECՓwUTJCxg00+@_j t!B'8=oK]Ԉ spz#:"̭Di|D v )"k)F8}CYBxk3Q/'Bq:>Dq*/hIT;NQ Gr_Lը<χ=ɇXs'ֲ5'ճPP֍ /%*jz @K6WG)`3KM1`aIC4PCvFUx :qb'6Xr"\Y_UF@ҖOƫkƋF]-|Euܥ[xmڷ=uꥮv4BiLB:镎 |jžڪlشy-\PA˰l[q f2Mढ़TYMp:jR0Z&ijdW(JrXb-zm=g*)+3j[}q6?BnyB[+ۖ2z,R^2ש9*U͕ ER}_S%neJr xxeˀE 2X:kct"Ķ@dlYq!4101F|$"%ҦmmKGپfǖ9z ui2SL(5L9R @]IMٟ8-3ZΥ!BY;c(2vuস ޕ1Ç,1 vPe<6<Fʥ}݌Q\hLb4c%5B\ּ5w9q+u%Ը!M~a[ ) sqKR7B$e>mWI| Y} ^&B_+"E@PO%y!D΀桎w_c KKt4_5z _"Cqh٘dkr,{ةw5J&l B(1#?P{j9I-# cG}]1|5/Vucط_ ߞe69ϻ?_zF֟멜덟]C(!" Jvfsp endstream endobj 17992 0 obj << /Annots 17994 0 R /BleedBox [0 0 612 792] /Contents [18001 0 R 17997 0 R 17998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24901 17999 0 R >> >> /Type /Page >> endobj 17993 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17994 0 obj [17993 0 R 17995 0 R 17996 0 R 18000 0 R] endobj 17995 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 439.225 111.681 450.225] /Subtype /Link /Type /Annot >> endobj 17996 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 439.225 139.8465 450.225] /Subtype /Link /Type /Annot >> endobj 17997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17998 0 obj << /Length 19 >> stream q /Iabc24901 Do Q endstream endobj 17999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24902 20690 0 R /Gabc24903 20697 0 R >> /Font << /Fabc24904 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 18000 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1652) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18001 0 obj << /Filter /FlateDecode /Length 3829 >> stream xڽn,ί賁is_iFc7;r0rzR.ƭG3V7bVX|]̢_R Vix5PcrQs INƶ˝^w߾Nil8×)};I}2vy^[߿^K&jg\5%ן]ߖ_:n13u\XK%/IJ" qvN'x:i--3Ԧ38E@gnt@"$y&r7̮- Q<Hh?c`l0( 6Xe?G Oh&.C%Feڔg@\@r&P~$`' }zܮ6 LWa8+-O?RSmZd SqlWJ)m'YȎ,"CeagV|nj ~j8_!E#y~zx0WjL^:{* PINuῡx_.Ph_ pˬƣ'=ASCʩ{@=Ofc\HsY4U@vb$ۢ 9xeiB U z5[%'R}"-Ɯ#\KXfZvp.hxfyE'iLSʱa]LQs$}~]N5 G)KH5_'k'pjy'mI`Wa64 SatAJ#9?ct03NB}70|LMրli'_k!'xMo(lC4v,CSŶ)Pi>Tꛀ5}a2F)%V+U&;Dy1<@^+T P}P?@NH:CU0mPc7 O\ ^ Z[ $8OQŲQui5/-jSK  2ʁ&ء35ki``bp^M2bV\FW(1R! pu/ՒBר-@ԋT5HCoX&f]GXQİ q~{oE'Qj(oQkNe24xpJ|{Jrq6N_ l,jj~q' ss Sh4n^7d|Mokpԍ=hMQh5 l{垉nJ8kHk )4[ea)l^ө+9P[9XޖplhǣKƇC]ۭdt2- \ dm!bRP"PL6+NhO1]1)1Lg( C5"P[c5k5e888 +8`@' 0IЏn*0+}cC:M/QyPrMˆ'v F>r\Ew ak,/ ,w/@G&9qxg߀Tz#xҘ3E8 d)!Cp$, FM[3qR V"Ybhu\bZbk#S$  *;P*,4MV-& (X}J&p7þ ;^fX؎8$ʏި7lXlkƳ-\GquAܚ.5Dታ )-BRgesێ`JQhJL*39^bU25@bha܁0߈>n,C$ps`UV$5A ;%3 aZ Ub  @5c5[SLxTADASSmjByk>uR?VQP;xCIYHbh+CpN\꼦)yELK{ns*1wJ{ֈusCbd)HJmP4Qu]ꑀrvژK\N|M}j$ZO4k&4'n#ɜv=\k ;+s\4VvN)m[66 6bߎdb9qaZaOe&N n[Ng #~e|vip66Br::Y9Tw.wzhjڃawW%#•|tfZ5i KQ_*UZ k+&|mK;*h MyH KCDA @F&1;dKeOl)&H;2t.PBa2a^+`$̍6l(^}V+$YLM'q΁JGP5mrQkl@~b.f5@fL9$HF3$N uvš(y-x!EZM q@"UKt+ %~-~`kX]K7\]c"|yիTgl߄r?bӇ(Q=f(qё(r]j Ybm8&Xf˰y43hY Z]}bq'nJwXK?6WDz]&_רc0GN ĕfcM8+ؕ:G۠U·!|חTmebq j5ueC32/PaTj@.py=DnVM|+[^CZA2lxq8ajؾZ8U UTòcT([thC '@Z_Rp68䒐[ΰ4Od`kj㹏}b݋NF>1k6 I2|,ԋMe) [Yf>R ύo8b\P}, 6.ۍf|P10͞'E2 : a6 9vHY/Sτ9ӎč8y#g 2u$" Ř!P(x 8JI{8,ӖxՐ!`UNB^y,6r瑐`L'"r'iZV;_ R`'chݿ8EQpOۧ!sPRBC rogyNNK5Iog[%| A]LG$6+XE-] endstream endobj 18002 0 obj << /Annots 18004 0 R /BleedBox [0 0 612 792] /Contents [18014 0 R 18010 0 R 18011 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24920 18012 0 R >> >> /Type /Page >> endobj 18003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18004 0 obj [18003 0 R 18005 0 R 18006 0 R 18007 0 R 18008 0 R 18009 0 R 18013 0 R] endobj 18005 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 149.6637 686.7] /Subtype /Link /Type /Annot >> endobj 18006 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 144.2737 670.5] /Subtype /Link /Type /Annot >> endobj 18007 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 149.6802 654.3] /Subtype /Link /Type /Annot >> endobj 18008 0 obj << /A << /D (unique_635) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 169.3482 638.1] /Subtype /Link /Type /Annot >> endobj 18009 0 obj << /A << /D (unique_748) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_unconnected) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 214.0467 621.9] /Subtype /Link /Type /Annot >> endobj 18010 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18011 0 obj << /Length 19 >> stream q /Iabc24920 Do Q endstream endobj 18012 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24921 20690 0 R /Gabc24922 20697 0 R >> /Font << /Fabc24923 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$` endstream endobj 18013 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1653) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18014 0 obj << /Filter /FlateDecode /Length 1251 >> stream xڭWKk+7Wuat!NEwCyiFc;m KHS#x':CN<0|;&N/XsC8<8e簇ӟ@!L6Z OBx>Y_R4ƒK5q K}ž!~VFHuԓ!Q_((?졑1=@EA+Q,iaJz2Xm̥Z"w eukXK{HppsK8Ŕ=̻UQ!Nz<f$T[|^8C-q8z`4C/І-艎ݩ}|#Fys<|AyY?z]!QS6f6H+8=$:X ],6\`VR\;pr6 {mAŜ(~=fkQv w"xKZ /fWȘM*bqQkc6JofR+#@0FSyfo9 9CTQؼean xO٬Վ/[Qiz9AI[yFέL5L#S͘ӊ[Qw%O>SzyesRIgLe'ʮ]n&n՜e![x)EU^`%dNSG$Yl?D!ʱ^Jh 6}:+5 do3%3{ `7 w홝S05b<][,'|K9{*Xw$waHyV]F9 γx6Czi}ƪp-EB wkw8c{dG44[>rS4KGlY ?NxMRl|!IF]>=x.-D;*s4\vJxPs3ĭ) B.J!B endstream endobj 18015 0 obj << /Annots 18017 0 R /BleedBox [0 0 612 792] /Contents [18024 0 R 18020 0 R 18021 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24939 18022 0 R >> >> /Type /Page >> endobj 18016 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18017 0 obj [18016 0 R 18018 0 R 18019 0 R 18023 0 R] endobj 18018 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 206.225 111.681 217.225] /Subtype /Link /Type /Annot >> endobj 18019 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 206.225 139.8465 217.225] /Subtype /Link /Type /Annot >> endobj 18020 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18021 0 obj << /Length 19 >> stream q /Iabc24939 Do Q endstream endobj 18022 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24940 20690 0 R /Gabc24941 20697 0 R >> /Font << /Fabc24942 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 18023 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1654) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18024 0 obj << /Filter /FlateDecode /Length 5419 >> stream x=ɎG:`M h@V07z H[.U,Mʰ[Ȍʌ-# M&3isTu03?e Mɚ/cScr1Od$Lp Ǟ4 YF^9f[1Z縱($T \Ac\|0}@9MXq闟* E/_f @@˳6H3R#?G:m%#+=gXQpO | s9.CzdʉR)RJY wetq)AYY3; iy&ZL>y6 Rɚd[jsJ.0dH=c7g  fb"lE:T$s@Еu~bйr[qKe'emq\̍ qMN*Q y^ r- V>"6{̚9CٟpącIڮ(2 >y7S1A!JGч[z}>_\LXŎzwDCq'gjVbSv /YÊ]kU⃛L 3=_I!Lnṁw*WZ'@(t0@!M.C]ɶ.wD"PNh teo}1hߴK?~#!3Տ~%LAK.i6B&W/Y'uF9nM2rɨRٸԪ4KzҊniUyЬLn 0lbp^-2VF W,jmˈp8hVz!:[S8%e.݄J)Na@Q]o)wj[P=^k;Kt/̖TtԾ O7j^oqE'KY-dpJrU[@Kʸ7[8Ko̟~lv/|0.9ru90/뷘Tm _טWl:mV[j[X>?\^j_ZQZkg#՝\H.d][t"wt"WN"w"^n"-nEh:+_7o"5Wroge?vӏ`^맘]A]qdy\[}rH\qM6}V&ݦwaO=ܣ!1^l^K`ϠACk W6u? Mxpq,&Qv`09>l£ϕƑ}" ItHn!nqٸK{A]3NȬ,7:n̑ځ&V`P=M `t1C[̡+ Z_W'rpx3 _U\'3Lǧ2B|P5W/N-U&C$rZsv)& !kΩɚ9M`#mH쌫 m4CBU^i/9!,j~mnfPʀ8t5aN b|W BcV=-( a~)Q+ :G u"*ggK8;us !!jNvP#19x{%a0:9t{8`KC*5o(,D{p/RJK^Qbցbu݂*t,a*#EЪм[p9bYX M Ax /^-l(@{{Y((_HcY +jta+/g+hA=r+.Ny@̜9-,bE,X7d6Z.!j_f\h,NT- 8YSR %BLx Ҥp9gRb'W*hյCh耷WroFJ#6{L )lU-PGQ <9U$z8"<YQ%Fs=z.tһ>$ĄQ:NvΌ#ȕ1Cxab!ܤ񛇞Qqf[qμHwlwFVa0qJ]ѶժXpN:OY|6Umin)vJzuG$a; oc1HmNyک!Ϲrg$O ]^Ok^V=.-vӨ=M}e:VR\2 m3%B^I!= 5;d|vzszFxogRpp m$J2]gn7y;?4&{>;C;BncZ6g]}A9g<8ъհ}醌Z( f"'٬-%& C$؀NyG|o*&k]q*x CҖM ,< c  "C-%/b;1ZgJcWm*MmUm""qgD$8 s )w6LMlbKz5:Y 6Psl9 U:!dKVv-zzKxMfl_0U=2KQ7m2. {oE54gM0-9. D>y(./pnA~7mML Zm­lZ¸8;J{6qAʋ~dw;Fe|3TV7\V_%Znh m%yPQ+B`”mKEpruJx&~z\K\gj\=o P)mtZ (~*N\tʭgH>vpbmmȄ`&C[GB|k4[ DK7cPo@A-r9ff|k*7O^$Ua]vxA [o PWFțNq˒hd:'nE# 囮(z nlF*M(pkt*K*HK PqTji@S(O ~򞯦v^~+BE<ǡ]r 2lDx)Sq2MZ"%(_Bðc\JOthM` Bpp^Kn)[ĥ#C靥 ƗFu/Z;#j(uZue F4K.j2[Zډ\&#Շ*? &uU*gqPVLI}Z^XWzޤp6Ω2\w8l{ Z+<U`ygX,ImE endstream endobj 18025 0 obj << /Annots [18026 0 R 18030 0 R] /BleedBox [0 0 612 792] /Contents [18031 0 R 18027 0 R 18028 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24958 18029 0 R >> >> /Type /Page >> endobj 18026 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18027 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18028 0 obj << /Length 19 >> stream q /Iabc24958 Do Q endstream endobj 18029 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24959 20690 0 R /Gabc24960 20697 0 R >> /Font << /Fabc24961 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18031 0 obj << /Filter /FlateDecode /Length 4433 >> stream x\K#W9`h7䴎9離fwk$n._Y,r|T˧K铋5K%_Ǝzry=z.??۞Q@NB'om._{)]簭}j#oM轙-=>E噞~4BZ5x;Z.ÚcenpD}4gˠyPz\,6:'@ehZEhF+ )@^D;Gx|;0~zIOp_|Rxt R6hR?$'qzBIYy+Hyql.oi,̺Mmoqx&2YXӰh89`RM6:zSH='NC$;eJ>xN) "y[+ۆ_F-VI<&HW"bwv22Y'!7+F\Ίc=%ˤl4$c 1KDW-l#:Ĵ)4J{##"4O߀}x_¥3]hˀ)CJJw0^Xeg]E),;\g1^; gFFrYV2*#@ ^fKn$+6^,04H6h >i&}1hmf Ed%ZhϪ!PD`XOƂh됖LJ~Hʄ\XL-4B$"l[j( $lWFno2jamp'>ݹ*+t|fVee̊\D2R/>fPmG<ukYa+Y>3U?7=z. 9a Wl/1ڸޘtWw ޫw3 ((4:HNalP4p}߶ Y4 8((.#ŃhEpP H pDXB-63 yYi~kls#A[iaF[?a /x;\|6ewAô;xDRnq]@j"{#G"{0:aK"M.#UM 'ˠӊs' PЭ x 0O`A9C-059"A$HKt<0N9h4HL*0ڏ3Vt'HztsXj[#.@/LSRZw6{%p},3Y"'(biTԍŀ]Psr1Bᄡخd^C^DV /IJ,#˳J_niSq!$ @Y'<9)1{[Y4*L|<zznHNL]˸6pCR?lFϳchց"2Ě pD IEH!mdrLp~/[fgu''`O+.E4jkIds(9dF.WK0n4jJmn@) KX\} %ɢcQzР07En _.WwȄ˳?-FsxVDqh[_[ G]sED z}Ћe%ĭRȄKY -#3 %ԺaSjh Zk:En"SxPR:Aqw:N)t/R~ 伨(* x911Z7 RhC6(`C mae&4,W@ң*B,q&L\,4Dij@X$#)E sՏ{?Yqo<Քp쐡ՊVƹiN4aqQEV5$Q޸Nm G?z3h|2Ƀiߩ:w{w?JõmvW\Mfpv0Go]!ӆ":}cP#]sBDNAKkT;3& nԐvQpnH)mE-b\"/kPP q\\;L/aw:+e'\KxZ|16*&FkyN{a*NrUvjemYaWcP*HXg1I2ӹ6Qr)Uu6žr޽ 6Ow.*]*mq}(E*= vmn]֌Ma t LɥEvCm\ ?&b؍4X>Xq.}[W^ "ȍώ>9ɴWF&TLZq,Nl e9{SmQwҋs P߾P$vF܈>9tXfލ}ZAJ~kiզ7zGe!5oc`>(G&i!q㖺NߔwF6GtǸY)ts,Rf>_(q/*YP2{1]LfhکzUx/rsp1kj2mɋ{Oy$إuXaʽ[+'ހ{> l^CrUᣙoMi{3n`A4wVJXmn|ɶM5M/g-K1:SY I@&0I?:œ+89RI7<,)ʒw༩zX}S 5"Jֳ!( RS]*?oZFx)kPxUr> y`Uj:_ &pžnw5 p[w"[M_=Zt5[F}1F^s#mE#7Ȥ!PXCv=a4(~q;xo: m g"+UvvnT4W~܀@~X> IzZ9AgۦӽO,|rܲVU@slxC<򭜉&V =Z(="2iԁn]x'b_ͽˆ#/A[3IUN$)glXRjzpowt&\A/'|hg p3{[25\ H}9rJ%:YOFm=i˕ꉦVk<y:Tóh"#!\d[ӪJ?O'G(4ُ2!c#Mm$ ]rJds3"'/8K!YOP(s!#Ne/8tr+ Q3tvR~35>L+G +OmOnypp&62O-iخsoF7Zokn)f;i};`dӑ14MGY1 >>#i`Y~#2vS໅=+/`2U՟ڼugbDD(X$k=SbC,aZ]1` endstream endobj 18032 0 obj << /Annots [18033 0 R 18037 0 R] /BleedBox [0 0 612 792] /Contents [18038 0 R 18034 0 R 18035 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24977 18036 0 R >> >> /Type /Page >> endobj 18033 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18034 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18035 0 obj << /Length 19 >> stream q /Iabc24977 Do Q endstream endobj 18036 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24978 20690 0 R /Gabc24979 20697 0 R >> /Font << /Fabc24980 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}a" endstream endobj 18037 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1656) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18038 0 obj << /Filter /FlateDecode /Length 4606 >> stream x[Ic_Ds_2r|SU,In;H$F[{ǪV +$~kjuv /I/uZ_n/4I~9J壔 >OR^/Rاo@,3B#?Z3=I,}Kp^p _}u:3~?-?dNNɔOV@Qք2z3 'ʩJ0Ewzb_jMfXDVsЖH94}י>i̜wy>X])[Ӝ >s " eJ^DRhB*ek<3tJ,] &%"tx&IG:(+\3vYqaSf 4T=\D#Ps }Ђ3jķt`}/a]SAĊQ wi(c ߨ6 fJ 8=-m:n:o恰*ҮJgxOi pB)ͶOQ7(6ת_ʃ6Rj} <xE%vjoA;[p]|wn}t-w/w5~`O^.4Y8 |N~"GmoبM:!<4:JŶv0Vn|YJ}bZ1{ST i<JE lSqx<+C Zxt?@'BT7ȦܹIQ7ؘ`x|:Tp%UYj֔#;jt~U,EuN@~@%JK14^6nܢ6SFڻ7 Q@Yobʚx9z[ '%v]דRQI&7H˦2p@=cpǰ1F̏b"dz!+LlסSvZǭ!lQ5 e"*|z[]X<& v[ffl/iRmخ/kN9C=ۣby'D `I&YYN> VHK'LdU'Wnzpy/KI_ubru˙O)/CX H>_"X->6Q)aЊ.NbBƊ _/`$m3x^,Jj,DH+x Pi.'Cp< pZLg&efCF~^[Rr<yX5N߭ޯ[Y;@Y5x;`Ѓ"*@-8s {tM,FH}HU2FMQu. (?aeчn[ea@ BRz} 6Z#'WX9YRv|aLbRRq!no-*>мv8yz+3SX~:͑CͅD{aiG{@Ė$<R( ~ ;`n7x;5VUEG l4.볼E p>x05=m/#t9WwgBI(,~po X|~Ί0fmeۦ}Ղgi:4~qZWהH*NPw:`{.Ä˻?/#8,Io:s1i*pOSmZs{ߥ&es ܇2^;tݭn Je삣!4HlwT@m#mcic:ULû\_X@!^ j;Nt>_#\ӜS-+I5*Q6B8eҳ+.ټT+Ύ@bH2ZoE ;4tUB?l\4V.:9aޤhJ1`vh"Ѱ'◗!f0pϰ?خl`:8*XepL@Ψ^).\`KMΗ-9/3OɎ3Im 4ZDsG{ҒoĦA F&BH WnG1/okb&8]#ahU,_BtM 7⠣5, r† @|PYL Tb3${43Ү@&tev HԾT/IҰ}Y4GyH>Bb[oy/ڒW[ \"sU[/425=wSq( `iPJ~Lx$Ot%$gBb#+ũߊyzB:3Ł*\s)< ]he{W3Iݑ" n=_<2cGZ2O4W;Mo!/n8: $(G9_K&,Λ~σuxqqniK͐mIe&۰]eB{~fk(~X'`dþ(ZIu$5$tuᾺou]6Q[)(/@@b&Li\ DJ$21!Gs].Yx!ԳQEW@ <]y!OWE ߚـȂ.޿x񄕩]~R_5z;gh,sם=[7A*fG? Ԟ-2U(W KZ)Qd/Mw-̻_悼 endstream endobj 18039 0 obj << /Annots 18041 0 R /BleedBox [0 0 612 792] /Contents [18054 0 R 18050 0 R 18051 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24996 18052 0 R >> >> /Type /Page >> endobj 18040 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18041 0 obj [18040 0 R 18042 0 R 18043 0 R 18044 0 R 18045 0 R 18046 0 R 18047 0 R 18048 0 R 18049 0 R 18053 0 R] endobj 18042 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 563.1999 154.2562 574.1999] /Subtype /Link /Type /Annot >> endobj 18043 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 547 145.1812 558] /Subtype /Link /Type /Annot >> endobj 18044 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 530.7999 149.6802 541.7999] /Subtype /Link /Type /Annot >> endobj 18045 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 514.6 169.4802 525.6] /Subtype /Link /Type /Annot >> endobj 18046 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 498.3999 179.4682 509.3999] /Subtype /Link /Type /Annot >> endobj 18047 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20210608081201-08'00') /Rect [104.1732 482.1999 172.2742 493.1999] /Subtype /Link /Type /Annot >> endobj 18048 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 465.9999 187.0032 476.9999] /Subtype /Link /Type /Annot >> endobj 18049 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_units) /M (D:20210608081201-08'00') /Rect [104.1732 449.7999 147.3152 460.7999] /Subtype /Link /Type /Annot >> endobj 18050 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18051 0 obj << /Length 19 >> stream q /Iabc24996 Do Q endstream endobj 18052 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24997 20690 0 R /Gabc24998 20697 0 R >> /Font << /Fabc24999 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwO3Rwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLOۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}x endstream endobj 18053 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1657) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18054 0 obj << /Filter /FlateDecode /Length 2055 >> stream xڭYKܸW𼀴$Ň ^ 747*5LxK%UK6KQgc.e̪mu [Wk0jZoVE>`'9[e$ٳKNtÞۆQ"Lqڔ;9m0+deKIP:UZ]77VT35܀%1X}9Bg?m, |SYf hXʋpM"{O9 էy0w2^uU{C| (trYFrUXz8:K=ǒy7ƭǾo2]@T\Ut`ȸzBr%ҌR~|% 9N9.uGw"` 'dEy3\x4Kq&?nL; `KʔTnSko xmQ/wW y{E{4yBʔ'7ŋCacꥠz }bs ߌ7hx'h|Jgиl(E染#"gYX+*)E8^%wBen݋K:Ҭe=_.}=|fŐ xْ_/A!=MTzAfX)|݁AH{d /BjPhr:AnyJr?1\>*ѥkСzq̚xp'wS/oo]v[}*I&1>DG?^e᧪̟WDךZ8Ԍ][=(MdU&H] )d)_;/WuDl HQdiOBܮİ.nw*p//J6/'Z̈́D:crB.},v9g\ o9f z`qJD]],<|i[í*>mC^Qcp'uKeZ^7=cz,$Sfi$f!gJ'ERagӲBً o1g^ͿޢhݮE}D0;u%23F?,HUJ4?(f']C#^1Ac_YHU+-#밍lvxCYkvFX -=NC;ԡG uhzk[؋e-aq|߁3 xM~uNgvUv3iu@PV1>֟P?_٢{d6BV 1UX9uQXS'Y 0) uUjlȥRmP/d&Sf+ *GWip xy^ԕַ4Z ֗yjbBoJt0 endstream endobj 18055 0 obj << /Annots 18057 0 R /BleedBox [0 0 612 792] /Contents [18064 0 R 18060 0 R 18061 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25015 18062 0 R >> >> /Type /Page >> endobj 18056 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18057 0 obj [18056 0 R 18058 0 R 18059 0 R 18063 0 R] endobj 18058 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 425.025 111.681 436.025] /Subtype /Link /Type /Annot >> endobj 18059 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 425.025 139.8465 436.025] /Subtype /Link /Type /Annot >> endobj 18060 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18061 0 obj << /Length 19 >> stream q /Iabc25015 Do Q endstream endobj 18062 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25016 20690 0 R /Gabc25017 20697 0 R >> /Font << /Fabc25018 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.I{qHB>W!ӘV;!JϡmтGŶ H endstream endobj 18063 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1658) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18064 0 obj << /Filter /FlateDecode /Length 4072 >> stream xڭnί]u{yb '%3A /Kmح*no'kEÿ>RR ViOuk/C_-_^?hr|o!V/5x'|3<&/*С66cJDže/T5 MzθOkJ4*O`V]>3=bta-%& ZG ?<5 ?" F?t);J:5kqn. <=.Dxy\2x`2=k#ԟ\B5-X6q_* @oi$ H2/Vy9ag@O\z} \ ^,X$&GE<0`j¼£[}P +V݂ Drlc xm ϧOGxJ &5بrpf9Ⱥ9X,!CZA$5YZ5l+W5mN^uf^%ġuVUޣ.I߱W|3m΄%(rFtƲ/)tljy&mI`WY64N~U>;yT҈}/O vLW\ւ)anЅ}NGO:v; z3NZ91Iaj_嬼K+[.S[6ɰ]W4bnͷ3n!JW{l7y-)J;ྃhеxpRL|0 -!:MMym v#sE/0&` _Yh*^ANC$%zS P}P?@NHƫ`۠nVcs-x) j~Q6v3HqƣeRj_ZګQL-5PK(ȨJ+ۯdP զ2X&C̊ -^j_5D3b!NZҕ^Uq<1MJ N_NU4TUjb:ElzYnIjIDGu)QF#Dw.+ P/ctR\<6FUUkEwܮ::7aTz[=T>[DNֽ0IIAjK!|uƯ hy*(`y?{嵃B ^8ү%LЄ]Խj7QnȂBc!jrPi;@u6"!T*aЫ5o'$k<ma827(b ,Nީa7l6oig}s8'E9xފ$ڰּ gSOt]hXaf8ᇃy+C?vuk-;$8>.S)rvk@K Ar'cF.R~T顦I8a0Μ7LD͜8VDtl铚$|Βg" rD2,é)J)]td4f>-O Pew|M@ c/u`997:PR(ð|"m,2SVȹt Sbi)U=JO<5Ȓ*9KA&%VHhНl.Kٱ9اkixN:u,Y`E* ҩ+GdeifC[23!|"*Oc^Sa| =]B06NޙM *8Žx-]Rq}ΆYֻ݀Q~^b@9|Y3BGvީ1Ã<ښz"@bae}Y! j"jS_ (o7Z'z`D|جAS/Hi}Z,F e[+>{xr"P/rt<8FꈪϲRy)!=7Pљ,mMyD~ԪD R@jT'uJrg+gJZ;C37;~" L5D6a{'Z@ n]L7"*q i0 H>dOg+BH .EOVdsT9m3b}bhێ67Q 6)+{[F6dPeW椹nl&skll 6Ajb8(-ޑ 5侗S5 d:Mycg l^Gpy7rSzNnx}3حDKk A"&Wͥ@e+gT<\oҾ*WӚ wpLM ~ m^0$qNy(hz4>6 wXkE,2&str4uɫ^)*(uj+x;bjX]+N׼YC3wGꤌh3`uE^' P꘵bJ\2|,ԋMe) )΅7Y1.TLFu|F{V>(R~Ytً>;#~ٓm0nhR@s_9E/vPCj'{C? x |,Pߓc\lB9hz`"`J; y ~+ C_L ~IVyi_[p}0'nx0S:p#n%sP~/+/UW'> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25034 18073 0 R >> >> /Type /Page >> endobj 18066 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18067 0 obj [18066 0 R 18068 0 R 18069 0 R 18070 0 R 18074 0 R] endobj 18068 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 518.3 149.5702 529.3] /Subtype /Link /Type /Annot >> endobj 18069 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 502.1 154.2562 513.1] /Subtype /Link /Type /Annot >> endobj 18070 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 485.9 145.1812 496.9] /Subtype /Link /Type /Annot >> endobj 18071 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18072 0 obj << /Length 19 >> stream q /Iabc25034 Do Q endstream endobj 18073 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25035 20690 0 R /Gabc25036 20697 0 R >> /Font << /Fabc25037 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫$Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XLdžGFx?1$bXl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ p94C-Zݛ endstream endobj 18074 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1659) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18075 0 obj << /Filter /FlateDecode /Length 1941 >> stream xYn,+6 dn0@Wrcdjϩ*=]["ZԃbKN[NԔ7NQWG]Q)j4dcmΚKd֦1xOx?W JX>ENhq]Fg>X"?x銾:m~h~}|xY'Lw'};䮕s&H矱d^ 1]Nmmt&`/f-D9Ǿ O";@5vziܢ,3~Q lbkvo  daƮNwvZ+R08NN@qRsjlr6A"-qZCZz` lX>N5yAr׏&xs\6wPmzES 䉪6g%n=MnI$!O!D. R[Ob pQbyI冋xk $n':jNUX,' T%$R)I} ) 1Sc1l<{{8Q(EalHP״rGLHLr>VG||s%iD!o2'_yֆMA^Cz*kDtF#2y3wc/AfsRmd+#2jPpB.*.+v]%-v4bϘP*LBhu4 vyX  Zd-pH|r_J6؟Na:˰6ꅷĮ(YfwW#֒"62)n7HKـ~OQv3zĐ}3&ll}j/nQg*.Ķ0O.jYH銺 ]U-adqjT5Fndp4-:N__)\02>'+/l fҶ|lYq{ P0ߙYm;1x+!P pI27`8F$+Am{B]5 d/SJM2l)؅U43s&W+z?0.3=>)MIf Oj x~vmX. 1 Zp!LC fM{în\+6>k;+f6MYlx98><]C]LQZ: endstream endobj 18076 0 obj << /Annots 18078 0 R /BleedBox [0 0 612 792] /Contents [18085 0 R 18081 0 R 18082 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25053 18083 0 R >> >> /Type /Page >> endobj 18077 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18078 0 obj [18077 0 R 18079 0 R 18080 0 R 18084 0 R] endobj 18079 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 220.425 111.681 231.425] /Subtype /Link /Type /Annot >> endobj 18080 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 220.425 139.8465 231.425] /Subtype /Link /Type /Annot >> endobj 18081 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18082 0 obj << /Length 19 >> stream q /Iabc25053 Do Q endstream endobj 18083 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25054 20690 0 R /Gabc25055 20697 0 R >> /Font << /Fabc25056 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛL endstream endobj 18084 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1660) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18085 0 obj << /Filter /FlateDecode /Length 4542 >> stream x]K$Wy!e4LWO|{ػtf}wD蝙UhޚL)P苐"$'3>|Tӷɚ)HOgՆ{㼞OyºiVL(o緃T5NO_* 6ƜJBd-r&\*r)v( 0* / 'qhć3= Br?aظO?P 1b9(np"P p|9VkBxR!ZHE(H"*(3͍/_* N"jaїqH1DSgc* p>N].Vl(fй,xʤf.R.lM#[Ŋb\)xХ\O/3NxMVWܙݕB_IAq<\~ O(#8Г0>}ܬAjV֬}{0Zs̼K EK 0yP\p5JYڗؐ z@O/r)fɘ w<&Hxq;F̫xT)T-&S.HP(v5Sܮ(nEzǽT9%7x"<[bIe\?oJ%H2,nI7.F(EX݌gJSDRЙm%/#J{g|;~Sg)nYvJg[ue[:;ݫl[gueguvUg nYfNCPPTG+, 88OGh*-2|\0>4 F7Ns ƆIb80p N .1,4J1xJӏ*)' {$L ɴ׼Ц@m=&*Y7%"VhΡzLOA[V4GmׯB?nΏ>X䩉#:^dƝ3iK ـk@Tq(ro3:& (ITqw7 デ5 S<ԢsYo%Fy4Xj.0Ģݕ2|w5ݾ}E)Kf/3CkBniQ,%;&G|zaMK>W1_ӆVuFL2Su6#Ҽ -hىGəe_,3=?tg}bR_^tc!Ott9xh|'A^{>ȑv:ɿ*^e y{z$CBPLhrh9 WҼ欙7M&jW-rݎj&,ϵƆ B46#iۍEq4\aS׬ 3K2X=k`75g=M }70nJ'ܨIR!i,q x_ o K1vyn@$&UHKj&s*Erߠ(IA3Ҷռô[T)429KX 2SlG!S0d3jڎX2#T뎦FY'S`%`r#V=[@T.+J5II9Ʈr%XXȹ VhP'0 jÆȐKz *XS[DCg[֖J 8.6$֬Ņ|}WU)uQr嫚][sCo (^L&ݳ\Wzc}r M, Q*ɥnE2qZE-Щ[e2YC},~]:X~g<ñ8ؓDGKفkFpqM𾤼fkf[POm%+f{z7lV]fk6{PҢúl.oOwv!N\y`'rai^䁽ȥ4ffً;{̖bEh "%؋:Z>Vz#}c?nv~z宄ݡ] Fn 6jmL1aM6cdW2{\6 w=9}Cz=L^ޤ[@l @{zqqW&%p6ǡ$W6™ozqE'W y݆GiTCraA 8q*653XœC^F$"R51dZ &m g+ rjuQ ]a6*]\A!/(L ~ڻ|RXhdӊdOfRPNKKDZrY}&Vvi^wdl.(ի\4.aO)3cl^$!e1^K_ R LⱼD1UM9 |"<ŧrE!r@Ǭ?e JYMwDB'(kiK&4mxf:C&H[ZWr !E^1z ?TaLރDA,>%a4@r;Pn#TcI^eAT Xw=I44`$ݏѴikS984(V/Hnynl;MX3nwBqKOu{˜aKN4AUV.zb޸͝J.0BO{k)<ˎ &xK{N>ɆZ&4< I_莉x +=}@K<guнklh_ F} m;h:' m iw[ =suGdţ8V8x>4wgfK\vt:Eǖ{FҖ'Ûhiңg+Χ;9gxtl|J%'n^ْ葻ݹpXi?c9GYZ#O .apł-Wr.i(yr୘.94)̵ η r. ٤g,)r:Lqhb*Υqp:(PDRoZLEh5`8_?]vtP=ǠMP*>^"h1[i?N?P]$4I[zK9qBЈ}>S㰋.|P'] eΟ5seu_sf 2/XQBo94wUقR.!վJ0>in'q9Vj%RARuoGԂs۬|$005jRDY5{ i?U^"rUTaZ:IxߪrӖVդ u"Uwb2nfbz omOeH|{K5>حt7vt]K >X4(8SYyz^nqd> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25072 18090 0 R >> >> /Type /Page >> endobj 18087 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18088 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18089 0 obj << /Length 19 >> stream q /Iabc25072 Do Q endstream endobj 18090 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25073 20690 0 R /Gabc25074 20697 0 R >> /Font << /Fabc25075 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18092 0 obj << /Filter /FlateDecode /Length 3508 >> stream x\K# W9a>@99M=v!$*e n$>R$U$';QM>O垜[=M>IT;. ;}B۞ٳx!BI0S  ABٽ!S@ߝZߧ\Q\8{k"Mre\+ K4E쒚 4csZЁY6GHlMX&\\VW%ʤ ܏#oy47 R 3=AWrdBibLSp`TyҕL<ӽ} a>=yJH@6:Ҥ_ 녜sj2@D66 ҂:D)%)~OR)5 B,KBtNpFIk**f ~ZfhP+'jGqN @'.4FdṈQǺsPM# :{0s bC%H2'8j3LJP'|f/ڸzLvd1f tFD@HzO0p6z[[7! f  ȍX7@k0+ 5TNY!@}"{LҔT- L]k(p2$wj4Ңa,vIfu65 u"]yWx2/YBgWBQkuhZȭΣRk.=wn2ML"ebd;sJBR Uyߨ~0*ɪ; (*-3``ju-p-SlZ#ԱK+H-VC F_+iiܙʼnIH{MLO7'Z&&w-fi0h$XZϭ{5?.ZBhwڐA,²0F8aU˽,L X2A-bI6Nބ*"n@YA+D_O ,5'X`sH}pčXa#VUBYbW@ r OU9S޳f24 p갰wN+˜,.i؜!dVYߊckzҺF{wN{xSl-ƒgKۯ sY.Qr)HH( 1Ԧ[Eps4S㜏+K @uF3c9+sPltX)0533 F܅d; HPc&l އ2edslD.< o;P%W/ohW,,vvnnh0ɰQ Ҋ+H{ˍHV3Ә*G_GInn˄1a$DPRR&vmjq#0P:g t*?0>R5xr[TqQd񾠢: 5]*GiCb.6 YմBn}+N.wWS6V9NK[[w}W]^`ɴSRx>M݅Tv}+b߅ U2uX/6]Jjlz~vň2r]:̫13;b'zp|_t7]qoT'B89^yI/[LnxvSTt49\ME,Ӈ8(1.屻ܥgܟ\s4—r4*ѐ VGԡ<}Q,w]MN{gCʸ >fqiCI &c3G>tc)U.u?nUd_ݸ+26Cy/IR й챰:6Vy d<B~ \:7)rRw1^iA>R3btZny.ja\įR^.U>Lg4\dȮm!owqW}B=Q)1GQ1 yc~F!臎_(:x"b5"~c~X>A=ۼwkXH^yӨ]'rnAt7ݍK(7z+*V&6?;ч+1bz\/ڗ4μ^0~9;gEji[W w$9#ISysVӳM"-Lѧ)F7ХɵS)$t ÊP/UNt]:[{t)X[epk ţ?;UA1:-y鲂{kzިF/mU(KԟsNO*\wJcg/+'vzw]L:{!@ߧ?9OG \mA_=nRmκA#jncrO.R`]ߨhaܳI*.Saz,i˕RKhe: ʵ@kLZZ"*LWԤkyPvN|R5 XDJSfc`7)n|p=g8(eA9$|W>3RpM-YNڱO#XESp7cG`t|V!/FZ&9$-O>!\dMsMUӬJrO_ h 3IK!MfD,'kOgFI-.Sa4g=]}uOFb8ɾXhR=@C7lgrTDjOM&GA9xM_}w.+5#rSSj6u["/ cYWjbJ$(ۗoEV;kPTa4n9 uh,kCMmdKMiخbQEm̭>ep+>/c4%E;*(~Hp5>jp? ^A|{ g^19R,D8s5H$"E6tt\ )O[A_>4csyqEd#O1eE)D T?طYpE3S'&@-SMusĂK-@B&%psQd$"`CLa]Բo endstream endobj 18093 0 obj << /Annots 18095 0 R /BleedBox [0 0 612 792] /Contents [18105 0 R 18101 0 R 18102 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25091 18103 0 R >> >> /Type /Page >> endobj 18094 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18095 0 obj [18094 0 R 18096 0 R 18097 0 R 18098 0 R 18099 0 R 18100 0 R 18104 0 R] endobj 18096 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 333.7385 154.2562 344.7385] /Subtype /Link /Type /Annot >> endobj 18097 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 317.5385 145.1812 328.5385] /Subtype /Link /Type /Annot >> endobj 18098 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 301.3385 149.6802 312.3385] /Subtype /Link /Type /Annot >> endobj 18099 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 285.1385 169.4802 296.1385] /Subtype /Link /Type /Annot >> endobj 18100 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [104.1732 268.9384 174.4412 279.9384] /Subtype /Link /Type /Annot >> endobj 18101 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18102 0 obj << /Length 19 >> stream q /Iabc25091 Do Q endstream endobj 18103 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25092 20690 0 R /Gabc25093 20697 0 R >> /Font << /Fabc25094 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p &жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLޚGFxaH&E d_ )2̼d9 1po.)U|B5_}tw%BJJ‰p-Zݛ$P endstream endobj 18104 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1662) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18105 0 obj << /Filter /FlateDecode /Length 2955 >> stream xZKo$Wl;|?͌@n`:ԋMgFZz~UŖ6\ۜ1bq_WggV?5k4Q?MXi w@ _ך2X xeI5[qHG󠝅AWgx!LOƤ \gq6!Xloî!)Z73phLy&< <^P?|2&^| v'.|wJh>\H>\y%s*R{< 4w','{P3mĊlO!ջ z]lXcM654>@ֈ& i&=Ni g8 gtFI %֥;3=*|y?:I&qҤ;2C63E$ m5E?xDbsC5šܽ~%4MA Zcj+"DQFİb@Q@dTW3X_Y"տl5*[nFԌm^*ӂg4Iʞkn(܃_@8&;sG6́1կA2 6H|scN/+kf-i.red!aZ$Q<5O' Aĥwzf>֫Tb^mp.ݿ~ 3Nqj'~~u ϑ0Q _aPPĿ '얨j&p„y$0;-C:hk:;^]B MotI[oȐZms1>`s^k0iT><|/g0)_dRX 'm=Pǂ5 7asl$`lCeHXS Ё !G;xh1@ r8g).r~J5nSvPTH;p#nĤ$rո( 0fam2Ĭ s栤X- R^ \Er3*\ɉ=چ'Zߖ@hw lكC JUpS"VԐjܔa0#Hyw6TH8cp7g}qk7flt!j&0sс?h~ d䈰sjy0[TCGl֘|,O!:Ok;cPЇU,O JHxNІX5b0,xkqL/5K )~p&r j[CfOQ4kFMLO*h FbbMSݾPCffuf①E.G-I TnC( IO8sST5z^,euC\8R$Ὴ~~B2Mn@K!>Y~uTh r YYұF' 4o"Vdt*,8\Ek{ڂh692*,ۈ{OC^wE&lBSOqlvqp襹l'H7LamPmg1+9H FI˴d)U$O-(bV/Jf:sE'Б(lKn\XVPJ}l$B~{'>݄LSW?<{/ Qx'h nuW.+=/F2TȒ3@o\Obrrw"$6!ES]|~+x;s?i#[BXs8qpopxxga34-kT!+܋u'_{Pq44~d"nwM*΁ab0 endstream endobj 18106 0 obj << /Annots 18108 0 R /BleedBox [0 0 612 792] /Contents [18114 0 R 18110 0 R 18111 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25110 18112 0 R >> >> /Type /Page >> endobj 18107 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18108 0 obj [18107 0 R 18109 0 R 18113 0 R] endobj 18109 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 236.925 122.692 247.925] /Subtype /Link /Type /Annot >> endobj 18110 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18111 0 obj << /Length 19 >> stream q /Iabc25110 Do Q endstream endobj 18112 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25111 20690 0 R /Gabc25112 20697 0 R >> /Font << /Fabc25113 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw71|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18114 0 obj << /Filter /FlateDecode /Length 4430 >> stream xˎίy+| Mv& 3~ŗ,ٲH#"]ŇXߤ x_e;5V)8~8;iKպivaR><˃Qpb3ߝuY]rէxiN7OF #J\|upU_~?I5ᑚv)h? #0 , (&L ҧiVH84R{}׫R1s,{|Ζy2ާ>=3! r|&B,4 :;g2rgi Ygf tr~^,^_E|*U.AS2|x7̂)|G@O2|=v%0 P V؎Ι+:@4<QY7!2](%h'f0FRϔ)Ա&AR FH zReXnY { bzb5%5^ {$?/?3jt8䓖ڄi?#|_O\07 sg'OPo:"Fc1"RSEKB&#-$!L 2lIŶ7p#sJ~ \m i@a5 jC<?$Q$Z@%rQI# K>q],6Ԥڈ+!{&11s[ÆV;!r88`nC Ic/nR]S=y{zsxcocx9?/UyNhM硥ZdYF~e:Dhv!Ύ٭af0͗'n K)R>0E4U5e%tf\EeeQfmlܢYS{~{Q-eɲOZ튲k~Xڗ#ְ*[o>b00—BjwsrI1L}jIBSA_%`k(MCPt&DFN@_>÷tj҄"JSQ79@%r¢2ޯJӿj0p>ƧFN"=%=[C]ѭW-ɠZvڌ8-s'gU( (ƥ+UI:F[ҁT*jZ:^TG*,R`N 1IYA.P)Lu+WnWZ!L**qIJ..]&޹X RU!uUR5W3S7j TG$aA@&Y_Ow=.; QM"РATl:J*26k-EV:>RX>&qO2^]V١1/Uںk%䅨 4+i.sURԽɚ&k: ^ZulϬZWŧ6oK[mط-K[>$mCi=^ĐI>0l67k.~·.n x"|ǶMmd gXxa( b_,].n[xbZrm#6.v \# |1l|W,h5`F:L}+9?7 |q*/vx: L7%?XLa C |^ҸxRXՓC^kR VM3@7Z@^՝ƃŕ|w]Kҹ4dl{YSvM۪+ k|4))F?ʫ^uIZMǯ_pEp\'\Q;rئsKѣ6)4qaV2Dh( ;ǰ5%)obljb%Qn&:IƷLiW8-wy~V(P"YI,9}k@S?5 SgOgQ8 ¬.C˙ھ0`=,Ǟ;fpJefwerK$@F*&0hb j1A#1w%k*.}_!%O'ʥFgd"S'~a1P쉁GGBqu$1DWzwe˭:k]^Ӎ "c&v '44I' Lh\Zm942c(_#/`Pu5!NO2N!8yņׅVjj 2}PGeRM&"Th]($VN @)W6?z[* ENأE3-D8\n߂Ox'qXhAN;^curO|viPc_, lL&8m^6qACm,k5S2iji}Ƹ+d& $Bk0Jf-ь^RIW딋Kn{bI|JuRԦ)m; w{(Ä1=|ㆂ8$DE 9-r-GVO<ܡ6\1M6:uMv&\,ί򟙑^#j.:(͙ r09 p~%N&1( K*I.sdfBZ]ӝ}bY#:DUqFcI1֟9X:{[p _cx-jʙ<R|As\MY_͡i3r(0\*@C ĺs|/XOdSR e.Q: D=TLQs|A^^u)}Rf4oǎ3k3n2@'匥O2Ap,n.3R.5G5ܻxAF΋(ŊpyPܽ/ٹEE֞3+ ŋ/Yꍙ{ϟifm)d[۩5ۮSу~ݳ^¾6Dħq s.bwP/++qM݆˔bX4}Wk=.NxfZw0$-lFvC9rTG?ۓ*.̣:wq%Ʌv۔|Dfׁ99Qd;-".z endstream endobj 18115 0 obj << /Annots [18116 0 R 18120 0 R] /BleedBox [0 0 612 792] /Contents [18121 0 R 18117 0 R 18118 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25129 18119 0 R >> >> /Type /Page >> endobj 18116 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18117 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18118 0 obj << /Length 19 >> stream q /Iabc25129 Do Q endstream endobj 18119 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25130 20690 0 R /Gabc25131 20697 0 R >> /Font << /Fabc25132 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴Rg<~~?va,6{`B ]QLu4SokWӡm~l1wEʚ YHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ#x#0$I%l)l1<a%xΰ΁s@9`sJ 7LF?_īiWm`yݝCR\vhw%‰pF[z7} endstream endobj 18120 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1664) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18121 0 obj << /Filter /FlateDecode /Length 5617 >> stream x\Io,9rW<@$w(x ̭'3{t/{f֢@(UI`D06M*D_!iC:%QQUX6(vnj$3 4+M]Z|? `4-Uɔu16rAC^T"mz'5`UkrhpԡKKsډ!8kQ0k6ph4;Fs?T)Ԫ}k }q)_Uc^+zk;袸"-I)1vhz*N PV.McBF046"O,.T74OczIiAKFMVC D+|">_y\WJx ?Vڹee,7/ |oA1~A+~uzzyy.<6]L'kgjds*7#:6P5=Hsύ]a1x΍PJ/_2_FRLϨM> ܠֹQ/ &ϫ-nAϠ̽tVI7 e? eOT9_5wYpҊYԒHJ;DC<\ǒ-'OL`2 gΨŊ1$7%4οtZ,iRg3QP<1tv07čr5$ #W41XDŞ7A8|[7ʕu[uF_ϙ=lN i:mǁDYy':ya@3fvAYxY`P5>}0] #Au# ?WurDf +If3γ3liU BuE H0/;9cIz*"M|A*ʹӽYBG'ʧۯby.5qaHEwo[ UF|/T0iԅ lLY*[1L*k׹֝{wN&]gRYךEњDPBZYs 0V*BMWHVʗB J UNП=A?G7M3UmC:1Y&-~(Z2V-S5A_ҒM1PMtB7AHQ[pSj :>D"7uUS:τm&Cǫ+!E3LnTҵ͍, >1ȓJ2h$?Hb*Ь;:qtHk,Q( WBM&N|@5h5}V&u^ɈӺi'yYΠ-[H 8ra{ڠZ%M@D*p3:{zښvDeRDBlJ/;`e _GZA[ʆ%H׋ pP(XT6 | q„'eca,`Ǔm:q`a7nb@F2:UJ5A(1b5#K,8 S@KH"3}`,bXIiPYVOD0T%)h K8^H钸 0[:\XC">&W=zPU&2WY,ޒ>dKu'82%3,@m ,=IfsMA9e]_#A(EʌDtEQJ u<+rhXM,)ACpmBz$0wi` V+coGuZf7M% 0<&KQCL9J ; q\游_mYHboD:F`Ka7a kض0'c]H+# .쳷"߹afnֵ[[y.m7dGs1@=v<Fp@J3:jV=`pݵs@₣Gؽiose{yU+V!)JC .g5̗1ۘc6d^3F gJfW%șUuuJX;yHΓ{ˋ6;rv(Xʑߴ" ‘9+/XW[¢=>oU0LN ʫPuLU3^ëP5!W3~ucjL@{ܯVZMA}豄Tsw'E8y+3MA4yi )OKS-MA|Z')mpܦ)OHS4 i rNS 44y >)ȣ44IS 4Yi rNSNS4Ii r >#MaGTMS4)i r > QxSB=/\(y Jȅݫw*f;ؼGq8_i##8$oFrH1)v7n[{rh5MZC" w_̖氂iJTMW5UU5q[\B u, PX-\ o1j"[/A K~Uk)M_Pж^V+)z;l1[Cn a)bav?]lq4rznciФuA\k5pޑ2CC8}\$؄{]5ܵ(lMg9@Ϡt41A}+_ޝfVq!2W:̃-  f6Dn2n2nw2w2e*2e*.2e*.2eJ4&njA ZpÔ3r.P<(ǧP ;,u{ hFwm7,7<}z,.*r2wqѕj`qkĠᒰ8#Mۻ-ry` RJzM ahi Y)CycRXYs/Mpmv[Q7:ՐxO{YޑrD՛R(2-f`:$#$ i4DLfhsTmVJ$k6G ݕƚ^dDk5u;=z3ĩԛ(TRe&C&ŏ ]2j`7j )fh_.Fvbnobۇ!U]r}:(Qv׀΅ Ɋ@wn={\ʪP@D:)~v y;h3d|gQdzںH(R],1qo 5ZosI&a{#. z3{h׆a]NIu,~&25%ls{Y*d=5= Gz7uɜlr1}ϷbZ`ЭJɂ!%/g,~γ6|Y kX5u [n@K|\7f:DYu-3Ted\J?U&0bxd;<5(/jN1NkE`\aJ}f؆ҥ <ƒ (`yYgkx.|oVD_j&EFim7<DžH}G:Obl5QRl+U>m'$8ľGga<+s Wqz~T+ro5`ج z@g8+ 1ey<<'BG||BedĂX|\)O0(f?â5@ҶS /Cc "^q?mx뛀Aj*O{6UZ+mŁox 2nxLe6me>yw endstream endobj 18122 0 obj << /Annots [18123 0 R 18127 0 R] /BleedBox [0 0 612 792] /Contents [18128 0 R 18124 0 R 18125 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25148 18126 0 R >> >> /Type /Page >> endobj 18123 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18124 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18125 0 obj << /Length 19 >> stream q /Iabc25148 Do Q endstream endobj 18126 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25149 20690 0 R /Gabc25150 20697 0 R >> /Font << /Fabc25151 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?I endstream endobj 18127 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1665) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18128 0 obj << /Filter /FlateDecode /Length 5324 >> stream xq,ɟ9xT(nCzz/D4g#4'35gS-gm0PeĐ Y&+ҩmp" -Ǚp_Y)SeH/[x-j;)"#Y耓5FMx/EhJ LtNt^ XFc:Δ&V:㆘de܀gwq q ,3ylϗj].n.j?349 Ѩoi J2ap-}¥ X**D" &LmܵQVwbI]Y]n•QUaCw)'N0nMm; x%x=TI΃$[J -=(/Էyo HܜXe ;'k﬩)ϒ0NjHF)񶤱Z~,)+T"e0 oiO^00'ǯzPՅlyfE&4o!X6W-+LoǢwqpZ ?-VJ ՠg3GwIY^Ӕ!װM n %Q+ba!g҂C8p[# jH@]"|xxY<6KXKd賰LhaU$w͚`M} h‹n!h"&74!|'>XF/y u@"q,ɂ'. Bk]਺Ld}D>GҘN>[j zY0$MAtjtetuNwN$)ycq@T6 ^v] ?`8R!9G(dM=Lun$ߍ] p@(+M74VC1,Y5# dEޛ}A\Aa6tz*?A% _i]p { a/sڀ^#|!N_PKeZ~TYC#2+={:6B  n~*@S^mpdXE©n * wtatwR])4Dvq&!㈯/8kv(::x`쒧;Aek;KDJUS"m!J2I(|넌˸h}bR˸wc;u)( D ((u#ՠ@Nro+N]yԔ CnhK9`cj q$;ң~;H_фVjCD]Jû<tsyx-,?Ix#k # ^,!$8RJmj ֌Q2踡MwLiB w:)گiKL("@IiШI-'+ͩ/b6'p`1-^ţfƓK>$:qCmEIq DDen/eA[6gn)zI\e:Y|VN YC=c65^khp^.nck-9`15L ĩ5^-.[hgX~C/Cf:~3X JbEhwlCuݜbFy|lA^; &D 2EzN׿w(;Sy?r}zpƐ@;a:<]Oav}E!t8Ƌ6软YSsǑ^b$9BAE.;qAi\? c,&9svKrޣXֽs 쪣q:9 DT( 4<{`&z4/tc;%-#&$3ThΟ0ؤI=><̋X+5g&sm4EUjTMIc$()F$xtJ$t@||L/Oh7dY5O }g{LmTYqԇmtujmpqxIjqS " n-u=6kYE* Ye l)LBPsUb׷F `C &]9$N(Y>cT(tn_WoreF],lߜÌ? m0s1v(aAEۍwtf }LZ O;{>Sݧn4 Y:@}i1> ab.]8o5JLgcCpO *ϳ]Ѿ8IN86OB5j%dz&-w9:!XYELg5Wfk&i12­D&6+ݕW m+YY: wk]@8%E+qDc>4CP}xXENRvsC͏G bN:K29LRq<λl  O_)gDD¹nI$\kyI:/vHqlD/#}ǶJ++&Z2[]Hjv;d>ٲ ]x#f#gs,&_xT7ii7 d1ԛ*usAU?jItMle`4]ч 6TyzWܸY_n/O ]NSw[Z ÚU:X^Z:~ Ƞ ^~Q vth ܤnʼc܍>!/_@]WlZބr?ax I@i$ r6S _k>]IH{ ;]4hM ,&Nzu&bPTUN/JV>pM6 oņ26s5O9\U)s()-ϐA=s u.Qc/я. <6=:ZH]5)w]ѥ |§>+|!x×|5/!Z ݄n5ZRZNxN dBGG"-Dki^]oh)k?3 Zkhx< ;+B5Xv J<35^R S((fr2<d˥T};/64agwT7^1.* 6!۝+z1 ~ǍvpBD*ql4] Ha[dR@hsZ*Fփ1r!ƿH3v^emw2}qqzyӅjG0<_z/=yKOxB>?^pkx8:W܈L.n U1EFºr@>6|LȟoxX_ O|'E^OaZX ܞ`<:(fsSdMk7G0.S*w *k|300—A]DI>?rwJi endstream endobj 18129 0 obj << /Annots [18130 0 R 18131 0 R 18135 0 R] /BleedBox [0 0 612 792] /Contents [18136 0 R 18132 0 R 18133 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25167 18134 0 R >> >> /Type /Page >> endobj 18130 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 332.7346 396.03 342.7346] /Subtype /Link /Type /Annot >> endobj 18131 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18132 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18133 0 obj << /Length 19 >> stream q /Iabc25167 Do Q endstream endobj 18134 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25168 20690 0 R /Gabc25169 20697 0 R >> /Font << /Fabc25170 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18136 0 obj << /Filter /FlateDecode /Length 5521 >> stream x<Ɏ+Gr:r @4l@7YaS{A?#-W{y0DU{DFl=W*v{9eSoy3z?nV߷6a3~gGz~ןz@}6hp® @u|2ZkDÏP |oO|sHv8g#UhC<,[c{]=|i&xml#&xby15.6 b˄~ A|OV7wseUxpVlAZn+({lrb xFN:'ɰpgXr |1VF"f?RƲ'cKij~,R\UHNYmG u*K+|NAK㗚u, ,`Ǯ^zMFI  1ZJ0 bK-F;P3ABnc`8#o2wTwQՋvNFaK2! ,2 S̀xTD  Yyhx8Džfʇ fJw#P@X!x wy{<⢲UԤ=,r+sD+62y(D JhLԕvolj<3hz.c/wB y/=厭ڎv71yX#|嶺uc5힝-\M<@sc7dfh~KFCʢ~"vrtn# >M<*ǖy@'5FNvJBHn&.ވTӟ3V=Yl>od]Ί⾭s<tm\Dq;(׌UՐ[v}Lyq`8ڇ|IvLL; c~1qO.orp+e*;_p{r.ք=$*v`WH|)Sn6'a9pAe$'L)Sp.uep놫i5iFN ĶA '~[ܻ`Kxã?ur#14(9!_ ;\ d4Ze#dm-H¶O?7%15 iB $W5Y֘-HN Ҁ/@otKBlH ns O|6o q:*s0o5&[ 4\R$[AUE݌:n `3Ѷ#oP;:EֹA YXuG/wFYP Ԋгf0EDXz[6oQcX9mM"a'G,)#Pĸr)It21rX@:U?ގ̘0 :;3R!ԩVh͈Zt5.KIg8oLy)jrp2䳚Z(4I+,$.KZ:-l;f%9 bθZ^"XiM\s)IJ.T1i{B1SeFŹ9qaửu8̢+o25*eNLB|eX*nσ锃llXdMKΖsm;W !!p t#l1-vwO?P(sf/&9LPwFD?I6\@A;t9QGPS`2 oWRXAC0XԥHș "s|-]< p8WUV2 sF qJm[gxrY6p`,jM lDzj)-EXv< {FȾI*A= ]X{ѢXJ04nĄ28% K.mǷ{RV2QM IM8pe .* Hol!@GCBY_G.6;LVy9!섨%w vDz:!3tYx;J`qduc8HʐmKc!Y4֥xB0=!" #!FLcfYd)'\ DXi[Ӏ @ɠ&Bn3M XPb8X@+{N is"$gH3"UF'8V\sh+". I!4<1a>-a QHAV_A7?ڸ S]Aŝ2u_ ,2\:K2ڧL=QVQ2a`Ϣi99pDT!oeZރ[eO1p4k8kJW]Ђ/_<&>vS&=7WmvyTUeg2:m[\.5գπ 0ww<82ٮ[az`3r:6,UP}J(M .LFf4w9]nj;z?Ze&/e"X[R :͵/JpBVᦛz݄$SU8ݯ8w<Vp18ٱ/qCK0OT!e>CGUts̱|bG`u7zϖ u+i&9j-h'r0O[" c|w|8qiti;m?,ZKjg|W7™}Dz҆qCf{=ϽsK↽ rz}Zے^b>fKW yOM%}KM:>RIM܎A!hofeќ3+8UuRS,k {'n@,Fu1$[=l.t;|\vXi2B/{LVH?5vl_\^Ko'W.՘Oҽ cXZf4%Iڑy?*9G"BheK/l*>`cO>oH} D$ˆs_`O*ipB+i <[Hg\mۆ1_{Kꢧf<Mo:{x TZ?u=a7886e0WFw5XcBQ%5Ȉ\fb?)N-$cM#C߸ jVGX7x>'OZ{Jn =`TvthCvtø'nu+`,eN7,PCURأcxSo^  wwMs5UЊ@_but8djØ'Պ]z]Iuƶ;ǰ@'=U a= h&E-yعv*;ajyn. k^SOc6xd~exeؼt}~U&p;ھ]n&p7#Nv8t]W}˨ \*y$'|M)sV_HXיX@x LGh'*[Qc(2 )=2˩g$U͒FI]V=.k$/($t+ 89Om"RUi*2K4;6 N X%&xI.e+u jW F05l;u?ѫN0=J2 ^R[* 2}?30_. /kgXW3?tZ Q i\'BY86qۑQ$h=GMKk* X6Qem/0\I 7T?OwUUMV?% @&#ԨׄIhW1tr$MɩwcVP B.$Z1ш ܃ pyN)Q<}?G}tDc ݨ4k$ ip7 9wO\󅐿>Il,,^O@\+ ʥw${uÅ0IE*T̗;/UP_iD—CA1-$?HN.q endstream endobj 18137 0 obj << /Annots 18139 0 R /BleedBox [0 0 612 792] /Contents [18146 0 R 18142 0 R 18143 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25186 18144 0 R >> >> /Type /Page >> endobj 18138 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18139 0 obj [18138 0 R 18140 0 R 18141 0 R 18145 0 R] endobj 18140 0 obj << /A << /D (unique_575) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 101.731 178.5717 112.731] /Subtype /Link /Type /Annot >> endobj 18141 0 obj << /A << /D (unique_565) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20210608081201-08'00') /Rect [104.1732 85.531 154.6302 96.531] /Subtype /Link /Type /Annot >> endobj 18142 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18143 0 obj << /Length 19 >> stream q /Iabc25186 Do Q endstream endobj 18144 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25187 20690 0 R /Gabc25188 20697 0 R >> /Font << /Fabc25189 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7R)ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bLIH!6hxfxoBD>.PkP=(%ypfE3Rwm-־0ZOt⩔L2:)!URj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 18145 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1667) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18146 0 obj << /Filter /FlateDecode /Length 5019 >> stream x\Ko$Ǒׯ.nݴ`ƞ+ ǀ/"_U]l#akYϊ;_εNIV@/)Okg-"U-B>/!ϿDKF:!,9+U-eem4hkF[O DUJƍM xj/_T(e~Cb ;G$BDy$8! CTj;s=IBt,uDBDxGߛ[bd)V0饔~ (O}Ŵڑ}^]U۽apӻĨTyW8RgIǫl]*>ܒtM4Tδ=7i%9QORMT'Y:AݹC,e,)6)27ThzHM'V`h?.s {P򝥟-)8UO.;=f0ϥ:C\XOy7w0iP (mF*ks=` aн¥O^ >p|wr'E&lA}MGGq@%a _Mx99z6+&R4  &RnJc9qXSٝ6BZ)M-}-xC"@}8w8lTsTj5F8SE MYGA㉢2.ǐ 2縦z@(P  &q[/ u[O>a뻗wf`n-}͐ :d\ٮh$,isD2zTĉ_owKD.Vb9 7DF1 x~FBH6 qb(!, wS%0[ ZcZQMaNS\#)p蚱*9K-Î{զe*]lr*z Nkw9~Uw|Y7Q߭}C?vk֘.^Kj\p,L*?Nbaxfwnj?o pQpa;%Ǩ̻Boؘ/l諡ܣ{-zLpÚcE8o%xeHizU"+Ӡw X| zݡfPG |z_<(vcVY: *W*Mho!w-׈fz4A b }ۧE[wc0jrr \,jC=cD4Բ]JVTY K\BLI=t:Sg qq-O^gG[ccHyHTSE[DXn~bxݴJq٠Y xM}?!y[7IuN{IQbp8kx}7ghҘ()"lL~¢=N UT{D)AT}@G{D+2i'ټܤTb32Ǐ!Sixe3S8:Η6)tl "2 AP9z~%F@zV_9u1UԋuvKGB@F?&%*Z"6% vq>ֹj-1|I9U z  3%2%앏z4E^y\ҮÍ}Čga9Kc؃Eǜu~dVc5ߏzZ j?f ֺ^rfH'^4H*R<)тPϜX?NqNRt:%l'Ϗ.}ҕKPI9ѴO54ie^ܺ;ݽ?H6-9?w8JK[.I?pvqO}ֵT..2(sy[T ^K"zUdsӕU~ڗ0 xav GO%gO=b)w|hFo @P1܇<{h=j"KF#>|ȋ|X_:R ,k,BBMVS+tFt^Csg+t}+*P \b>*W1{yY輦i+pa\{D{ƂZ"Y5î">"Ek:ߔVBGew)3qndR'ɑOXǩӚSoȩ۵U͠KbΧp+'ʡRl]!^ǡiA+ i\Tek B,Ӹ\7@I*oJ m<̽ p(M:CZk=D+;.rJ0S-P̅WZTp L)z\z0L/Вl(D/cְL'.{ј>W8?:fئ2 e <ǒMGfbF+h%݂[۷\޸Vz,XmkMn-G?I ?\ОIӪQ*RQ&s$Ô Bm'=)y}Wѓ~8֥rK15g #6g3%CΆHݱe~j_ |18{tʴZd $C'o|煑>#LO}?۔E" aR3=W0I8hO~S{0B^saVfM>n!Tx WiIh2s:bg[ӷ$;V09c endstream endobj 18147 0 obj << /Annots 18149 0 R /BleedBox [0 0 612 792] /Contents [18156 0 R 18152 0 R 18153 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25205 18154 0 R >> >> /Type /Page >> endobj 18148 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18149 0 obj [18148 0 R 18150 0 R 18151 0 R 18155 0 R] endobj 18150 0 obj << /A << /D (unique_607) /S /GoTo >> /Border [0 0 0] /Contents (reset_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 187.2892 709.9] /Subtype /Link /Type /Annot >> endobj 18151 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 153.7612 693.7] /Subtype /Link /Type /Annot >> endobj 18152 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18153 0 obj << /Length 19 >> stream q /Iabc25205 Do Q endstream endobj 18154 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25206 20690 0 R /Gabc25207 20697 0 R >> /Font << /Fabc25208 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cIA 0yW!ӘV;!JϡmтGŶ endstream endobj 18155 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1668) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18156 0 obj << /Filter /FlateDecode /Length 1174 >> stream xڭWMk37W\XClLJJOn3#iW8o(a#Hz7ӗWuVǠg*jN^[/Q Ŕlx_ ;~(fϿ_r)G=N^8Hi]XURӋ Z\iVa~0Ȅa;p֛Uꎯq?֣Hw#},~Q|mr&Z)jw+ҸsA3jCzWPJPr$;&hl:7ͬ?jX٪P<ʫVG7=OpU^y)cʑmQsRնRQj[{Y'a wL/\^ dk-KL]gIﲄc:o/ȶ)`1/M|\*]UplkYhj4*9aj[ Hm{J&M8wIfYDJ/+`(9rQe5McƊ6!BbQ5d _n5꺞p; ލ"QZL.r /Qy#~ܭdZ+Wu[sWH^+V?)JgUe탿q3ha\_9_&4k/w#2zGo'`lK[3z\ccŏH#v,F؉A"/^ׂ` 31sb퓽H/Qڴַ4r(jm7xv5sqޞ'!?X0OnkNs Olc>φ~Š$P(>.ۦo끷$L|" `Ws./^k;e[1HK8+ (gmN ?TRX˗h endstream endobj 18157 0 obj << /Annots 18159 0 R /BleedBox [0 0 612 792] /Contents [18166 0 R 18162 0 R 18163 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25224 18164 0 R >> >> /Type /Page >> endobj 18158 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18159 0 obj [18158 0 R 18160 0 R 18161 0 R 18165 0 R] endobj 18160 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 153.625 111.681 164.625] /Subtype /Link /Type /Annot >> endobj 18161 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 153.625 139.8465 164.625] /Subtype /Link /Type /Annot >> endobj 18162 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18163 0 obj << /Length 19 >> stream q /Iabc25224 Do Q endstream endobj 18164 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25225 20690 0 R /Gabc25226 20697 0 R >> /Font << /Fabc25227 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72'thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP7 ߬(Ͼ=jֈvr=C\EK}G@F #E3Wuœ}"juZG)ȃ;6+r8knT-=%CO4yrCodԑH5J\[-48יq|mau3ͪ_EŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18166 0 obj << /Filter /FlateDecode /Length 3858 >> stream x]KܸW𼀴|`^`o5 '' `_SU$ER- Y,~U7iK.ÇMrkHOv>$LM4A;|f|z.=J5Gߦx\H{NmBlTœ>Ͽ )­0EƧȿrOZjO%A~a7L<~5z腐v읰 T1~(bx afV}&>I^BAg8>C a`* ̅\"q@(<9kbΛ^tjAi(PC]JAcSi#.s,[97f>WP@mKSS l};kXQ}buw**P)SDt` y2ZŎ n b_`< OeQV,[e?Cc=tC辇nX`ktH ۚ-(z*XSDP9kAz N S NJ<](E @ERIE#ʌoϢXД4 sm=k!aВ[+25]0+te%mޢʍ-Vjh@Bͣ':wwl߱=~~vow|~~vo߱x+XSBw= RF Zc؃m@L8Cs" wȴufJ+7YV _Re,NYwS5ԝ(,bl Dgmzq{eIB ~~m#3U< 8mT2gq @!Lq]NilK߆96ryBۻj#2j+\s F7Vu9kv z x1ӷקxv6<%BX'vû957mct[oYcf^owtWE{vXNA[hVnҡ؛WԘ؏LլBihn `U9{Z5ֿ.iHx'[NVêlRl_qXAE0tL+Ƭew-kVK|<Ǒe7q&7s`R"wVJ(dkϴ`K+sÀ~\Y:MMpΙԳ#Z(_ۍː:krLnQI|K#7nL`\Za5ƽ8erKч9xhq/[òbrgrJXbfw9mMкg ⊽-reV{r{|@ol/b/Y-k;,¶7k5{qy*L)?O:}oU4ڬ޴G%miAB'U-woP7{{.̈́^} ^5նYC>DiE6FWub!{o3p` ~G3qa&T˔$ab$+42xo!.߄] Lz#%"dJGFPpOX:΀xIs5e6~DtE|_ +IU`UQ<&bq77"ʼF!;hK1@iE1YDXpҚ[(uFYVFSI_B01P0K?~bXvs92IGI )D YSZ@K"T +0"T( ՆUDIRK '.8bm׈1CGsx*-J |rI,~' 'A8$3I&3cEGT .w4y"۳"-/Jcd}핖S,ѭ2Y,PZÙ+ &Lk98'*KX4 3K:AޯS\8$,Q-b\Ad6S9־P%|Ԭ5?jH7@ͶZfv5ѪB6[C%*$aUH 6; )ig2+++zkkkqkk6؆^Xlr p˾[}dsȦBve7ZmM 3\Yc *ΝU +߰]cpvp~;2wU)l6u xczxo4l4ixo4l4o4l4pvș6Ɯv17lX3,JȎgcwGWqʡu&zeULA9|ZQW.Z~U2nEԗnv,m8[>rhQb ԓmb9I *+qaPnþɰf}ֆNpqRաusV=S<*0.i:jYIkѾ]j lau[R;xbj{-u6K=Tl]"Wm4~ܰBFg{@Q `wnS*PkF069pAZ\{0P`S*n̂Z\Kf )^y! 2v>T/C lʅ'_XN@kӁw 3a>-9[J7K^2gs‡X]D=H */q$a*IL`/SLh7bX 9dSxMZawMH{Pp}bu v{[`b7`a֩޸`)xOTBzwc6b7Jo\cH:ڌ4 }Cw.(V!&m斪)B4]{RNMIiY*-ayR 1FK낤@H^:^yH&3 .dHIDGP%Y"&6;W^ g;11.>Be]yM_uQ I*Ce]zd,sBO_{ SyB'x͇vB/W5/2SKN#r1u"-CY2UEV*~ 1%!JUE1/bq_.;-lfHՊT 5Ҷc #xې1~oKiʋo;]Ҁ̻QE;:wC@A'|a<^ɧh3Zߞ>moQmrN['3&qcBZ{NgZ&CJOG=𧳤 N~.>_ΪO0 XWp9}#f~y E,؈=Kbq Nw אA3]? x endstream endobj 18167 0 obj << /Annots [18168 0 R 18172 0 R] /BleedBox [0 0 612 792] /Contents [18173 0 R 18169 0 R 18170 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25243 18171 0 R >> >> /Type /Page >> endobj 18168 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18169 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18170 0 obj << /Length 19 >> stream q /Iabc25243 Do Q endstream endobj 18171 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25244 20690 0 R /Gabc25245 20697 0 R >> /Font << /Fabc25246 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rTthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 18172 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1670) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18173 0 obj << /Filter /FlateDecode /Length 4209 >> stream xɎ#^_s1@hnA99Mb8{\YUf6z"߾% LQO?zO2kXxK>؟/I>~SBh&k>~> &a|ŸyO |W'_.`Rlp*` >=@ 6ceAa3FNy8 u1zaẲpbѲbQ;ÕdS.YE!YΙY;2`5WN 5J;Ҟ0T/|/L#XHB ~eT*hO{EZ"ZUSehB 9"vMC\&$$pfɜY]hAw2;~͐.d|p2 ILι8J[]}&ΪtS?Q,ʖ|ldN8'o95O YGa2)*Դ@"3Fj>($8iݢUT<C*(SqE72kGF="|,M+&HFg++7"ɦ}NT.g XaV "ј))9@\W25OSD9:5*ҙ. _ބYo73:tƧe,A*ǭ1VH Mр.j(Ru[@(wnyR2|O$Z}5pHA9Hd6? ~52j0@F'}IXWF]kUx Tl},+ zKT}ًٯ'QIw"AE>-p㧅-] -TX m^O"MK L2Kn*I ʆeS6(rBܘN  c7u \K \՚S3u6!R٨RM22u˧zJjN XZS` R @?FS[FV&QhX5X >lT8x؆xʳ4eu8Vs}0zʅLҲ0 WDdHKUylBHݵHL9\)F`I/Pr/{3v jn\P#J;*=:S}&̢rdk]gȝ?҂,3ĿHk#~[@bR!ee|XR f~w1 7LKEzM%YYI= :n nB,4ױZ@{h3_Jy/wNrc"ImS> ͉MA ܨxb*7Q [#F? &.{J=^uql\@5stх{L,-%we`:`ŶZ9<2p]F(81dЂ{N@z#DP$]`E)JX8nF&,rh[X;=7y#Wi36Ɏ7 #$#X:r圴h1\i#pHk-VŨ![9V (Bɻ7K.r+ZHZBR>іk(JE^)hެ䩱J; o0Z1+Zn*Y/پ]#FRGta'wXƢ/W)ѧĸ~c\ѫы`";a͢ Ssa{l[pdnwSGAbgھ^6 NVc&E:ZZB,6֪2#1Bͤ ;6 sr.OOZ *JJ5kЊPgaٱꆪs½ = {[wjoL6: movar/b B C?#A[suair'\&ϖ|4oRv"ɡ׫d'ʊl׃Fv:GF%ஔQ7-Z_41()yOQ?>Ʃ޸4W_rSCGj¶ ^=6溞ŲGح2<9Ntmn1-ԆJDOr37Zk۪'OH6I%nygu~v_:4tcg%?Ͽbc97kou6b].'&Fc%~8vxe02g/ß&tƣɁ_;0x }f+3Mt#fp)%#NTjx0ke9s |@~gO G r[s@*0!1QM3aVv9D\3 ;R'UnrT,2M?lU.A2DB !L\11TߙfV$p!b.^(ݘа< 0|/8e_bVEg,M.}A벃ebҿB$kT.[Mތ[;^-[߭H3_=nBU`ʷ<| -`L&ҿO.NNC?/zOHоeB !cHL7Dpk@8]%š< YfBĵӌšK_dt\sʄ.2\*+OSm xa*=xCz0?ʕZ I|r)ןڝ:s#evDut؅\ue[JQ3^i/X^HQMԊys[!. .Ԭ`r&b2]X[m_|*}p9 4sIRj;qQBkBtC~I(_ƒ3 X<xjQ̌׉ETD"u$~(_/r}}x+V4X~(WƥR2 )C5fZqæL9 ^oO3'O#|~Ÿ/q( e.>-zo2 E> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25262 18178 0 R >> >> /Type /Page >> endobj 18175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18176 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18177 0 obj << /Length 19 >> stream q /Iabc25262 Do Q endstream endobj 18178 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25263 20690 0 R /Gabc25264 20697 0 R >> /Font << /Fabc25265 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18180 0 obj << /Filter /FlateDecode /Length 3575 >> stream xnί9wrd&!UER\$bFE,Vk'7 B/w oIWv|X5^Hib$R:w3\p >>_`pb6'< x)Jr90G(70RRu[A^rx>p$c|_sgiYVgAxblV 09pz)mM+\Q5=t=%^' /ܯnY=,( dϨERS uf!>FϏ +"'cs[ T*2>h*R4 ƼĮ2+ u.[~|PX=b5sW&n^d_'΄[H{̫;UɏW~\To[3IfI,BonYZ]=r`U槆Mbayˤ:ЕlÜRD.2FA$(JJ0жu%  /UXX#eYefsT;$OXAq1:)c"qOټҜ 4Zf>UbuLuGkkVF6:MjS*p."_O :tLobZy무y3Ìб+FQg ~fJh9Q|Yʔ*{Zc d̳Dp$dZ|fso@~ۊnƧlDcq-T@H%ct rSaǙљUFK~ܗ6XrZY6'i`ü،{3QӁA*霱uqYVCeCM!iԤjBn% P.sZAuᜫx<oƠnEs_!|V8Pq s$IPI]OQ uь]E3)\l+ьh7rd>@@{cwC#{# <&C81qP8tmʳ2~ź!{@sua.HȆQUi_I7:Fh3e*P9,^tv׮L5( KqW_ #eYtSwTg04WdsӇ;x5bA[cfυŒŮW{aCHǹ(쓪1Y5 5&ۆ <}9.eZmiFLfDŽdftKl#[b׸j- R}Z]QǸWV^D%Am^oB鬁/7nDzud#>4Ϋ΢[G~Y. tVĜ~:bK(Jau*_7iRE`*BAa; -41Z@3Nإ\}s~7-y6"?|%U'l!zمr@w*n3u F *;E3 jE X%\ylh P 6&IMRئ;I3ֈY'؉ Y4I7"wbR  6$q;,çQ < 9bIc zduwXb0Gtҿ) #kީ;׷ M7:GѳX \ c _%x=PEʷikZt1{*P?d+r!cGbmDIW/ 'R@oᐥL)?ӚQwӄŔH*% WjV"Qsnp)L7T}"2p*$DOJus+Lm{X2M4n}rxcd8M^&ֱU1[T: F ϺeBme< Yy;-q<5ޗu9VIM"[ɨY&[e{=׌:g~u6bXhm̥L;+'?!ad A@c.e( Oc`rv,0GS-@qw"̋&N?(A?*aӏJXQ`{2?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25281 18185 0 R >> >> /Type /Page >> endobj 18182 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18183 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18184 0 obj << /Length 19 >> stream q /Iabc25281 Do Q endstream endobj 18185 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25282 20690 0 R /Gabc25283 20697 0 R >> /Font << /Fabc25284 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18187 0 obj << /Filter /FlateDecode /Length 4708 >> stream xَ#I=6Ҿ-i`Aha8mw+++222IMx IO_MeLMNQᐤ?&^ަ^OR^/Rڧ̢/ӟNRJ-3\`zpO :8//<>i@0K4u|4^LUJ8 -dɪ3Ma^a+$&d83 ܽa銫 ^/O"*uri2p.v+]3^t3ywg#©*J~L6z {esz@6%곲N7L XJ=.oO#ot#{|L?d%ŞLջ-ӱOŎ|OYtRlLK{ b+rpN G{?h]Wj eM>,_wȰ/iu`)bW6>7b W#em9?ߣ];HIaW(!bd][7)Cʃ(+Ltii鏿Y!(Gӆ%%k)-ZS!L 'kol !_h5 1(Ea5[О2-iaf߂ 2 ) u4N2lb!^qvni隸ޯOG;5iO "Dx.dN|%9IJmP$sU0GXI#AZ(i7llz.?ws?7BlACO@D(^QˢPj4T/&DBa|kE2|oMRNl0jڠ' ]\SPn5T.< ᩌ!.-.w޷(^P##rZd[dɈAmt@@Q>}mvomPnl8)o0O{&V쵑\TÚOD!J4|Lٕ,3Y[ kEh3B9[KN5G`v3x *<мkVwfaG$H##^;;BxCb.9{C".4: "nޥ`{R)3(D<7)cFn|9\0Hojwit~eN蒬 >)0[}ci Kzb%<'FWJ ˙9cWHX9J_J%gz8DZ[r%n\N. MTtE]EHQ~;L>ρC6v W6\C;pIqX"?g)WN?l 6O7QA_6XG pg*OCՓZTZ~Z榶%5|ƶ:nto+/8+=y-Q4UT]TTӷӉf/iIŨ\2F.:iR$g "vefˎeЋZ]ZuH7&?J x%eθx|_!W;WzxOV 6ffiSY1C OU3 @80+УW5-ni±Z>,c!![aA݄dt6E+8a[X#v-a1_nMK4>vm2s2)j@)GHrLvx;̪r&)^۝ *!ICJC7&X]f:46T 7զi[y[=}7G5nQp̪c0neՏ_ҡbX] Ɔ6z!;{U*눫a11.Ѯik TIk.7NwI%W`aK%5x '!F2)U'a[Jd}"$t.%>qCW{{Pޒc[⢲^5Mdrڑ ~ƥd$oC~@9+p`_Xh,;lƑ | WJ2}=+ar;kmP U3v(s+|=[ F-NtkXb V1D[8Í py Bѷ_,UmgՁ̟N]O]gv#R_KiEeiȑpR21KT쒗/?mIŖ3@>TQò5;[X;zu+CDZqSOCT޴nXKp@Pk {Zo#Wڌ[^;NJc:"tYM:q ^unxu0k_w|DŽ&l/x2n1a:)(vEGm6c[y\h*Skv 7i3rw Zl?\l1Ƽ> /O@gwq-}j~sZke@S4v+8Jxη+MgTIm:IY`. wHL' j#.tP0{؃xȬpA(c4 Haп>3?>__fDX;;hr6#;1X#W][XHعNmwu0n>ş?|;'ehG X"rIZ7Ea:kʧeL7opsC+ dB̏Du޳ `>?P_7$Q3)3aX^QGhxncm t(՞HW_-f$QkMs|w&dr{GXR/K)3wd[8nxY]ì֥t B Ϻ΄v+byފ.hdI$盯h[emIe;&x&g~Kx,LJJF؜ovy,05!bR څ\ r^\]((Ծ.Z\0V}qjTQJc`TsIuVrڔ`Lu}x,WJğߣ<{i.ęxCU t&wFB9 %x^g/W&\UMb3ab~_ 7Ò[0ۗs\;gy]l=y@Ťsk9̲J!|9v-S %ݓ Y@O?atL endstream endobj 18188 0 obj << /Annots 18190 0 R /BleedBox [0 0 612 792] /Contents [18199 0 R 18195 0 R 18196 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25300 18197 0 R >> >> /Type /Page >> endobj 18189 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18190 0 obj [18189 0 R 18191 0 R 18192 0 R 18193 0 R 18194 0 R 18198 0 R] endobj 18191 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 169.4802 686.7] /Subtype /Link /Type /Annot >> endobj 18192 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 218.6612 670.5] /Subtype /Link /Type /Annot >> endobj 18193 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 179.4682 654.3] /Subtype /Link /Type /Annot >> endobj 18194 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 187.0032 638.1] /Subtype /Link /Type /Annot >> endobj 18195 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18196 0 obj << /Length 19 >> stream q /Iabc25300 Do Q endstream endobj 18197 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25301 20690 0 R /Gabc25302 20697 0 R >> /Font << /Fabc25303 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBhš;[TqݙVUjj7ze_Vp8جax} -XwE1e0O]]nV gx*R\T1BrtGwr ģ1H[&!#((`aԜiJS¯"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlHdh߄}j]֠zfQJ<͊fhڴ[쥭}-UaoK?>&C'<ʡ|72THL_%ee8>/fEŐL$K4ós~3cy KaEwr63pΘIE1" ^_Och[}K JC3(aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18199 0 obj << /Filter /FlateDecode /Length 1238 >> stream xڭWKk$7Wh xl!7@!'zCYa!uKqMl4*I_}P_Հ u:t]gu XRP-xm n5v/By%Pq%n!<^ԭcSA v0P;>zE<E&!)as?VM)Ze>+!tLg =8?BBbpTN,E*u(]G " [._??Ջ&]Y0-(>:d01ٶqb&u4w#MMfB-%ȅbH:UI[gq ӳ~ք=x31CѮЊIݥm6Se2f>O%y2 ?.lbaO]:!FоSgZqxdhZ"zUNZM}Sذq3\a:a7 %'=pW=kjKWgBJQs2Z'ͨW1JrxƂZ6Nm ơ跚!h*m;Mt*W]ٶ$w#ailb$sn7!6Yֱ6]9HQ֗'i> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25319 18208 0 R >> >> /Type /Page >> endobj 18201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18202 0 obj [18201 0 R 18203 0 R 18204 0 R 18205 0 R 18209 0 R] endobj 18203 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 144.425 111.681 155.425] /Subtype /Link /Type /Annot >> endobj 18204 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 144.425 139.8465 155.425] /Subtype /Link /Type /Annot >> endobj 18205 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [145.1595 144.425 176.0035 155.425] /Subtype /Link /Type /Annot >> endobj 18206 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18207 0 obj << /Length 19 >> stream q /Iabc25319 Do Q endstream endobj 18208 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25320 20690 0 R /Gabc25321 20697 0 R >> /Font << /Fabc25322 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 |:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18210 0 obj << /Filter /FlateDecode /Length 4269 >> stream xˎίـdI{ f{^NK~?Uŷꖺ{X,jbX|z:ÿnç!`8';|~G$e0\">5<9o? Sيןq&  APfg3̓&A d C4~3;}hOPY-xD޽@_2ċrOEZN^B@ !B)#sQ1  </%M"a@34xc ̩ki:~< '=`dT* # 1<y0iFjWp,[;|cCR=9i '`8paW`a7?X^y}>KpAfI2eܤe%lIVH1@9:WK@Ux T*u.Y861FQ`SW_!!5Tx(jR-"&2fS Z ':s@"`QLd!ch~ ]c\z ޳ bIIͶC<<ܛ@n=nSb7˼ f(DJgin%bک)aSl{Q7YD&}&{"4t#@7؎Q ʼn xdh*mG\Uq#/%<^qK~k3M]Q`R}=<^ۅM߾#AvUֱeLS6ANQ˸-wToJSLe4k)Ivl4fm"TY[cq(KL(24lRrh G`<70A`t0cVZMj {@ EVXUW=?4#X[1 s.TH5 m/+M8=8RTÅ3Xlx<އK!ψ2vX3Ԣwt+չUna?ح1?U`ǰffVÊc?2UGL./PJS f&mc3>09IP"N0Cvp#"yKL*}hp[Np e2.@K>6 "2(vg†A[AB$Je΄%*e6qeNQ2Ӵ {S I|" x'AQ}|&bBZG{Q**D3$ܣY Q rƈ16|+sբc~D<噁˽Z6wtzd)=]Z?&݇A(JÂAA&YFk@55&fuMlަ0{¡l*EyGl#<;B%e!~kňd߈.L{ƤΌIU@mKtOԮSi:n혾vzkİg>lo:tqR')>hN;a)O]-JЗi"CT}bn=5 ?سZ{ɯxyḯi9TW"1>,޿.ޣg!Cohrb=qYf t44rARmR!DX܃*K>t\뭓P;z?u+[r,;NX.)0b}׈ag¡"ץ[KR v^O"sVjr~4pJ[;̕f6o^ip];^HF.&/jcd}U/ΥE,PFV ku1d|])DϚvigK"Ss^U |*9rT+MpRjVUpUO e+-1/D]ACQOr) [6 :\4=aW "E&Y-N6,}mˊ5a{ͮ {/lA>a떽.˧-nAd]Dn .!jkr}((ۅ0%Q]c|}98e6k ; )*pvk&p?{or8 qؽ6aZjy74in9]|`eI٣,iiM i^+ Ƹn=ͦ3HވBF<3ɇDm]Qfۊ* ="-X\[O$0lj`Z'òa-0/|T,Ȥ[(Ad\ "2񠸭V i7B2X#oVJR]#pW4-R+n+h^l@  Spҟ]8Y7z}3w 4!2idρrAjf_597VSg0f1:pk+Exw6^˕1fhMrQakIج >t0;.f{hP3Bm&K+w*#ns·ْ͜C-^.J=p aoؑ8d4yo5γ3Rƞc=BQM粝 ^C(dqC0atUVM^ܡ9*OֶpBmQG nts QNKaDau;ɰ RxBq>j3X/dFN3HU 6 NWqΨb }_Xv(Z3D)}ک!=KeTV[mgXuN\Bs R мDP`1DPGJ83,yz `6lu~NM N %ԷrAv(P+QA8&H+MN4F6sĩQ STKcUD(H HRC~3xcri6U¼_x DMS¾ox!.ED@T8Y}y))e\fZd\+?—ܷEh,NK&[RX1Y5Rm;0"3TlQRb" NZ^JKS7װ cܽo7 7Fս\܇vzp/ `3^~½΢=B5o].i;*|6ꪕt:CRZ/3i'- ݺ0(ONG:tNLUG=ItO:t0m`?^k5Սx %eYޙ;Y,\ez3&goy8b-s"޻=dz tP-6W9t9j-pe#T_a endstream endobj 18211 0 obj << /Annots [18212 0 R 18216 0 R] /BleedBox [0 0 612 792] /Contents [18217 0 R 18213 0 R 18214 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25338 18215 0 R >> >> /Type /Page >> endobj 18212 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18213 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18214 0 obj << /Length 19 >> stream q /Iabc25338 Do Q endstream endobj 18215 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25339 20690 0 R /Gabc25340 20697 0 R >> /Font << /Fabc25341 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 18216 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1675) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18217 0 obj << /Filter /FlateDecode /Length 3869 >> stream x\K$WPh- `41pD(̪}j B_ܓ,yJucP11Ať*;U"8jweQaOE!pJ\mi0FjǏ.~RЪZ$Cμ"Dy']i_Hi@(Yf3-2+kZ>U Lb< tJud UxM)›>27m =T;ڸwE2mfUfe\xieaRP\Mow@p7*dCطCzm44W AtirmNe[P 7Tպm%*^5aaFÈ %Zj EUT% N`']Pu. 7[IuG:}akzk̀1#a (J>vIЭyulU29Xy 1y롅-C ϡYi8%Һ7@I5 }d (vapLAXQK騠s&lSCYmGް"}gKl*D]R_X#Y<8M`sH{D*E2-^inpze9VB Z΃р\tIo\\K+q>*B˽־CD5[CEط\-2x2ڇZKpKgNH4k -Ĥ9τlt\,*f \Z.҇}>uZ Eb1o [8=|\\$f"Ji](uUdd[(mrXxpwg©AUG\r8 [Ay.kLIcu,o%F)IuIBנW$\fm1zj(Ubes]ʹ)~ҫ=?Uw'YwS*VWFJL)9Pzڷ6YEڿJ(\[]R0fiH{Gi#+PIJE?``g-{FNm4Iפ1%kUڳ.˱ U R) Fb/O6׹Sh׮sޤ2I׀h7_%D'.UѽTw)6+Lb+סwĶ*{o>bnv&z~ƛMHk'oE5}Šb1=mGf(~d`r%!ov-=|,9~lC")Y6jxEj ̺UPo|=tbזLَuVK#5lfFٜjMm8㛖,X-ޭXA#4(hd^b1Bdtzes鱔' dS97l[rϘRdMXI<;=z>nO.p^0/׏Z# [ݜetHC,eig&5[tAo[&F$~8m9+洱 h0Sq,CWg|y>jAQ O4Йe&FWR6qͪڲ9֗{^hiƒ m-FKazҎ*J5- ɵRav,93j"hCwTs<~eRdgBj"@P ^Nx9wM=Cr2_`zsqAU̠pG|@' jKTK̼Af}^+UjɊ*Rœ4B 26ͼeQO\85l,@熧qG: 1J-Ozy,͢6vQ65M9kjQ;yȕ{bËcƎʫe? :Hb ~_WXc&Q1;9zQIh"-qCSΘ^qdVJTL/?Rǟ'(>HJ]}`۽)t#-GTڵu; ]r./9Ő5'/)m*-QxSpҘ(= Y)xo@4-AC9s>m *(b줤 ZL]fa8?4̈́hCm'@+s9ta=8(e pxrrf(WNDf_k)\I+bX1M|a!'k6^{?ew#V#lo>(أ/-p,MlW˴ԵTi~7g4tt?@.xdK=Gʧ#Qz{ p)$^Gt!)ڨa9tW wb|єZ/gD6<7~EIʳxƳM1 kHDQ*| KʟYpU=U Sʈ}rc׳XbbK:s?9 S;Xb@,aY]ԲE0̖ endstream endobj 18218 0 obj << /Annots [18219 0 R 18223 0 R] /BleedBox [0 0 612 792] /Contents [18224 0 R 18220 0 R 18221 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25357 18222 0 R >> >> /Type /Page >> endobj 18219 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18220 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18221 0 obj << /Length 19 >> stream q /Iabc25357 Do Q endstream endobj 18222 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25358 20690 0 R /Gabc25359 20697 0 R >> /Font << /Fabc25360 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`5thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XLf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w3 endstream endobj 18223 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1676) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18224 0 obj << /Filter /FlateDecode /Length 4011 >> stream xn$ί0|?͌d 7r0|mſv;hM.]E6+. Bg^wG]]^_ teyF` XC%~H| nyy&,Ϙaۄ<~1v_3e E 8i% XRƂAeA'0dK^yX\ -eRYHy<=.E{niAusd##Չf}L[f `(*(?I4UJ >C`%F 8l \@qO{d6IT'H'⤭0U51r#qǴ\-%|DͭR'O!Th*6va׮2;#u YUfVYTcXLɆ⚟M ^*Q]3PӠyΜi^dתag`[QkG5#AT[#ضn 'N UWT'<\j qnHM*:0TnMn*oҀ7*"EOp N?a_iNu t[aBEϓc\0NvGKߠ;<P0%ę)\ #tK7z>yJ?Ҧh2\jJ Hn.ʲQCuQ6mIX?E2##tHRVjд "9$(ɇHե>H`b&I/7O}J_JstLB?[V5z? lnGqn6.d({l,clN?U0+Ңh kCR*zvd&We:]LBhgY65ζG;]Ѷ$hߍz~QmoNIkudeC "r^:(- hżZ XKhAߝ%خkrckjN0NX»"ʬŊ݋Ԧy*^.7*<ҮyτB߽ F(ܾ 4z\p80ԔgI]XU[3bIYb8J(WkAH1 i rt%/bư&. +\jAErh+E`ƴWFe fK [S`SXӲHT$c(6ԄntjsJ cFbMrQ+59 /]KreQ*NߤHXZHL5Y\c\rS+%B2Bĺeæ3"EXcZ R6䈭(73!#hrq]U"-qSd|ܱjm=cGQQ6a&0#1gϺ4ܼgFυQn.?ohnl6Z/~!+$q]={0sR[T$JYDl-Y# [#}U%3USoBQm%HzO: ++GUzݰ !v\He|*cTWZ~XakC&AbFoMa m MU$ э~ $TJoaP16n=}%HDvQroG)::ZwB~Ys}(oMg۠홓RY԰d-wuVd1_F}nSE iE!H~Ύ:>~erHͻG 0N\YZng3n{bbX<φٌ**;o,X9p PdKDC4Ц] .z߲J)H;Ьe|2H9=Ժ~#;@`pH{̵̏z 9<,6"%U*D*YH!i "h M5oߔծ|Q Y.%Õ^񞯏^\y˜ʸQ2(HFU[&h-[=ElUxG0Ch#,P5wt]!;9(*`#izkmۅXȽ1<ޑ^ [eWb80 =H5ezc`݌ S{H,\/usV)lH\zn%ݶvef#Vm[U)pht͞PQ.l"ehFᦗRw?{(G&V gR.f7?[I1ʾ\M/ hEitD.жB+.d<5`Ep,;o"桰UȦf"QW¦[\Mݖ \vMu4,GX|eކ$ aiɿ2^"!!>=NMHǭ!1n:pi:Đ#8Z6Td 6.YAjiC 7;>F}`K?` r!Y.ᴷ߾皿|P~TtL׫D4{(`HH=r [>&i61JS$Q4t~F}CkPjz?VYԢ OC1")6u2M|Üܫ 'zg*0?>nf+]IEA 11pp@"-zI 8A[Z/۪><{p s50# yD^K6^ZUpEh_ En5 \oNO7S9,/ <i˭*-] : ug,'R@0+̍Sy3F f,Na}$ʭ eԌòT*3SQ?VVf0J˄Bz%Dx8Nz{ɨ:6:f٦ҥ <Ɩ dyYhfQ2)0r,X_jLF5lF{V t vSۈ~`Xc|4e̬B@#K楆r D.Rˑ*F6eKJk) =p ~ie /;]J=̣h6{,\!*> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25376 18233 0 R >> >> /Type /Page >> endobj 18226 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18227 0 obj [18226 0 R 18228 0 R 18229 0 R 18230 0 R 18234 0 R] endobj 18228 0 obj << /A << /D (unique_593) /S /GoTo >> /Border [0 0 0] /Contents (report_operating_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 555.8 240.6777 566.8] /Subtype /Link /Type /Annot >> endobj 18229 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 539.6 169.8542 550.6] /Subtype /Link /Type /Annot >> endobj 18230 0 obj << /A << /D (unique_505) /S /GoTo >> /Border [0 0 0] /Contents (reset_operating_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 523.4 234.8037 534.4] /Subtype /Link /Type /Annot >> endobj 18231 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18232 0 obj << /Length 19 >> stream q /Iabc25376 Do Q endstream endobj 18233 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25377 20690 0 R /Gabc25378 20697 0 R >> /Font << /Fabc25379 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7J.ЎYzrv"euT1Brt~AG3cX-LB.FPQj0j4ECIB%)Wu%)!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxfxoBD>.PkP=(%ypfE3Rwm-־0ZOt⩔L2:)WIkp:3ϳ-nYGFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶKL endstream endobj 18234 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1677) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18235 0 obj << /Filter /FlateDecode /Length 1712 >> stream xXKo7 W`&z?cݵ7@o) P)uߏ4q֮jC֐(>%w)WtY.P?í~Z}{ni6X3Gvb' w& Ʉx{ pDۆN}.Nu9 ]]> p<0JbaBO#ӈ; 1hu]m}L &!0[_w7}Uk:?~ ڤGrϜYl}WZKAA;}_  X2 \qsj8z5sטn_pYtJ;u3Ğ!Ξ26N'NtKdG̺} XSd!'  9B?\ |! A_jڽ.y eJ)V2cddfb$!HnVڭ-emx%/*e dlMZgߑT]넓S{sa]hR$8\ /1+OkG3O`Dz6OaV=y 1,xG%qĞEl$,.70 uޟRK -myl8ܚ{OzefIr0/-{!܅rjx",Al.o@pkb 7N \ |摯Z11>.iTʚFXA[qF̥HUH?"ROu/%K^7,%і3* aw1ue6Uz3h~ lYq $b~3 bW@RqM9YjO!±Vn%±M@MX:TR;;^VSEc;T.eV}gnE& arnA S:{zp۽ļƈGhcpkl(8B>o@׷UŁDqm,E΋ߚ:]Maacs.PJ~\ % tlWVimiGhsGzKboLDO4,1JE ^> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25395 18243 0 R >> >> /Type /Page >> endobj 18237 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18238 0 obj [18237 0 R 18239 0 R 18240 0 R 18244 0 R] endobj 18239 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 263.025 111.681 274.025] /Subtype /Link /Type /Annot >> endobj 18240 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 263.025 139.8465 274.025] /Subtype /Link /Type /Annot >> endobj 18241 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18242 0 obj << /Length 19 >> stream q /Iabc25395 Do Q endstream endobj 18243 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25396 20690 0 R /Gabc25397 20697 0 R >> /Font << /Fabc25398 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہx~1OE1 e!r!9+P(&*er1""7,1g$a$S¯";E̕'R(fZĀ0dD/P|}{Y#rڻ3^Ģc7u݊7HA>hx&CuI 5\fP=(E@dQHLn2Wp23ϋ-Ym?EŐL$E l_ ) 2̼dN) M 193`FbU$!ċEeGyݞB.ڝA U8N\j W۾{wQ endstream endobj 18244 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1678) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18245 0 obj << /Filter /FlateDecode /Length 5603 >> stream x]I丱W&7}6ύ!Nps IyVݦ;wfO*>  +Bll)hn\=\5_LzkGzθWkhYBuˆɌ_º$ l4djOZd=>s 쾽>I:odu:ߑ #\SdY҄iH_  #L  {`M柼V(N=qGxg}"N CTkn~ulŧ.3ES͎j'85Bm\^6Kt H{F~?ƗmX?1b ~P!U4;۳)C!Q(aEOVnz} -y(HWAA5..n&4 2p$d}\GŴm`}Bq} ;'B |?bz<ЖSܒm8k!-^J S )xac}MwIDDGG:<'W Ow -8t3 !Ko1^q =U fWJiY54%~K3]NrjZf-GQ2khxq+ewC>eys7Eͺg>5AnMq(4@C:M?2i&wT8jOM#Q䩫)cx-e}eLS2.9*+$"x!7tCY0qR 0랑0Uf8\eOPt8ā*=s sⰇ qŅ EL:<0ja0Ôaa,|B |rCxsUӅ"RI #Dtt قt{Bz 's M%Nwz@y^sڒ.g#Ǽ'}ӘvHڀ @[o.@?L`$?0#T&-xIYWeva{Λ8B>M(rSdӇiE8UX{w½H/<.Я 7c@^}q * BUSE]3ASt oW GsnK}k]Aio-}WBUqc׿nL;I:/N=?Jb1F-kQ1K;/ y5H#eו=O1?tǘ E r/ؙ5b q<5r23e2$8.T^`-MC,#D -][KqقMĞw&ɪ8YR+Wh#zfu~~t/,T׾"u׼ݡݤXnTI.}(SR.2n NU =dc]q%}:8ǎП0ծdGd1Ƶ- ֣OCPOZA=]jugV_._yRjuV_zV XZ;VWr!-K]ͅ`ޞ>B6+jӉ\щ Ӌ\ыZӋ\ыlandy-էpi>\}Z7?G6W ȞYX:eWZw\}^%3t] ޔeUYU>Na% nu).O/6(z 'd?KvqZeM2 Y&wS?5l&VmPiڬ؁6w_VdnfBΑnSC/nf0E&;1%Xʛpkv8}Tvo*дŕ(\6%8 ?~>YF]ŏDɚ%ř%;&?ޓ/-i7-UeIP='hMXR/hG|>В1kbTT&A)\SO(J\X 萳Ex=s"I58%|i TCWFy,/ϓ_6Jsw@i8HHߧBY$hEs l{$[|H.$9!\PA /lR2%b 7PbEvG<ʅޑIB+~3z |eL0<% j")gK4[-v)tYs^ rN=19xnJs'b=;O{4$K99Qjop (JC_1k$p&W]:P,RAj*-^DhSDH.A{&k KFD T FiEFW/i \<6*{ 5 ʯD5ze+3t ZP~E.Y2%e5 fTAW,2-_zR>AǗKZT- 8EGTR`%Ajfx܊b䤸!J@pBVI=WuϔX 8@q{Dzf wɠOzsמLh)#+[68siܚ|_jFeiEv5#(D_7su)y+JpG,V6$TlTr^8@S@VCЭ)A)-6Է0WЃ!wq|xdH%ڟ6x! dMǞ#lUзY"SAR5|H/`OƯ q;OqwhIG.Ad0Z)䘕UL $QϾ]^n5;Y.tfK (mWɇˍr]Dr S7T >!ߺM9 xJ =:,U{XKF#Ϥot%a!W;4FKFi 6Gi{ ?C޲PY($EFT=`9${Bxy-5rحoGk(QS2~4(E'[hpN̦z< %0s"Ex:32Q%FokG"urw=<1\=j ]}E=c0hZog83V| R/'4`^a BVkv![_.VUĂx![+>k(\=S˪5V=v^uc$>}V{}.Ԑs.tJ;+[>4fO9Y78S(| YUSSs:\/L3$ОxF#u2Gvřs}L0""dGg&WpW)nN$MֱBeFZ7)!vs^ ̧ E]MЌ wp&2INϾ㬚a[MP0*Į@!l?pr7.{&պxd`C-u1eZ"6ܪ!wb㔎61QTxc0؉W娱yCb9Z W9 f`uFВzJƴ D]G&[%!CoG9wv>;C1l fInj5HYw8UTyUvbb;pLe[E6Ȑ&ݿm^Ѓ;shsyE364J:ev˦}b٥*.Ix30=ݶ͗/:wg=OכQ́\^eku %ؼhZƉ¸n[myQ*(6ҍ >WzJLݙK6:ZF80ct1K3ktT _ ?y6d'PP[T],axbN`F +6^Zl Urf iE̕:O} 9W{Χ9̫-R]:s tQ|sЈWy _x='rMDžVKchB,o[IKMVz]q0]G޴v@Y.W mDG3xAdX}%,q[!K,^$UpўNb셅Z+qcJ5[pu݆}7|,oӋVibZ |b$dMEY|3<#o7\KUsSBGG oM zOWD,''^^],2ˬ'8Vb y\†-"_-^$ ۹kv %τT{C}w_.>۔/rI#-!IFI/*[VeT&kUV2dsK-je460hb#xrƑjMeme[׉7ݮ؛AQB,'ʎ|}Q_]~8fWP]i64눽qN[=}uTA* áxԓX {pzhrN&?+Ƨ+wǣ "+LCHa֝ʱ08VhH[ix}zt2t~z$W98Ks=ԋ'I4🤁>k,ˉu{IЭ6aúoសlKN9~Tj]GGɩ<8C> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25414 18250 0 R >> >> /Type /Page >> endobj 18247 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18249 0 obj << /Length 19 >> stream q /Iabc25414 Do Q endstream endobj 18250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25415 20690 0 R /Gabc25416 20697 0 R >> /Font << /Fabc25417 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 18251 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1679) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18252 0 obj << /Filter /FlateDecode /Length 4170 >> stream x\K#Wyqf(4P*u۬؃S=A TJ.`4T`0ŃA-v1_%eX*|ZVj|[ ]w6)24EcN&=M줤K1.hgS}(wE.Ƽ QӶ IxGxrrI ^Ԫ 8=:x늎E EB˜# LϡȘBt0< _FjH-㷏,ekY?՟B2߉/os"NĞ@ nq^|)tDN9j{F,P1TLd'uXQ6 06Se|$fJUNWaѿ~Q/3|zZ@0q}ggqb -^ O0i W(k95ojsubTa҃z6ȝb4|a 0W qc-/ƺZ~\_ן?/B'q+bvǭْ) 橚ހ&1}3 y (z \5j`6!n 50s]̵QѨό62IQr0?G~F䨚nQZZ@nao;8;aDaLBFH92MZρ{)h00\ņ\m#5L!FFfd!5dW`a&6Sg_]ڜnUƪvw`-yV puR4R 8<u[@>W73-bKZ-_9#e^G\іo J+,X)U2 BYNeU+}: y᠘˗K53|^b?̳`ag>=0_va.;B;\I9jFr (?H9&RĚd[fiRqNs{>iLHon%oZ?OYkWSߔ!/@kq-z{֕ ViLJ\n:) q8k\ ]4cf0 xcKC BP}'y#TCgsÍibJ Hkݛ#\ǡZ(cu弑ڶ$avoeci鼿V[D7ی[in{%WFk[75)Ϣނ̭5q78qʘXi5Lξ{gCgͨY(u?P6NY.({Cgev{e}KlA+#2qZO*]ky]YD}6vUېby#7vc[ gW»M[Igsq t`[16 Tû_]\$L #.R9Bд`C+H|]62#qG\=??T4ipߌWngUe7;銞vp^ʔ&:w׎y e6;üܱ8ZtUW;T - LI̱eT\uWm]:ĖZji5ŋw/oxOGSp jΛsEIkki{;\T bαXX{m}x*84RbƦ܌[ؐ-?r;932 %zI{H &MpvQ섧qS .[.@!>_k>Ƃ(wo%>,7ۀ{*nWuÈKI{?nZXQe2|+z}9pW*N6`VAc]=ơ>2y;|]s0v$tMqtd|ц'Dcs DeA˼6rBCûujJ(."]yvNZa,vq PEvS6\X=\ٝqO"3g #mNnMO#07s='P\E5~ń3y^t5&UWDnmGG+=0)%~@zv0 uo}sOQ-i%%|oeU0hѦ])2y8hwn"> 8_ߝlQFF:de95EY=j&7y z =f2ф1-lȞ3gDޟ)ׇڋL5V4Oa\x+m,[MD&q{}Z-&6~WD6ݱր2ácVyhh+m6Za*ge9 h VmtFV]9 ~oc{¯%('ݕ[VM0./]&w}x 5B1c|Sm:J F W)tuK!oւꍧsX`i-5jJ9=7IZ+Qk{RPav\x}F>e#tdJmKkΗ9'Ֆk+#xW7^!-Qz>5DˑNEhIگ+^k\N.>ҝv\Tߘ6zzAMĻ^ӕW]G8-[53"9Ae(3DQ+qURY, )휡ȨsF> 6UJή1@pW> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25433 18263 0 R >> >> /Type /Page >> endobj 18254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18255 0 obj [18254 0 R 18256 0 R 18257 0 R 18258 0 R 18259 0 R 18260 0 R 18264 0 R] endobj 18256 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 290.9347 149.5702 301.9347] /Subtype /Link /Type /Annot >> endobj 18257 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 274.7346 164.1782 285.7346] /Subtype /Link /Type /Annot >> endobj 18258 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 258.5346 149.6802 269.5346] /Subtype /Link /Type /Annot >> endobj 18259 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20210608081201-08'00') /Rect [104.1732 242.3346 174.4412 253.3346] /Subtype /Link /Type /Annot >> endobj 18260 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 226.1346 179.4682 237.1346] /Subtype /Link /Type /Annot >> endobj 18261 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18262 0 obj << /Length 19 >> stream q /Iabc25433 Do Q endstream endobj 18263 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25434 20690 0 R /Gabc25435 20697 0 R >> /Font << /Fabc25436 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫Eӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽?$T endstream endobj 18264 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1680) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18265 0 obj << /Filter /FlateDecode /Length 3060 >> stream xɊ$y 4HrMƧSmmPD.]U3dEH!=}h-Q?t:/~L X $um,Zha]eWg©S}=<3O#@gB=ȷDkRMqVC 5VdȀbk߹^l/~9̦ln\'躏>>A)"W0C#-}^]@kϸClDR$rΞ?v„id\m<#j֣cwi|N݄mu]fi` 線|B*ٔnuvow@l\q smX>?Bd$bvSp,EϷU( d|d+_* ;fLmԻ|5j{+d}+$Y2u^fh$>,s M_>q U[o-!m9A[wa+.{*Y9{%r JJ:-y& 2;(=uJȃénxHE1y1\ AMʱ[LIy(`x4}{|^i(<σED~gؾ'Y}UoTJєwr9vYh<ń-v1 n|ZKCRR]< Pki;2QM 0/7}-O]||-_4Pv4n;9k\6ΏwKw֟"^Rgb.k-SoՋ}#u)Az>>VG;cWm,`]_AK}Ғ4jM[Cc(q`C`1.%S.cEo I՟u4g`z ?t/p2aֆAؔ͠t[_0.sjG+yypW؂ۻ ~ѝ:adv|9 7Φ`r&'γZl5q2 zZS,I,qzl8Ie$=&obaMQ{_e4k-o\F^k%:]dD#&(Ҕ>"qg*,] <{QKBGJ-JTnĿ: ]njZ@%+ g,UiCag4x7&T`:D\kڍh49ԱWZHqEZЬEӔpIVG┞<dHFBL #C~ Bx\=?n{2. 쥉bZ0^Lc+U~AF `b?2ǹ2M%ͭ @r+iMG L^*i!u$x$%@H>F3eU +i{&*Vkxzq=@{$|1 {'# PXW>WBߘN⚉j%Cie/b:I*Ykcy̥Ujͤ!Gf20G r@,x9?^/G~E^R c%i`9A͏| 'ƠU.õbIvuӑY`C|{>^V> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25452 18273 0 R >> >> /Type /Page >> endobj 18267 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18268 0 obj [18267 0 R 18269 0 R 18270 0 R 18274 0 R] endobj 18269 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 410.825 112.8525 421.825] /Subtype /Link /Type /Annot >> endobj 18270 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [118.1655 410.825 174.821 421.825] /Subtype /Link /Type /Annot >> endobj 18271 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18272 0 obj << /Length 19 >> stream q /Iabc25452 Do Q endstream endobj 18273 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25453 20690 0 R /Gabc25454 20697 0 R >> /Font << /Fabc25455 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18275 0 obj << /Filter /FlateDecode /Length 4199 >> stream xڵ\IݸW&_u~M>&|~_Ips =܃|c^{(sЮP~{X|Y}OvǍWWMˢX2<ҫv%ZSY~+Fό[̌ k?q eM:4P-5Tj#Gk:gT6MMbxFey4ZѢ4%Y|@aɛ6Ѷ+¨C<@ AVe:PcxF⠘ *`}?~_\\6kZl?~jP.-ȏ]}n` x^ 0W` 7siXi5W`ѭ>Pk'q9>ނD8%{_}}#;9>//1ɹ2vZ0#jx6aVt W =D1߉4`jF0 X*WXߪjzMԎJwo׃"bPXR͇c{see{8#t9'$;A"!4Ab ّu5^g+yixz M&ɾS~ة!>{+@E$r$]`M e.1/^0s$zR7 $w5(A :O$ߺ w@ uۻ0w"pNh+te_}Y,LcsFdL/&9(J=j lVR㥑"_`B:SQ 2d3 A7n_ X'u-_'| .eG75,/]s-FK `հr0$f+ n+TY-!aEJQ$JO:3b!r=}4WF!B&gaN*=+.4^]qX:%Q;Wi N$kWIjIE:OԼݩ=X&TI.DzTy)7&v)V 2CFkS6fp[a\ eQP Ӕ\?=T1ƽQ8P=A^~Z|{$}VjuVSBnkOpi bL\co@N#Q]!`E#+bdTC$ A,lXBꜯ&y4n >.2[` R#9l"C-0C٪yQQxrm5LvMa5źPMk`fHŸ?~[X_f!L[x]##򶑸`D 6Ԅ2p^+:p)_q /5 W Ɠ ߷zn167ΐ }oR)EۺC->xYj(D=LSUל=<ScuNI  ⋸DCՕɕX@elcL1}ߓ{Cy~PE'А m:lp3uK U(Tcs[seGUUic0 1k\;]. gtG(7ĔŎ^+Xd*(kTN+NOh.r0\鬕2xՉ sR XנI3ݓ =:]XآGvX$K4& dKvש+vew?rE>fh#߃S؅i*d:u" ?C[At1- rhWO)!ֻ Bug u|꾐kr !Y“賯i4s,IIC~:Fw|P6r-slV+s80"6یsnWM\hflޗXBCvU 7šs?pSq h5Qg|RbOOhX %6ihC2wiE$n!׼c̹s̹$>1/`[i:s[rQS( xE v 4>r/c0}chm.m-QMSQJzx׭2mc8.4 DAeLfAAdWXx=2fl$):Q*V7dWy_ĠF+dd8#8:mGܯ|S*R[ >“^ $kjR7Vgx(ON/ >x]< ݬ^ܟhH+]rTe$xj/8U69԰}VZK_?$'O$Ƹ<طObxg~ΧUz9=wU~>úVhoOiٵz2,> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25471 18284 0 R >> >> /Type /Page >> endobj 18277 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18278 0 obj [18277 0 R 18279 0 R 18280 0 R 18281 0 R 18285 0 R] endobj 18279 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20210608081201-08'00') /Rect [104.1732 302.85 188.7027 313.85] /Subtype /Link /Type /Annot >> endobj 18280 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 286.65 165.4707 297.65] /Subtype /Link /Type /Annot >> endobj 18281 0 obj << /A << /D (unique_148) /S /GoTo >> /Border [0 0 0] /Contents (write_csv) /M (D:20210608081201-08'00') /Rect [104.1732 270.45 149.8397 281.45] /Subtype /Link /Type /Annot >> endobj 18282 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18283 0 obj << /Length 19 >> stream q /Iabc25471 Do Q endstream endobj 18284 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25472 20690 0 R /Gabc25473 20697 0 R >> /Font << /Fabc25474 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18286 0 obj << /Filter /FlateDecode /Length 2915 >> stream xZKoWt~f49bOx@`_^|tOK 6db="j =#Wgu X2൵b %_^dg7kL*']w16\yL'w10aO{dr0$I;#|іfNG3<,Sx N}vL F҃,.߲p<~oRNIm/,/3#aYv2E7[=__AֈQa7 zpa„{U|y\=˘tttR-Ĥ%FP 0{U6ט%n% ` PIT%dI0Ē=镔|]j$l"$꒭ K3ɧ^${Fn\jKֵj ]6ÿ oЩzSL˔ea_}p<)$}$2զ(&O}5\B`m ;^3Y4 C͋wNLx'$"I:渒J:mGFCkau:*g^{& A_%lk5Yq#bMLcmwY PǵuBL O[_EǺC˜<V?{"r ^wp~ ˆGئ3a( vVXPJwAqU,nCݰ(FԄI@[*ӂo䌼# fu#V^^ueMZ 醃ԞܣEhdׁm .Cn5uP{VjI<"c~QMzF)8Hc-20 (wط*ŕ :tmtɮzlϱ3lZ쒉@(aڷ]$cSSZNz8~Rt|]v"jT ߎ hd*U'f nZOX:P/ƪn՚߫m?orz--S˼ Eϐw~75ٹm v&qQO\OXWcp,:"Z’jYA_,mS̤&"l'#XoFS&]'2뻥MU33ڽ xgFUѷldd S("jnʍ MjdYV;W-6{ Lf)%ξQ]xI[:nSߕ2}vʶn1%O6@/ݩ ~/z(cJ+D$PeM vܛ8,M*nwPsy 0IWG y<}.Fȫ5AO6- $8*,3sHϣYC7qzǁ)[1W>0g7X~nB0"7tKZGBwQ̍>wZjGk(iD3{7;b%,cA,T?  >/N-SO $wጺ6΀:$+vΘ!F <ن|d|wB y:$߿z֧_9{Ul`/}*I0xS(n\6p)uq~5nb1I P/zY@m`. w(=d]g}ozģ;ˠlqtX>6Z/RV&p/OMbSdD0:b ќo 'P C L &XA`JBZB-}S,̜O\L,Bܞ *\ɩrii [yqn&_)3vn/9-=ԖS[j}B8Mnj@V뿱@0kGOq0qAVb!`̣۝!}[_9jZvxjL"Cl\bWgmHC1b{ b 䂲_td[,7΋xL?Chۥ!'< gд"qI F^&nT!/rn9L|ż2?<2U3u/4dPn&ڜ PqgS+fnzN <>HxlFSh`0 8,gOQ4DnwG `jE?DRVY1 Z;Q+W*H)`~ (pnާ~⠧۞IX]wm3HFGNUv2\̈f#)k; sϞajZd8bO놸hK*z}BMf@C ,]<3웚F&PffI +;+B}Oz4x78h<4Vڜ l0sĩeTm#qYZYZ)L'_L'Cڴ}?D32' ù5- Y7=y1ca=H-F!έ]S/; ϫk`F bXxzz빙 Hj0۶Poi(1#I?R}Z=R‡ YEmosK/x@i wKŇLx;ߌAM -{ #@2<6h=GcxoNV6":Xn+xyXΜ Tp{b'_~YN6Pq뷌wo{Dԧ`SQkܝ׍¤nW VAw/ I5qh2HSqzfdlq&a3 endstream endobj 18287 0 obj << /Annots 18289 0 R /BleedBox [0 0 612 792] /Contents [18295 0 R 18291 0 R 18292 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25490 18293 0 R >> >> /Type /Page >> endobj 18288 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18289 0 obj [18288 0 R 18290 0 R 18294 0 R] endobj 18290 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [90 372.525 201.1385 383.525] /Subtype /Link /Type /Annot >> endobj 18291 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18292 0 obj << /Length 19 >> stream q /Iabc25490 Do Q endstream endobj 18293 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25491 20690 0 R /Gabc25492 20697 0 R >> /Font << /Fabc25493 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw71|:c֯޽:HYs_RƐ ţ.p' dZn\DLF LS4]Ld:%*Q]I㔈^\y"\Sr C ٷGӮBxgH c"@oAI2R_OM٧j gVȑwlV4C+p|צb/mk: S[${JLh*$XG"eSXLsV7Ӭ#x#?Ř|"xy /sYrshf^2 +?"!g9cSZ8` &5*W EA Jj[}tw%BJJ(ϡ(h#bwo7 endstream endobj 18294 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1683) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18295 0 obj << /Filter /FlateDecode /Length 4053 >> stream xɎίp_|# '9v`hH~屺gC$=>b H._VglJoogX|Mu~M>&|z]ujx󹽅TߞuQ~ׅ>v_˧O+He ڏSEdhҫv%xZSY~Q 3-f^ֺ%5laIxxge߉g}xLÝ}PyQ4 P1ZlaAsGrq->|Dh&.C#R&p%>vYd΀r>iZBgic\#. R -&wOj R9ջR]&/ϑy:5a[`P[d<չrpff\P4Vějf"0WKS@b\,`F)o jVm$ \B\Ud Ol4XJ)F,REk\FQ;3ۺ^%Ȑ(*{hpqr Xe{g2(MnAQSUs-JҶ x>l3ՠ=q9 Ѓ'Cq=Y.`l "Y=VW +[TJiιNBqÔgr%zpc(;kqHfK12'z>l}Ϭd,;pþ_x4+>f@jc4}\0M5K{IK.JJQJPOR\Sg~&܉acSk/tb}f=36ccR+lJ]ؙ}SwN71B]UU|Y& ׆+ >Ht}-)eL(y@OJ3e%`Gm@y} #sE_`*C뫀5\aRzT LoULr88i߯SPf5r34^Voݬ*$?s+ZZ?~Po%D-HH9OQòSw5/]s(:ʭ$ژ$#WSefL{/U3b!b=}+wU%*rwgz.8c;ULݵP}`31lZ&.cGZQ)}Nʟ"铲'%o4\V,CgYl,ݫT:ǰɨ U#!$mmmtɟ0LIUS{ݩT>{- Yb&$}V{^ R]G_g/&jc6?u0PGLn+ȳCI:JT&U;) hD" *wTlU2'@ &aA2PJUY z 63p5 @ ym?fo-5W_hvcjz#|rrti{#AB ̛m7FQyԐ`>зLLkJ\۾Cjy[P7׻wVpΌm]jGE$O;~o8uǝP@()r 2%KVF(diYmzX >3Kl'fNNKy3f|y*<wT.;`41z"<߇դ4_qc AaiuC3+4!L[TnqaUw I'w10GfUBF$vP1-0̯_ Njl"oU:=sN(:<o0Ci5U]GWY*G!p {Y%̧vTj->֐H M}ddS$HLf|D r+.$v+D{f5!!i">dβT<YVHi=mPe"Pq35pa&@N<-Zhptau&1W/wc.Oȫ-^ZnR-k (Cp(_ x'3h0Q#Q&gК]FZvMJ]0zDuk Wᘜ_BfM6 WbA@a @vaz[K9}7H!bIxKQ7xY~5Jp (VY3Y30a. v#D)wQ<c_7OWƒ7cL^tt/Q\L&^SWg~9:SGE?4:5 8Vy{ZY5][p& <%)3$CsVP`S`ZK-BNˎQLDѢCVz ]fQK.Urײ3,˟{Mec:zx*|P40>ReɥQT}^bYCLq.||#5";N7kモ! n7{1vY\M;z㜊+:α^qӵ} kᳫIs3y !A΍pgo?e3(OUld < i}gBm 1 bC7 ($c*!3^w~T.T1n?/Gjץ<28Jv(m|;`ў֡AGyNX_s}T@aLߋ<ׂ\Iʆ7]B.j$G endstream endobj 18296 0 obj << /Annots 18298 0 R /BleedBox [0 0 612 792] /Contents [18304 0 R 18300 0 R 18301 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25509 18302 0 R >> >> /Type /Page >> endobj 18297 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18298 0 obj [18297 0 R 18299 0 R 18303 0 R] endobj 18299 0 obj << /A << /D (unique_565) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20210608081201-08'00') /Rect [104.1732 78.6809 154.6302 89.6809] /Subtype /Link /Type /Annot >> endobj 18300 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18301 0 obj << /Length 19 >> stream q /Iabc25509 Do Q endstream endobj 18302 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25510 20690 0 R /Gabc25511 20697 0 R >> /Font << /Fabc25512 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18304 0 obj << /Filter /FlateDecode /Length 3350 >> stream xZId Wld (@niqի^&FkIE~\D=U>R ^f]8=˟N#?.*W|"{?!uZQ |:ʂ\Wp ε%h8]qEkmO()[|}gMm\i0sw5z#/@cƜskj=z$^S0j q%?qshц J1" i9L; u*I=fԀCPc.fNy{\u| z5eP6z{BǑz>'yXSF:y9PA'&7l﵌tPrSbZr\IM.C\)V7~'oL oӈMa'nJ-(&pV붢fJEwUxBMA\ 3'ɗq!٫ZEmIAPdCʺRb}-$,k\7 "d1v<;vCA|қ\gǍjsITJY眛d VV.nL)*ʣد4նې8jXk-x$ ]a\.~;, f816Pp y֮LOƛ9גݜ@-Lt (DJ޻zl0~חz dnc&]Wnb``o|-S+&w?g}#4.J_2/'j%?$kH4]Qv6(@rt,xQ9/ocAϧ/(o@~Q*A ~;J2Vº(\h v8,q#c \8vSNHgTk?xk)~ǥbdEnGn:2nikͥZzu3*ߺ{.kF#-0_-%*6WJ˵&"pj{\s{a'T2]ηsd Hh7DhWYH7Q"'o,~@Lۘl+L}wjoXʈkwR8ێ3Z8K2$5{8L/Ձ"q܉)%3qz'#usu-rtPŚs¯P5 ڜi~1PkS+F;ys|gP0uh?_kʤ d?JiҘ|`+ ᯉч쪎!e`,D_bGg NH i0X@*LmM]ʠQ8SbIrMI " nMR\r.L|a^l|'ūRU{hAY;m. vPpqbMGmev,4V&A+? {vݎr}뎂&r;_c. "'?\|U>U4kN\F,L/ tZGtD#>1 GeMϤUX]UE6ne<#={H+R@[ Gi]iȒ_A~Bx& OC3u&k ? Y,ɘ%@&zM2P.UG9uTmU xhqс٨z% a^mp05sk4R╩$% $sC4CåIBvBNO20M$ KY~OLχҴm &SxmtvIq ;[ ]5nR;db}{!]JjLԑHr'u{eea{0E9L<1mUXW? l ՜O-\d>R]iʢ«yQ]`7l >}`SG8۲9RZRm& y9tTڭ}";fƃz1i ~O˛tC+GpN -^g|V8<]gؙ;w+w"*^ 9w?,Tk[BkH8xRkYUCј*Ect%) endstream endobj 18305 0 obj << /Annots 18307 0 R /BleedBox [0 0 612 792] /Contents [18315 0 R 18311 0 R 18312 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25528 18313 0 R >> >> /Type /Page >> endobj 18306 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18307 0 obj [18306 0 R 18308 0 R 18309 0 R 18310 0 R 18314 0 R] endobj 18308 0 obj << /A << /D (unique_566) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 153.2277 709.9] /Subtype /Link /Type /Annot >> endobj 18309 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 169.2217 693.7] /Subtype /Link /Type /Annot >> endobj 18310 0 obj << /A << /D (unique_568) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 163.3477 677.5] /Subtype /Link /Type /Annot >> endobj 18311 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18312 0 obj << /Length 19 >> stream q /Iabc25528 Do Q endstream endobj 18313 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25529 20690 0 R /Gabc25530 20697 0 R >> /Font << /Fabc25531 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? ` endstream endobj 18314 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1685) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18315 0 obj << /Filter /FlateDecode /Length 1164 >> stream xڭWKk$7W9һÀr3 rTqk Tjz!CT-=>S fSX,op/GGi=fvuN;6~O MAҟ;3G˜"-0e{5:/:Xuj2Q[\Zx,̫gSUnZu2>I\LHT1Ǻk_*fZl׿z!S"!gͳ :Dӊ>̮jȮtك L\WɣNLjS :S0VOp93;R Y@ݩM w-Wo-[>oW'R<خ3ZwPG̝1}֎aR39hLtR 5]iVa㲲X88pVꎫq=?jQ`B= \,|mڧZ)jXw-q_j \F|3=@ɑHL߲xjͯrBY޲P<ȣVG5OpU^y)#_"#:#ZmQjKkYgT,KyƖ2Zu1u'˿Mv@@0լĸ-a%FQ%.ՀGZ*UmͶYNZv%8ԧ|.ϽJ5 )9Zf!%R)g12sP:4D[,K:gxUNdʄHqy;m_e#҆]QRhL\1ؚJ5w%q u%c%,qCXR⚤nDa}A^i6Q>=M@,o9eegԣIh̓HXϘKAg`sW{6X?8KzҞ\ir}> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25547 18323 0 R >> >> /Type /Page >> endobj 18317 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18318 0 obj [18317 0 R 18319 0 R 18320 0 R 18324 0 R] endobj 18319 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 439.225 124.1605 450.225] /Subtype /Link /Type /Annot >> endobj 18320 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [129.4735 439.225 240.612 450.225] /Subtype /Link /Type /Annot >> endobj 18321 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18322 0 obj << /Length 19 >> stream q /Iabc25547 Do Q endstream endobj 18323 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25548 20690 0 R /Gabc25549 20697 0 R >> /Font << /Fabc25550 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`hЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ D,o)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ: endstream endobj 18324 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1686) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18325 0 obj << /Filter /FlateDecode /Length 5168 >> stream xڵ<Ɏ%w~Ed4 Mv>s0 t_[|KHn?eI0"`-h#/,/`?~SO_bױ[1/ZVÛ-$y{6չ%| cY~U #De˷%h_<=<5?-Œ޴3.Ӛ*.0+/ bJYBْu&5|poR}goX{|9n9JÒt ,D2!BF=rCc Cc s;>?l%%ϣTؒ= g/Z-[e]\׉bD'Q/ը4{j'(i"uY>HW2/"t(hDl,}Z焬'L-D[U ]0S>ӆc,7a YLN.M%O$-tyG7zu$K ߒ0So]Ѕ&>4<;9C Q J_ @ ۆ`;B0Q4 2_}3h;U" |18@^mǕz*I h ީ*x̴yjB"SׂV'u+p"@B4U,ZVkz1@-= *tOYK|E*TY,!fepr:V ьX{͖t"xtJ@2nLSRlzP UaqQİ /n͒WFS$}썒{SwDw.+3;TX,=T2+{iQPJ2@2NUt NaTZ3qq*ƭԝGyMboeez[}cC Z.牿- ʣ%J)A`Ӑo%ԧЀdA¨S]ө39P{9XnAVUvhǣKƇCúvX,&d`#y\dO\G6rBQ~S(1 ,+AK蚂Hۃn(~6" n^; !sۧUm$mȰ meH7m~zP?j{.=, ^+,LJ `o6fK0VaS."XCc! DLhR1%BNGtsCnFB+CFPY1eE+j,>zoHcZ|F_,Uo إ0T=iZ zUCj 09xZZVE/hT)b0EU&r 0Ț{\BE.;x:q([E׫ 6(DJ[FHԪAyފm!p)l H+9U߀ Nju$bt(sO 52Xt]X ="#UZKlbl3wF`ېYiUR*K Z-8MG:bB > uI<R 8řc48QAp(Qq3`Iʆ]+-G6TF莺$@V?LJEW[ȸ&XV7 : nfp eWǿO2_YkB5((H(a3(թ|c"V ^qH׷ `X8 ݴ^8ߑ -wCA40vJ(;\[{6ɔӨА/C̼"|·Ts@rvoS,ic$P& QBL"jv'E0&lrՑL ,,cмFcSCcpo@9ϽO p /fAbRd,^ 9($VPH= qb$u>l3^&JQlG3 \8΢2b_酏(ax ZGI/{rР /FQYMz(n峒\Sv^g2bZEUQG sUFx3}l-//D h ~Tf\!m[Ӻ< DND,D º~DָK>_=ݶrli(۷sPv5|BTȥzq9F1ĩԛr B/ M[`5=nA]Jn:ROtF'8;\?{q zG_! tJ]9Z.ȹ/^7r_~]uW|, >ӎ)4l o{Δd1'tp nǚ^ FQSOEY\rbAPΏnngߚs#PSrt"7F$=DaEB/B2]dASXvYMiqT^03sh*})LX~pn52:DSZa(JNb̔{piDɁ`4nZ( Cu`)aT(?47kMZgX'ۭ4[I!Z;YS4^(WEKg(<r\K?E1a]v6b8hU)%،`i;p.Rf(ZQZM+5`&450V ̒IA.TWQ}7rT4/ ʗݭD]\@(V `ȊkO縫XA28R MsU{T$CcecUוL }gvxfH}qEMFn`e'ĮF/ہ-yb+"j!74 5)!,:RwD> (n/|eٹwgVc I ][jqDi#i4B$ylg t'"^NH˚nwa7J"昘Dxx-%cfD 6S0I2GckJ>d'\PvȐْ$ѿ+&{. @NPg"'[94tfe9ݘ's+=மR",Kc>rʷ{w@Xr+Ǎ^ ^'.P`1`jҡ7?45:_S`j옜\Mvbaҕ XP >9Q,wX="%AuaU!ըc@GJa Ϥc\RG@Ϛ:Fۡ8K}B§>*|CFJr0 L`.e.H52euFzbj?fŨЩҁL(WNQ˻"`}ћK(ɅU>epq+c ^i}ŁZ&%bCL7TCytm/i>×!%Rvy GJo>Ek`TJVlCYk Z%zQwhfџ,.|k|Sein`hoE C ÷x\'E2߼-x뜟#pI.1~q{`% 0j}!?4޵obnr;8<}hהE9~7+q%{F ww AQ %UOgO'<*|_}>fyʵgC/~?/HyHl2xxAX[:nІZ⡱,ReMby!| qv$c6XE-/ endstream endobj 18326 0 obj << /Annots 18328 0 R /BleedBox [0 0 612 792] /Contents [18339 0 R 18335 0 R 18336 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25566 18337 0 R >> >> /Type /Page >> endobj 18327 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18328 0 obj [18327 0 R 18329 0 R 18330 0 R 18331 0 R 18332 0 R 18333 0 R 18334 0 R 18338 0 R] endobj 18329 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 389.4384 173.0772 400.4384] /Subtype /Link /Type /Annot >> endobj 18330 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20210608081201-08'00') /Rect [104.1732 373.2384 148.9102 384.2384] /Subtype /Link /Type /Annot >> endobj 18331 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 357.0384 186.6567 368.0384] /Subtype /Link /Type /Annot >> endobj 18332 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 340.8384 183.5217 351.8384] /Subtype /Link /Type /Annot >> endobj 18333 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 324.6384 165.4707 335.6384] /Subtype /Link /Type /Annot >> endobj 18334 0 obj << /A << /D (unique_553) /S /GoTo >> /Border [0 0 0] /Contents (set_speed_grade) /M (D:20210608081201-08'00') /Rect [104.1732 308.4384 184.5227 319.4384] /Subtype /Link /Type /Annot >> endobj 18335 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18336 0 obj << /Length 19 >> stream q /Iabc25566 Do Q endstream endobj 18337 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25567 20690 0 R /Gabc25568 20697 0 R >> /Font << /Fabc25569 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7j*ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Q endstream endobj 18338 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1687) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18339 0 obj << /Filter /FlateDecode /Length 2499 >> stream xڭn#ίyp_A%Yցs'~ƥd[ vYo_(j|nkVǠ%C^TscO1^[1.^Gxw^`xǧa]?Z#xsk9e3l1槽~l׵&q n,i^XfPHf uڙZIg'q2!{Īqjk֎O )wÂKGX5Gy̽+N>Ӊ eO`\ #WΤh"pj"0ހN#qpp(8@C&ZYՏyn6]^ >!׹r1zc- &{´})Q¸ a~NM*nWRL.HoF;fN 5A)f74%7,V)"l ]$)-HL=zRt|BuB2jQ-v[m!P'zլmZO@uXTk]k[^j˔2sAŬCV)H5oj5: '5Z|qO]Q䓔֜ շpW (k!p|R0lJ9E٩\eO@\T +a.S2JTXA!and˦MvQo GRT3I^KNC4 hBN%U0DV!0<(Jf"l˘4>20-i0|`oqUpƖ=1(ffGY OrNh.Tv 41W674.,^â| #%%{yIl® Ս0 kCVG>)#=,K~l"}dۣÚg_F>W;JF=k hoђkU%]#[Կf|‰r; V]n / AN,_[f+ڕQ響B4ÒFWj3-M5Y(B,$Ձ7f>]@drA"+ 'hHV2^W<Xbք)kI4mvP۔הS>#._ DzSLm]M\`G.8$M7#mN=|^*hN!K ]Y !ԾxxQ'F΁n oG |3D1>:O8^v)mJJjuX`KF0DȜ1DCo#{"p=`dy:a$`{078x #؀}T_Ly=w}|t-tɕBkVp뾪sE6[+.ota 8Af #v,2T YL{ endstream endobj 18340 0 obj << /Annots 18342 0 R /BleedBox [0 0 612 792] /Contents [18349 0 R 18345 0 R 18346 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25585 18347 0 R >> >> /Type /Page >> endobj 18341 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18342 0 obj [18341 0 R 18343 0 R 18344 0 R 18348 0 R] endobj 18343 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [90 368.225 120.844 379.225] /Subtype /Link /Type /Annot >> endobj 18344 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [126.157 368.225 149.0095 379.225] /Subtype /Link /Type /Annot >> endobj 18345 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18346 0 obj << /Length 19 >> stream q /Iabc25585 Do Q endstream endobj 18347 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25586 20690 0 R /Gabc25587 20697 0 R >> /Font << /Fabc25588 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18349 0 obj << /Filter /FlateDecode /Length 5098 >> stream xڵnί 7g d7%z#YM ŢoY4Y]^^ 3KK6gX|ml|LnNjx󹾅$o'c+y밇e_O_7/F/Eod7KD.ǯg[7@IK([ҡ2~L' @(@9> p2X~IP[(S`` 6΅^c.9V߂O"OP>1 D0IBl 5Δ,/[ցjI ^|l+>|Dh6.Key+% g`xaO66 7Tkg@$te cYa ĸͧCg0|xD}RN7;ˉҏO7Э]ƕ͆C0$>Lˆպi T釄/3LjGKRww%!$&CZqYr%%qB˘}޼ 1Xo3b8U4P1d1+ 0h1mE#apmag&nzlau2{z3UL0y==i.#MlR7iM6-WI&3WmCM|OҸma xthyqF4}+"],!;y<,p]N=NV|֒Ŏt`{:b0uyғam5!0/h ͞({&t\'}{fhA'NӥMr"xkV? Ap`ωW#>O'g>zBK_Pp4.I_ g?4/xl(a _[zSYsRzw8~6eouzP)Վ21ܨ4o5\ǺAQ.Rd6"iG*PcI?'=B_a!Mo\qvC}y׭R U;Aa AbʆfVoYHww7zbc>ޫ:7+q8NM{hE~zRׯW*޲8[٩|u7ο|g^ݭ-1IpRjUZQUj-ub2#[.еL-)Ƹ*o4~|䀂;xk(D_ =p"/uf @9߯ЕE~e`ÞwO ʓ b~èQT TQW NX:cQ8a?VA *pZRU~QΉw{:c?MFƥVAX^Z3sK  ҪaDf- W0ZrŠ(-Z42b!N6Z^l$(I{}7W)6c=5L7RS3uyzfD  %FS}[swDw)+֡IƳ;VX"=*}YUƽ4)$swin-쿫m>i=a#Ws\Uxcޱ(7]j6guju#V=OY+Zbp_[70[]W3[2{1f^>lg~5N#'M#~42[idFv[/u6qirMܢx)t3:3 Vࠨ-0 v3Vq3 R\BaZAj{":T")(0 p,bDomf)n*> ]hٸŁuqpBlT朢߇Lħk |_l㔚tR#i8M 3wJI7_x.a2Z%DyUOfBj4޽ qxd03R qNT>yz%,=Q}U*$a)8 ƶPY1 0)?2yF#A:&Cd&C mp^wc2Hat1ڭ0"Dg^b.¢ZҐC݉;)'̨A9KdPjΔLdN2a)i!}5QQ، @)"1UzJ4 ˖-犤.>ru(0ԒRtB{M{'5O.gghrEa; .~d 0yb}Yg-tY-02>\ XoV4ܗgY&pՄmuuQ4,OZ0e|Ӑj_ !/a}%)qI渾 Ñ*y aEk$ %pfYq6ɏ Ć%oXcZ}F[,젗FR@k R5t^:pFHS<^`Qt(qH9Xk*5l8zp/RJzb q2̛Fu݂*ֈ0d`ZqPb!@@ @L1;>~#T <0|x&u_zϟ݆~MÖnҤ~[[/.`"^K3z{ g1\t@$9ޭ^镲o.::>(}*tmȠ2ɧ{q.7ګo+tIcu2 @0>Fm+ޑ;tdK86uc ZmшwX/InUIџVݹ)Ϧwnv a/ؙV DІ[9͡`44p r̙a:[Fp 0Ε>uB UҒWew|tLi٨7M;Of8ǀXi'[qزV;\c&unVm|/0YF j b2z`P =_ [yPhM$!L̈́ jjwN?n= GSئGi=XiZL3BWBhF-duL(/m]הO20#l0Fdlc:3қ} ˽Ŧ?jПUu g2 h&`xC \( dMUY/VⅧj8ux4%h}+ +hj]ܾ`X0P.ʧ2#5|e*V!!3/8N R**a1T.ITx!N/:&x^ pR燔\vKߎK_{;ֽhm>)k֕ji>\E:e( %86HpAv4eW7wn7[![<.3:>s {fgQK"\s* Ylz6xQ U'=/b~՝oS^N֮zU-t6ܴ+pB'e3˨:Ÿ9=`+ v cX V3eםяxÖo>>n'_秕A>~?;/ZoYF$oqõt;k%Vc9_< <ӕxF WpOMzPgWE#P q.ZS/ endstream endobj 18350 0 obj << /Annots [18351 0 R 18355 0 R] /BleedBox [0 0 612 792] /Contents [18356 0 R 18352 0 R 18353 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25604 18354 0 R >> >> /Type /Page >> endobj 18351 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18352 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18353 0 obj << /Length 19 >> stream q /Iabc25604 Do Q endstream endobj 18354 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25605 20690 0 R /Gabc25606 20697 0 R >> /Font << /Fabc25607 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔfW!ӘV;!JϡmтGŶ$V endstream endobj 18355 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1689) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18356 0 obj << /Filter /FlateDecode /Length 3695 >> stream xˊ$PZ4LUM/ػv.^Y3}0xLe*C~I-FjTUgF/G8?˟4F>:>uQi*|*Z(Op~ ~Q]>p*|ǃ6>׫֟5|>[mS}~O1uOçgx\' >JDRX3A3A?JQ&*>R+-哇ɦClb~ }3w؀w,]:'iA+GH8]'.?d$kM19$A*,Uyqq"!g7q#!m#?d44T~ک({POB&6DN4FF8|+*(q<\h+‘wd*Q]I- Z17e_MU2w\}̎bui;KfQ}X+LԯņNfc(Ԅkow'Dbq( 9ZųfXtfyz{=DiA;Av揻{(lw nқid)W ]Dm?I[8UbѮZ|&"C)fZq mY6 8Ç.VTG٨5`tӄ86VLf Y$sLwQEHMEc>9FjM`wAy,{ɹq 8wTH]6,7Ḩ> M޴z\kcnt= 6LR؂_B\\pbħ)HR4tPU4ј)+ H͉2+W jVAΘpg.VƝ~]1o lxڅE] ]Kosf659Mb/A̐Dz 3(%d)4%ֺɺǽ꿪og?/KFT˩-EFm*/՚c5#t>F`#gЗെzX͢m]5}m l^e*璥Hl*(v7ZkR.-vƮ7 ţ\)OJ^mRuRIsOh2Ls})Q9¾d{A 335ou9$ғwN9cYޚ\+-YaHh{'جJ7ѶQ^TIqˁ 5AJ+`+3G`s Skh[V m;niRmPty Bwl%/kVT';oLݧ(5Cm|?p@yp\6 ᧣Nupf' q${Ez^Vެ:d8j%j<*r~\Sg];TK] qČ4$j$PFlYL"ݸˢFw*1Z,t+4[CiJcUFc`H3Bs{> ؘyh~e aI|hU [>֭:i"}nvjHSOi:Q"~CL |>5v T{8@oгš@\AQ?1;2kv\ݰ܍N<'XIsBE}Pd#]me]-9 -=8u33|~M5)A_\|PKAaƾ_Q-)PM/ͲG89ػx3oPV;J%xo {s[1$xԹ[̿GE:F]ѐ$L7z57xB}ַ_$*i:W=vsꂎ.7Vgi7xbג@3g7G?퍛]MFM. \y8 P lgOJ=>n~ Pdq*lY`v D)>F蓗W,4]2QƉ_W%*w" 2xe#gs]B`h:hAn7`Msy73yE^~uprb[T (Shnק+ 9"nt#q0;]@V! ÓV~2}1®/R4;H~_N*pxj* `9s +i|QĐ/DCOY$UX@+@E'6#JTI&Oj[:'ɑUSGh5uK[ gI]@4dI/^Ԫ_ͩ=3K&bUMCPdYg| -(M|'`G(o*ã:N*[h<5VیhTSSB~^xt3\i8%1C;=3Th^\8kWX.d4}"^7z,_!o @,'FYzi*;[ ]4nu)d`.!5$jyR)ÚȰMM*zNALE}+R]+H*PS.m+ɳ]( B=/ `|-xf<}`Sy42ꢞv.-6*T-6x4,2hO}&O\.p=ï]?/2WsTCo ckJxs@[w:|?\v%.̰ 빾]`\p853+szX~4]:Oq Xf`/)A];7 pc-tј e endstream endobj 18357 0 obj << /Annots 18359 0 R /BleedBox [0 0 612 792] /Contents [18367 0 R 18363 0 R 18364 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25623 18365 0 R >> >> /Type /Page >> endobj 18358 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18359 0 obj [18358 0 R 18360 0 R 18361 0 R 18362 0 R 18366 0 R] endobj 18360 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 432.9 156.7257 443.9] /Subtype /Link /Type /Annot >> endobj 18361 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 416.7 192.4702 427.7] /Subtype /Link /Type /Annot >> endobj 18362 0 obj << /A << /D (unique_504) /S /GoTo >> /Border [0 0 0] /Contents (report_power_opt) /M (D:20210608081201-08'00') /Rect [104.1732 400.5 191.1777 411.5] /Subtype /Link /Type /Annot >> endobj 18363 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18364 0 obj << /Length 19 >> stream q /Iabc25623 Do Q endstream endobj 18365 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25624 20690 0 R /Gabc25625 20697 0 R >> /Font << /Fabc25626 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7&thǬ_{9;u S,Ԛ!*p% J<*er1""(7,3MwPtJUDʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18367 0 obj << /Filter /FlateDecode /Length 2078 >> stream xYKo$Wl;| Cc `$g~"ٳ=ZI+]Pެ*rm<9ۘ1biA쵵է1wƸx`im`:7|TXEMӴb,N59ɺu(0;NSO{rE?Ҥ&Mʣi ï,`:qX̍㝪ؚn}P]K_@΢"c,)Nfs_ bszўftZ<:WڸSDSpT 1M 6x5IX]ۤ&9S3 8m7Ne׆!W-wXի6;1ǝvS@OauWEp()8 CA80'pd~1ncvΡ(XWm>ÿ 9.Ͽ!`O??Q@.YBve&TE~?~N? FHxs5 f_)qclD#SIu`LL0(c&\uC|\M=);g󢜯B _x9Fֱ9m h#z: E1ݪKXP .ܜK4U(ԍMD}F",^*{q$E]n;C9^NJJ P[Rj3ȜŚ qusjIA`aH%6[YB"FTKؗP!z]l 3Q`BRVEy+|R3yt*levnTʞiOEݷ+/[e+*Nnܟ^}YQWwo%WW5VI%"uQIDʈ55IZ`0a^oF8I*zFW͙߯U5Mc^5Hh573)<m@0PxtxI$&mN3iz5?7 @C}|`H!ܾUU]tDo17Roen! d+E+D8Ġy$^ E QÖE?ǜ Z!Lp Qf3d%\9Xj^Z07MN5-ɅJ tQǬ.ں /|}FČrΌ>gZ18&hnmHKnr\yuͼ~t.Nt(ݡq3ѹi;&|J@1 ٧g8QMQ,N-`ōCl[cq[׋ZK ۔H?ը5V l,Fe4ko$VLMRBZžz=YĦ|[G},rxmI)rٍ4#[֞?SUײ`iŖ s qD>{:nK!chcVv_YU Pg͠8곬 FI:@YBfdBdoEI/`SUCHvʆ0ǜZJ}@ XTR#kJ3fFN~P03q8`+isk\FQE:Ï#1 { y#!™d]GyVB!WkŒbGl[O2ۭˆKs!8wf oW +Yc7#5&dOK(>V@F.JM+0RV/y荒n(Hnc}*PיN`zz ort,=-D֠z+1+Y1׶~_HZZו4u ݵ'갨P$37힙?!hZG˵wUHz+U13Z  VƂimUqMx.vCjXݽ<|m,lRwe݋n_v*n;S"*;Gj?TvFKE endstream endobj 18368 0 obj << /Annots 18370 0 R /BleedBox [0 0 612 792] /Contents [18377 0 R 18373 0 R 18374 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25642 18375 0 R >> >> /Type /Page >> endobj 18369 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18370 0 obj [18369 0 R 18371 0 R 18372 0 R 18376 0 R] endobj 18371 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 439.225 111.681 450.225] /Subtype /Link /Type /Annot >> endobj 18372 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 439.225 139.8465 450.225] /Subtype /Link /Type /Annot >> endobj 18373 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18374 0 obj << /Length 19 >> stream q /Iabc25642 Do Q endstream endobj 18375 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25643 20690 0 R /Gabc25644 20697 0 R >> /Font << /Fabc25645 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18377 0 obj << /Filter /FlateDecode /Length 3938 >> stream xڭn+7ίsp_À-YrK9d0xߟڸZjbvK,+|y_jY_.M_b85ujx>$OGc[{TZB鲯AVI rU}%J vy[ȷoM?ik,^U;|[SY~QYa})3SUk]ʚt䵀1>F'3w)<*;, 'N>ēOL's9c E7*kցH GXB7{y->>o ͚l|`g+:E  pa663_' )Xzv@M 6h؊s+LԈ[LS} @#yrlcč$F^N6aϼ&W*_5<8CgzfbMdVk i-D0*>k;=&rzgl{@MwqNOuῡyobMM]Ֆh d]ϤAHPIksŬ, Z-D(GzEkB9kneiB U}XK'_S}"-ʚh]YȘZݟ.hfyE<'y0Q vu|3E͖ 7Ju,|,)<6"@8~y?Y;!YSwq. *̆ɰGEFY<)_h>gT@/ԟfJQI".XڗNbd }>=HwUٟ-;]ֽcyp!fzOIa1dsˏ FvBS xhU|0 ߡDu@ym (#sZEo*` ߙ QJJdH.&9\ ~SO-M'PrBzVoY]H@jծ>:7x !u*JmHa~i{/f1@-= *gPY[|j,2rB6JhF, ֽvKptK@\2.nLSl ]a΢ac}=" pBHF%ϸ\V,CgwėYYשu.WiQ+J2C2I2ؾWm:ɟ0̑ȁ~&#NӸ xBސu/:4{(mh[zКМ'~o;ȳQ{&J)A !ۯ%lԧЂɂQPSrr|,[VюGO b;}c #S,.d`#ZH ۼ 2Rr7&lC9dHy/'W80;oY99:Z(\>$1_g(Y,D$!&k֘kvppVp25Ee %NJ12s9k{cyr2xCkn3"* X,$l mϜ9<.n&иʒB5{-qЪTpR^xpzT֛5+MBx n| |Q)p&E8qFӰ'S2 Zy:{)Pă*(̮~?b,`d<ؔ@$35 1h(M W%]TOگ3eaH",j$yL=1xa{DyxSiBl̜PI\`ݞ6,>u;u5*V )z,gBzf}/k3j8L,&y$i / 6j(WҤ5tC@ +ȩk7AɎ%#5P6LːJZZc0rҬRf uoY7BYnI;IiK.d"Rs-t;( WyǏ˞T񪡺(fl%AhR9`('Vf:u8 @h?_4J]eתsCbM}G$%;uɛh'.5ԥ Ғ0<T㘍QWW:!N()zD>Aq7R\o(^wm:&ucH2{Mgqו5i-cnDm‌x k0Sєk|(y֣=TmsC7 5FqXYN4ylv*s;s\Ł`u5FŻ*-Ťh*r{ҎzëZm?'5yW.UckEN)R){FHbvVwO`UYe|ݬK^M*IdFCZQVRUo4"ۢ83lg[t:JߩW ('9hkew8ILv+Fl~r61/MDnFI\CYp&S1]w 7֏jN"s^z 87 nPdN? wB@}lm*wkI;Jkx3'{-پ"kl퇠Ŋ619馎怈ʄkjyKӿ[Z.9T}h{x+"!IG 3xF >>iOdTzH7T(4T ff(a]Xv3ΥoxCmJ:` C6֬z\XylI3o/ V,[x>ث$=Gɍ3bjB,s xn &t'Džg5@@CǺMߒq1gK&x2֔JFM6×Ug9$C4R[>d[j1T[<`ji:q4`0ރ-i^~;Ƞ pbޑWЊ@y.vm]nD:nGj(=nRsQ>LVpP. xRaMGqMX]dP bm7o͕4zpPa.:aͻpLη?AFte 0=O2?b1xt)e%_SDz__G 5J[YcR{JGmGу.·| |׷Tmezn,rT n5 eC32+*PaTj@.py*I~Dy^^SZA^eP!Z[ h0ra{zzoTQ3ێRIVTCV8afH~RKKZ. m KBSG9/,{Ũ5:fٖ!7Z-zS{يhQAӍ87dsĸVYd;@2 l]Zh`Hax_D7{^Ly.xӯAt66 99׍c#Xn ڜ(k$<1' J\^lom_nRٶx 8Jg{$W,SH4o0OV@}'!煐>cr3|||[IWM)? q#dԶ|A+3Hr Oks8\P?Ehu=t9T{szTYBsRaM#ڮqvn#Ɏp&ͥB.jt endstream endobj 18378 0 obj << /Annots 18380 0 R /BleedBox [0 0 612 792] /Contents [18387 0 R 18383 0 R 18384 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25661 18385 0 R >> >> /Type /Page >> endobj 18379 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18380 0 obj [18379 0 R 18381 0 R 18382 0 R 18386 0 R] endobj 18381 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 620.4 154.2562 631.4] /Subtype /Link /Type /Annot >> endobj 18382 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 604.2 164.1782 615.2] /Subtype /Link /Type /Annot >> endobj 18383 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18384 0 obj << /Length 19 >> stream q /Iabc25661 Do Q endstream endobj 18385 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25662 20690 0 R /Gabc25663 20697 0 R >> /Font << /Fabc25664 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4l?EŐL$E d_ )2̼d9 M \09 `FbU$!īEWeGyݝC.]@ U8Ρ\j ۾{w7 endstream endobj 18386 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1692) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18387 0 obj << /Filter /FlateDecode /Length 1541 >> stream xڭWM7 W`& zz(zvSK~IiFx$.JH=i(Y[m?QO/Y. ~RNj~Z}y^4^3GE3ƅh٘ɠzǽN/'=`̙^/QjRK*us'eox"חUdE"N}x' qZyO 1мWD!4`DQ[ jRKיg\~> ~O=vl{9}5DKM ym_?a! $ڤ_GZ9/$ ٲfl !&S/@wFG ?D 1{ER'iúG=`5NItDWV[ggSJɵl#Ą1 x5( ف ; kP Xuxlbɲz듭i1ժ'KYL{ #08v˨ɹٕ|Hh$!$'ñl8&_R1BmB~%Fм f'݄nn8;r<~9j$)H{w_l/?\+cSn)>:=e vZ)%1MPFw\] Xkj&\(q\#B2EWgW~2N">2v1t2mwm6*o"W_#ߍ|4n/ZfuA[-(ܩ#o3ٹhgh *yb҃,gN/2+tZɰ|<>۩UlY #āua^jQ4lnDH`sYm% gMnYet67**ȷ OfV 㑏Z{8bEr7u3IS< c=-ST526eqo D8N_ůOD/ۺ*xYI.s ΈE&`g9@J9@BgcI>K“^ajC5D[$_hDS!S 0ڤiKxjYjYxLe,R-(֊4>B֖G ms^E2ޝqG"31np8'5{!nh &] E.[kj,)n6cKx1a,AlҏNIqC2ۑUs TH_m*phDT1JᏚ_MW㮙 eEokOvH\KEϕ<#t*_tPV73Ć1> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25680 18396 0 R >> >> /Type /Page >> endobj 18389 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18390 0 obj [18389 0 R 18391 0 R 18392 0 R 18393 0 R 18397 0 R] endobj 18391 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 396.625 122.505 407.625] /Subtype /Link /Type /Annot >> endobj 18392 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [127.818 396.625 238.9565 407.625] /Subtype /Link /Type /Annot >> endobj 18393 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [244.2695 396.625 267.122 407.625] /Subtype /Link /Type /Annot >> endobj 18394 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18395 0 obj << /Length 19 >> stream q /Iabc25680 Do Q endstream endobj 18396 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25681 20690 0 R /Gabc25682 20697 0 R >> /Font << /Fabc25683 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}>^ endstream endobj 18397 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1693) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18398 0 obj << /Filter /FlateDecode /Length 5000 >> stream xn7ίs<OܜbO<H ؗփL\6hdX/L_&5I7WHzz~ LMNQ!Hҿ;i5}ojf:AJYKx<0(򓫰qg(Gg=LNoI_4ŧ"2J^M?(WYp2}bNI0nIɧ4 ;4 9wxo0ȕ+;nFQ]P~|A13 Sx ?HG6G!cO󟙩KNᗷ}}2~RR-a²_~( R89r(R@^lbI@8.gF>(L{\1RyMB7:wAAx<&qׅ G)"!%әRQCGZsO*d?[6nk!V6}̵| #3q]e\aRuNPIFbֺ~`3g+.˅%΂'*p,n] V֊6A$f֊^/nqj*'}2+ALG#2g:q9A[]qhP<%(>MI@eq%Sr6Fg1-l贋93N-:t:>ktũW:55J+8q2'-LH(9č7 fOPν2K'V$H6ALtA6애-85s]Obg(L!dѸ&,*?.fd;IwJjڕO<P 9KMۚEYY %{wzc׌/;aNΌ-V$whlC]-bcű~n7GFPF`[@8Ž0Ҋ"t\Uh'Pkmqi+7H}|#ڛLv|8Giy}]b,V+ݹsX֜w@f3]>Xj!'ㄻ U5ewJ+d@#thd¥8@!rEr/ЕM ij:$ޤ~ $P5QFmq#6u3,V4}5-LW. ֞nM2ʨPYTTKidGBnUxe>򙛥 z3V j O kľidpLu+ђ_d`[PF%.]&^s*Nb@RC5ݚ{nѤX׽^Z-wNd`dڎa>a=Ws\Vx]c^uoͬ[VOj{Z[X->_jqTZwjMVZ}k3[|'@⻹⻺ޞхFb42oa_|OZFb:LNP+ K112l8g$@9 }hVspokfz(smbq5j*kt|{CjS]/.8Zډ, U`U.gvw:W,և1i&b6W=F.hW=D^f(w5Q"-U@3 \589 xN?'@JnjN囧:2zP{Q8)>0`,$ μaE]OoPEj%fmsI\2+gf )1]xy826Эp-i'fss(t[.Bȶ𤝐SJx_sъnm?tNؑEWCͺr/:.yiDc SlC&phKT0}7Ҧڃ2Ij9.֙0A[vA#E݌b8%x>ښGsfͬξQf.$*aWZ:6 lLAXz>!9NwRKwdMd׍ZZr:bdI FRFCGU6zSc"0>,rU*ԫϏ"vDsPhaT,{epWETB n ;/`&P&k\fѹQ=2 T5RBVrr41~J[a6Bnwfb=x(%F mg ER|Әi>s+tup5قNԜIY3[e1!~:Ժ,>JedXxuf;C)Ek+ [( o7- - {q&\n 7-Z^sZ[zV):0BDŽ[} r'#tmEr7u<\,CiuL&C D7P<'dQ.t=I(MA/`1Hlh065ʉG qgYz`&4G~RUHN<7P%jvLw )Gi LiXl%XD$LFN,dgxP)QpX "{ETF^ALH `Y}Wƅ$k X.b+T^0d 0O.B}VAYwkv T%DSåO(Q@fsǂY2a:Jvght45P?F'22<"W`N@)M'-@4bELXE6Z. ~ %5v͂+8EA6Mh Z$7ID.8[ Xg*H5C"*:MJ wХsmg c^! CqTf\cTXiʹ zH;D{rt d Ru>GQ - 9 kJf@piǀ@OÚ!e Ģ\V)m|I̛\-@hx>{#pfsƊ99C/J.Ju˾f C/m)~HA**zZiǗy!.w~^r^`NXaАG)s_8dµ \4ʵZ٨ŕQ/uW̸eIb$L춫fU6ByFa/ I#5u Xq +n$b 8Mcy9e =v1MR@r2!dGP~tXHFsp=׻&Oܩ|xͺh$`$H׃oM3dMUY0▔랂P$27)hwG^Hg3!cI-p-.Uԙp.Vd*L9kOԅxZJ/4wXJLd.垩LY̸SB?^e<N"qqKrGJw5qn݊Z`]_jIA uF,kV2a(\7b)Z&, O>r /Uny|gnJ*pU4u;0#su|R!u?-ۋ> 5NB%gʒp lC2K=$Xa1#q;4Dyi.Ftg4\M{kjq5U]n,F']y[]./qm/!1E%_]1(),GJt5<]1dVtt9|[ȕ_B- d/b0qwiNRBz߹`Ņ~rxMEk]OboUcS=˞`bXt ʄ endstream endobj 18399 0 obj << /Annots [18400 0 R 18404 0 R] /BleedBox [0 0 612 792] /Contents [18405 0 R 18401 0 R 18402 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25699 18403 0 R >> >> /Type /Page >> endobj 18400 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18401 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18402 0 obj << /Length 19 >> stream q /Iabc25699 Do Q endstream endobj 18403 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25700 20690 0 R /Gabc25701 20697 0 R >> /Font << /Fabc25702 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7@ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} b endstream endobj 18404 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1694) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18405 0 obj << /Filter /FlateDecode /Length 5048 >> stream xˊ$_QgCf`{ZOk> 6vꕑȨ^~^" I/.Z][7i.J-~jC_4kOg)ҹ}sp'VI 0^ >,rÀW)/0oק?`Ƭ:Z*Q'A/z~1A[z 1TN>-ZIO?˔`: @ oqmbVoUKEXo |0[x݈@Xv5:Ԡ![zڨF< @$tȨEV9cZIRҚCՆH28$$6;#ʭ^Q@JV3MgҪoR\)ߓ I@H4Ltޤ.+IЋVE٭F+,8jpedb\7@铲KVQ)T%\e֯^EKT)`z^!jUyXĠ}Xz@YeQg] :ʮ*< 4psz SƐ֤lļE)£,YQs΁R^RT̀pJ+W`b#FQKeX8,j N8#eG<9TU.:JC1i2 Hy4;T_ @-h#k+hQF+[/ x m,f~?/rM)mh bi[sr|qM'ITBG@*p:ֈ,6!s ˠ%w^>}sj2϶c^g˱CZsd 7X/ȕq<}ҁ(MOo U>փmQ.>!+c1H@pcA©%U+z*K<L%G+8+)$6 xe 8 Y#-I ]>{0-'t,N=op  e04J2DZ6j c(yq)_`pkhpy;+C @N@5CN(,[Iܦ1 3i$h 6 ˢO?/#11iB no 5*J/N0TTxi bD7Bh+F 5)bQGA ;tql6n#Fapݐw[1 ]5Pe( Ɂ|w)7gG~}3ӎޒ1RF-cҹUm J=6bRM@_U@@\4dX^HyTU6:vR&/ɔ'<֬iFH\pž*CZKWxKEECE^ē `6-]kh0SH+z#BfoOŸy)׬ǫF#G,(Y;B stT(P]eSޮGҺY=85 < s RT־J8Ifv{ad$[w~^NAU{]6Ʊ#6gNeCQp_UQ ATb+:A߇C2]m䒾7lNiKP+-JN琫fֹO:[>lWڕJZθdWi㚘%3aKgrͭ--|Ҋ>}}a׏\p睪L(ơ70{%(dN Y"Koَj_ eo7DC/QQx϶یP KEֲJRRw>]tw!0D;Ȏȭ;vyȡ[x!iQ疭8R :nӛ^|Ӛ)4~ Z|TDc[M4J^ac[kke)inP_[O?dC_ȸDyy^dބͯ"Y? ==6%ldjnʽ#7#׺w9s\ rMycYYoxH^+G\ $Feʏa=-&˽#S858]8/>?>]6aٹvtfo5E?6 hs .Vʞi'mXX\Ru 7qn5 PpѶ{>Bn9?@jGដ rlZѽf+N zW ۍI7}]Z[Mk#Ykݎep\ 5[MȳԡcǤm]?l.dm*a95#vkaP +WR|3u#DۻVzN0ҹmjVm }SѵRDkle T\/RC::9ŦC?7 =G-u{KYvꛙCIzlFa^\)j]>̚Di(ut8~o-ط**Zn9Oc.s;M]1C LgU{5_7*)Ƥ툩 ֦O`aIBayBj J_LF[~l37ݩPRNjesܖt@l 各,?7B))Ŭ!$#5\t(iAb.9EÉu.EKc 7X1ٮ evń^OQ٭>32Hz214ڭ9˰1p(<\l1_ތy|@^/@>%;]glA1aw{*ônKu7$ie"jJYsuN8q_FkĂ #Nr&XR%`UL/Bf:IঅxAi ׉weC|.2pPcX;:0"^]!%c0GJ>RnzV; c+~|mO,BnBEn@{-xEOf-`* \A_3U6^\ONSC+yH˧+Vh鐗8炀bx)y|qG1x*qihdS +| _ ݲ+T3Q=+h'$*еk.+|K扰Z \71Ṃ%/ Dw0u*7PY_.E=&h 3ŗ7^1Ή, vj:6&ەϬ-ދ~a+9~7 cH|ӧHts#01cxϫ \O"v"iM&ʀ1Ulq2K?N@`k/t]%X[:1q4G^?BeJ@=asXy呾&H%_n8^ L}}#_͋)ZXop8`> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25718 18410 0 R >> >> /Type /Page >> endobj 18407 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18408 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18409 0 obj << /Length 19 >> stream q /Iabc25718 Do Q endstream endobj 18410 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25719 20690 0 R /Gabc25720 20697 0 R >> /Font << /Fabc25721 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴Rg<~~?va,6{`B ]QLu4SokW5sOջ]p)k.@f!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)Ɵ2VK2 ufg[XLZ[/? D?cxy /s"r!ϡdÂyW!ӘV;!Jϡh#bwo endstream endobj 18411 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1695) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18412 0 obj << /Filter /FlateDecode /Length 3810 >> stream x[KWiIrs0@ANA^|H~z]HbUUHߔUg~UU1b_~sP֪ן{S1^YKޫ_?1hLp5p>OO@OL 8)A{kOY@̝l?3I]H&!%eW4M܌hOƆgx P)ĕ|n4+ $,a;Udژt_ȡ3N>#UJ&_dD*2K.qٜ{zR6UjQBl+"+(w(2+iB.=krL'qWb-7 h,'8 g,TQ,vh,1 D#3Оd`HW\ V6D`-J秩`9yks$5YeUԥjԅy MC` ˻1``s IX~TН/D.:0P%I,?U,p:-^ 3[I[$#8XSԀs[yt\ tG8 ̲+scQy'Zjxplx"`[ԑ2/6rnl?DBhWҁGpuWzLbF-,F->\9ԙ`8ع> FA>ј'c Ĩe03!=:E|7A\|Q$H[%Bnu5Lw]kll_6A֓l8•Aj hkJ&ʲ^,CxH@wP91-۞Yٸ!25XS rIGy;w}n+Z4akˊ5Q8;t/5[v3b{/9^V+as|١1 MvQ6ck)RE4M+J 1#=b6vtrF e^ Օ >jv1!d֢][#n/$uQgQT!E+h=GMz;=ZјTa xS;EסF-ma{9gU[QӺQ1 jŭɨ73 JuIc Vpf;1FYC1W+u ͝/+N[cf[Τ~™W$Tߓ4voSDus6V=P?]aҨ< lus3u1&Iݓ+&\smq>[ͧn=0sws:fǎ6ҏ,A(j$e$)K79$ibĝRQfl-SpM4\^ }(:G]*Bpbm]*ڦaz dBB3BcOmH)9|;rȨ{kI{fEb76 ø,{yg04n;V1=.3I޹qt=߆|θ'&ܬݸ~9],=z'JR}g Py l399muVc8\=ǥKANDdMkKXSZFoLlM3nQ AgqhEe 2)tnbr?QŘ.dn=NL4sYScsh3Bo -?4?~|yaDpOpvXQisŤ#}9S)|i+S`qr҇gcvԟԣKjhUZaMn黂H+0Q!Kgjx^Bxb~ ,W'BbgE)h<\OX̓{0Y|n?웿]Da .U,<*Bsze(L4QPuP◌C `ξ~6lE0 ?X$1Y̡3d endstream endobj 18413 0 obj << /Annots 18415 0 R /BleedBox [0 0 612 792] /Contents [18431 0 R 18427 0 R 18428 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25737 18429 0 R >> >> /Type /Page >> endobj 18414 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18415 0 obj [18414 0 R 18416 0 R 18417 0 R 18418 0 R 18419 0 R 18420 0 R 18421 0 R 18422 0 R 18423 0 R 18424 0 R 18425 0 R 18426 0 R 18430 0 R] endobj 18416 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 440.9077 173.3797 451.9077] /Subtype /Link /Type /Annot >> endobj 18417 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20210608081201-08'00') /Rect [104.1732 424.7077 181.0247 435.7077] /Subtype /Link /Type /Annot >> endobj 18418 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 408.5077 155.5267 419.5077] /Subtype /Link /Type /Annot >> endobj 18419 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 392.3077 145.4837 403.3077] /Subtype /Link /Type /Annot >> endobj 18420 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 376.1076 166.3397 387.1076] /Subtype /Link /Type /Annot >> endobj 18421 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20210608081201-08'00') /Rect [104.1732 359.9076 145.6047 370.9076] /Subtype /Link /Type /Annot >> endobj 18422 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 343.7076 161.9837 354.7076] /Subtype /Link /Type /Annot >> endobj 18423 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 327.5076 164.9372 338.5076] /Subtype /Link /Type /Annot >> endobj 18424 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20210608081201-08'00') /Rect [104.1732 311.3076 195.5447 322.3076] /Subtype /Link /Type /Annot >> endobj 18425 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 295.1076 180.9312 306.1076] /Subtype /Link /Type /Annot >> endobj 18426 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20210608081201-08'00') /Rect [104.1732 278.9076 175.0572 289.9076] /Subtype /Link /Type /Annot >> endobj 18427 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18428 0 obj << /Length 19 >> stream q /Iabc25737 Do Q endstream endobj 18429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25738 20690 0 R /Gabc25739 20697 0 R >> /Font << /Fabc25740 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7Ӭjl?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18431 0 obj << /Filter /FlateDecode /Length 2408 >> stream xZIo,Wl` 0`^ 'A x6v{-ρ;ZdU_joi ӋuN*K?ã9h׹kZ&٤_߶ *~i2m,.xީ u34'tuEGk~,t rG圉5)A@:A6?:jyiݲ'L*qiB[Uœu`KLt|_'%ֶCMF2|ˮy ٛu`tH.|Px6>z02VxeNmUl>'tcZ2E7ji9gЙ_T&N]: [$~Vp mTK;`p'u8 FQ ?+Oo O@uRrui@~6r!?mrUm|2G'"qFU2n~Ο@ x TCa/Y/uVg2*'@y&Zѡ" a sBTq?$[3_U᫗Dǡf~<81J&ϧ %mUH>S.M -L |}PA#94;ޢӘg3ƣŘLe0y \Zpkְ8S:ت]/; 5񲦢hp#n!exn9Lf88R 'Wo1bXjAYj4%M!zoA]ڼ(̮.nnv>wZ6O(!!W12pJW#ɯ,_KnC|.H@8dqeXh0yÉU2o1 6mg+enKew@Y EAR*B -f!D%U*ޡ oQ 8?X;CZ#F|H@N'51JջL/ ja``025(e^U'RûxvӮhcR$< *1ѷ"+]~l&V?mR 4|!:=TX7ܮ$8©;!Ӂ^wכ)$b%:됈۫(aZB7a }e9%KZ_d]*_B\Fc>#mM,)jxZaA5jz!9gHc$"Ц:5/h){Y3*(k*mh{VslGJ5K`\5eFEAJ]qǚkHUcm;FJ0G;IWj j^'\eߎh@Vb|,p!y?*%PYnŅ^7]"I :^m\,PDݔxI4S<7v2:C2K| eܼ_ʲsCSi]>vV," ʨ"4#/!TTyyO"/ʢ{w?Qhe3,7&} qsisY -+i0 Sc[,ay]Q.bmbA2X{ s#s蟲F;"S+? endstream endobj 18432 0 obj << /Annots 18434 0 R /BleedBox [0 0 612 792] /Contents [18440 0 R 18436 0 R 18437 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25756 18438 0 R >> >> /Type /Page >> endobj 18433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18434 0 obj [18433 0 R 18435 0 R 18439 0 R] endobj 18435 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 363.325 124.1605 374.325] /Subtype /Link /Type /Annot >> endobj 18436 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18437 0 obj << /Length 19 >> stream q /Iabc25756 Do Q endstream endobj 18438 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25757 20690 0 R /Gabc25758 20697 0 R >> /Font << /Fabc25759 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7f-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}XT endstream endobj 18439 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1697) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18440 0 obj << /Filter /FlateDecode /Length 5095 >> stream xڽAC!غ0fvL:ݧhvgló@D]r5~Y=3"2QY">W$F ]+IPs<ȉ#@V&A0ClKyg#Œތ/HFwC6OmzEWujaֳʘu<@*{ W7Neu*֩,NE37WM ^ N! 2mՙ씷b͙جt5PTq,EPT+P@#HC"1x_hb;`DUh #d4Rm@<'@]s][=+>|ͰVNUn\=4 y|C.Di8ci ( RFYrKhNRF0ԯJGKQ˝~}Yvlv{C0}jT0lk=w.}cVc?+wp9(;RREV@f6rވ(VD?5-cP|l[ҕQH}:c82WLSR3zR!MUcD6m#>ʞHD'u)YǑAbx *XzVu.79m[ܞdn"yfp[a]M1C=o EB!(/i(\bmΑ''J|jpg~zjw+%.ӱĎ4No x>n"۩<">x4C3\C~ݟEn\niHGmRB K2 8Fp9Gp+LC-DC0"]MI12h' Y/*Et=F2f}Om]C B]/ĹM1EfOgݝnlC\7nbh!q'7SqNv|y= n#î$'[)}XJI%WQh' ۾:P7#;ʰcM,9eoegfSsoQց,YkQM<_ʤS~WBJ᡼m'f>lb{3Z0҉iyQx Ǖq>0F#`Jȿ8 ڦfmk6W;g@U(\mܭ_>$i*Dz&u/gtZ%7Xג1a`3c0>eHN&0U;4߿-\j5)es\pحzWLY0!]@&u乾a 3UNely28(국᰺_"1m 1fcZX _f`ωU}>Xm%ݔVYv.vZj?-{7yO<a̟N'a?<б̡rGѬa kbà$΂ݴLTlN :tKuc Hr^/l i*,{䦠uZj4EҶUtmBP\i$8v8V]F%Zc'`PPP%-vF'W<(ݚm{Cqo }PN2g?fvvYEpڛ*M"B*lY乭qZ_o#:'Zꄥw{oncbnHן-L(`0ȨPIbY 3Jte-ㇷHZTţ |deW5B J0E°PY0vu(Z8+p$R@%AJV EQx"ҥR#iq!!|NT08+\TPn<.NkQA;JnSe;G+k^HIj\HjsWa%6nt@*ĝzeGg_62/:R BPM6E+IkPΣ(8ԩռ(=:L+!h)A"@{C5.S@-Z,8ߑ6rFߙVB]^nzYm+^ZRZJi-XxL ^T =@QkِS\+I)}`5d)%[ϖ.-yΚqԣ}QA=v[{ A~b/дMwuVěW.&7URxT&ҔMD#+v t%<7s Dt+aqxu==xϿ12)[)\xQ.x?[0f5W6{wBnPu"x'; ye;I|լqf.)kվRg8#C`x3Cߖ3SO8UdL39eNc5- 5ڍQ^{ 5B\ fpHu-hs/zci6!Y-W.Xk l_)j]XReaN3T:nAGpbM%%3఼?:b.Wא>2qW{ c]Yo` aB<.5"݊Z+e-sV'QeH""}HiSp>xFSsPj왜/ '[v" \,BI8z > 8(%CDGQ3?}@w0Zn05CMZ\L <5k1J2cSw3;Uv{cP\n㭶3k!`J52ןemuVzb0}jm0tnpt%py X1Tsf_wEJ1MP0M |*̳ XWb4lg?}pvr+H')evJ22R㋉ avTNBn);ex JϽx}Xzsc0QMY/THsQT}]YHKKL՘*H&cMavՍov3(JVRl)ӕ͸m q)GAȕ\dLCE jr83ލ"v>ML_sݥ_rԵ[~-Byi'>q.8d#`V#!qb6pyq?Vx>=db~Q`MvsN/M/ ?MOyk˾i/US4{ ᛧ)@}63!xŀZ3 endstream endobj 18441 0 obj << /Annots 18443 0 R /BleedBox [0 0 612 792] /Contents [18453 0 R 18449 0 R 18450 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25775 18451 0 R >> >> /Type /Page >> endobj 18442 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18443 0 obj [18442 0 R 18444 0 R 18445 0 R 18446 0 R 18447 0 R 18448 0 R 18452 0 R] endobj 18444 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 268.3424 154.3497 279.3424] /Subtype /Link /Type /Annot >> endobj 18445 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 252.1424 169.4802 263.1424] /Subtype /Link /Type /Annot >> endobj 18446 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 235.9424 218.6612 246.9424] /Subtype /Link /Type /Annot >> endobj 18447 0 obj << /A << /D (unique_552) /S /GoTo >> /Border [0 0 0] /Contents (set_part) /M (D:20210608081201-08'00') /Rect [104.1732 219.7423 143.2782 230.7423] /Subtype /Link /Type /Annot >> endobj 18448 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 203.5423 165.4707 214.5423] /Subtype /Link /Type /Annot >> endobj 18449 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18450 0 obj << /Length 19 >> stream q /Iabc25775 Do Q endstream endobj 18451 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25776 20690 0 R /Gabc25777 20697 0 R >> /Font << /Fabc25778 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nपЎYzrv"i,䪍A ?wG?{`%( Zn\f  )N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18453 0 obj << /Filter /FlateDecode /Length 2892 >> stream xZn$W<@p_AJ6`IÐ t_,m=6܍Td0bcTzzQϨU6إߗ)c>UkkA=hm*pZGu:a'pcR]Ax< J '҄MR1co(pN|"B$VBB<1'a<.SY‰cl b.μ9sPnk!/+=28 *(; s]bEr~U!]թH a}"ۅ)0Ӗ5Fl ෿.X`!ʀSkv)Fdp6D3617MD@t[CeE(<)S)3r0œ 9LY+V@ذa Ngw[*]L P`o 'VL|F"/glC 9Vw@8ÀXNkUljC AoULxRusl ]A(DNRp"MÍx}Ê3կ -tp›ӈС5_8•fGb@m:+08vDR]! L ^j0>#I g 'ɯB5bdCDX޵>#zb̕6G'*x˚b0k>FN3ڛcg@˛3-i AՂ7]'on#i 7pByz+{-8:aM f9[ ̶g=Cej1IAu\_ɧnU 3 V FC܁#RQ;P;BU8d0O'P\i`LGb Ի-?_f<$_jϟ/#_YnZwzii-*0F(/㺲&Esm.{V&lcO XH$n-oiܷIwSMa:획Lu'b$z9j11 &)`[4SxCľ!t[>csy5AOD_kZvyM:/y #@qCie63puEE[]HQaP_R_u #4i)xYGNq|3/ zc' uwPQ0Gi ƽE*zX{*qI[~qkƴ wB"B3mg  F{0XIi/h^i^Iw`CQH\ܰ$`.8 Y4z+JzcBj|0Fp^߿W~;nKo9ـԿe5lQSc v`# *h0z!;46x kkţ12E2([] jUZmbY}K9aşD9i"k:qV Cr\rH|b~2><˫RgnZ{av$Z- n vPpgqӭׄ'oTcȏ:.)X5q s!Xq,geQDnwGE r2P;'F2LLe\)y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25794 18458 0 R >> >> /Type /Page >> endobj 18455 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18456 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18457 0 obj << /Length 19 >> stream q /Iabc25794 Do Q endstream endobj 18458 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25795 20690 0 R /Gabc25796 20697 0 R >> /Font << /Fabc25797 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fKЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛeX endstream endobj 18459 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1699) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18460 0 obj << /Filter /FlateDecode /Length 4556 >> stream xˎί@|S LL>9mN%*>խqXH,z<}/۔ab2zZxYORLMNMߦ??qn 9%NBsiqZ:xwLHntOp'| + >0~f߂tP,9} F+nl̕PRx+3y1J[M2ֻqE.A W9xB #̾ '`M]Z7 ^BC_OB)en$s5sbG:D Ruh9S\A'hhFD; b<.k4gt" ރ!Z <)&ƄcC4k#b>)ߦ_F!Xxk X Tag _@CYHMd,@S|ubV&fV5黐 R*F̯:~/H`{[THuڤDYJkx `@PC DS2HAdTC~ xaC7 f 0w0.n!n .TvuD=&B]Ulخ21<aqUpVb; (#}d<[&fCզ U,7 st;u _.aˬ߉; ] :ɱ";_k$^BY.PkHZ0)qR?1ʜb\\\ f8f+$^F*Tl``rvf]UbVrE*xaע\]u9`0ϐx#``:;Lʹ1 oIT]Ჯ>kn!p ?7Pa&&eBΉ&EyrB3{l8iYzqn|&8!I cH]3Ni ΒҴe쳧c縂* jiH _yHhOv)_i%<ы#7h22a:)eA>L'H"]ypvP1ئFX FHr9VzNNQp?FZ\"/Zl ^+y,~Pj#6|a9 bCCK.?vdz!mUnŦI2Unw8YO#'T zO'=ӯKEЕ̣#6C5-O%CKN2 50[8ڤXH$Weɖ|6.߉4Ebq䢫\$}v;g ZiJd j%tV?~9C9TB0o' ӏd1b^JVRęɰXGצAS:>.Zh$ +w,$mc+꽷xԦVB_q{ghf::.mdN,SGX^\vꢤ# Y&94 l6k [# % X2A)To&\g<=V} ScO1<87DnC'v?6H+Jsb5&ckԒ)qьeq^UyZSѵ4RHR uFoϋkJjSp f7aImu[`/d%Q sECNꐾv12ߵW2_.GBZ;n`B6n};Fvm1z5OH*P'yaUm]v,ܑ2Xn ͸QRNd-$w{9N6[ Ygw5GSm_4kDzc*GEeWCحzI˥vƲ͵8,7o3.D# um9ܒYy^pݺf2DžD6IjҾSo.)l1FӊT9!ܸM/Nn>9%qLP׹k>~xcAȒ x7>fv[ ٿdnc?i7ëoPՇ&?O?r@;x o0|}Ƅׇ\"tLt*^x+t%_߷∴OۤE!h\țR̄6Z@\i-rMpYKh5BB : ;v $(SYTHOjň8!!ʲR0rID5JDt"(NT%Zl<4Ȱ>PZ.܆R v拄1%kRhwKEi-T^t3c%zQXC5}huoUǂQ#ZM'jEjth`ŪM1td|[IǤY.^eIh UqZ蟳 "hF$=7qRH†M1wdXʥ[61oa*hKSyP좼 ɻ̮{*o6EF޸}AqK5Nܬ0ohHܤ{ͨ !),!7nI/y֜_Xw]7 nf$ns&] J%$Z73ם7{u7y_7yol,3' M ?f5ڡl880Q+dZfQ\(S{|2 * QqEp0Xjc\r0A^ jQ  `v\xumXċsip3YaKNYENA<뉏H- L+q=d NF0|%E7L6 Y>^ D<\@Wa"=KUֿěC3"$qj1cٍ@"b桝RY(g[ oyrH(#EF* ϔ_oT:Bض&K)%H>\@*~b/K*lqؑeZq^ųۇvIE望2@ vNM'@· ~=0rg/ϧI9\n<&ñxcyE>d1F(H lx9lY=e 0^{ur~Osvs:c\C'v5Х";qO vq T endstream endobj 18461 0 obj << /Annots 18463 0 R /BleedBox [0 0 612 792] /Contents [18470 0 R 18466 0 R 18467 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25813 18468 0 R >> >> /Type /Page >> endobj 18462 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18463 0 obj [18462 0 R 18464 0 R 18465 0 R 18469 0 R] endobj 18464 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 675.15 112.8525 686.15] /Subtype /Link /Type /Annot >> endobj 18465 0 obj << /A << /D (unique_56_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20210608081201-08'00') /Rect [118.1655 675.15 149.0095 686.15] /Subtype /Link /Type /Annot >> endobj 18466 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18467 0 obj << /Length 19 >> stream q /Iabc25813 Do Q endstream endobj 18468 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25814 20690 0 R /Gabc25815 20697 0 R >> /Font << /Fabc25816 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1\ endstream endobj 18469 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1700) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18470 0 obj << /Filter /FlateDecode /Length 4009 >> stream xڽɎί9@t!7!%vH"%2=c#QګHic|TߗzM.,A%,?"v\HZ/_~? a=\%_ľo[W)w~/?Z#тs%5 &r-O%Orpn%1ޞ_/# 3@;p 3_'G'huL\&8DŽRE'LNg9s{X6\w G0ޔ{pfp sϴچb&|dY3-c) E9! Pblc:xplW#gvzbx]1wnȟGdYr_'b|ar9p]ٛ;oIfo IN9cdx**QRV sg X6&p@YnV3&O>e,Rq]uEF ]QRyǽBW]z 2ny-:+n~`hC:\FY, Dη,L@Ge0уEj*3#ֱ×IٌV(1ń5р.ԩ v;}/hV+ \픟1JD&-fOlRC)cUBaMڨ-7h&wز Td!#F6(nhg߲WG+zJC*o x87B Cؓa<6"HCp2Ne 16\)QUF爄𛄠k9nAcU"irfyک @$ã%ȚAJ$VWE~4W^Q<?+y5e9֢1U]; |ppvpd})Y@%gYܶ)&햞Y]m|4ifl׼7€_/B Q3B݀gjOA[*Ŧk E/^=@3RS &Q Y]~quULYew2q<˦DNxsh (_ 2DŽCo"o`k#CҪFڕ`x`H -&E>K+ilS.Uh?i:LUD7Kmģ<ţNLAmcJjXlq[Yc M޸;u$($,q}8 ߋ6_IaK(xtxY;i,ROB4;R [' 졎zdb3j$$%حZe5pQqP+#T/ _C(NBHb7Y;.&[&!JTWKBp`gq  me}}"ڍ QnU+c#_P$t)(Y>_&a5nd#9dʰyd#N(*TojUgAbE1(h. 4P)vi)6Xnz1N 9lb,5 KZ?2rG'$3%=y:25{sA|OqYM⨜ w1uN=[>o8`{qS#9.ɽfKr`YdVW3I`˷!vB+"5u80BFv K&)ڤk:}2t=/0Y‰סob2jvm#sܫ^V Ku;nU\F ęfksg !U9RZZL_,EaHsOzH]5#t I*7z*ql.bM&0'Ykmp$uW({|XbT==h2ħbXDZT^du&kEUZWLʀ 8Al,g4QÏ^ 35J@EMb)5&ΕTHx5(̦% &Xe- w8s5V틡%Vi|62gWl9$aiUJ \(#}:rRZV|`kw*&")e4*ub SȱW1˔(鏣d,J ѳKgLv\+ZSEuMy1D;d*OC7jIÍ.~aZ>QWY/XM2:?@ Zm_NKX%Ȼ. ld =!$u(u-*%?"!-t C*jM,BASv;<&q:=oy"ugcnW [y׫-5SʂGwDEvTucvcOo#%pKJ?y]7|b/1 gj8W>G hS^m~՛dXFOf8i]Vjw kšs0~ze`DdI\wsI֯PS~ &jgCֶf15jb뢭q'<4mX//)<_kw~@vKÙ! dz6U ta2fCC 7d)ΣV[u[+vKȳ|^h48{Kzv~3*4 _#_oj^/?Vkh`"h XE=AJCߎ>ǣ<8_ :?O|,.?oC@<Ҩ!fД 4K-d vkk}i)y6#|K]gl#@ *0 MOCZYv8a :rk^*MK>J*NvJ$Ԅy8[!py7x,]0VǬ5P\HR}o6X_*Y"fG[\be}Yd;d2&l2]X9gsۍE7n#&0GCc.)BV7"x࣎ݿ}čF6Z ܍0'MTqSl1ykI[{J[#^InOc S|gB9PZ,Bi: CV8Ϸ g|92k$x?[8=Xl%q}hN%Ut-3a S5Y*k%P}a $eYhTaDe endstream endobj 18471 0 obj << /Annots [18472 0 R 18476 0 R] /BleedBox [0 0 612 792] /Contents [18477 0 R 18473 0 R 18474 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25832 18475 0 R >> >> /Type /Page >> endobj 18472 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18473 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18474 0 obj << /Length 19 >> stream q /Iabc25832 Do Q endstream endobj 18475 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25833 20690 0 R /Gabc25834 20697 0 R >> /Font << /Fabc25835 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41?-LB.FPQEq¨9 }' L_EԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶f}#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo7 endstream endobj 18476 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1701) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18477 0 obj << /Filter /FlateDecode /Length 3862 >> stream xnί9 `pCn0C?SR/dUP^~_"ߊ_>RŚ%H$װHp}[ ý?sOߗ0%B(PۿaXKy\|WK3LăfKs}w=%u" iB3MgO\ Z"Ls"G ~ O^Y + ^WӂpZNmץ JoѻFB`'% ji釄UpxdT6ca46QԵ鋪=$` 6sW>&/ X $ [%Ee݉CtONaǸN$}$-xyM=%@+OQ \{i:MK]Vccjt3;z>LJQ#P Դt,RJR@"GU*@,DuMVa/ȏ֫/P6 kWE̓2xI KYH{0p9.@mrUIpFqpOnPIUqܢfodFBN=Qg:zHkk=Q&q o~7Rg`M:ؼA$c"lͯ4}kL}(uIAKzR%s bl.YF`64ܫxmܲ+-J ut5<h~`לe'wO 04Jw]*7,֡ U]ƣ4[ϑD{_96 yA%H3"{1('2/#@ײbAXяMiΉ;$v݂zc/9^ISqB7 0Y׳;% PMtT'tqqDBwGAxؠОVߑΎHET]ftlv+vSYՋ_L@. &sk#vEN$௮A6(o͆* 7kC]?OfQsCw)vO}w _BXG, (y0ⶲپ`pC. A@hst\ .߀@c*`_=t)3U'I01އ̍S+7<ːK~tdz0 0߽:R5 XY2+,cGu# 7L1MSؖ)ܹ> 6VT); ^F=5i6΁k\3Ƚ#]KEԶb]}B;]lg$2q1i^Jz̮h𧝿$!NEY&kS*\I˦rQ"4;&m};+b=9ׄ@%S*SIr]NyQk+ܲ[P8T$0WAppN\cT 1KK좒}]1mxN¨Vgޡa`Jy*xA{ON#llovp_Nؘ\S_k/Hմ(vN}gi U}BaI(T5aM>W(֢Tbkc#܍Y3 F#VZ:AEn T3qqvݞ@'E~㓳C:[㯍+q;ocdg$?PՃ3SԺ $&ոzLC}L'Ee2|'I;$3-O'Fw\4BsF;R-BA3KwYvT۔L0+mWUެPx(kH"ѢEaUQΕ漛G عXvH5abaZ{nu]o'[ vW8l6vCc8tKIt+ZtP w)bJ-iȩE gLO P,{ <6GH;ף3ǵnz檂++Rriŗخ2x΋Ɂg1]`nuFx.&ʥwa>nB) a{'5 -<GֵFݾꉤ~K94%CZr~K^XypfYӫݻJbۉ q-Wv_h !Zh0耭󋠭V6X7$xV'sb{zKG \, >,F-G r>Lc\yď}8  bCYA3 F (8H[Cf{lF~ipg<=rw a@IxH+V<RmXȧ&Uc{r[y Vy `؊6̤{_>K:cW"Zn"DA@VōO02/g,&}F]kАNbFݭ^kܒ74GlDkMݯ|c*_#x3hKDX$E.2_&Y$c-d~W;z]-pH?K.93TdVH2"MXa*AZPqG%B CGKyea#_Hw=4ͦ<>;avZ%zhψp~ xY.˺QìR*_ F ~}XIQM,R4rđ,k&mei]yoF=?x϶Kvb_X?h4}%E; ; lYq۷|@h0WxBm 6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25851 18482 0 R >> >> /Type /Page >> endobj 18479 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18480 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18481 0 obj << /Length 19 >> stream q /Iabc25851 Do Q endstream endobj 18482 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25852 20690 0 R /Gabc25853 20697 0 R >> /Font << /Fabc25854 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ϪzkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ>` endstream endobj 18483 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1702) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18484 0 obj << /Filter /FlateDecode /Length 4181 >> stream xɎcgz42r0rvſbq}Oju$j,k_(߅+$-&3%Q#I??S/mF(:ߎR(KyqRR^.r7x Z"|m)SQMYؘU$?0e_$hi (3`Ih( fL铔򀏾J,V'76*^ARۓp3,=|*8_Dq83v4YEpNy&వc ',$ұa ߪ8Id|A̘+2}*뽕.WW _h5Ā O]3?ޭFB8>y_[?-;\C#3杺Hڭ$+\ H S֟ De`!>q :ew_ɯ2Z3% F(H2k /We%(>S[vʦȪ)|i j"2 3) [KрLL2e lj Oɠ3 CP%st>U;*jچMrSZ6rޖ[#1L ˚v 3PJ8Gkn#aRҺ~u6`v<ʁOl+el;k=^x$P{S t#m~/Y.>|C3Fu0㌌I0 ;$^PÚV*5ǾHTd&S[#:V2C D aC!e64܊]gujWv1ݓ͘qF75S\K@T&\gkRfdKj` g}aNQc^ e?>[Gvc )/155)2( PPPΌco4A)@\!]#˧<>bew9r\לđSř35+pPUATct8ḻZsCmEo6)5Ӿd% ѽTQD:O15kL\!ȵHSЍYT4P𱯥Os+D}AՒn+fA- j_NḴѡj0!eFR UY 8 E kh@f MoC:֔4D"Jv'7HjU#caH")"{f1Dw*CU۽eZU% d9CTl,1 Q0tF廨Teo? : F8-aHbeqiFԩ~?Ue$IO ZYV\SB $q A!["{ P|T5LfLGSu#V/wiH֦ZQ5s$,(?Ձˀ*YY ͽ8-u\3S_{cuX eD;j579}(mR X%X$6~e_lT}n=}a^$o]軍>zs]{Ĥ5,Lp}9/QɞJt`Rrlq*j S9773=eQ3vrajYڇ&["K.ua0Eϛ-(z(Z>+bw'l !ԁ'U'߀g.Y ouJPf5ee>-jɃָQ䉺s7HAf=9v:jq^]q꽁~KN9Of牶VU+d 4ݥ­f=wm[.Ov >)κ]WTn~wq$q.;bmݖtLjd/ϙ'ʵD-eC,>2KS\U'}ߚlYjy؏޶UsE'2:ׯ\z?=QPlRΪMhWӆK.#ζYH{m,M^ Lyݘݜ^\]<~tw ]$IQ&G)*q]89aKDw*\H#Xs}v̺.GzxR$ƾ4_-;ڣ}0˒s[- '[Fs(ba sV(d =$;HbRT]rt,@-->S$FP(FSk8@S$&RJv0w]-NZ4rL%<w4xlOy8Wۙ5*;X>vףٵsY5.~5sMrޟ)d,O!L)6ﯿ ㅍqM Q3g_~?{10˗|<\@)A0/? ->'Z2a]=GI9Das},j:'ŏHίt[^-1ʷj3<̍>xB+yC;=IrτLGq p>f%O.gH{o={x<=Cx|䞝q>@l|GO`҉'- ,,q j0!S'[f<'@n}WfN3CW/mR۰W.hi4j2/ 䭋&So:xBQ[N`@p > ?MX`akX^ҫ7UU,_@v!Ca z7deB+}*|b.Ƽ> ȋ G!3waw{(ÄLQ(̈́IFpWt ֐({,S=)6R_fkʰ)Aj5kvޅ|$6"śJSf{XW8 %QL )X\F=kү'K1X#5wd' cƻeo yRG'ZzGѳx'׶Tl%"Т k%_33Ɣm9:' Y| %n]Z-_/,7$8%́_̳@ĵ#LU/d4\Fݶ+T QN+i?) a. Lnz%B'ek#<"=nf.)vgB]b=oE41fm/7GsKjLF4lJ{ tu͟ۉ~ZG\JF9vsB@cH&o0Җ:.p?zb.]Hl4!^T+LT'òu:">HG:1yVh!B9tPt~N$;R|!):W|S_[&1Ls >'pzI  -~p\ؽ0.y]wleg; ThME` |ҕhCpv-sMS!L9f&3 endstream endobj 18485 0 obj << /Annots 18487 0 R /BleedBox [0 0 612 792] /Contents [18499 0 R 18495 0 R 18496 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25870 18497 0 R >> >> /Type /Page >> endobj 18486 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18487 0 obj [18486 0 R 18488 0 R 18489 0 R 18490 0 R 18491 0 R 18492 0 R 18493 0 R 18494 0 R 18498 0 R] endobj 18488 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 521.5 154.2562 532.5] /Subtype /Link /Type /Annot >> endobj 18489 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 505.3 145.1812 516.2999] /Subtype /Link /Type /Annot >> endobj 18490 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 489.1 149.6802 500.1] /Subtype /Link /Type /Annot >> endobj 18491 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 472.8999 192.4702 483.8999] /Subtype /Link /Type /Annot >> endobj 18492 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 456.6999 169.8542 467.6999] /Subtype /Link /Type /Annot >> endobj 18493 0 obj << /A << /D (unique_601) /S /GoTo >> /Border [0 0 0] /Contents (report_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 440.4999 225.4812 451.4999] /Subtype /Link /Type /Annot >> endobj 18494 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20210608081201-08'00') /Rect [104.1732 424.2999 219.6072 435.2999] /Subtype /Link /Type /Annot >> endobj 18495 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18496 0 obj << /Length 19 >> stream q /Iabc25870 Do Q endstream endobj 18497 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25871 20690 0 R /Gabc25872 20697 0 R >> /Font << /Fabc25873 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDUZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18499 0 obj << /Filter /FlateDecode /Length 1989 >> stream xYK$ Wld~Lmr|{=v.K%Uoƽv.jT(#ER4_^us:E]vY:\砝ӗcjZ&٤/oO t6{kK |_@`cqyTrs1N/lrˏOFfP]إ[4gĽӌS1[RxGPaefnV|K "9[!?4yR0yj&Evڡz/->S]h-Ѝphl`}.,AN9X0p@~Oښ&2ڷ!u&k$}o?&ÿ2mnwD5 ")6*>GƱaAy8'a #x)^{B 0)] WV,Ⱦx0^D'mW[L /]O\#Q3>If7;\";9rGԺm#-c]e}d>vH,nV# >[P͠>ed{Ppc)k>X iPxAxdB% Q -++ꍁw+Ty6JZr0!9*O +Vzn. x'O"bE10 g,(Q kd>Jbv#'$97Z\DfWF)玹jI{ pL\*[YNe[ɐl)*ܿg̙JI F>3{riE0@+̳Ɉ[( k%CÕ*}stu^NFbkt|Y/|>0wX3'#]I2C +_L)-J\b֐_I'ȓ9ؽBy;>W[ fWl-]:TSJqL.ƃ%>Bq+yȀthE.S wpJwϑ_|n\v®uFL{WQ~ޗ~w+4g^j1@ca2PLt6.ΙԇCl)as[BX'v/\8D{U]m-JݵHJ\$NTqi7pkـZ˃jF\/E@Z#X (Xo{Uem=În{VkFEAJSq{HUs+C{n %$I7r@Ŀ ]n"L `%f @}d; Bzz"C !pQ:7"0!um{L]Z&v)h&< )dO#̰d&V e4oeYVwؕ~Q12{(+fj XTy'G}* t?( 4 [׺8u\Z)ui´LqmP楒<`NޤFsTOYU WtÕBӗW(M8F%ϔ_Oɓ?y[:nÝtoCz` i(w\o8c>#㝃$ `[?"Ål9{ w{8y&3xzHHTqL8~' ۦ8;Qu;/Txo&k;vdϾ!bnp?]vߖMG?E,!.n endstream endobj 18500 0 obj << /Annots 18502 0 R /BleedBox [0 0 612 792] /Contents [18508 0 R 18504 0 R 18505 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25889 18506 0 R >> >> /Type /Page >> endobj 18501 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18502 0 obj [18501 0 R 18503 0 R 18507 0 R] endobj 18503 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 386.725 112.8525 397.725] /Subtype /Link /Type /Annot >> endobj 18504 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18505 0 obj << /Length 19 >> stream q /Iabc25889 Do Q endstream endobj 18506 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25890 20690 0 R /Gabc25891 20697 0 R >> /Font << /Fabc25892 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7#thǬ_{9;u S,!.p' J<jerRQEq¨9 }' L_EԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶fEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18508 0 obj << /Filter /FlateDecode /Length 4941 >> stream xڭ<ˎ$w}EP@UfۀOY]z|/)LvE%jE+>R˷ׅ Vo˟^b-u!>.3.E{H(_EUa(`]x\|Q犓\} ڏӞC_Œ޴3.Ӛ?+dLsi5t\BْL@ stϏgh''>Som]ܟ^b.M{W 4Bಥn _ yKk([9" ~)ػr+>|D_afK M\~嗟.g /|m@~j^jgzoPԗ@~iahCc/uR5_@nAyCw6L_|u#Odƭ3$mՙMrt@!.|ؐgҠhv.E`?@rܐS炈+DQw 1D&'Rk?6\ j j~[+C[TFQ3E':b7 DqVQ$Uh` x\;>c@W=q\6cԤ*{o7|(Uq$8wbj:`lpIv[D -sN$'Ԟ\\}UŷpZuF3Kا-GxT#A!3KLR's4}CQ֕IJ[I>Fٗ&=SmG߄msyzNP|s\5\4mэWu zJ|e /坄D|EvuK%50=l MK-4ku/F74 ->2u>-0(uM~LWWRhI0$ ٟWZ(DFQIgh4k3m%YUH@*Pc.Vo?J=HH9Nc٩ԛnϹЋ@bZQKa>)y5Ufd*"9P1R4# 5G϶(@p-䱪8"{~wV3zR!*߱J]Իba ZU "**}RGNeE24xZ"ҳJosy*LTkk[~+a>,,HB6N@`y[[egGC2>,$S];$%D-}ޒk)yvE̐ gJ,ֵm {5\#4oD(fw(yNTcZN=/g !cx\Ι+Ob&_ %vn2VM2uO 4Ǩ}=}I<s0a+ Rwv=tE*HS.WzOiXW×Ie~TLhb0D el=SJ'_;ȃ=SJF@}~ԇõ[{GE}u`:H+Kf6Is=5a5-~j`"(:_9Г$ `c>%}z{ \ 4z2ߓq"XHV6:6eK6MQ'3tmNՃ8pmyr>tz4 CD7vgI>kٞCOLۼl#6+ 8\mvS$MG7ՠW*CbqO7%g9@ƝfףeMȕ23 > APVS)_y>,9B%EO)_>4ALӽq]4ѰRM?ayiBxk`́օ-:]Ҙm3#5xwf-vZ>VԂs`O^BmݻuwYwi %KkJNX-t.M{ $76,h8`D`1tї%\aba Y``w8E7z[ɖ>sn/;? ʱ"a;|{ "W) NeO&=F Eͳ6YfQ/]f9Ħ3 ǜ]6 Kyge#]B{Mӈl.w*+wG2M)Ĕ "-J:SQJp{˖jgٽvm̐x0 A|aD\T jgm琈i1_Tskv<8.h,~`G$#(f{e ;' up,G"j5"$<["0ھxQׅ+_#jMęAds/]w.Ӡ io ŸaQvFY^Fl}ڧ"4FU}Ge"q1APX\#8y+l:V 0;gW@֒KkBts0teq|ݎNy4Un psցn2.wia8i4iF闚>Nv|Y}+ܻ` &G9o CXÖ"mP:L+2Ӱ"asY/x~Cp+ryhʁ@9H @!_ y%R)4e\/e0&SPe0#_OϢ3L L +ms&ґsz»ᾰX4TeA+#f>wGy/la%?QP[jXZC<'1aM5oPCfZ* V6|9ĉLKyz{'65{mb k15dBe+vyHA4EBXQBdfe%9`}hlJ"zC}7?"NgaEڏcE}?.>EX %pQc,֏Tp+p:F~8#]ۮ uӖWmA_V|:M_Od'} Wir>7B|4DY9I&q&S< 5ioUTٚunAЯ<'v7Q%Z)=fZ NXzl嶊-s[FcSIzqxn x.\ 49ջ ZUatm&TMݘKj 䩕T'lVn @we a[NJ̀':Z6Zau%[9n5h.ԃ{V|g]՚+9붚4 GMkfn"\,(C#A}vH xoJF)0Dzҿf[W8uw&(1n*W5:H?T1p=>(QbʶvC(>ަbMЬy(NomrqAdW'lwڛjÐ ߚnЙOyl?L{T4.?-s۸ /y< Ĕ+&CXz(x<_Y Oc2.Ňg6.*PA?_X7 ʮ/$43aaLd e<2Y endstream endobj 18509 0 obj << /Annots [18510 0 R 18514 0 R] /BleedBox [0 0 612 792] /Contents [18515 0 R 18511 0 R 18512 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25908 18513 0 R >> >> /Type /Page >> endobj 18510 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18512 0 obj << /Length 19 >> stream q /Iabc25908 Do Q endstream endobj 18513 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25909 20690 0 R /Gabc25910 20697 0 R >> /Font << /Fabc25911 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7L-W" ^_/jj; Jt١Pϡh#bwoh endstream endobj 18514 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1705) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18515 0 obj << /Filter /FlateDecode /Length 5061 >> stream x<َ#|6 TI%6اF3/GR.ՙd`D0.>ru뷥%X2QO//~vyetIFGo_ƆM9_OԷh3:N*'cRzX^>u:V)-Sicb6hlV^)(AMhJ)l%.Oӄl@㗿"IK:  Y/X~[3|P.qK=>,8Oaǫ)_s swfrpA> ȸgb#hG0P>sRYꒈ(֖4By $cA"D@i}NOG"7pjq$3ݔE 1.)ZM,6b)|G'h-*0`jG%x"X ]Ь4P*$ 5oKB%Cm,51 r M*LȁB,7Mۃ"HLo( _oKCuNg`v6 @!2:]v46/Az]`Mv:XN!jSIRym`#@F+aH*VQzE(9* @t!ͣH0ӗ+S)MeAHF3 %fZ "{/:$-R +) &A[`^|5U qOwZ B%eI'Я(ѕW?Gւ (J]:"KB/ZvR*bŶPn%/^B d\aP҇B XI<R ƥԅ8JáV ,pkqV*]nSev T^U"m!\yQ$q5Wp+uӠSK0Bܩ'_") Dj(PΣ(8Sy÷\Pb즭)A`*R\^XN5y`ch[xw~Gz"}\δ'PzMnx"MϚ % PFN郘RyZ5Yð?ßbVF_ 34PeV 4uX (oU IuA R(y¨ 'N loߜ^x!p% ιᢡoʡ&VH|,h\םCϛ_U`}"o $ ei'q ! eYX4 cHb}EcpCl:yjF3S0e˼Ǵ"`xDk#BM=+tj{ Vz:rQ6 FUFvbI)α5@GK^cDѩP3P~a&EaNꒌr>%cF%0o\=uH#B"^{Mm >V(L1OG%uœ %D"lFY5 $yp&K1c4R TL@֟gCQM1tO&4l>̝uT=NF`;n9?,oC!E#sի 1(!,Mg gs5g$H|R 5D`ms1A #0un(}NӤ30i_ɍ|IΞIpm4@Sԟ$xSUS^<͸ނql ʵ=IPxܕQMHn% eC~Vd̕ОN:jqP1{K\TKώ9]:Wɇ;pF_ZLIvM:)wF*dЧ<qleͤ 91]#4H\v}3y<0e~^I@+bE /4H׶J8A22 8&h8udg{ u[^~(^@BLc]6)}Y\qe̾hBSw<ھʘCIͼqtU]ʊwk;X꫊]2r\uZ;Ih#\f4-Z>fii+aksOnO^.޳z͎ *[#g&櫀ŋ6J$9(V z59M[wo=$6fW4c)[(YV5uQ<Զ=CM+t+l="u#\lhZ `Ϫklqc;j4/Λk%"3/Hn'c%Ř _<" ([g_:8̭@9;%~BβCDC]oBΆ&r6-JqY orjՁrd=}VPb{[[1SI-݃>>ǝXh~s?[D|}:]"R{Dm+y%x6:7\Im筣"DހtEy=w!+q}kX8i.`qf^:v0DNQnB9~7rs0ntJ! ]}Gfs9sxxw>DL cKNAqf^mIe5z{RؔbZ{y j]PO]tEwOK,B:pDJf2j/=7N-aiլѪ!Bg/}X<8~acm3ҳcSq<-#,U}F""Mӓ81v1RF}<6ahkS -6'L5C ˡGCӁO/mM!i!w05]V|X;Tp |tx'ba+B,^XpAƫ].攫]AK`2.NActVtray[`ime rF3 Č7Њ@Gѷt͚a\]c| yE~LTgl7a/ t }!҅zB':TomEOI%-c#SŰɋ405e2tَ,Pcl%~+3 @ 8W #,ʒŊ7 tN@; FM6d{+暁qڛH?`W,w=_T_SBxZe9nCP/bxs{;r/Ub3H2!#V^xTsA+ouCr lxh뵯Ar3o Po7)D4dd*{I 5Bn);ex 렬kZnj(:7TbI ϣ`L54q=68:drĸTYd@ROl C;+jsB:D?qu)tdZ㒫|u/7P:Fv9g9"+t1]VJ0'F5wi{'*; K{gc~4;&8%z -#agGyL?]0A^|_Ivq_~_ @O{s0c&IvO-tId"ȡAu={,knF"K! ξB0Adzކ@B.2y endstream endobj 18516 0 obj << /Annots 18518 0 R /BleedBox [0 0 612 792] /Contents [18527 0 R 18523 0 R 18524 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25927 18525 0 R >> >> /Type /Page >> endobj 18517 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18518 0 obj [18517 0 R 18519 0 R 18520 0 R 18521 0 R 18522 0 R 18526 0 R] endobj 18519 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 561.8 169.4802 572.8] /Subtype /Link /Type /Annot >> endobj 18520 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20210608081201-08'00') /Rect [104.1732 545.6 208.6347 556.6] /Subtype /Link /Type /Annot >> endobj 18521 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 529.4 179.4682 540.4] /Subtype /Link /Type /Annot >> endobj 18522 0 obj << /A << /D (unique_747) /S /GoTo >> /Border [0 0 0] /Contents (set_input_jitter) /M (D:20210608081201-08'00') /Rect [104.1732 513.1999 176.3717 524.1999] /Subtype /Link /Type /Annot >> endobj 18523 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18524 0 obj << /Length 19 >> stream q /Iabc25927 Do Q endstream endobj 18525 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25928 20690 0 R /Gabc25929 20697 0 R >> /Font << /Fabc25930 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`56thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XL*[//d"Xl˒sKhf>eV%p!g%`NS0Q8F$!īiWm`;!xڡ0 '^B90I NЋm߽?G& endstream endobj 18526 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1706) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18527 0 obj << /Filter /FlateDecode /Length 1968 >> stream xXK4WC4Fⶨ%hO B=H;>rd`FilW*#6:ZU1b˯񪾽xe~ZX}@Du}V?=AUhƎ:&LrCRepNyr1\tP{@IM `?>!{ bb֜f֦hŒ Tf܇O1&Ćti٦TpAc À)G6 Xx")=Cvi#6rHy`[ ;tiLrqbxwtN'][D\V&Lƹj\_;7io OL>c*p};O>=' +DZWNhk8D֯ؐu8)d]1Jb81)fd'm Bm"x}Ӆ*p9N ;A7A8S2CDNttwp߸fq?_&/B0tUH^~QQ rwB[8.lJ^â91ݳr=N A ?S-&09Ȼh3;t s2TxHsyc: KNQ$y"2wtb'8WݑZ 8B1 [z'A6]V|Ct[LpM0] 6l-[gc}[ȏ z B5Z鴇ELC_h` _/p; ၉V'E ՛,aF:5Bvo x#oJ߰7h({{y.m KϢGjO*,[(>Heًa߈ԡԭnf8cP:N߁^0tST'~[eNuLd zin +1K6WhH^h$ B,&F*N-&ךG&#O%Ѕb=nBYElXIV&,3 f0"4S)3Y!n|,skY=m1½(-F!Ԃe]q2y!+5v(&l1Õ[7Q7S@:V߹)-Yc7'5<7I>f7J>\/q9UzWu}ƏX@ 'P,pb⧇A  "~6DEHTcup&D8I% cf>ZOܓ}"=[iZo3iѡ ^WHń9qzs9#<}'KDI,/_Bdp <=ࠔ sy änQ >q//(kpfU؏lK!gEf2f.]Ls endstream endobj 18528 0 obj << /Annots 18530 0 R /BleedBox [0 0 612 792] /Contents [18537 0 R 18533 0 R 18534 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25946 18535 0 R >> >> /Type /Page >> endobj 18529 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18530 0 obj [18529 0 R 18531 0 R 18532 0 R 18536 0 R] endobj 18531 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 302.225 111.681 313.225] /Subtype /Link /Type /Annot >> endobj 18532 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 302.225 139.8465 313.225] /Subtype /Link /Type /Annot >> endobj 18533 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18534 0 obj << /Length 19 >> stream q /Iabc25946 Do Q endstream endobj 18535 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25947 20690 0 R /Gabc25948 20697 0 R >> /Font << /Fabc25949 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Z,ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ Dl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ^ endstream endobj 18536 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1707) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18537 0 obj << /Filter /FlateDecode /Length 4237 >> stream x\n$7)tK M2@=nX \(U]]qbJER'(jgVgu X2URcbzg[([h]+Y wgJP_t4a|~Ꟶ\>çseƯSvW&c\tlb밃.yO:g>B+gLIƤgq.ZM02rHJ} gʄ xD]@>ަ9D)蕶NYc nR 3(qS(+p@ӳØgolr$vL: !kO!:T?x`ybʂ'(?lŁ ,ɔyw CEUPi*y.ց?s7:N<;a:?/~P<ðْMY2e2en>)sfL<?qvj1lc3cd7:#= {zH{6'yYgˊY-ZFQ?uaV(J4բq1[dVݩ.o:l+ddج)n(a]WޡzuNիݪ^Q_72*Ps;zRgB1 Ԡn9,H`Vw>`V)⊗ॹ j"9ƕ{8 ϵ;Ml<\Y<D]č((K)w$)ϮU7qdG׃P&UbAuSm.~*H47N<R^P-TVd^`t3M}ps0ON,/,E6Gtcw5~-C^‹9i7BB>K ̕Ud[0 &aHpJBj@B+ 8Gɵxpb8CeD'he񾄆h-hM ﻰ1lWQ;{; VV-(.ZM\FK(;Daoeކ{9 c (¶c|kAT EoYpwt"o^}rnYyqA(ֲDa(ih5:o֎)zhQW b+#/]޻1޳z XAwn3֦AqyLq]{7\4_lǽˀgS@[S$$HL>V-3j7d}zdTOb=6GQ_40/#րT'Pt MgzW g贋jgHgX %E΢nDɉ|_"a_+6*Q8 uOţ;6{<+͢-ɤK㼏p%kA3OE%9UQ[!pAkUn>r"%n&eRok .kުRB[Fo5]iMV )L0*|PRa-+iiF{+=4xdhxz{oiBLq0&ϫĹ(]Yw7zbFU$B*w]<Ї4 - H{ :?E]01ʪbhaㅅUrkLUe+79^[8[222\z|RiJB~\܍J%m7x]S^zLHno [+]Pl.-e 7-Xᢒ^iw*[ "+el=[V߇Me@R{}^b}6u}C-ޣnuw+꾹spm[n[-RP5bK"7hܙaؒBЌ鹛2,>r+_ KE'Ls\Lq~4l_/Nqr焴lyrɑBfF*; (jQ1̈^.95Vϓ"lz틟L2m>M9ڵ-}ó ܋9q<=$dKNUXs(DAMFd ɩ0›|V~<䖃lk= cq5ݸSS@ڊLD$X _9q_-  iΊnI$UYeISV5JU 9άWD HDNELs U;>RMIrxlGҲ^iͰD857m;f*N27Lff墣"ѳ2ezStcf 6bsC]-E_S8?_Q͓7~>:|\$}'9fRپA8J<MP,M.DtX=D8QF}tA:@/M8p {6K;1޼Tc#Dl=JqN0gu.8´J2҇elb"@ a]8sŭ#l#Ryb%|}'N27 aB\cl;&H.P&׫ho>^a`6í^0B,Kz%  WjjMV3ꊟ< >bt SW~ōɐ' Dm6]3>- n/KD[ރLiwN}:5r-m\s\ݷy "c~ a[yWZyO\zaJ¬]y g-v5 &o? dzn'm.b 53o(+jŎ<\ʕE:O^h'Nlx9U4S1WL_ެ 2Ի30;4S3yמ.U{rVhi<XSafZGp =yY$B"*}Ż<զ <q,<5eeہ>D@j`P_F];ҋq6ڴ=ͯ2j/tk`mMG]Q䅧KNp JvHBtGS1,^ԑ2FXmtH񅳐vr'h:6A4ε1 v,~{]QehtԑT'}dNL PP@n=kds71#ÊtPEA^ng 2imu3bu 7^AG7feD+13&MUCO&[ E5wڄ۠ 3;FZ+ ڭt͓gےsn%j-~ZBPy(!IM3 8As՛x(ע'(r2n@LHijo;.bRE7jjhD* _U;Gf4Dns.1AAঐ+9e- _KI8Y n¯@׺F YXUJ<75C%@&R[Ѓ*Sotx-iAL7D1S)/dkjZ~9:o1U ]/22kf W캝h3"b?1GN^,kJ$xhxZ8U8V}`¥QE"bsxbbpY&H/?RoϬn.;e*#K7״/3ۣ3QҐ;+|iuYyUg6sW\0 mn7. A\ꅙv׽59gi8yۊ>O\rSDx\g qɸ3Mdy0K7ڟeJ_x DЉ/<\NTF*xs⇜! Gn}`0D"~3 0TX{^hy:# tt?d;)~'ryAɸNrkr,%B oXq곑JШ:LyU(.n!]=s yPwٷ?gT+5T|L uqB/ endstream endobj 18538 0 obj << /Annots 18540 0 R /BleedBox [0 0 612 792] /Contents [18547 0 R 18543 0 R 18544 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25965 18545 0 R >> >> /Type /Page >> endobj 18539 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18540 0 obj [18539 0 R 18541 0 R 18542 0 R 18546 0 R] endobj 18541 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20210608081201-08'00') /Rect [104.1732 171.8925 169.8542 182.8925] /Subtype /Link /Type /Annot >> endobj 18542 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 155.6925 225.2172 166.6925] /Subtype /Link /Type /Annot >> endobj 18543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18544 0 obj << /Length 19 >> stream q /Iabc25965 Do Q endstream endobj 18545 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25966 20690 0 R /Gabc25967 20697 0 R >> /Font << /Fabc25968 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ZЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18547 0 obj << /Filter /FlateDecode /Length 3415 >> stream xɎ^_Qgdj_3rs0@OJ .V69e%HE_}UjEgZz'G~E5sֆU)+ZI鐔OJ+h:uoU:Y򥼻܉ ,jVy >2J{I5G`q> G/ITx P0W境N,<,Vĥ Z4 7@Kg q;|- GBx4 A |(j}=5XK( Ne9*?Q? FN<ɨ0^WI[VcPYv,< ^C_Om>͔݉[-ڮ6k`{E;%#rMfP&E66+~7ج8Y2ͻϦ Vډ/4qlF̋8/*^Xx ;Kz!zQw%nx,9/jkتnɲl͏o׬gYYs,DFw[3nVҵͫ)gpE6~CI|C$j;v[8N6 UbKkqDn *DiRtƮZ; [RG~iuZ8Ce͉`+62nMO%wT_OV[ AxJ0L j87:J5 bxllQZx:.5 *g"ZC*bRmRLěj^@Uyլ{Y #HQ P9cs MuQp\ tL@õK%EtH${t; >Ԙ9- 2GجX8YR<õg/pN>sSv I:w5ƫ?)܄Ve',xLh-aÚES Mm!ԹLNKT8"|i+&Mf=ބWL}+Hzޓ]unplG߸"|C`qO7=u X\C}[Q5,EΎ[j`lsɽ¯V8"kzxm YyzrZDweYC'phO5>Ni,,Jh2QPD'-.Fk\ʚO,F$'Q5CܪI)n(aN3 {Z?ZX'>< sF,Q]UR  zJ۷e/Zߔի|KkY+=;wcO/*/yqݱoq܉=3+iec;I̎^Q47Iv%FŎ+4 K?_ee/RxPzb*i Aw[^jI3콑m JiiҧߕnxiʮuŻjKo\=Hݍ?qi7 aw,Z+vʡw.ΐ֡v9 >Gw}$^ HBv V)Wf ԏigG5G2C6Z ֏ pzvȲzį0ge$r AP>h{6|j56XJ1EpN9*:=F$py>*cZ&:J{ oRfw^2Fiu,]HI x ._ %/|Xj>QG@-2}O_ቑ9~P5?Xs;M;dH@&\|\ s@7 i[0o "~6z4||kr=яelsl7A=\Z5PdPdsyw` H;yX7*-ɨ9nSW*!/ ϳԀxm^!,Уt>LWcF@&^/W~[U8VT1k*FMV#{RW%#5Y+'T/]TVOc4ȇ nE @,D'@2By x*QTV{[h)h0rfns-0}& 4Dj#6˘tVC0=CK|q܌a)^ܿQ}u"=p~u0a[ʔ(S9?l~n:2 &F9iɭKk窲 Dc1Q X#G? ]H FS;)O >-J%OKoxQ)f)){B=cz`gP]i>;iI*\PP:ET#^JPևs=a;#Gy4H7.M6\0͖-ppL9 cx끐??b+. W"RH1|e<:,z8 jl% ԕ+ϓۅksRvu߹&ҬTJGeNmUI2WyyCrr*˰lQYhLʷq endstream endobj 18548 0 obj << /Annots 18550 0 R /BleedBox [0 0 612 792] /Contents [18556 0 R 18552 0 R 18553 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25984 18554 0 R >> >> /Type /Page >> endobj 18549 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18550 0 obj [18549 0 R 18551 0 R 18555 0 R] endobj 18551 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 392.425 140.952 403.425] /Subtype /Link /Type /Annot >> endobj 18552 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18553 0 obj << /Length 19 >> stream q /Iabc25984 Do Q endstream endobj 18554 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25985 20690 0 R /Gabc25986 20697 0 R >> /Font << /Fabc25987 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p2ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfTwl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}k endstream endobj 18555 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1709) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18556 0 obj << /Filter /FlateDecode /Length 4550 >> stream x<ˎw~E4dT|Gƞ14|TWIԝI& ƛd4;#-v>:3?eU:r5ӗdWcrӗ/Z:7[Hbl[^Ui[[%mE}'MAbS27Tms\2|ҳv%xZD3NS`VNyn2\]62eN: [;N颡\vF>ϫx}a s %Gw h]pz$Cm 5B *'PL*PF-(y4A2vFtRA LSu~ڲ byi/Y}w OsKVؙxEP-fHDe:H8EW΋ѧwh3 &Nk*DT,%Fvf3LicFoX-!m }ԟ n~YIKqnv\πC"Ew4fШ4<6ȇw&:Ly}m 򜑞_ m2m^m2e}rf TFʲ gҌ7YCxm$ɍY_d󇂵/>ªMuM!UH|e_"y,BʳB[S* u(+y}E1k5yQMu廚7YE,̍X>N+"z&UvZM\s4u4 phִ7FD>10xN:W,5^_UcyLlA>WObpcq.yz q6-tt>:S=٭fN)-3imlbcUB+l"sOD[ʈ[E\f{`*̏Rrai`~*寭>p݋F>(Q꘵bʖ:KwYrU/SM,Hq.|k|+#է"Nwn7s僢!-n{1gýt%3&-qN[D۹$ 1ncTWO>Q$1ħN7dK"܈׎TnH>[RiWLCHV RNB9 c4Hox!Vv|\YYq\vxd\FeRB]~pl̈́g/{LGJK> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26003 18561 0 R >> >> /Type /Page >> endobj 18558 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18559 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18560 0 obj << /Length 19 >> stream q /Iabc26003 Do Q endstream endobj 18561 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26004 20690 0 R /Gabc26005 20697 0 R >> /Font << /Fabc26006 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p Aӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18563 0 obj << /Filter /FlateDecode /Length 3579 >> stream xڭ[ɎWys_.| dk e@;"2rlFEfUedd,/JB _rM gETxIO7[JmpeQJ^r?ESLerv (IRJ" O^]"- P1 xƇ("ui0\ڷՋHLZ`ͱcB80! Hq2䏾A*{6Xkv221G0Q42H}3/&EseCRPYi=慐5o"< ZD1mC%MQea^kxh _'r(cqu/2J2|._5 :X^&ucД |H.RiX o90y֜)L:m~d]V2f:M9U9e t芚B ) 5.> 0)4ar4&[ȶg}љ6}paW .LHC (q J`'To ,0EWs9+`a 3vs*鍠6AdMU:ƴܡzvQI".0 :(;ofwl9l͒bl9uA22=6P^Q={m;K($~.X#2= Qč(LWf2<9B<.7؃>A5?u˶/nNʥP|=92 @p (?JNW,Q58È&g \D?DT\dԣX\CLAHw^|6aYS).IX`փ``7^yb3 .'1آVQy'`B+D]YӱR!c1L>X@ĘRlwr".qsҳ!j9X3O^9!c3=L*ȳ4$Y\ʜlSi*l<3] ݆:Ba<fCU9MWR^Z\S}vZ\{\˴uX4^5 8Fe*qYǬA *, Eo\W>-uX;RmU5[آ)ZӺSmz0!z(41@G=!MK7:~.U }\</ ҄@Gk*~ 䬓` 5*хj ֮.< rS>=t>UYHn;:"&OxT1%_Ĝ첺/wV{5%IVIVjǜvCkszi:XiLwfl{'2ې)"p32XJU^7N*Wv$}x["F+H+/ޗ[ _(VtC8+Y^[/Y?"y^)ٿT{_}ڴ/ݝ&V9MNݚzqayWCN Mu?wZHbBwHuQW(=~Ȩ pdMA0W m^[윉[&cCEW% |$~Q\atx9.tѻΓo9=ј\W0c319({;t̢W5c:QH|{u3P7-nxFSVjNZh=Vqo~}ʥxw? ᳭>iPdls0Z< t-^ ׊&X.6z0.n,ERy3#QpN} .(xq;RqM߅u x:әHǿ_oxs't;qv3q4;XA~~ZxɗTH+@zVm_^*}đ W'C rx8yVm .:=_PPLEkC#qAqv^iZ.ELƘN*//CD9߄<< Twf ޤ 0R9fPUvIeO\Y#OkŇ9OK'OɎ3jmZ`G vmZDi&L2M H- rlxή6֗ٚ`P6$r&\Yywa8Fg:I;tqQiaDz!{ >e14 DaXrI+0#ZӝMd+S1X#%~YI*wfƱshXᛌ'-WDSD-)E?_\_&]ӣY_Cx&?  ](l$Z Oܺ%ͳ{"bޑ V A^2|+f"\q2^ВGy cmxe|!I;)O+3AO>uC#XoE6(S17GsSj6F 4l*{ ƿ'zۃ/웿6Oms))b-SWBFIb-u$.p r20R[3?`jD96f58ׁs{.4|溈#:1y?G^6"1 *@1ma9Ov+1)jog|k$dy.޿x؃ÃPm8(kH]wޝ΅vsg¸,JPbG^Vt߰l*a*+Ɇ%Z0gSa). vbx endstream endobj 18564 0 obj << /Annots 18566 0 R /BleedBox [0 0 612 792] /Contents [18575 0 R 18571 0 R 18572 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26022 18573 0 R >> >> /Type /Page >> endobj 18565 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18566 0 obj [18565 0 R 18567 0 R 18568 0 R 18569 0 R 18570 0 R 18574 0 R] endobj 18567 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20210608081201-08'00') /Rect [104.1732 372.0346 165.8337 383.0346] /Subtype /Link /Type /Annot >> endobj 18568 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 355.8346 159.3712 366.8346] /Subtype /Link /Type /Annot >> endobj 18569 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (get_value) /M (D:20210608081201-08'00') /Rect [104.1732 339.6346 150.1257 350.6346] /Subtype /Link /Type /Annot >> endobj 18570 0 obj << /A << /D (unique_688) /S /GoTo >> /Border [0 0 0] /Contents (report_values) /M (D:20210608081201-08'00') /Rect [104.1732 323.4346 169.4802 334.4346] /Subtype /Link /Type /Annot >> endobj 18571 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18572 0 obj << /Length 19 >> stream q /Iabc26022 Do Q endstream endobj 18573 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26023 20690 0 R /Gabc26024 20697 0 R >> /Font << /Fabc26025 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 18574 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1711) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18575 0 obj << /Filter /FlateDecode /Length 2405 >> stream xZI$ǯR/P*mLƧGt/{UH4^,oD6WN?>>fu X2gux?^V?|^4Q3Eóv/$gLNXwxs΄hN֔$ Qpɚ~scTbG}69v_#u%egƆ%j'ol L9154̘̊]J }VAc.I=fr+rOcmfZN%jRl=ҥU*Vz+[*DiNY+f%-Dd,sP [HRm7f8TbM6:9?/L5DK~=|Q?OSypgYs?rSʩzqN?`_b?d,dz"˿/)Bqm*9<ú= n-k㙩 88:u{;:-z{y|$(gt2bx~O,ZzC2Z+_U.6q"]:4gSG׆8q71h""!jg\聗r[ٮvk%R`.;EcJOK"o$B"v[HvR`x: n#]r\ = LGBu~يKi'_ap/Zw #EƦHb{8*oTӵ*{!lRRcgHZJ]&o:_L60 m}"GJ^*oR,Ԇ%Y ͢a]nש8^awJqxnVR2c1K%q`Z=>5"ZE3o(|;YOPP#GmWNۿ#rS9r8<#;q #~-~(jM`Glxlk}=h[*7ytLmE-fyB7Ut),&3y(Z] 贋 "8 v@$t`6"5]DǶ/!,}9,*1R3ޮ{xQ~өes|D?[x)Bx^+Ԓ֖X9Új%^<|XF/ZYNᢕ';m?MߘhQ3<=|Z2W \`4I_K\/O"Uo/93dsK2&=܍|.?cth v 6)a6!ӧ *O%$d@!Zw]jKlQlQ!=Hh+>a.2R4XǡN̎`'l@5?sE<+ $zvt]u&TcM\_ ~cvwu oWe? t^ÀA}ks"շAձr^dPdd۷(xr xOԼxva }"j k J&.8Yz ,VOں t\?DE"NG< bqJDݡm \\3#yexW_պp..Qzm!N΍HqK=nh(,g4e} Է&689D ra">DtpO"Mm0:Y%#6n Y“^Y%M8Zn:F5Cils̩Yط) Ԡ6մ(3ȚUQ<Cl> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26041 18582 0 R >> >> /Type /Page >> endobj 18577 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18578 0 obj [18577 0 R 18579 0 R 18583 0 R] endobj 18579 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [90 243.825 151.237 254.825] /Subtype /Link /Type /Annot >> endobj 18580 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18581 0 obj << /Length 19 >> stream q /Iabc26041 Do Q endstream endobj 18582 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26042 20690 0 R /Gabc26043 20697 0 R >> /Font << /Fabc26044 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} T endstream endobj 18583 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1712) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18584 0 obj << /Filter /FlateDecode /Length 4168 >> stream xˎܸίyi3 ͻrrr%z-ufzd#HU"uR3^B)*Iwq4xVӧG]LӬ%5߹P*̼tty⡩ڊ⳶)"Rbmo,ns><=}_OȠYO_&'mQj*_~ 'B\Q&UOBOI83_w?-1& ]brRJ  ^Js+ЏQkD^ý1 dzIyDkO8OСUy%G@geF<'(F~zsn6V|@ A6 ї)tzQŘ2IF!e_͈fĮGJ*T# D&G(,wf\R'N&q$v.=5ҳhyWXS/K C-"iV*}^W2 'ZtUh2+@`>Vڡ,286b>\SH?FKF*RLS OI fcGH%J<پՔ FVBys XQ!Qe`x_QCTڇE,`<!,.Lb;8Ğ[`_փryJ@Mxv\Y!?>_g6<(Ǣky~Ҭ:&=ıgXys"1nʼNWEiŚ(9 GM85)gla~Ei4ջ5iC.q%a`eDEXx\^Gp;mv}_󺀛!ء ^ yQ` 5DmU!.,nA-Ay:$IM8 HBw `AƻpA4߇+a ;3\!iON{bwuSӮXb'k \XUCQ(JOI ]O\E|'md7'3"e띭@m_:$&̳ٴ5iMhfs㠮CUh%aHոb]VmEBazh˙ ȲJ@ȪSZe{d="7Bb!S|.lg8{<>sp{쇞xY\\)X\jN,/H}UڠyYa^e+vmqJx:цH$ S eکMsþP#E5cKV $OIXTooeւ)6I_$8ϩ޵¾|Qހޡף25 j:6LTYj0VZ7ߒQGN[}#]73}ۦ pоvo{{) ^Ftph=YtЇ Êux]dty7mRkuZej\q9({}ҿ(r~Gw׏5yl6P-8 께ovٛ;ϫq -Ō{~Di̿gw~KQK| S/])+/"6bh}%d Z /Y@ٕR d&Tzq=6u3,V;6A +p\ ˠ n0!ލt #~2KIdS-pK6$2 y:aܔYo坱J8c&JO43|$&Q]e4Wj/r0'bKJ:wxNŢ8u;BU(j&ZJR }'v9L(h} kuռĖ]'JYu2%\\bPR2uFZV$iؑeְ^d c;z8[6-+֌ }#Օ{LNv[V*kmTetMW{vGeKY U||I,G0.-.3{zfz}+b2[F2[Fo2[V3[B{f!61ؾ[7""f߭ZAv ;q-Ɂïs@A/+: S>akWs0@h=xD7P:wE^̛XDmvw:^ \-Ef6Ӥ,輊ڸ wX8k, sw~o[>擺 rb'u^&$坔s,{w8rUʵ M2jpcK~&MZe^d@QCUV$1ʼns줚'4`׼f/W *3nH¡w5QVR*5Nt?gF)k&jbTʷb`\gVTMN(Q6C%6Z BR]*2+L~J*c5?iN͔ʉȧL3Tf'ظuԱUЂ *a7k:i/sE[~ @ ?_Ewr JA5FsF, )m7&uJz<(%ڰxƌS+H?&O -yI{0%OɰXi^}ɿaxG sAp pIJu7Mr]8q{Ҋ*|hd(L퇵AKi)`ݼ!ib4:4ɠvSʅ5bJ^Y0 yi` %}Nl>J]G˙9h@u`rDor,9 `] e_+pTp-4n t)DGy/~GL qobQ(K#EkĤEyVtb340 8b\O,~#t3LaAKٌZjxz˸s2r}Ŗ0-x1?xn%U3qqi>N)ljsQHT9bX .RRK,Lj͌֩!(M_ N[mӤy͸ye'L' 0y/,u2fI [w!kĨ$\2Cc܅DHUc3"AaP@ܑ5k!bh?`W0n@XxM7OTꐲWآ k'| PdMMYf0mL4&}cy|`It/Zjb'~K.̩jejj9 ù%x^a{zgT<V8+焐)&Y|I%SY@r/Y;NO""ϝ:є*eX B2=ޖLEQ:i(: 3 P,|E@f9Q*[ɨ]x,A ]pM/|NsFD>fmLm6G" x &B}JQjS#%nE)o]v2]J8$'| aO&RSr⁩t|IP佝̜;`?0me!|%8t~S~Pp:5ed蜕ʑj?ϥr?k2z3.o- nqBþ)dO<.%5j|ZsJkG֝-B3RRD ]u.k,CNJYw.βb4 endstream endobj 18585 0 obj << /Annots 18587 0 R /BleedBox [0 0 612 792] /Contents [18595 0 R 18591 0 R 18592 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26060 18593 0 R >> >> /Type /Page >> endobj 18586 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18587 0 obj [18586 0 R 18588 0 R 18589 0 R 18590 0 R 18594 0 R] endobj 18588 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20210608081201-08'00') /Rect [104.1732 156.0808 174.7162 167.0808] /Subtype /Link /Type /Annot >> endobj 18589 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 139.8809 144.0317 150.8809] /Subtype /Link /Type /Annot >> endobj 18590 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 123.6809 138.1357 134.6809] /Subtype /Link /Type /Annot >> endobj 18591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18592 0 obj << /Length 19 >> stream q /Iabc26060 Do Q endstream endobj 18593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26061 20690 0 R /Gabc26062 20697 0 R >> /Font << /Fabc26063 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫)$Oջ]p)\ykcȅ柫=0G35V-dQ\0Ɯi,N ?DW2%ī+O1%PƵa(Rɐى9:Y#rU ((P[QSqfgя'~'DS=Rȃ;6+1C+pצb/mk: S[${JLh*$RG"eSRj)\Όl iVR`^$jQ<<9C99 3/s#9p!g9`Ni)QXI*d~{QUh^@wP".**3h8r}-z^lM endstream endobj 18594 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1713) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18595 0 obj << /Filter /FlateDecode /Length 3300 >> stream x[KW|?4@n Co/SMZ؛ڐ%bWn_.6gd2"x6pȗ.*4Z˗mb~z&Z's5s<Îmh)kop_>,ɀ?A]Y+9N})ANpW|ХVA/eP*hbeV,S!ce#_a\Wn \NjE fȜXA wl@6m H@XG*rwW$޸^% KBx#Jc}HbЉOW&9qh68.@\?rL(װsn^(V:2 4 BMdxZ9CO A7 p#߈=ݱG3 #5#xے%ݝs`n0@?Vc 1,w{o 喕_uq&ޣYis_p1W45hml|I $ZxZMhUQob|J88'%24sM傭۷=q-v)8$leηؔ}G eANnGAMJiLHsڄ%2ŁWk;):S5:nt<& @ͅ`3;j{9Pe7y1p`bW4d^L_=>*+Tg8ibJodqwn"5(!znހ譇LR J1$G㾱Eax$!ƱtW)2T,Q5h튙~$2D.J 2-UJ׵`aC0Mc-p kQЩl掫GZѮk`&Y3udeܷI{ <bЪpzc/]6`;4-u: e5Zr8 ;Юn V6)wCKF Lc} JF`}ԺjmփRM l"-ꝍA7Vd;wO/bjwL-rgwbjs+9mM_:=زyZEL=.܎x:qMLc :)XfCM߬gSb" I4[گ>y u悆"$V>c).nfk L8F &=o{ ?  .,UkOX=K^/Oן҃K,qOO'|)AE6o,OEz'.k ӽQZfujh|r/9ܐӍL(-D|~[HaC|>FGdG|͎ohYM;5!6 P kh G&wlJ*_.{?%,& ϔ'UkqhX@R._kI55ZbwGVJi$Bs-N^^?(wU4źo}R&9++eiU^>˷2ouFcus.dOkW +؂ 2:e.".XTU&e^gpK M'-tP$hu&AMs..9ws>[Uz]ܔ:s !*-Q|Kpڑh30_n;i\;&:tGߋ]v|Slw[+mKM7r^e|r}&r'8DN~*)bv{ۈ\)y~hUuN X3Va2Ox *֙!lF{'S=j#G-3N8T1#U~۳`pŞ5q Ǒ, i-e@|K3|'k MC]oV̒Ok,DϷD,%xW`FW- f85,m{=zݸ޽xی&|G+gX!Ұoeu|G.MCn+3VUxVKٕ U5X?^HaW%5&x%OkI~V)r]V/a[JA2 1T\d hH' `aj=a[ʺmPLl} *#jW}.J ^mS-9p}.Gʧ~u=ղaLzIC,@`U|r`>j'bO |Ӿ귭&U^ PvSh<*A%X~;|WXfjT9]wU4W|AG KgQ-Xt'b@_s)R endstream endobj 18596 0 obj << /Annots 18598 0 R /BleedBox [0 0 612 792] /Contents [18604 0 R 18600 0 R 18601 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26079 18602 0 R >> >> /Type /Page >> endobj 18597 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18598 0 obj [18597 0 R 18599 0 R 18603 0 R] endobj 18599 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [90 335.525 131.8275 346.525] /Subtype /Link /Type /Annot >> endobj 18600 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18601 0 obj << /Length 19 >> stream q /Iabc26079 Do Q endstream endobj 18602 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26080 20690 0 R /Gabc26081 20697 0 R >> /Font << /Fabc26082 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pJЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18604 0 obj << /Filter /FlateDecode /Length 4618 >> stream xڵ<ˎ6w~R(4P9z{]{1Z}߈`)eچ]- Ǣ ^/O-.Qa].EoƮ`H%X\w|twQ+wﺧBv w :}8ex3y|ArB}qҶW WI\}H(Uj߅^a._͢F"vqi K`5ą9Kߠj)WhG\}{oW_f1|ZA~Z"Ӏ2X)9)}.㐜 䚂3 -D +I "#3{+2L|Z]hRK(G&+‹׎@2FN|Ghd9;*}Xcc@SG"(ݨJ:_AdBoRz Q>K xwq3h̨cNQtzɆg@{UDXViɁ:WMAj1Ρ p]س:oC;8"@ __*pf9zm\S =HW@oM~Jڇ| dg |0F OekPX҅$V>lՎdp a)6UIVL(ɼfYLHL՛g|mf,б>=DPg` x4'U/#z!?;[bՏl#;; zNz ٕp,l\I޴e(U\TA  F.f &]Ӿ"gY^5E1H]J?n8mz}ju ;j;u ĮLr^R=+q8.嘀a-$'!ДU~*PRüܩUYLi*fg'znD:8ai8ςx)sUiXR5̐%Q[ȋP?D%>of5B :06N[_Y,D0@:lI6|pVIW .9V^\4H(֠lxYqB}CXnncp< ڇJͰŦ ~Ŏs]^)qspn*T@q{,U+O&N޵4rdv&&?Ɩޢ<2OD_uz/5͚;0d98O, ?.G+{LMٳ)ɶ Xu%^LuƱS͂{}({/ '|_3H.Q;E?WpCv3cpm G(,E(+N ~T7K=GZ%x:FDš4`Hi~Z@t`j'aZb ypiXntJ׿~-f:P=BeRR{$_[YZH් n+,NaÂ;cYEK(> Tv|5*v1-)=8*MsNuBl*T3W7j T[wܪD{+} zkol; M"РQ *ou y[E!m,2mV;u"ҘՁٓcy8'f/ndPќ7p:Em_u yuFϢ}D-߁uyP*|qhu.ϊr|UVjqܪV-ꇢʊg6>/kсٍĶće-veݡj^gN̺2i%6kcQs~22bIaϱ CUCj:q39]&Dۃ{ e; ZXFQeT$zvngVAKD;5b`w_yX k<̀d^)\ Lt_v.h*/M_+)R: {)a=)")vZs;s=¨@Y\F祓j,a?W%zRLR>0ȘtW(S\o s)>KʂΙj*ЭXnKqAP4Z8r|# ܯi4|1~7i=3VWmȄ 5@71HEilGFjr@2{i51Q5p˺ƽω[KoLz((̆x&”jlth0V~7R)#{VhfVފWm`9hHML' h#lH)ɧQT&q;R {j,-v),XY,eXgYx\P)5E P)mB2]R\, S1qR.cbfG5&2lCu-1~fH?r;&uTWt7 .eE-:6[D'ܻ[ ]Ys!Y!QNC[ݧ%jcX˲eǹ,hnW9v0_ԝVqa,2NZ{7J2G J*kD=˝K Ű<X-Hȱ-,# F!\^a(xY1cQ*/ ݥdj+l@|D"?0!Z(g_v~!ף*ᱰkU zsx9!.݄=V!6MC{άbKA0mι 3o}Nޯg"g͕ܸmYoZm\frzM;ɤ罿uiʈ7[$xqJ*ZLVGIv7%~ܥlBXB9xQ2#FbVҚuEYY31mIo5R}_E>q_=|X9>R%. Bv62DgSA67e?o;p9oUbJMXy ru^SU{߻!alW±!5i%`ޘ@ih c^Un,ߗk\}݁p&۔HЂ@; vi*}Ŗ0=x1|vô <!%v-Vi)"R,0kJh endstream endobj 18605 0 obj << /Annots 18607 0 R /BleedBox [0 0 612 792] /Contents [18618 0 R 18614 0 R 18615 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26098 18616 0 R >> >> /Type /Page >> endobj 18606 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18607 0 obj [18606 0 R 18608 0 R 18609 0 R 18610 0 R 18611 0 R 18612 0 R 18613 0 R 18617 0 R] endobj 18608 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 268.45 201.6332 279.45] /Subtype /Link /Type /Annot >> endobj 18609 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 252.25 218.5952 263.25] /Subtype /Link /Type /Annot >> endobj 18610 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20210608081201-08'00') /Rect [104.1732 236.05 219.3267 247.05] /Subtype /Link /Type /Annot >> endobj 18611 0 obj << /A << /D (unique_430) /S /GoTo >> /Border [0 0 0] /Contents (current_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 219.85 223.5452 230.85] /Subtype /Link /Type /Annot >> endobj 18612 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 203.65 223.3857 214.65] /Subtype /Link /Type /Annot >> endobj 18613 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 187.45 208.6732 198.45] /Subtype /Link /Type /Annot >> endobj 18614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18615 0 obj << /Length 19 >> stream q /Iabc26098 Do Q endstream endobj 18616 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26099 20690 0 R /Gabc26100 20697 0 R >> /Font << /Fabc26101 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18618 0 obj << /Filter /FlateDecode /Length 3231 >> stream xɊ$y e $ U)̩c ݗ}Ēu&;B7EoH xIʧٞiwq~yu,uQi*||8jm!d{~jO7Wk4֪RLGm",к\~j} z]!tMvc c{-\8e-̄pUDQۓgYH $| ģ.^(F@^O&d>,KL 4 ?N{t[K@hVSv5UMKH<$1mk+EB."HibB\Qfub:!a:YN;d0;|+>Ӆ"UKVr!sS(' B!`*r`7#/5fc|ñ݊D'~ 8wgͬH41UAwǡ9y+z Zqk-FnzzNO?rd#̵N%8l#WfG+^-@ Xs9bы#|a+'c-g*%7ߥqAdbm\0.ߙu{LC2f63u/_kI_XoE;o`*ƓBGNFYIG^3Tr՛y~\a <8ĬrQmTEŊLUܮb˰ae0BC+ +oо-ݳL`FfFL.7k霥y55NeWCy.J=[-?)61R77MM4P㯨.Ru]0ʛևC5Rs^5 ^1x]LVdE517[ifJl`b{:6v p2RMἝؤH  z¦%H&uC7ݛ ;T뺹A:> y_ޓZV 8=c":\Z 65 ߾,;jҴ"S>M6]*{QNM+=RlR(quNTnPf32g@]/ax3\j^j툡[ط7%e#dwߏSHӢm4J:zp֥Kq[kWWKNͮpJZ8^۩:GL*T =\(b甶S~N]05ݚY.W\u3ca`o2htUZ? >2;e@,nh2@&`?OkVi8zYn0zNPqt(zwTZܹB#SJӠ6J֝ћ\2٢[8 }!3ۼ:S- P2RxM1#/K2=WS v4ȴR~λlD棝#^x31ͻaKa`fʺ3:v\PZq0ߥPFe^3ɯ/xb"Iir89CpJMR(K;<Cxf>9(=ӷ>koҖ' =_!8HKKf-/]hx4R\sb$Ul:T2QƉ/+&gȠ, 3g.dȞ1bCA (Ql6p \l1|@^@UWl*7a{hAY;mF >U<1ա# c['qmȰ5axO^ *_Œ .EӅ8 pXHgj. ^s6b\.y, n$ьgi31)rd*lV@+@Y'V=JTvI&OjBIiROdOSRST1kꚶ ؓ&,iȒ_AO^ԪOОX`tP@,,oE@N|'`G(o*ã:*[h<4WیhTSSB~yth`.4x"NFwp)W1S(Pzqi\]u#cxkؘW!o [4[Y~O χybhr;h&-:xrcrIp}Tv$h$&?6S:y!]BjHԙHR}Y)þmuYﰮ!s*LBmDW?ϾC=A``ZYfNOaR#ϵ10u6//\n~Ych?Gs/\͉t"^gҐÃ@f`^< ~R; >?\wOȟ v_e"ͽ=~ 'GA1(6@v?ܻv/̰ |T8DTʜ;/MN27qG |Sr%& {~u *]4I endstream endobj 18619 0 obj << /Annots 18621 0 R /BleedBox [0 0 612 792] /Contents [18627 0 R 18623 0 R 18624 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26117 18625 0 R >> >> /Type /Page >> endobj 18620 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18621 0 obj [18620 0 R 18622 0 R 18626 0 R] endobj 18622 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 425.025 145.297 436.025] /Subtype /Link /Type /Annot >> endobj 18623 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18624 0 obj << /Length 19 >> stream q /Iabc26117 Do Q endstream endobj 18625 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26118 20690 0 R /Gabc26119 20697 0 R >> /Font << /Fabc26120 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 18626 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1716) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18627 0 obj << /Filter /FlateDecode /Length 4997 >> stream xڵ۞GZ3L3[[_}!5x_˷._9u #~5, ]KWzθ֔hE?)3SMkSʖt䰰9D>\%s.^`[k1 ǿ3?%qqO~3Ot@0rHV7@d&`[H DA͖l?oT W_c7w ! p/^AB³~d6'xy,PD-@L) y[ ma@m>շgU`g0 Ogh[XZ/e׃^>o X/0- it: ޡ)Uphbmyqf Y%R'V_jZP.B IX[;֫bOQ d}Q'P]A:hp#m{|f6🡹45uUWQ5jѰJh3}i8=2+H\Vy1J|حeфUEz4oN>[4w$#;y CLT4ϖ-o K޲xQP{WT@fO)lT#?tA7h⏪v4WA[C+,u33( pT1tfL)&RLyO>DeP$f"y~+4ϡ,0D%Q P,ɳa/"*wI`W574NUF:yV2qNr;<8i+Y2p`3p<#Nt +l#wa`{f6Oez v4>{F >,/1+|J {c>oY0JP a)_ b/@ -ۖ`7 ;B0U4 K Xÿߘ QJkNo !IX;Ԓ P}R@NH:C]0mP0 O \^_G!nr\b٨Ԇ旖߹jSK  2m$50G,18b 1+.#+Fc͈`8nIWzlA'5*ry4&&8}] V+ ޱ6a=F?${a$վQI({ԝѝˊeh26+K:>m2jLU%? &Llvߧ8@ :g#t1ۀ=;YN?MjuMHHVR-^.M~-Vl~F[/}0PgLn vg;x4:JT철UQЈwCU9lU2Mۈ`c #rЩèFtF׼!.X;;p#CN&uRnQ\'t$& ڡ( ^y?~X,pI/ `v!Itx=!ZKX%e-gH?zUqIKgфCe+:fбO[ ~DYXLЦqZ:E欄$\\)%+(ywqqkl?(؏ e՘˜)hU0:Ҁ 4|*w~}@,s >&ov+&3.㟚p4 չoIT8bZZ9h.=jG~O%;p0g4E|0@7bI '==s  9"![f9ȑ(ihK>-9Daaƽ; HW/p [J/B)-RN̘]fC G a~),:a E![<^qBs"H'k8q&@KVFy pz',lZh`tfK"ljs026R] @O&b}E)rx&@Gts<$&'/8A8Twa$?vY }&2>]4&gƇ] Cך7u@GS/!%39x@pa= [I s@{0K;(Jbd"gY`xQ=v*L-Ht!r4u X$zKlT>EزJp j١ǡ[-=@n ŀM0;:~0ӉE˱BHU++IGC?N] "\zpԌ; ~8FND`,|5@,%w=b$\6;}v:%)%0:&J?rC*Ӈ HL2q#e'q#>:h{jR3߫ Qy')3DH|ն܋"Ztx4%8t#H}cHbFbFo_E`o]L#Z٨w ͦ 3֟\/1Bfcp-Nchl5f)=Fa"a;(rJbȰ-[2=4AK\iNlgQA.KSP9 fEOZ >4j ӛbi^}b }P.52d5݀ӟq*軆'3]l=#r&r>!.JY# аHW n|7-$s'^{$aڌVCras@//t($oBmP\UJ\ԥ ~%NѨ@vsy@_T-hm߯ 'Įl5!/?<]3݄r?Z1<eG;P4? j-X7rkǍ*: Tu&^8$d5߇טkAM*sߤY4mD߀JWf8 ݼ䦧 Q,gHJFb j_ ߮G |Uqe1an  w\[T? |§>+|C[l4ViBv0~|ti(sgd&^zZ+ *\-ȅ"?"M<+%84xIn*3Fkk oUR&{*-(WöcTBothSB /#-Ҷvy! +i:}aݛŨ5:fٖ!L[.j[Z^Dqn6qAvd2l7ڛA.7jջgaD_>y**}oR@s* WOr-xO+xvr0\N2*/OW~m%=x\ASۋ}>W,ӑxutG|'!OY}<Bt1rI~kSY iO0?H>Cxnt) BH$}/K5ȶ}O7VnY?zgW!dP ߀ e05 endstream endobj 18628 0 obj << /Annots 18630 0 R /BleedBox [0 0 612 792] /Contents [18641 0 R 18637 0 R 18638 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26136 18639 0 R >> >> /Type /Page >> endobj 18629 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18630 0 obj [18629 0 R 18631 0 R 18632 0 R 18633 0 R 18634 0 R 18635 0 R 18636 0 R 18640 0 R] endobj 18631 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20210608081201-08'00') /Rect [104.1732 464.1077 149.6637 475.1077] /Subtype /Link /Type /Annot >> endobj 18632 0 obj << /A << /D (unique_743) /S /GoTo >> /Border [0 0 0] /Contents (all_rams) /M (D:20210608081201-08'00') /Rect [104.1732 447.9076 143.0747 458.9076] /Subtype /Link /Type /Annot >> endobj 18633 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 431.7076 145.4837 442.7076] /Subtype /Link /Type /Annot >> endobj 18634 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 415.5076 145.1812 426.5076] /Subtype /Link /Type /Annot >> endobj 18635 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 399.3076 144.2737 410.3076] /Subtype /Link /Type /Annot >> endobj 18636 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 383.1076 149.6802 394.1076] /Subtype /Link /Type /Annot >> endobj 18637 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18638 0 obj << /Length 19 >> stream q /Iabc26136 Do Q endstream endobj 18639 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26137 20690 0 R /Gabc26138 20697 0 R >> /Font << /Fabc26139 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p&QthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM* endstream endobj 18640 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1717) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18641 0 obj << /Filter /FlateDecode /Length 2282 >> stream xڽYIk$G篈ұG$ aI3mcJ|oRIeX޾EfWyz6m̙Mu4d|vs7`Myk] >Dkړ]=8gykY)Lp>{=޺qg&3d,. 8u>\uYuGYKV1w>Lx_p= 5vC\6f@ILagmYZ~7ˆYվQG1i.C[l 2 X2O*=UL@i|-\ "vNr4M:]θ@;,1G3Đo`Fಎjg 'UaQPǕjaC#ҡ@,ӫ[Ycfpiu20,ug^0qsФ𗙓<"Lu-u()3a:-yEuxd~&W%觱gSMB'4b i vBɥ5o[M-Ԑi}/[|U%v&nžWoTZۢS̐ty\v93'Y ޫ/?<ߌEN^9Z i&1ԣ?/oU3b4+%;#Y F{=zWOE.B^)4Z.W2= e_A)QUtlJs${}L oĈ1BulP̠} &bƁhUeoxr]-Jӥu Xrd벟~GO&h/IAAӤ?t;c*4Ս1јӪ+ ;}RCQD۱qԩւɎ%dޒ}iR##ޑ4F3~gT7HxV6؜8-фtVGu7+*Ĕ{l]DkV)EX yq*x_  7P7GDO+%ֹu pOsp#J r,gO3e E]1 һl,6̏ ҥJRs+M@A6#YѽKJ >-f!d4OḮ⻄"Nc #GGTMZT4Kp j}@ĆJWSzKM_y{n(7Cdܹ |D_?[SWp[ChVPs['S yj'?EJˎZ?-TJ MqeG'#K’jwsAJBW7Cqtg݌z`躠A#[,sa{+k'U}xS-{wqwi}^3LDBցb0O0XܘtHsT܈On列no@G!E z?D2g=&@3_L|Ə wbZwVLMNۼ{FbjMH5G:شo ĩ2,#)]A$Ae yvH{'&w姱S͏ԡkZep-s qH>{9f7OicNv__XU P͠ \,X?>Ih_lߌ*DE*6:Ur 4DkmAQ69IV,۔( 62O)3^`gcq&VJ!_Bw ~. H1,;R^YQ^C@߶MD^O2MeХ삐JM:3޷UBE6JbƄ -՗ )j_\z-tOZO'vH7It"ӷui UOYrⓖ[c\,| 5t|S>cRczj;ik0OߚT"9{G<LT> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26155 18648 0 R >> >> /Type /Page >> endobj 18643 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18644 0 obj [18643 0 R 18645 0 R 18649 0 R] endobj 18645 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 349.025 145.297 360.025] /Subtype /Link /Type /Annot >> endobj 18646 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18647 0 obj << /Length 19 >> stream q /Iabc26155 Do Q endstream endobj 18648 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26156 20690 0 R /Gabc26157 20697 0 R >> /Font << /Fabc26158 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1N endstream endobj 18649 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1718) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18650 0 obj << /Filter /FlateDecode /Length 4345 >> stream x\KWl@2ߤ=|[{6`&zVwg&%^J,QWb*.TgK\& MKdHRoхh7|xQpΛz y(h@X,ȯ}7f) ?.4{e67{Dm'lMؗ9c-nu-"WQp=Pyq^%خ.-G9J, 퇢 Qyt@#6^iiO= N3e`6% za %X#ϧ`/av1<6m֏*ˉvH)Xvmev}Aވ y&}։FLևAWui?I#n#6ˆ:Wy1 J:bGAP" Y{889z FSl ճJ<zu}82 qFGLr Lg C0MZX+ܤ״iH3V fgo[grjU 8law}}˝ݠ㟮8GH%[Ou8{oaz:pUm NjԷFciޮ28s 6xop'gˁmiPEWY1(+Ӝ(w khZcB[Yojȇ0o U)=d|B&/eL!c^s]x\NBD#=މPkՙU -\0" ʹ@efU?ыoκG-ZnGyg]jҗB8fXeѢS9#mH% >}1hƬ5C`v08PUU/H&35R&/(IϓePSۘMIcTe'$l8eFK]$sW;Oa:0>l΂ l4~ܘYB;Us /8e z8͑&G8rTFa0m=otwMDo_?mYj4nGcw\̘yhⶺ{ ucۿ[;vB{%|&B(a8>3ߓUBBN7Ȟ|`&$zwQ 鷵yO7i .ʯ 0m^9p1K3v ^H&xa [$V Mi[]d7=3`XN70dQHXD.:Zl{1mP5YeHGngf(pRp1+? n} r };UGEʊRR)/#-FKthNVuhR0`Eub &6˨IYA.\)C#n[+f sRfq.J\ZwUxj8ʩ#*Uf&v}I3(l߫CgC&[_'z-qЈjZlCG 7XTE겖kS+# dn2wX8RX?:ñ8'̳GفFpDqƸVx[s^A`i0j13je BmPWZZԱـZt-߰P^ZnnoA-VPwLVV}}jIħ9jMt ^DrkC-g5>a$V98,tCs bJ =#-v"?7s^~#:V߭P)/bq;{y-jXKmA !h6klMk n:C^mE,*M~0 Mȹpym[WEd;:o@SVZ. nԶJygnsseaei н0S1o L |~U$။.蕴NzUSG;?_5r!H#I4ϜhrS 1P:;ޟzVw(}<.P%1\3ϋp}s6Zv1%!m?Eaud(!c>VwbW+l] S`8qiDP $]y &=J{hS!3A*z=BHqv2vNxê7aTl=ڤ"6ekOK<΁Ʈ^1aaVvsnKcgUq$Yrk+vVqGuEkcd.wHq'̠tJ9&g?JpʴӳSIgb) Xmantug|9t4s?ڗ Ͼm`iPE jKڒ‘u5҉AxlPO1*u k 0ch34Ój5̴KOݳVCI;|`Vex*k qFpa1u֫.Yٮ'x&#;WelU:}&J m|m9R& Wm!0 0.VsQM%]4nSSF&eMZxpMƠe\'oSޏ%|~`w+;O0>q.FS-H+vZJO74ɤkZ7S&~ֱUB@lͷ bL!0eA f>QŖZ&0Gu3 Gl{RLY $_/^s;*n_P-S!՞(__/ Q. ?|ֿ%lp1#/ ѿ!r[o"2/yѕdX2ST~/؊6֞箘"&, o8^rHq^62ڭmW\ { l_ܷb/M iT>?ۢp6NwdC owO5~X]/ej[uF>g.Diu;{HOP ʱ9C)Xq #xCY-7'e yR{ptHp:\%_\tv@'\x?s2n`_(rwx/zDgTMp5NQߎ}+W ̗ygC,h9mZ _1P!kGٿ> endstream endobj 18651 0 obj << /Annots 18653 0 R /BleedBox [0 0 612 792] /Contents [18659 0 R 18655 0 R 18656 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26174 18657 0 R >> >> /Type /Page >> endobj 18652 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18653 0 obj [18652 0 R 18654 0 R 18658 0 R] endobj 18654 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 83.7654 184.2532 94.7654] /Subtype /Link /Type /Annot >> endobj 18655 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18656 0 obj << /Length 19 >> stream q /Iabc26174 Do Q endstream endobj 18657 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26175 20690 0 R /Gabc26176 20697 0 R >> /Font << /Fabc26177 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nLӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18659 0 obj << /Filter /FlateDecode /Length 3649 >> stream x[K#W@@H1rrdԃF]ll[fߥ =-f#?ɤ&N/Nj-_OmXR%h|*qJy~gRW8׿Y ]i̒l QIRϟ:@w[zg# *c)s-nyR/M{uFtexR9 и(tGL>K:a\v='GN8`|r]-&ogK;f̒nѐiB H9 +ZEhrH~$E؍ôWCѢQ'|κ`Rn9m/@Ohp'؇)XVHDJ,ɚ.Bv(T~>6+hg|ĂR Hp4̝$צVfuϔb5%6B`]lNj0&1ӥUsˎqEP gÏQ{e {Iw>3s6xBGLl/sl2c~4xʔ7F@\aW8>4q{8S{x`nrE-*NAHCBBHd4P4֑yrtCi[/Q*TM0kktYqed Ŏ2DWf̩~,CSn 1/ rqo(WB#D?vCR[!‡6.XrQk.]Mr uqe6i<,^Oz̨Ҍ7v[ XM@e*`izP|%qU-(k^ s]w˺JKsL(I9nj7:F?894,6>4{_whaJrh8PR~YYKV|ޓq~tL8f$R=ļ9Uڜ78̔8zm&;E&"\B _E]51ǭ4>@zn+ =ҫA:-wsjW@ F \n-|P#yQ%SLC+ٝIHhAd%& {d<&OV OV?rAN\=259퟊T+l( ,mjo [–?TY52O10 NHȼ2[ƤzٲqsOhpV"'5;ᾣL:߳ GyP8M#9P ʷYC9}@ v KxH|] j=lHl̫Ң&I;jplߋy՛B[뫫U~w<Ħf?,rjZ=]wdCsr ڊ6|]bf[ĖoRV:5< Gޫ!t:@G4bl.\M[(3䣻qzvH{i%[gi,œ.Ue1>vx-6E@ZAA1#.X{ w;T afm2fqˈѬ9e[]g ܸP{zTB]?M5vPOU}[9 (Rs }4kՌ+ :*6s̼Vvf]{(CR||ϣ+;ڥ`Mۖ>{·zX%i<$}[~/U,%jECQ~I)U^pe);EpMF[ =;7G7hӫdv^-~6Hgt%I'*E Eko=/o?J8I9Z3^91r<'2h%A h@(Ŕi QM&RJq=C~.N%Yf}-9ek2<^]ڱTcF4,m`Zjpk0/ |"΢a>>x8Z]~˽qSY^z5Pb )#(0nx;-̥;k#dx[(F9P))ppX`#2Аy3mn{ U^ܤPM\x0}u&-}K{IfD+`.mylxi\#^u nzFU[޹q`ݏQ_<:ԀfM^ vRE'\|\[4Iu @ g|G6:MP=M( oq\/iFOwҙᐵ%@꣣rǣO1,*{\YWT'˺. :O};ct|&EUL(LUEJedj|&IF5pːXڦMl$7cfZ\?{csslCe =ǖSMgF6hAqVKkj oheX~x*ܓ wR_0GJp!+?՝_W5:fQ=E={]ݣ7v?9#]_h݁mS7֛GiskN).?+}pO\2>Sut;^(IiJי4h{\!1 |uz9?"E z+)?vԭF/pҞh ,3hBqu<ۅcܹ\\SXJ8Tc9EXm?tade Wd%`B.:FS endstream endobj 18660 0 obj << /Annots 18662 0 R /BleedBox [0 0 612 792] /Contents [18671 0 R 18667 0 R 18668 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26193 18669 0 R >> >> /Type /Page >> endobj 18661 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18662 0 obj [18661 0 R 18663 0 R 18664 0 R 18665 0 R 18666 0 R 18670 0 R] endobj 18663 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 145.4837 709.9] /Subtype /Link /Type /Annot >> endobj 18664 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 145.1812 693.7] /Subtype /Link /Type /Annot >> endobj 18665 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 144.2737 677.5] /Subtype /Link /Type /Annot >> endobj 18666 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 149.6802 661.3] /Subtype /Link /Type /Annot >> endobj 18667 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18668 0 obj << /Length 19 >> stream q /Iabc26193 Do Q endstream endobj 18669 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26194 20690 0 R /Gabc26195 20697 0 R >> /Font << /Fabc26196 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫Jӡ~1OE) eA6\H&7]N8jeAT  cʙh;QLHr$sBDQ@@$u$4W̵R̃sV7󬪷f^_ DXl˒sKhf>eN9 M \0YSPQ8F$!ī류N>%RBxڡpv҂bwo>R endstream endobj 18670 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1720) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18671 0 obj << /Filter /FlateDecode /Length 1171 >> stream xڭWKk$7W9ҫ%؞CnBNN!O%[=Nb JꮦW2Me u)b}U3}992Q7kfGYr?OyJk3'P?paw8s( }28ο.V@jTҨr<_ySCʢB[BUD?K sXΪwB~!֍ &_k2`z~Wr:l 1M>kN.X"0VoCr5PFv5,( m82SU|!: M%BQ[xX=>rdg̨Ke :؝zDyr-7ƫkW)lWj- b;u 1}֎bK9=[Ec%Bd}ہU+ٰq?Y,XAe8tj\/zXv=B+(ѳřϠMVX+E ec4kT6Fo2sJDbBSk~$3dڮA}rV?BEEĹt? U c0CO `'u 9Dn^i;i`k02z>0 [fۜ&e8|S6!ƼCy! e-p=Fx痄I `gs.^kev[c#Jq4w=ʹtbzšr endstream endobj 18672 0 obj << /Annots 18674 0 R /BleedBox [0 0 612 792] /Contents [18680 0 R 18676 0 R 18677 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26212 18678 0 R >> >> /Type /Page >> endobj 18673 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18674 0 obj [18673 0 R 18675 0 R 18679 0 R] endobj 18675 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [90 439.225 146.6555 450.225] /Subtype /Link /Type /Annot >> endobj 18676 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18677 0 obj << /Length 19 >> stream q /Iabc26212 Do Q endstream endobj 18678 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26213 20690 0 R /Gabc26214 20697 0 R >> /Font << /Fabc26215 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(RDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18680 0 obj << /Filter /FlateDecode /Length 3853 >> stream xڵndίxgp_6r|Q?q{ZѴ,keK۷n~N۾~>=}V߈&H۾nф`;a/tjWFo}ogk_Tn]`6~fͮ4IjbFhg6I*1N>rI9XI|gxJr ݖ?Z#"x t}5GBb uaK*b2, KlZ2]%5 IGj OSH *B8[@Dq|N} l:jX)9y1IѢ@"kRom~11<]kн 6py4)v}FS6%x@A@w5L|.V6Iۑ:z >Y6Ql<k 4m'o))sA )x"?c'q]}j} ^;uEĩqßy/bMC]uUhzd1gHފ#ɬ9^YTk94MЀD_ĈCB9kxSq*}Ѩ7i+ EOD{Eݍ5躏{`#Q[TD3Ǽ"~/LS,;}JR'ۤ5CbĮߖZ=i]䡴&ʱ e/"F3wY`7i4k:^r,41;o`X9x۵jĻ&!$sgO>{ z|k>!l T>e=|eC7uAFJ ѣr-=JY B]/*'aD";77}mJaՁͩ}0IASR -HI mi''{b3;Ǝr4n`k$׶9!@ʔ_dΔ}if9(t-ۤ\p}wE'P3rc)PPSI@O1#Z#HF$8=Ŗ鴾NV$tn+4PC\et0Dv:31ԟ55~#EWqmk\VXx;U%O0m=5쒒Hf`d>10Z8U] &j .;mB Gw5e0ϝBQ!;Xm}]Q$5I!EaoTy#e ^ҧjm WV'dS0g4ZO\%,~k<~|O7?" -OhE Ncfy~' /P!: ~97O#IDlBVKz:mgZpEgc([tSӈy4t"2JNv"D۝HAR-dgdgַ/IGjV!j5 =ԡ W~cɭfrofGfYnzIM—ڽg9Qd4/;{qו5iq7}mԑ q@@xm8l5^&2`kU] Ue9p[7HGqzK.BR)A'G5_v'.|'];Y;_vyi@kkA2SD8.îCf M3SdC\OΩğyZxonky(xzc"gӧCloeEg^.aiйk>rƩjHڎKAq.[^ }?>r= GN@K9 .p/P9=%i8ǚl^ЄHWSx;L ?Yt8PZ-脶FTR! ԫSY}ŸIFDFGOQOG!JFP_М cEE&b_Sq$KIO^N홄ZH,;VO$!~% Jng Cvl-}SYf2#{xwj=GE뵆m7T{P~X1k4ti;^m_*%G7{IZ5NkYdjpU4v*Km8*+.(Z9BÈT|ps5Wv|rK۹ᅬxD_zOu1ca=-F!50;) 12}nӱUK @R\#RS roGyN5Ei;]&|3I m78Tdv!Z?W}Ɣ endstream endobj 18681 0 obj << /Annots 18683 0 R /BleedBox [0 0 612 792] /Contents [18691 0 R 18687 0 R 18688 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26231 18689 0 R >> >> /Type /Page >> endobj 18682 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18683 0 obj [18682 0 R 18684 0 R 18685 0 R 18686 0 R 18690 0 R] endobj 18684 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 204.4272 686.7] /Subtype /Link /Type /Annot >> endobj 18685 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 159.6022 670.5] /Subtype /Link /Type /Annot >> endobj 18686 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 181.9322 654.3] /Subtype /Link /Type /Annot >> endobj 18687 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18688 0 obj << /Length 19 >> stream q /Iabc26231 Do Q endstream endobj 18689 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26232 20690 0 R /Gabc26233 20697 0 R >> /Font << /Fabc26234 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pşj P@E ۾{w endstream endobj 18690 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1722) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18691 0 obj << /Filter /FlateDecode /Length 1241 >> stream xڭWMo#7 W\`Է N|-- @HjF8ݠ )f$xHSk] E߾ #8uj1C[s N;3bG F{kN_Go4wѺ\I | lu6{NPH)\R[ҪGP;~_"n'[p zg< /_;-l^Lgᇞ[&Ix6/<ODE2I eè@RXh'xW9Vala*hSvZh}I f1خĒ-\vs$u8d,%^ 9Q38opb=E{ݜ4ҘhfDX$᧙<|X0vXTGNm̘#h߉ԘKhx3mKlv䱈9[j'l!.ެbfFf89an $gl#Jp7#{;hg&ocJ1C{ِZ/1#@^#̺zTxV[ն'~ͪYHJ m[(6HyʶN㨹Uf[T+:ep֪#pNjϭ T Y:S9~}Tu .7 Ddf9%"m9p/>Uz" ϰ b%¤C+p27Ѥ43uVX{OJ .4YR,z+RK"tf@|"r ͕W`9:Fw}9 A.ƷI9ԎmzK6G4H! L+BP"'2p >@՚L|ckzx,. \Qi85^k;M*b{/a\nrn'~4E{?T-nLTJ endstream endobj 18692 0 obj << /Annots 18694 0 R /BleedBox [0 0 612 792] /Contents [18701 0 R 18697 0 R 18698 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26250 18699 0 R >> >> /Type /Page >> endobj 18693 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18694 0 obj [18693 0 R 18695 0 R 18696 0 R 18700 0 R] endobj 18695 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 467.625 145.297 478.625] /Subtype /Link /Type /Annot >> endobj 18696 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (stop_gui) /M (D:20210608081201-08'00') /Rect [104.1732 164.4097 144.7962 175.4097] /Subtype /Link /Type /Annot >> endobj 18697 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18698 0 obj << /Length 19 >> stream q /Iabc26250 Do Q endstream endobj 18699 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26251 20690 0 R /Gabc26252 20697 0 R >> /Font << /Fabc26253 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pbethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ S͡mтGŶZ endstream endobj 18700 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1723) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18701 0 obj << /Filter /FlateDecode /Length 3000 >> stream xڭZKo8W<@k&vzͬ=,ba/\WRT8QK|ջȪg߉nu }d._0odϟ1-5sSpTSJ/O>ycѓ}~x66".m^ wǿݿXa-.Xq~- Oa*FƼu.wY]  \bb]J #e˞CR>Wy_֔=J6_/˿:=#aI^@\ ".}8;Xqg0%AA`# ̊dO㒪xF/Qaw1j\\V{~qjdl"uQu#&京LlZt۲3۬aI9ES M]=WfU{=zUڗ;܉Yںt+-wegjܣ9={VLἦ]fJ6.ٶYj2YkIm1jCb}aW!`X`;tQA:W'cUaw{^+cEtmq;2v"~ *RvQ7Yb#?E@=UC=ݼMϢWL]y vqA;#0Ȏ|G8F

    MX罧Sˎ~%/%5wgZŨܣQK[U^2wYL8uj>.κqN/G!kGu23&z݁{DG6y`k[|,T,H4xu=8Df;;6Z]BD׵˧?F=}~hO,uǧe--n&@፬ì`9\52q+lq2"V4`6(u)]1MjD^ׁ>Rn;b_Sx77_Y6$|ogڏ98c,(뾧h]i' 9 =*?|`g\-1T y[89Ԗ<JGkLNy%ϧ쁮TϋFZ?$W#EmI#J|<ٮS9 3N+'}j$G&k"#)k5Ƴv9kJh4(^_A%E0cnFj ٚHያd$%D9 )> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26269 18708 0 R >> >> /Type /Page >> endobj 18703 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18704 0 obj [18703 0 R 18705 0 R 18709 0 R] endobj 18705 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 439.125 140.952 450.125] /Subtype /Link /Type /Annot >> endobj 18706 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18707 0 obj << /Length 19 >> stream q /Iabc26269 Do Q endstream endobj 18708 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26270 20690 0 R /Gabc26271 20697 0 R >> /Font << /Fabc26272 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=JOs(?I NЋm߽? endstream endobj 18709 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1724) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18710 0 obj << /Filter /FlateDecode /Length 4238 >> stream xڭ[K7W9@U~391"'< {_qvOT*")|Y̢ߊ_R viOqk:%[>>/zuj5^z} ]EW'c[_iO4*|qyQ}!ސ.}0[_[?.z%-ig\okJ4O?(-4+Vy.nZRPC]t_ka>*ʘyL3uy3^p>M1hj7p@ @U_u I߉xɳQ8h 3hEAK1@+SN3M]e VLKde*ro,! vס֙>Ao<C]-Jb4,J!VHK]Re~R?uAMeftyF{vu窾sWfʃjUlew kdi#+;X2U;x!nrV[sPl`) fg ~E?` ]u܉Fo dX=_`XX6Rc h۰/-qdr2ߋS @O ''[=60[^Ex%y''Nh7Mdl_CMd<8X<4)hH(d7Kˆsg szc2 >wp}z٧PtyˤƤoh$ZemϠx2fGXɬ/L \7^U?nHt)sx&`iYy†5%/yCVOd"sblmʼnw((낞dfYW/σ}e *zpVYF[d7ܿXZIj[ܟH"֩;8vfCϾRcUSO]T*7]ؗ*g W/+1-J {@vc N ) G({i-CSŶ)Xi~ 7 k̦D/ 2 >pa?SKF(dSHz~B9LW=LAì.?5xpmxi j/ߩ%ݞ8OQI i=/-sS,-5HK,SUVn+%AdҬAa>Žy5uf6dHYq5\S`X{Jo@-.!q8]wSzf3փFiL*޹6q=nOxq"h}o?E7hykNe64xpJzUGJrqlrjpE"A&.8Xw 2-_urC–"gHڜ*̣a:Ck>iwj ]U9a[Jr9B^luVDudNbycgezsR/M8D:):Yj+X¸2'Ӎ/n5{{z@ٛmmx(ĝ7ӶW$`y+/2gυap4ujioD6v}KU32Ro[sPkd')7;tu]C%E#)?ymp|< QCѝ'oPu~.e0pi.Qm٤3@vLjzӬQpn{w4Cx8UU7( C!gijC_}6wǭ g6; T6B&KO4tt<ݛHNF>9k6)jr7Uߗ&#h 7Y).T6N\Ft|&{U=(R'ٛ>>su~Ps}Ť4 KEz9Γ^.  OG_} i2¥viu+Ͷl;eARz1uS9(U8_dG2סh}'PT "3QO|ODo |?~?'ɷEv7_=_j™ |E\ ! sHp^ ^o5XT0Vo9w?_Iy}+ 7_hJ*2LYDo@]ey endstream endobj 18711 0 obj << /Annots 18713 0 R /BleedBox [0 0 612 792] /Contents [18721 0 R 18717 0 R 18718 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26288 18719 0 R >> >> /Type /Page >> endobj 18712 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18713 0 obj [18712 0 R 18714 0 R 18715 0 R 18716 0 R 18720 0 R] endobj 18714 0 obj << /A << /D (unique_650) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 150.7362 608.2] /Subtype /Link /Type /Annot >> endobj 18715 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 581 150.4282 592] /Subtype /Link /Type /Annot >> endobj 18716 0 obj << /A << /D (unique_697) /S /GoTo >> /Border [0 0 0] /Contents (stop_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 147.1612 575.8] /Subtype /Link /Type /Annot >> endobj 18717 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18718 0 obj << /Length 19 >> stream q /Iabc26288 Do Q endstream endobj 18719 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26289 20690 0 R /Gabc26290 20697 0 R >> /Font << /Fabc26291 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%TC9PmтGŶ$^ endstream endobj 18720 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1725) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18721 0 obj << /Filter /FlateDecode /Length 1505 >> stream xڭXn7+x649 '9rX~^UliF$U$_-|dj-~jJI)He;mjڠ55Z_Mwƈ x?!}> J㽩ϟ4?tC0'ze(AWj;O;(_o!B/hx_>ޟ@j ϟ/ZPLs5đ!TPj%EG.(AdJh|=tP 2WYr7#zAi&`B k~]h꫶ɱlGb&e~|?A{{5Ypdo734^'D~BXs Sp+=iu|a -.#i[iܿZSdM6A򰨯[YZ䴚/fQ{$5(>~yS)%_yNՐ^5)~xf9$]Pͱd ζJMRh%jhA_t1X=b\E.QeP3nV LF&wX yss=wΫp^FwYoiobG;]1c2ϠcwQO4;{idfi,szA8gOFO|D,7g-F7zY|ʸuzptXI҄ia[K%M}y[ᄌMi@^R}ڍ@|C2UWϦ%4)WZgf?YԲZwx ;l&W^k m\HBmB-d ^MtWa3cylǃzu^ZIr$пL`BTt[ %r8o瞝C]gSC{gxJo}*S\?I]ap8os*T6RTn6ܮٖ`{Žb7I_\׋sj/AJ[ FЙnHUQOFV6ߍ endstream endobj 18722 0 obj << /Annots 18724 0 R /BleedBox [0 0 612 792] /Contents [18730 0 R 18726 0 R 18727 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26307 18728 0 R >> >> /Type /Page >> endobj 18723 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18724 0 obj [18723 0 R 18725 0 R 18729 0 R] endobj 18725 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 386.725 145.297 397.725] /Subtype /Link /Type /Annot >> endobj 18726 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18727 0 obj << /Length 19 >> stream q /Iabc26307 Do Q endstream endobj 18728 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26308 20690 0 R /Gabc26309 20697 0 R >> /Font << /Fabc26310 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18730 0 obj << /Filter /FlateDecode /Length 4800 >> stream x\Ik%˱篨Sy!h ^}1{/"r:#}*Ș2"2Y4葊]^ޖVglJobyk1C]NV[jo0zwj[7Cov۟o;cINjOoTik,Mzθ5%TvO`eUV]֦%5ЖnuxI(<][)3Ej.>b TəۥP~#?C}x=/5M(9 یf`bӈ]6{[RxuEIV1Hj~Ĭh4 Z!y 9v`}fM M\~c/>- K"v+π3xH<[y'D@m"Vɮ1`^~bSk}ƂѽrhiHٽ ϫw`+L:Qa7Lkv99!W5*c-"8w& Kbe$PGsD$Gs3f 8[o ۊYkRFQ;(}\qg/b'u'b7 cG^EUm[)( k]O>ӫ?’qc+˖'zH8.O T|.@E^OUkEd^N4XƘ+3oPk)v,zl\(721{Ҍ;s\jdAB;0QoI)md+M 3vx?`ȵ2n"aw>Tg4{]L$_eM?d>OsrT::cU|LlэA!EWBk6OXk kQNWmlWպLO[^ɰ~4d&:f}o{#O@c37[RTxe9xCC8@oO/L+ov'`XȋS6y-b*wk&!@;T5߹ Vv$by@^86J)ЬƠ WI&`۠nVSo ҹ|-LZ_Q^L=DyΣeRk_>gZjP>!j0abp^m*e2̬nP.1RǪQ5#*֣W˺2 dNWU=ߝiTuHSX.fx*fð ֳ/ƲV":K_OͲ7KqN$zpY mx|GJ1lc.9D̟ 65 v5_8A'ss"RMЎi;!H=z*}ߚ޴1&Z">vhMQdΓ5aykG呉TET4[oS~$ \f"6NɁ l+Ύaw]HH([b]M!xnD8'ͮL9Rn%$@ Z’l&Nh*΄'_?LBYIB}2opwS~wq[;\0\dƹ@QwWQ>ߌ!;ܠ)Q1QxǬVlӮ8 CB/e] S)0zMN)@XRN2\[nv-sV)Pa 'QәfXaxDt*dZ]F;b8`* BTN D,Y5jiTPbjȾib`S#̎N/ o6](#_s*U.PFCB4#uOk^|aIg/$EL#~OTOK#Y3g2B*PߐEiZ1 ,RZ-' IHJ" CIUx E9$YHRxB|BiǙ^_i:8ږ [̫N$ޭoH^ʈ;K"E.aFĕ^'b\E3(MN7`"y$_O1/ գ@. dSxQDHjH ZqSyV /4\G4_)n)$gqv(!9|^2 eh C~g0 o܅"ִ[I"&xxrPHݵͮ}{M:F8cݘC dZ ڝw)_&3x-C} Xm*%D2zkj.mk>>9uI].ԊRGl?fdsÂP< dAUc#(~3n]/ov%6#sgb:;h&Ty*-:ODAkG[紦9ƕll? 1O#&P{bH;:x?~@ 2UHdr1\ 7,RA8xFxWc29 hЅJ3OsX-9ܺJo̡"_>xp9@&G8'۫Xi^:2OK(\σ2J{m sʗUgN~Jz ve<T;g)hT[NyM:+N%)HMrz0 p%lk5MiF}&چ1W/Wc> /_@^z wumY˻,hx51L@~[[ThWɀScQAYCkvFZ ͌zSw4#mrA3B;p0A 3OA\k}^q?X(MY=ψ^2*"2輴j1:qN *[f`¶JrCɑ-Mƛ̬U*脏pA^_H\Lf^sWZ#.cSЩuo!ʣ|#+ݽ\LI8_˽E.V 2N) fZSER3ZnZ*.i14*ՙ”-:!tMY*@^OX|7*Zr2(Z~5u(Z;& Tb֊z[sɥQT}^bs(Rq|ǑOu{o>ayNu~2%Ё;y{S|9\[(kA|g hۗqeO8>Fe=`w;2^ 7ʁ&~@y_{E>x|1,Zv{2=v y|~m|~/]Sy_9P&k5W?13R[oyHFᒐyY,X(%-/w endstream endobj 18731 0 obj << /Annots 18733 0 R /BleedBox [0 0 612 792] /Contents [18741 0 R 18737 0 R 18738 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26326 18739 0 R >> >> /Type /Page >> endobj 18732 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18733 0 obj [18732 0 R 18734 0 R 18735 0 R 18736 0 R 18740 0 R] endobj 18734 0 obj << /A << /D (unique_177) /S /GoTo >> /Border [0 0 0] /Contents (endgroup) /M (D:20210608081201-08'00') /Rect [104.1732 366.3461 150.3127 377.3461] /Subtype /Link /Type /Annot >> endobj 18735 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (redo) /M (D:20210608081201-08'00') /Rect [104.1732 350.1461 126.1567 361.1461] /Subtype /Link /Type /Annot >> endobj 18736 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (undo) /M (D:20210608081201-08'00') /Rect [104.1732 333.9461 128.8407 344.9461] /Subtype /Link /Type /Annot >> endobj 18737 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18738 0 obj << /Length 19 >> stream q /Iabc26326 Do Q endstream endobj 18739 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26327 20690 0 R /Gabc26328 20697 0 R >> /Font << /Fabc26329 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18741 0 obj << /Filter /FlateDecode /Length 2648 >> stream xnίyb  0 t_6Rؐ%RWvoeE9Sᔥoӣ;{C6I6ǗuZ +sa|9 dՏ:o]<]eY6UWA{'ؐvW.bcoXLNn:D Z[9DAkg9\X֦< g;Q +z: Ny|須?\x%S*B{)+/OyBX7s(M_@;MM>25D"1Hұi"Ҁ \Ce/#¸Ga=KP0j-DIy^D +heLS(7 5kl(߶їP7E*891^LSjPH{6\Ә!*!dL@4di(lC"'b52$ݽN u0H֌[xGi NE{f8-Gy Ǥ|#H͑BCe_d7k:!9m<.-Ԗ bp%'͝\ B&[Kl0(: 0f'M Wn ܩ7rgt̉;(efoK!MVyM%Ъwemnj#!S|m="VIWLD]n^㠠ʱ}oVCm+ZWڽBQ~;T;XCit1ќ s(1hvM;C0T]3CpD8::45b .g񱲃_Yd0CAh,. :ә5>NIu#Yb}Ž\ChGX~y-[8(XǺW#N;%:PR][;!9GuFGʃoUH+9qhiLJ;uqt̳H*;1p˜gVm{6t쥕WzxT_!L19XuȰB-j /?_+SVC.d\ȗ~3^1?gT7#Ϳ7B"zp9KB_Ut5U8 [8(ل[\Y_UT*s"1w%&)hdG|>žsP "6.^[&yB\攷ommٝ.RZ&Фh ElXe5HrKmZC P@6) w9b Eo{\\L5iy}6:7q.lA+߁. VBNv DՑ`wj9oOX '?#tSl!&5);9K1@ŋ3a:2˖qZe[* hhjz&_~(f7!CS=*2h2Zd2Hn]1ܤ\\M|AZ?A<M3n; w(܆hs,Y^pw׻ 1?SYca lqΤ:$ "j l?Krao03lf'\Ԓd &GEk8 pZgA-E ˂hZ>F-^@J [IGbE2fBڅ_ Zdr l' }jHi5\eɌ",43[R׼pŖ-Q hG=>y9&3lOksw__HT .7 l Ċ͒GT/gVe~ZϨyy2i͔YLF'Ay8 e1mQdhB&C'8ބ]R\=7RXI]5aPSm[8`T.JjDJv_QRX'hYRZJx\k&<89bўa:]p=+W\~ بWL WJeއP5XkNұ_"3M'~¤kM guN/NGcA:#ˆ%v#s(bN*d3D endstream endobj 18742 0 obj << /Annots 18744 0 R /BleedBox [0 0 612 792] /Contents [18750 0 R 18746 0 R 18747 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26345 18748 0 R >> >> /Type /Page >> endobj 18743 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18744 0 obj [18743 0 R 18745 0 R 18749 0 R] endobj 18745 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 453.425 140.952 464.425] /Subtype /Link /Type /Annot >> endobj 18746 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18747 0 obj << /Length 19 >> stream q /Iabc26345 Do Q endstream endobj 18748 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26346 20690 0 R /Gabc26347 20697 0 R >> /Font << /Fabc26348 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 18749 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1728) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18750 0 obj << /Filter /FlateDecode /Length 3597 >> stream xڭˎ#ίـ| F+ u S/Z-fm5dUz,jȫӟt:],v~}ÒC^>Q\Bi}կ?O+aBhB 4B,i-,[욬f)Δd+s_ӟ"tI!^ ɘӋ1%9u}?!0ȍxq gG`Ly4.;hGry>,u!\ޯKJ{^[$OݲhB?>ik5mK]YK-R@@rNOolrE0>-@|+\B/Ř@M~0ɧxl]{V} L=k^aoЕXh(1/+CYĹpc˲:S}TlQ U7⿡yr[55n!؀[y'=deqʡyU΀ݡ).d - F*(ur!e).wEBJWnY>C4d]s/l䉐 RbVt3Ǣ"q|/Sh"CXURqO.Oq"[ޚ+(kɋ桶α7 g/F,6[ĪN]<\ľMr 󍃇4K* ߲ݘ}%@o0t)lp(RJ/F7M_DJT,K(v 9 @9a1 lmR;RhNH$fxK4SKF($S@I=@tƫ`%a`2ȟ<64`ߩ2Lݖd䈧ɨRٸԆ{5nUy!͐}17kkXH`)j- +Q=Qj42J0Pmd+ Wr`]⚔] MI)N_NW4tFUnjڵwj&MTOG*:j_O7j^q RVCg w$YEשm)WiSly@6-I eOS2,1+d̞w͆+5ndALa"5"f=AsЦ`ǝo y9IRKҢLbtt hJ0*j`l,uD.+FSB{H#a`G:ew!y[]ҮeQ4Kx8 do$<{ܡ(-GŅ '7Ncit^-P+$Y9R*Fy%Byip|lu$' S O֭hVUn*Ƀ0ڰBjx8/N[CCI8I;5Xƪ%yWRPcd-l7zt! iq)mG ɱmrའJ,(?oez(F,l C0 dU*m])2tNt ]Wi9Ě[&7̺F5v7Ol D5q&)9o| 46x-C Tq&AI5^Ggv4J26۹ 2@ mtd'j]7˜$VXN 醛tĄn݃ ه66Qh!Ƀ%$%5Ӳ;.5LR5/e+P\M\#T̹q!g29Ψ2 ;)[!ߊVhtTmaA'Y NJh@ٖ>)UŔ=gZ?+ *W"CpYIۮ$2kf [l 1Jcu4([+o/:OJ}ݹoTjykc(-#^qov35aNzoݷsp vc~5 \muۆ*cG3zl%;cۍփǾ&: 6=G?*#4)Kn8:¾3zX$L\aT7jM<^d[Z'z u~>i?3]H<[g{X%}\: q~ |ŗ֦!.b]EktFMX()XpȠ7JɣiQ4T<%Sy䲺5`5_^l2y7ߞ e[,7w؁ Tf{aXA+D n˅}5aGjKyhWw&,Уr}!.΍@$V$Êg@I[;-K-ngiKl'M, % L~)a*<7/Yf4ns.6(ݘ!+@n az8eX0 "j_S{ 1՞k#ySR{ jTNz!U7(~GG/x#hLX} o]gT+$kR/ge&g\й52B(%c`LKyA+Y|CcTXf#CLA`RuEZPE2,;%Lĩ6p u_CZ> 0"렭_DuV5*'Rad7UCֿR\%, &>r_dq$RYe;@rb ]Rh`q6{q^Ni._S)CQjܖۼ %~NR{` X̽keP6p7µvi]u5 uRb{zY3DQB peRm סw'@Y+bܳy1֜./Dğ.xp|_Eݶb_~_Ab2O'h퇸M< .X8[/nE*TQrPAVw/ k5?nѩ=; z3k=HeE m7Vv/ћ endstream endobj 18751 0 obj << /Annots 18753 0 R /BleedBox [0 0 612 792] /Contents [18760 0 R 18756 0 R 18757 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26364 18758 0 R >> >> /Type /Page >> endobj 18752 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18753 0 obj [18752 0 R 18754 0 R 18755 0 R 18759 0 R] endobj 18754 0 obj << /A << /D (unique_691) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20210608081201-08'00') /Rect [104.1732 572.9 120.4477 583.9] /Subtype /Link /Type /Annot >> endobj 18755 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20210608081201-08'00') /Rect [104.1732 556.6999 125.2547 567.6999] /Subtype /Link /Type /Annot >> endobj 18756 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18757 0 obj << /Length 19 >> stream q /Iabc26364 Do Q endstream endobj 18758 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26365 20690 0 R /Gabc26366 20697 0 R >> /Font << /Fabc26367 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(ET endstream endobj 18759 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1729) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18760 0 obj << /Filter /FlateDecode /Length 1704 >> stream xڭXn7+x4h @@ANJ .b{ذvUriI;m?QSO/z9l9j*6hgM%rg֦1xx?߀ 㽩п|<6A&zvlcAWՆw0N"p<( їgkgZ],WW;!ޒʻ;ШܱLyƒA陵 Ol4`+D1#ot[%w ]"jbvKŽqPgCZ9qc|пǟd~T&]Ʊ(?c =6pFb  H\L5dUǐ_kG A S6>':>kǘP;ꓶɱGTMO@%k2ʦJ!E]IAGEDy~|Eןa6z>~V'_!yY?:y$Õ68S;ݧy璴afzlư\rq/UR_Xa05{ \g.WR*9HpFWOs9u0~KD&"Jo ۳(qZ}HYLRz,S@b{p G4d^4V> ɏ1Ƈ;+mTͺH/\I&2N/Nׯ0jy,(M&6#oZ@DUOj0k)jHbMNcXZ0xWŒ)}6ͷHl=m\VhZv8&Ea3:dV4hա 75W_j[Zy3设uֶ&(6fL-*[BS/Pf,L 9pHj%uh83 ;e#LE%nZ_TDt8vpɛX8S}̲SZ7NvJN,ou %ҧF'u& wQkjGJW-KP*7MYKQ$mv}mϭLUL?"S6Sm;#[Q]K]$UIwO /s,ItW @I+} EJ>&x`U(w(Wdzh"͵"D VkxU-=ic"Դ!렺/̝xˈ]дBw={:fbM(~ey"7u2vXWd#-jfi3J~3(/x1mPv~UFD5QbtiǙ,qs{2RvJZB6a l1/kEa o>8`c?dUw_H O Ү^K Myy|!m%:BWwZn-^`c5ZRl(8}7~w?w+8I39Y2?ꉫ XX'|w xiw>IO<@ VyTw\]ȖCoqs+ĵ֦gx^USEx;'lڰ\4pC*\\Il$~\;(GLA\7]ߞbnD:Ӊ8\FprlB).ӿf endstream endobj 18761 0 obj << /Annots 18763 0 R /BleedBox [0 0 612 792] /Contents [18769 0 R 18765 0 R 18766 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26383 18767 0 R >> >> /Type /Page >> endobj 18762 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18763 0 obj [18762 0 R 18764 0 R 18768 0 R] endobj 18764 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 400.925 140.952 411.925] /Subtype /Link /Type /Annot >> endobj 18765 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18766 0 obj << /Length 19 >> stream q /Iabc26383 Do Q endstream endobj 18767 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26384 20690 0 R /Gabc26385 20697 0 R >> /Font << /Fabc26386 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 18768 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1730) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18769 0 obj << /Filter /FlateDecode /Length 3492 >> stream xڭn%ί@ =C$ \[~ғlROP3m]ƶMRZPV3keY-m(*@7&pN' MN(sZWIDTA̸w&?"VZ@Bl]L1R0V{yTbY|bvg槝b[)5$kD/fX7ء+HRu`8M5Q baUЙN yx8+5[W r\T<ǑˉU;!IVuOUv֬ahkpq,g zla?{rS+&T] ͅ hshָ56FLxCг(Dy2ۓm \.[@oCܫĂsR6ZT~Z\ ry3Y'uĐGׂ?Ȇx b!mh3Dz"y|,2vf59j?Uc=d5n{Zs|p9K۪(*1j`+||0$i䙩v [7R`${ƒA"%h.:oy.TV^ROB뎱/_R ]7. <+EP Q -i".KS|O$4y_`*KEf0)%^!(q`/DcZC!)℥36^3E5vsA jpU?ݞd8OQqui =R@`&fm  ,"E,ْ%\%KXF{l7 Y,l$(I+{}7Wi6k=5L(4rS3uU,ީ6Q=nwҽ:RQ*l?E7ިy}9wILbd<Ũ1lMvVae*1!ȹd?l){)j[9] QoYx4Ҥ*!yE[6چ$DX#~]X3 ϡ`V&I鱻5 .DxmSMdªXЧXu^=$Di6LJ3"Id oڇS#Lsb]݅ ;'['nEU BNf}^N^͗*X)ҕ\k.lyTΏfݓwؚVGnU]XȮS(zMFomkS=46ާv8sN{\M7MJq7!ٮʉ7r!8 (ݘ/=FVN0G=><_x„5moxW(1TW\D8?!l,b"^Ub]^Sc< <[2Nr̬.X<cZo',mZa=& Vt|jx tr[-7Ϋ|*qqK"qMTfܵu9$͆gǔsՔ'՞x ⹗hWor".УrJ1N,4)FHGZ6?DTӚf[?HIm4ȐpO6|pf0t38ͫo^Hhn!^l X1Cd,n aze X0 - !eq$@9D 96A<7!:Zh?PbnG>'O|חT}]@e+ExT+`5ueᗥ¬ij_*@+^#h ERx@K+Y$!+Y=CZ" lDT8X &(_p-|V[/*ja)V.B_LlC g!g*\[ㇴ|vK۹ቬxϕ_xcLXF&" D5:e٦rsM#ǖϽd,U20`b#ǹ&#E՗*~Sxo*Ec÷t"ُߎb_/].$2ܺ"܍K6˩'sx6b]/;!PY8)wrUŭ4 +̎b]:pN e}` +s#`Hakk;F̠ ҡWĸ;`?=?ag=~YN(wn'I|;1h'Hk?{aܩ߆߆kǰtfT}V^[5`n~ɹћ+-7:z> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26402 18780 0 R >> >> /Type /Page >> endobj 18771 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18772 0 obj [18771 0 R 18773 0 R 18774 0 R 18775 0 R 18776 0 R 18777 0 R 18781 0 R] endobj 18773 0 obj << /A << /D (unique_645) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20210608081201-08'00') /Rect [104.1732 552.9 172.4887 563.9] /Subtype /Link /Type /Annot >> endobj 18774 0 obj << /A << /D (unique_681) /S /GoTo >> /Border [0 0 0] /Contents (report_conditions) /M (D:20210608081201-08'00') /Rect [104.1732 536.6999 189.4012 547.6999] /Subtype /Link /Type /Annot >> endobj 18775 0 obj << /A << /D (unique_690) /S /GoTo >> /Border [0 0 0] /Contents (restart) /M (D:20210608081201-08'00') /Rect [104.1732 520.5 135.8807 531.5] /Subtype /Link /Type /Annot >> endobj 18776 0 obj << /A << /D (unique_691) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20210608081201-08'00') /Rect [104.1732 504.3 120.4477 515.2999] /Subtype /Link /Type /Annot >> endobj 18777 0 obj << /A << /D (unique_695) /S /GoTo >> /Border [0 0 0] /Contents (step) /M (D:20210608081201-08'00') /Rect [104.1732 488.1 124.8257 499.1] /Subtype /Link /Type /Annot >> endobj 18778 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18779 0 obj << /Length 19 >> stream q /Iabc26402 Do Q endstream endobj 18780 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26403 20690 0 R /Gabc26404 20697 0 R >> /Font << /Fabc26405 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18782 0 obj << /Filter /FlateDecode /Length 2002 >> stream xڭXKo$Wl| f4r@@OJA0 ٣]D,6YՃd`_^m6'xry|?_>ݦ2;wRj\XˏΥڡD/(_?`%R?4?mC1' G :ť} 63&u$ɹd0=tӒI|lF;EOsk9unLs |,bXMZdxQz ʺJy w5 "6C-$}>(Fxp~~7kje6 }^]Z{} oF s["L=4nL1@Wc8aEU77'[!QU,f8 EتI4"5I8b@} Ux {햛W~&z0OXs [ lonF7斲ocϋ%2/'۔2]5y*T`O\<{a.ghoǺwں7]`ef$]/Eku.-'R tCpd0oeB8#.)Ck[#>]qcy}4mn,Cj$ޖ6g6<%#޷|F4n7%zڞdxha`h9:6_0//2?]> O UPAmGv︰lEBz+ѵl: 6F=̏-4#%DFߗὤ;aqxa gvϘP)"XJ.HbJ,eIb7)+*X'`j]j}IN1`5`VjfB`ƒ/P47ԏ(~@ZU5̢s%+qpl,EDk^ZH<`'[Z^ ӹ?{Tch> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26421 18790 0 R >> >> /Type /Page >> endobj 18784 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18785 0 obj [18784 0 R 18786 0 R 18787 0 R 18791 0 R] endobj 18786 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 467.625 145.297 478.625] /Subtype /Link /Type /Annot >> endobj 18787 0 obj << /A << /D (unique_191) /S /GoTo >> /Border [0 0 0] /Contents (start_gui) /M (D:20210608081201-08'00') /Rect [104.1732 178.7096 145.8357 189.7096] /Subtype /Link /Type /Annot >> endobj 18788 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18789 0 obj << /Length 19 >> stream q /Iabc26421 Do Q endstream endobj 18790 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26422 20690 0 R /Gabc26423 20697 0 R >> /Font << /Fabc26424 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo\ endstream endobj 18791 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1732) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18792 0 obj << /Filter /FlateDecode /Length 2930 >> stream xn_'6srROmQ-92[Uum]U6-W?gu X2Ouy~4/a!e^^oSO?yyZw/<Ҟ^]??V, CfDlgKy7PݔJ1\*#==۫ qܧƬO¿₦fZq{ ۀL2V˜1nCY9=׸*q*wD+Fg O@G:`vZAB/PPjʻU;]5z ]sd&d ŔMMMT2==<ZK~#kÊCYg^%h3pso.Th0ُFMG L6|"`"!&?G@,(ul@'rb3klUvN)QNx$ w+,-L58a w={pQӜ.d4xrkiLZ?oĻ#OQsO=,/#*斚e&dUp 37[kXHa)j- +Y gsH`xznVF#9&_w פQ>`]hE]q^O:+trW3O }Plz >K*DvAltE^q\[g`tYftzE9&bYb]Mp665ld}8A*8+2 HiY&NU!)L "Y4 :SWeG r gћLnЎ x8 &iTy.0:H5F:ANOP$3ṙ5E{9<-g R;3RK#A<Bfvyӕlz4IU[}cэ.7lG8Deq(?VN$.8c:/lb*ض<&(21A ؇\ ]iIAN)V ye/òZ?4֕ƢF]E,LxM2(&N0pGuhH[B\w0Ty{U=rb>uQ8/չYBU5 dǞI] <{Vg#Cl'`*[&Ojd0iZ5U/4U͚z[;,8!*a;ڒ%ᯢǏ^VI h*+G~ZTcĪ@稸id@@,G pFО XgfxңPqB56#ڄ &(`/RmgimS-S%L)GL mm~fdho>O²[aFdxQceh":B[2LQ9zGr7QhB&F,|87d/aFK*;[]4~Su[v(bXBjDL6Qn> ~]f5Ex !xTn%ȟ&>+I({*$B.i:!dw]kTχ:Cڻ̽J=ҝKKh}!"800z|'0X;b܃h\nW>*/p\YN6(E9ǺX#@LJ~!nΤ hl|?5`i܋Bm&;0ҔI m7:ScVXc*"d1bJ endstream endobj 18793 0 obj << /Annots 18795 0 R /BleedBox [0 0 612 792] /Contents [18801 0 R 18797 0 R 18798 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26440 18799 0 R >> >> /Type /Page >> endobj 18794 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18795 0 obj [18794 0 R 18796 0 R 18800 0 R] endobj 18796 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 18797 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18798 0 obj << /Length 19 >> stream q /Iabc26440 Do Q endstream endobj 18799 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26441 20690 0 R /Gabc26442 20697 0 R >> /Font << /Fabc26443 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 18800 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1733) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18801 0 obj << /Filter /FlateDecode /Length 3933 >> stream xڭɎcίxg/@V@nN!i;\Socz#Y,m6w¯\yk}va+ :~oTt);cbNSO?yyz^q_8[x8azֵ?>}Vh۾nф`;ite3:6 dl0])6ԸŪlo1MΘqOxV}@/ϗ$0}~·ޚZ?Syg N4+p |I_<K1$'<rsk&2*; 僗vm 8]JE-[Őd;Qq[ anX([{`׶ =/|r p=bvr.9 gbW$þS ȗ;^ܷg Ob\XZs&l(өV23EBQ-oD X(K=xuR }f0N)%u<G$BW=;Ғ Pc8?@NHB]O0!wQÜ.$4xpkiLZRv{<:G N>0|WZjPYV^ך! gjİZ: eĬnP^b`UC4#U%] 8k:y% Q+{~wgiv{=ꐦб]ԛܢa sh};^"ًڑfS$}͒7f\SwY .++Tl,=T6ǴŨFp@pM2ؿWt '?a3UqJpD܃N5A;q𼇼# Qm5y16$zzКȳМg~tը#%Ô pאgt;kea)l_ҩ+9P{9^pcHƻCƙ];d 2-˼ 2R0&졣G9eA(75$8 Ɓ&Oruus*=x08i?sT,GP7=8!3xparʓ#hne<#k.-cEG <44^nq>URt&yJfcѦsʆ)u%նDe.aлN^U۰@8v(R &BQk8m$wD}'y̻b􍫀!0i8N;==W kU'cꬻi7ilyrb7+$بnlj2B)1e[ozd۝qC@gG65nH^TI`u, C8v`Ш856͜7S-0=ysދ˼Iḱq#U HC{HOòip8 .ǪkAvtJGƷ M%}"5:ǂ0J^mw/kMnڕUgvypyx{n FDk] dvu!M}P a5z=o!1n{OKkH\z9nczkf< W6l6Rfl|tcB_"dsTEע,kXzR&SADk_p*rO\mRj:2Jsɏ,,,/Z৲x;*}v#A@ٟІKc7hPٱ#݃89fj;UGW$J gjz[y=y3L/VT;ON^bY=bG0KYKeYmr]`+z%iryE0X09^|K% NI'x;mYq̅NTsH,eTﰸ:ڤmh[vـ>s4滆|)XsГu N xޡ4TE]WWTmQA2q9`0R9m}ݲvKR~1Pw7 "Q'fحuOW0ǘk̷7c>_W{ ϣ̄zm&,tO c!jf\K*.W_BDXU{#f;i,8paj28ͻLίx#]31ވxGt,\*2@)KasR_;dsĸVYd@2Ol C;n|P408KǍ/(&U#Lq)\z㒫SUG;{Q݁xS8,AicyoTntT]"瘯ij O* C+ǃFm 0R#*(u$$aΗG|"̩W>ç}?'˷M>E5(r|2UP<iRDXx.\ۏ,ѻ[^CS<^j5yACN. ̿F2Lu2 B.jqO endstream endobj 18802 0 obj << /Annots 18804 0 R /BleedBox [0 0 612 792] /Contents [18818 0 R 18814 0 R 18815 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26459 18816 0 R >> >> /Type /Page >> endobj 18803 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18804 0 obj [18803 0 R 18805 0 R 18806 0 R 18807 0 R 18808 0 R 18809 0 R 18810 0 R 18811 0 R 18812 0 R 18813 0 R 18817 0 R] endobj 18805 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 184.6217 686.7] /Subtype /Link /Type /Annot >> endobj 18806 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 186.4367 670.5] /Subtype /Link /Type /Annot >> endobj 18807 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 195.1267 654.3] /Subtype /Link /Type /Annot >> endobj 18808 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 192.6352 638.1] /Subtype /Link /Type /Annot >> endobj 18809 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 170.4757 621.9] /Subtype /Link /Type /Annot >> endobj 18810 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 184.2422 605.7] /Subtype /Link /Type /Annot >> endobj 18811 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 202.8817 589.5] /Subtype /Link /Type /Annot >> endobj 18812 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 562.3 217.6437 573.3] /Subtype /Link /Type /Annot >> endobj 18813 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 546.1 198.5807 557.1] /Subtype /Link /Type /Annot >> endobj 18814 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18815 0 obj << /Length 19 >> stream q /Iabc26459 Do Q endstream endobj 18816 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26460 20690 0 R /Gabc26461 20697 0 R >> /Font << /Fabc26462 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ$` endstream endobj 18817 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1734) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18818 0 obj << /Filter /FlateDecode /Length 1365 >> stream xڭXn7+x4HCn!IXEvEV,"㫅lWiRT|ze6K/xN^#RNrL䨵TJ|zOZ{CZesQ?i? 4^!?߁nϥZlyuU Vo0'RTrw{F@ +P ֵ2MLvf]Rx67f&L Ӫ X-O aG)2Gdu֚fi!#6cF/7b<j<8ayjIFƦ&VuVn+쮏g/pZ7vh&~HAL6o~ҩJfMtv%iiV6[ b<݈苠-ku~uHcaA^dRh}d\%FdTA4+ ",ѱt$1&s=sf#D^\ ϳ]juf[T8?@w 1}P֎/uR>]aĢ^P/ƨЇ]A쫭Ć3ᢕ kʖYvȿĬQ|i7g1Ū{|HC2y J>InhƂ͛Olʢ'{b2w!#>-s`!TX72v{e[M}3a6f~m e$ھndZ#ίgK[K ncl7 ت^Ha: ɇyR}f@Gzѯ>S⍓ۤUϩ‰7^ w4$NC5"dymz~8B:E&\>RΉ<%( 탼'Jy%mpOh6>L`{}Nϗk(k* { hzs}d\H ٻ0 endstream endobj 18819 0 obj << /Annots 18821 0 R /BleedBox [0 0 612 792] /Contents [18827 0 R 18823 0 R 18824 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26478 18825 0 R >> >> /Type /Page >> endobj 18820 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18821 0 obj [18820 0 R 18822 0 R 18826 0 R] endobj 18822 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 18823 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18824 0 obj << /Length 19 >> stream q /Iabc26478 Do Q endstream endobj 18825 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26479 20690 0 R /Gabc26480 20697 0 R >> /Font << /Fabc26481 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18827 0 obj << /Filter /FlateDecode /Length 3856 >> stream xڭǎ$ί0`{[nim0v .}Paz =UL/?|[b R,VygϿC}9q99obݣpOS+p~f/uȡ矗O7Z!.䖯K4aXyxT 4md_Rnl0]S'miUg,yxɰJtw]]-gcJ}\CoX#s6˝ӕ?1MDT"</H?'RJG y<ֹXcu^l翖hT@-&KC2 Ÿ`O7cgHTolQaZצ5E3"'ZЄ!V,gG_& ̫@]ʶ4cO6eXNjSR,ႺÜ O+ 'c!⴫@huj#;UTAN {T&ݒhLL x]71H0ۖUp9+p琥0Ma " &mҍ1bvoS、%G%F(/+s'tyfmY`7i4k:^Ӊcq;\ws4n9Bͮ$Ȇm[,P(zu%D ʟ0̙SωaMpD܃J5A;q𼅼! QmmzۤXt6: 5G9tQG&J)A$!;7SidAea)lNV``+ܪ;:n!@l'aqfd+ÅLlD xu@FJƄ=t#](Bl IM-SI)'t#Dj?Dܤsus- YRm: zgNϻ;& ^Զp?a_/,[n XޑcjlR$.3J{+EY#p}3O=m cǶ!/GU%36r*IPjѭ @>\#=vE.2]"uMtu"O)dߠQi2֪A*kQxnSaM*\ԱTb mTtf͢yGx}6ޒ p1VR[PSpmFs5g0EX/<.a <F+R'iK%3 8 'fA4LEt[V9Ra»Xu&h_o+q`_;lE2V;,8uCw2qL')2JBLiKCNx*PIz][LneU^R{G`nN5* Fh. b~(J[gO hZ[ʍrSZŀؑ㝱k:bYgGfۡSWb44nwmTДYtj Ѩ9dF̆{Xb"*Mq vqz{2A&ad;=Omq@;0ecm%a(z@^4Q~ŵ;^Qř7=歛!Y!LBP/?gIS8b%F3:@ f g+ wr:+p+y8 ;PSY:.#s,Gu&A.ԭUg{gMgf}k$3):E[7A7$N^[1SEf?-pDL֯)A4QCC(fȹ3&3bxZD&^I=24dp`uaǣ=L0Yv*ΑUdT3)8z\ڃK<-E4jFCꑀ>IKl:+r%*܊}[ekHed!v( 4R' e8骿On5{KlL3U;S zwב"o'=N+߆&yzLW{TMS'NS]Z;L8$Qu1 a0CJ> hcXIKi=1fL,Gɨ"D5fاrR!ׄ K>꓍vYkhfAn)rk,X*H&cMavՍ:t\"9nD_7SSqe7.:]piwG0_o,}%TFې5}[־k7tFncZ7K3DQe oYV!6u!` ("$: kη >nTxמO+ W0Iɘ : S&ws] "m|&6>跚ѩAC:Q¿Pm)H 89 |3E ξ}H9`Zu endstream endobj 18828 0 obj << /Annots 18830 0 R /BleedBox [0 0 612 792] /Contents [18842 0 R 18838 0 R 18839 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26497 18840 0 R >> >> /Type /Page >> endobj 18829 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18830 0 obj [18829 0 R 18831 0 R 18832 0 R 18833 0 R 18834 0 R 18835 0 R 18836 0 R 18837 0 R 18841 0 R] endobj 18831 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 529.0038 199.3617 540.0038] /Subtype /Link /Type /Annot >> endobj 18832 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 512.8038 195.1267 523.8038] /Subtype /Link /Type /Annot >> endobj 18833 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 496.6038 189.4397 507.6038] /Subtype /Link /Type /Annot >> endobj 18834 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 480.4038 205.3787 491.4038] /Subtype /Link /Type /Annot >> endobj 18835 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 464.2038 185.6062 475.2038] /Subtype /Link /Type /Annot >> endobj 18836 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 448.0037 207.3807 459.0037] /Subtype /Link /Type /Annot >> endobj 18837 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 431.8037 194.3402 442.8037] /Subtype /Link /Type /Annot >> endobj 18838 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18839 0 obj << /Length 19 >> stream q /Iabc26497 Do Q endstream endobj 18840 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26498 20690 0 R /Gabc26499 20697 0 R >> /Font << /Fabc26500 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qVcޚGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wIg endstream endobj 18841 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1736) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18842 0 obj << /Filter /FlateDecode /Length 1988 >> stream xYIk%9WܐY00 sfʗEz.tfh })B6蕫ϯY. NOkkӗuO1h5s4Q?>{:)p1&'cs]ioqNBɠGyGzك<:s(ǃvuіg@ZY`~slN3{e=>SZ䳌|"2mI<b:_d`5c,f Rn0'A?OΡTSv2S7WH"b]Vu|sN E!M$x+ 0*YA֨5Z'p>c*\iÚ4ݪۃϫjH54na.չ‡|T͚\o/?ϒ2,҉J9f Isȩ+@\H&2B̞~5rc~zqi #GuGa&o%/Rl ~LnVgl4!4\k^O3G;1Oxgf ev"K/q4SZEW1vֶ3cG O{b6>FO݀JsD{t Z fEmxRl_9g'N5Ģ9!z28d6J[!xڮi_/ȈaآQ[n(E*X c(o#,ԟ#&@gEe3uZtD\Cݫ)~^p`6r* @osPsq) gmH)DFef ,Ιsu5n 3R.KZ:gIT 6gd5QQ2va5hm \}\7ڃ/̀]mm^tܩ#3ٹi>+*BÊYH>i{g#g;70B02sq[J )~m`vڰㇸMUx*Јג\Fm@oUh)T$x͚M (<+;e*&0e#uKMQ֐g7 ztR0TT#˹-/# 1mJ78R+2C(a)YQ q|ސOw+Ŏ`"COe[ P/eW4~0S}Iؘ-y೪oY%͝~ϠhG%W_r1!7˱5F9 |hrm(s*Qi%$>ʱ~ҴuPGaCwv4n{l+,x6Ǟoҋ6Ύƈ^tcȗlM"9Ĝg< t[Ί OvMH:)ROl8Cusj,xxk$w<\βn> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26516 18849 0 R >> >> /Type /Page >> endobj 18844 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18845 0 obj [18844 0 R 18846 0 R 18850 0 R] endobj 18846 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 439.225 137.278 450.225] /Subtype /Link /Type /Annot >> endobj 18847 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18848 0 obj << /Length 19 >> stream q /Iabc26516 Do Q endstream endobj 18849 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26517 20690 0 R /Gabc26518 20697 0 R >> /Font << /Fabc26519 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴Rg<~~?va,6{`B ]QLu4SokWROջ]p)k.@f!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)Ɵ2VK2 ufg[XLWۏ"ÐL$3h}'2g("_@9Ê:!l2g)-\0Lj$x2=m,1/s(R.Q8Ρ\?h|=B/}7 endstream endobj 18850 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1737) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18851 0 obj << /Filter /FlateDecode /Length 3996 >> stream xڭɎί@1@WU C$vL6n73ǷZ-fTyuf ~4:^~ŚPcrZi9Yob'uZVx3<~zAml1FkEu #OOMa/T5 MzθOkJ4˯?)O`V[~aڹ̴sa-%&*-,ZxI^xww{rLFZBtsgag "Hmӌ-1Z'?zx?G( >Oh&.CVd2 X/0x0ksdc]UL-4-'gVc쌊LFj(!P"{Q᷆⴫1y-T+[G02f[w:*ġq ῡx/bEMUL(d]j<{R&gDsOɬL z,,*OhDt4bHM!)hci S 8zxփGDAw1̖B}R{gN4.f/bdW ` y.]UHfS-}}$ IJEomI)U۱4+ҕiKONzLԏےlhI!wQdP1G,Λ̿?i,O SYZ*A(] ǣtyGJDNou14zLMրCH6`Hq3t-4"F xg(. 6' XȋmS, S9@oT Mv$f\PzdN9! W=L5vTε0U^&x !y*JKa~iyεQL-5PK(ȨJ+{֙40G0;18b 1+.#+zc͈`8jIWz!BxkA'Ub8]1M=K N_AU4TUjb޴EL !^X-(}n?E7(y}Ğ$sY M<9ܡ_beQ\y܇MFIU=Ƣjpkaۮ::'0G=jvLy yCN֣plzdM\X mluA@kBsݮ Vg+LtSI\C_KبO _QP{Ivrr.[VюGw b;}c #SvX,.d`#ZH y\ daLBG>rȋԭ6nH{} mH3m mfvBkC~3vnġpY $cP7eQ@)wn_ēRNRvLNrb1T?ޢn &0ɉ( .؀j卵$VESgI6KZ( 5err\/.0js}u]o(+c:|{M+AC+/ !Q4k1æxBF Q.c' oJYu6R݆58S]K%;fYJ\DA@u.U> oIA}8a7V ~j0f#GYyOȦ%gpW@7J%1"I~f (meI}aY {h>U74S ކ0oݔ05Ll(/p3 n70n-s++ʔ'\Pvb'&?v RBse5& ':Rq'o7bɚK%C+ Nb&+P_:׶^IWǂ9\|)k )/N py|ڜK>B.p4 F(XݨyVaY7N+'!Hs/fj|jSK;M 1VHFM=k`dt ŎXbT|;kpLf +Bd0p7Uw-ܨTe2^Fi@貿cpFbP&F&8GQ#0UbМY)bm@!H=Kkl*46:)rhX-rLsqcV;9GWՒW՛9NvPK$x|[ jz1F{~s>=(1FӯơY;M`M(vUn(&4E%`yfQc-=GU{Tބ GOZwɁ_/{|\G~8)Խ n58iXhx1C3'oAꗭԏC, O =&nI,Loxi{AR#);) j^))hӦ`7:'w{A{M" Mtض&lvՑ qz~Cn$c-$&Z2񎢣;{1Gdڤ>qD.AuW|J_Ia'lx䤾-nMTO|YuO\װ!;>j&ؿ[<3\M)a_ءtsH.,_ė~3@8c7w*hEBîMZ5c/o|>!/@{w"[9@T1CJ. l KDQRjj}^Du2A Y+T'-ǒKd]b+Y01\&#՗"n`hoEC C[<.|tb_/3?}p-=l?N3u9W{;fwJ F@I"e6 . '[?W!C9}!x 8U_?IA\L!68z׿!`]';WE}]Dȟo*<ï>))_R2o R`ע1۽*@H~9 ?np HEשNŃ?nReM.\bJJM-׃8a%擐~,dE) endstream endobj 18852 0 obj << /Annots 18854 0 R /BleedBox [0 0 612 792] /Contents [18866 0 R 18862 0 R 18863 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26535 18864 0 R >> >> /Type /Page >> endobj 18853 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18854 0 obj [18853 0 R 18855 0 R 18856 0 R 18857 0 R 18858 0 R 18859 0 R 18860 0 R 18861 0 R 18865 0 R] endobj 18855 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 475.5384 208.8492 486.5384] /Subtype /Link /Type /Annot >> endobj 18856 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 459.3384 195.1267 470.3384] /Subtype /Link /Type /Annot >> endobj 18857 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 443.1384 198.9272 454.1384] /Subtype /Link /Type /Annot >> endobj 18858 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 426.9384 214.8662 437.9384] /Subtype /Link /Type /Annot >> endobj 18859 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 410.7384 195.0937 421.7384] /Subtype /Link /Type /Annot >> endobj 18860 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 394.5384 216.8682 405.5384] /Subtype /Link /Type /Annot >> endobj 18861 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 378.3384 203.8277 389.3384] /Subtype /Link /Type /Annot >> endobj 18862 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18863 0 obj << /Length 19 >> stream q /Iabc26535 Do Q endstream endobj 18864 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26536 20690 0 R /Gabc26537 20697 0 R >> /Font << /Fabc26538 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aNV endstream endobj 18865 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1738) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18866 0 obj << /Filter /FlateDecode /Length 2251 >> stream xڭYIk$9Wܐڥ$!an50f!=Pߟ-PӅۮ*.)wg6O]aNOG1okcֻ)lΗ|HΝsxչ A)!jtD_{\p>rϲ6x,㣆){7ΕG<Tf>+TB@+ DT|0m]; Z@3uUυ'V .*Ф|9ض_2ˢs]2w%*MYC{܊",(~,^r;?*ZyGuLS/]" x<5]t;v4jmqG׀pB8N<8\T.yr{xkDѯquEmu8f| :Qۼ8Fk 3ZJ^cr=x r>mN;g;J[j݆;fZ<\*jBbдp:PH {ڧhH/gj5(+֟Di/01v' "fT*Gdyk)c[=h Ge JYAމp1QMzY1@X3%M9%B=ܷF,sA6enFl~}Lx<b#CȄmn=Gb-( rŶEqMV7 u&[t2HuƃaZ3-5]fN D[~W46#@':r*O~=yboe qnwpS.p+"ƗrMTQì*o0^O(3^fQ߈ $s ӶdV{d3=_>bYG>;I{3 4͞b$)81j璏?l`XiDyU7T7t4h p=MO1#*Xe"5B;Mr]: "Ԑ?Ĉ˜mc K(v-fdez^RnQ L] %mj=H4٦՘l\faN^j/)c6JJ iäTN&+n~yܾH|܂/܀Pۚ7i_Ly a$ڃ/L#w3q""*>T>>3W661Bl02S B[ĉ׫J+}k`rِ+$G”x; hkـސD۲xԚgV)$ f -3Y{V9riݓrW5ٍ4qR^4TT3<Ռz+[ fܳcz$k+nv*U>vJ* tW Ue't8K5mc6d4v:Ԃ"Z :Eⵌ ) Hd%¾MyOZWmf-^fTKʙ_YSvq J#fyfZaY5y:h0Yo1u[I;3HylVAYQAXZ 0ԩ"9G_ ڕpr0*,n;Ǫ"v5`b] & endstream endobj 18867 0 obj << /Annots 18869 0 R /BleedBox [0 0 612 792] /Contents [18875 0 R 18871 0 R 18872 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26554 18873 0 R >> >> /Type /Page >> endobj 18868 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18869 0 obj [18868 0 R 18870 0 R 18874 0 R] endobj 18870 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 439.125 140.952 450.125] /Subtype /Link /Type /Annot >> endobj 18871 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18872 0 obj << /Length 19 >> stream q /Iabc26554 Do Q endstream endobj 18873 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26555 20690 0 R /Gabc26556 20697 0 R >> /Font << /Fabc26557 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛD endstream endobj 18874 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1739) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18875 0 obj << /Filter /FlateDecode /Length 4318 >> stream xڭ[n$7+l @ UI|k9 ^Fk9'6nYY%{.U ccKe1+~bO/K3KK6إ?gX|c|-e!/xڕmWNN++ozx_ǟ qvoߚ?X2қv%DBu+tWVJ,e eK:eZXpN V *s~{cmBdS'&Z ^9|=BH5%chj7p@Gn!X^>K5F'G"2(HT9uQML6 DR(*FP;EgWDBF2hD^ᙟa3?U,ΊfLWJۦ)d3tJF""9r++4@1vT"-o0>5_SUoe:pj ,_[Wsq([H=^lRՂ03 }zC>F Y+dB >ìnޅ^3@d&3]#my61J4x 9vjLފvՙ  ce{zkGQ'Y2P>[;֋T;@f߷u)&]32)+SU$ G0{нٌ}L@bE'ο2Y.sY~Dԣ7:FdM#8瞏7j+u]=5%ozdQpkt,$Q^elۙOP nUY ~$3˺"}y,SxPN_#dyVs{MPo #{ {Ev/Zbyhm$Arlr N"gN-߉$5zzOP_ a_^0\d0\L*)ٍI(_~Z0|zrN $x) &W' ZȋmSp, SM6I)_,';dbJ1< S ܩPȦܞs"ҙz`jf5vkKc Vz @A4U.ڐ=^;&񄟝>u0}SvٔO2CnU+P*1@0^ n< ^NB+3陡Jot-d-ք)HvA#ZHgNsWa{pwe сe02O]@\C:l~ yYЋHu&9@I .8XwL3$r-_uߤ@QpK2vH K , )l.eCig!6F3Yh˃2ʦ!]A! u@/^,)1i ˀOX*H0JL7ІcR_2A6pZkTC贈*Z !n.Zz%~ZsSMońlV/پB#(|-懽 ׅV(s)(`k/G5o[feN B TO>~8DMToqY OE]frG( 3&0gL2#rŔ 2Ck--FHq&it\R;E׎o` ^<$NpҲVO=Mjq&osf1k%իڽbjX&~ׅ.CE{^Ș2%k%-o ^ E5TTQh35c C Gvn9drO ¥-Iq,{8ruD8wçtpW6~ pGz*O?M\DW\f쓀4PKAC8TʵȐɹ]PfZͣ1pG+"eZŠ]K1 (ߨ:Nj5؊+;. n[^qb5.l  o&Y7G;{y9{!<#s+T;/g{xMRo݂jfx*&cB7m7Wtb~]=1ב$juhZ޲h,M9/^r;,'*JIKBr( t7 _0fcwPʐOĮRX GXLp6eq[J%Lxd'>d'7T<0-1U,Tb j+7u׼+ 7v3@tiA(@yjVD:l91ںN$»r.9_H|b^_yM3P]vZ9#Oq_+ *]-<=Y0mH9/׊vGo.ы]|5xJnr+Fks wU`S‡j보xyXv UJ235>#§[z%-DҶvy"c3O,O&QjhuZMeCiy|lԛMRlerV <*eJ0Ov XAA .B^90cI|1MG$ȳ?'H{= xIJ 8ʢ >8"/&I=:VV~RmM~~/? ~F]q\ը_ YeI endstream endobj 18876 0 obj << /Annots 18878 0 R /BleedBox [0 0 612 792] /Contents [18886 0 R 18882 0 R 18883 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26573 18884 0 R >> >> /Type /Page >> endobj 18877 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18878 0 obj [18877 0 R 18879 0 R 18880 0 R 18881 0 R 18885 0 R] endobj 18879 0 obj << /A << /D (unique_650) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 150.7362 608.2] /Subtype /Link /Type /Annot >> endobj 18880 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 581 150.4282 592] /Subtype /Link /Type /Annot >> endobj 18881 0 obj << /A << /D (unique_694) /S /GoTo >> /Border [0 0 0] /Contents (start_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 148.2007 575.8] /Subtype /Link /Type /Annot >> endobj 18882 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18883 0 obj << /Length 19 >> stream q /Iabc26573 Do Q endstream endobj 18884 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26574 20690 0 R /Gabc26575 20697 0 R >> /Font << /Fabc26576 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pҬЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}KZ endstream endobj 18885 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1740) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18886 0 obj << /Filter /FlateDecode /Length 1486 >> stream xڭXjd7+`Ev,xBh_Ttvb#K%N=~N[NԕӋsN)˿oᬿvN!kv\B;k}6E h>/@%PPr7wOc=vdc0銹0SǦS_ 1/hhǝK'rs%iDD97,RZ6 lɲ5$bMʮ_S Nyû(L4"eRm,b|ѴSMQ<&EaY?F%2z1 C'ቁ=]1,jk?|V^eWhL y@ihx3&t.tv,O$_p,Ab2pNt6'L!w5^-ddM\㼬S`9AzE2MKο@gdϠM"V!e4k-?(Fm5x)6|5>1DŽ=}N-c3BXN!c3X;qx !\BL}8 D5C4u5D9ɭ[8ҩiEA6ս%3Ğ5<ܠٞƏLV e!tor~E?]Ϩ˲.$!'bZSf>FɯDΎ/5ʆc_Qh]M1zKB\us9p3u)ЄU:l6/ZK)q@xR=n8`#?~eԬ<UkVz׏j*^%'ƛ:*-+_Ojr ޯ1sm u]Xv򱾭3¢gL]"X^cN{H^<_( 0!LjrlqXeao#+@fSC@w#1Zc pjPLr[ﴟɖRÎ"7d-/@pgc/kyUh7/'G77"&Qy~8xWFKU endstream endobj 18887 0 obj << /Annots 18889 0 R /BleedBox [0 0 612 792] /Contents [18895 0 R 18891 0 R 18892 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26592 18893 0 R >> >> /Type /Page >> endobj 18888 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18889 0 obj [18888 0 R 18890 0 R 18894 0 R] endobj 18890 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [90 406.625 135.562 417.625] /Subtype /Link /Type /Annot >> endobj 18891 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18892 0 obj << /Length 19 >> stream q /Iabc26592 Do Q endstream endobj 18893 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26593 20690 0 R /Gabc26594 20697 0 R >> /Font << /Fabc26595 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18895 0 obj << /Filter /FlateDecode /Length 3885 >> stream xn$ί賁idfǀok/C&vHv/ԋdg4K,vH]|mr>ꧯS)ũ8Y9~~<ɻksKӗ/֦q:xoO- sGo<}bmHO/S8yFxZZ6ݺ闟-8+_kkH )s2hᥬӂ[x3 /g 7?0ȗ=ؘ#7 P'./埨Ý{1 N#eT<ԗ819|,1?dY7 n}*L\3X@ p^t.' Tn+ 2cq@a k-@Eka#|XPv> N=eXQuoh+tq!ٖ%aAg'ꤨZ8\@\W0[u`k.0*`k864L^V̽Lb`T@ڜe%P笟S+"Fq> vl`|xk6cy /T1COЃCYq~k1*APk\|@B8_2"+cbnɷKŐ [P+vŐN2+W1K}aBG8I @%Q}dj?&7BPWHRJrlR?RY3S;˂ZwjjuͽʅOо/ܾGq~wk,*+yF6dzR?LJ=ӏ`kѧxRQ~mYUMe=ErJ4v:{G&ŽK)APrqC@n4a3*VU˟CU /K*|!/?M+4] }9^i@+,|40?#:LuemHiPW[퍑6Y?|#+c H$+VeK@;A2UטĉHGl̵™ͼE  ܸ ւdi:T6)& XZFI*WUv(KYqI!X,aPYˤ5\R`ke]Cͥf,+ !*q/̝M:*e (J&kH5{op {a BK n0ZFM`SӇ LZ*@jtt sꆵ {C)ڄg۳9uKF{!+J`OA{}=f1{b'w;ןUP݉?)T>X Ʒx&Fs,Bu͎4XN )ōvnpLM r2ǘK&am@B"!uw2b7JK3 `Yʞ[TA*JJS 2w`NDc3jRd&0h2q3{wS:)uE{܍-yw9vyDA6)EY>D -OeN&wG.6Ç!tE-_xdC`B)DeWu&A LނW.Ha%ᶸ_1ZKv\lK&O޷^Zn7;ntY- is^u}:  p6l{y_kpn]Nݚqs|œ|VCAZGXs`:vKL%ְLk<턥9ӗ3, #^Drҳ[l.0&`ux ˔g.t2y{\QBΙw-]G2UN|r| l>@҇[Q1se䉢tSKf~`"bv!M@7a|Y1aZ2~b[H tMMY_PaNzyFBFG|ȯ3Nu7[jp$ <$RUXgbıT-Sq28q|A^d^Č^RL$^cSQ8ɰ $~D\CJ!@ꌔ6Hm>ED^#@LF:e؆{VRȽh`^XL,0Q\xizHqtVd;B 5(5nMnz00W~`oN|/ :C:W@ٸd<@rG {x m;T}l'ܰlra/ڗ~%{ ra̡)z[.h,=™)v Wu|#4.BO:{> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26611 18904 0 R >> >> /Type /Page >> endobj 18897 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18898 0 obj [18897 0 R 18899 0 R 18900 0 R 18901 0 R 18905 0 R] endobj 18899 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 515 145.4837 526] /Subtype /Link /Type /Annot >> endobj 18900 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 498.8 149.6802 509.8] /Subtype /Link /Type /Annot >> endobj 18901 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 482.6 146.4572 493.6] /Subtype /Link /Type /Annot >> endobj 18902 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18903 0 obj << /Length 19 >> stream q /Iabc26611 Do Q endstream endobj 18904 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26612 20690 0 R /Gabc26613 20697 0 R >> /Font << /Fabc26614 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18906 0 obj << /Filter /FlateDecode /Length 1996 >> stream xڽXjdWA]<(PUxFJv?cJ}U%=QʛSdĉfuQQ{/vym}zo.ScCãsRMΕ3=ΧG)3~ob|tV0!;mp+F{Bێ|>Pj4u{xhAZ$rm*42,=$&'iV1M5]7:iFMf7K218`u'-QP4[l:o}o*\Zt 3fu7[n,n] C/r )LތNfN"a EzKM5@J`8E0?̸SEzꋇeC/D"@e1UJ :¢lHHطB`HJC|$$;!!E3,m>Al6$5z!mnV#eM~?O6TEP;%6"̆ ҭNH62=\0$*#\ѧRBbQG2'L&eUEb(XKM* EepCغhMQG{CۣFȑa򍈛p!PcD݊,_B<qe+1SOQ܉J -}ʒgͺ(.pڲ!$6_گ/t$JQmkk3Zж_m ؁0='Q?g;?7,MS@K-+bmExw1KbGW{ T<(5IyʖirfT`Y3ڶ3xq뎵9s$ß/D/L*xh2P ]a7WN*gc"$ p@ayi|5wxKEFIe]-FݐHkͽ#v(ǚzJMyOjV6j<(J2W^);Y y::2HkRĺBE[YN\n1e[KŸ!umfj TPy)knaL2j"Ĝ_q\f츹v]rZɳkMimPW}eqPBFJ~Y6;G/|SSV 󖟯vH]q1=Ǜ={D;5TljSinM7I}A|gxzA7/t}oq3eձ<^O㝃h}}E `0lj2?(b9 g{w8{bgz(eP#})0MR=6@ R[Q2??Z[ QKn:.@C*=9G]]k{; (ذL57tgd6y83\px~~ endstream endobj 18907 0 obj << /Annots [18908 0 R 18912 0 R] /BleedBox [0 0 612 792] /Contents [18913 0 R 18909 0 R 18910 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26630 18911 0 R >> >> /Type /Page >> endobj 18908 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18909 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18910 0 obj << /Length 19 >> stream q /Iabc26630 Do Q endstream endobj 18911 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26631 20690 0 R /Gabc26632 20697 0 R >> /Font << /Fabc26633 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`UathǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZN=p9_>Y#rU %uaT(P;b)G+QO TϬ>JGܱYIZ)6{ik_KiR'Sb:tD'W9FF-I U4sm.\gy4(//d"k,`O6OaePD99 3/s9p!g9`V]8` j2*WLj$x2Uļ!%$. (> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18913 0 obj << /Filter /FlateDecode /Length 4255 >> stream x\KW)8v3@ANl`:%?Ud,ʲ[ۛvUUII~Fj!V~}dJ_!_N^Z#ou^%__>iYgvfYg~"X3XW͌gS*(?߳(o2hO =u?CR8OpI+KpfFGaf'SAI3"ႚ0O2*P9dk/2y:'ԥ^4]ۅ#)צ.P" ĐP:~6~`= [Ais ,3ٹ8M%#GyD5sYz ֆ@, TVV@A\l6tDF( yڪn5cCp:܅ W4jSTP,Q 2P 0S_Iyw(Z:zA95V~ϝUt>w=wr_6FIљ/StQ(-dЮ ;qRfz^4d2Awʆ }F~l$26L*zI d_1xʤ15E雽z~YG7$j[^Jvf6jhj 2Q尣ܧ#eҞ"S\ KDH{l(>a>x@S1uO`Q-PհVm)}n0ʥL/,TL O 23UzF78GEhގAMqQ0EBÙĨ7l^ ϻi[Vhkߣ9ԛvv|r;^Øߞ2ƒf mg*;29C}hvU9.R*mr6t^$,k NFXtM"/Z@8q؈jr)N@ O__%ǹjq38?M ( t|$ˤ-ke⹮(qObwVc aNV5t^en(0Z(UZjd߭UQ&.({Nt$,EsΫwk nEGk}xnXȁ_=KSKHous}︼igb?nWNLaD7Ya|85-VSyVd̕GU-D^2dW]4'GQO7.z1hV./ҵ"\2y~M1akV}HwƇtcP~'0x:5%hwWE>]m=X&, LiՔffNb9<S* ])ԙ<+_*YLi/Ve!ta} `|XEzws'I#tg5iS PzUcqry@ ZH=w~k`Z%2lZ'<Ag=R0 mΗF/勶oȝYI~"YlwO~*b|@cAex0Tsl-|v)%9rʹ=QC }1*ZXD>J9ΖyS+ ["i0!6lJ i;|W㳌5H0=ti}&8yEkܶ<[ٳv"/ @Ӟ GS3Yߞ}Ⱥlp  ܎){U0s%%,߈|sO' $ 2Z~'Okl+4\gib(K "䙋.|+ W'-G|IjsPȝQI ߯WD-IM#kF3)oͬ* q-x*0b?^-@ 9*eC5i5E_c]-LboN&W&P9L&++JJ[+q4I˭Wz!*=Y*"q. g7-ݔ&ި uQbUIMwi RCeruD>n{Ltײ(64xp‹UkB_rqmjVE̯@!˩\ .:(IQe-~ׯR)u[%ֵPǠkPˏZ+7@-ZfvѪ߅Zl9BHB8CCCb\,Wl_Zv{,02k`3*XZAYwkgU\b"Za"EƹúZykaDi;kܰ=}=cwF -ð`/ٲqȖU"<։컓 p`"+q(VlW+/..Έ,T5\p]DdH> \ ۃ g1:\>0V,q0w.o]r7 f5-og%2qiLT&i-~, ]ˤ9) 8羃MF&W:\ 1eP)[U`< 8D&zYijUh ʷʴBR6_PNQ,'FAOI$H]w0E11U`x5]@dH]n95h|r'/XRgY8 ./]PN|FirumU@:Un +HX)utLljW`K nK%:]"ŎĀ*)RrZF+N1T3׌T8 U*;=?er+T[ڑ.eg5=1&lrYdXRQ^,\^++ r5oMoԹԻZLA:&mzHZB Z\-=[ˉ~Zޫ^bI3xJIm- OzmGD ~Rg|9k?e?;M,m = v99}Q;sʦ Θ/3dfs]3(j'WuoK>zw19~‹m(OC}~;o\0z|Lgb:o0Ɣib+^ٖoza!w׃9ozU#HZpR> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26649 18918 0 R >> >> /Type /Page >> endobj 18915 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18916 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18917 0 obj << /Length 19 >> stream q /Iabc26649 Do Q endstream endobj 18918 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26650 20690 0 R /Gabc26651 20697 0 R >> /Font << /Fabc26652 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pJJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'C߬(Ͼ=jֈvr=C\EK}G@F #E3Wuœ}"juZG)ȃ;6+r8knT-=%CO4yrCodԑH5J\[-48יq|mau3ͪ l?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}* endstream endobj 18919 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1744) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18920 0 obj << /Filter /FlateDecode /Length 4726 >> stream xˎίy+|S {d=,rd7^aY(Yܛ`0HQb^,erܿ|IOo4q9T iW(kqqĬռ(9Y^1鬚߉ Ӹ_͹tu'usi`L0L@N's^0 C1<ڦD9q֊8BijW$KsiP/i+4̑YWJpCsۡ[=5Uĸ/YUdV%[EnYYd>%7EYrSdN%7E#dMd="K6,'}"Kn,']"I5'U]*9*:ꏿϙ'FW||)Řf{|E_?q՝ bK}ӠtZ%,2}T]ܷt'}xltA\q匎rptF xr#ثb\_ݵytO#R)]:ifRi/8H7! sCD\ sI<EDL|_=\b_W"N/ay"9pN7 ƨ$ ڍ^:C!)>Q1}8=Q֌}ϩtW7}y pў)}ٷscc-cB՜HUg:ΐs7хܽ̚kud@al"y d$4Qy pgW= +,寳 ㉋;#:QAzr]+w4 =/K4ś7_-QZen(gQ1hZx´zUo61Xd }Dl4Ka)c}fK]cOs^0A?"tat1R͚y. P}[FEFC xvbo3S0)ٽm2M'.>eCbW9YL<ILhe I01Y.l׸h^nd]wtn\PiD'M_TƗ \y|0fo u!z>$ԙDZ[(/.dTG*7<3Vٓ^ r$z쭢 BnK{Y\6ݳͱgZ?.?r]5( 2%Z/_\Pj}tn/,+VqTwU,ص=@ҬۆkZ^ڰ]h[vEaµÁ,*(ȜIa-L=`л*U)^UsM0zƠƞ ɨ4n݃B'{7(Rcy5Y`InR}<-gc)ISɆ'PQ>d%TAp)]7 A7AŌHMPHe5Mٱ ~W:H ԁ6'got~yX-ja+\g)/7VnJ^ў1Z"-U9FcD&D%0cwb(.]0w_#Sf~E kYgFH"mxX@m~ƿ5h~mU+l[WfD1n R{ۑs0 }gQzEKgO҅)}=~L XtVpD쬎.Yċ('Iy3I;uu:Z ;PGV4i\L 9]F*:ua\f<4a+F tHβ^ x9X{Ӑ{ IW@y%^6:n kשN=kٶl{xsF?l"|ZԿc:a$![e+]^vH a2kV.,{RiaI%rfmy쀘Ev!:LDgWT'j7l@*&-RKZ,P|pW h(2'HǤҚۗF>N:ߦ6\e wT)!v$I"y{'y9DH,tLE_bZo֢Dsݐ:m &+Mqk{YhAnxM-kK\z𜢕/_C~ sSvr-rl7¹QGxy{^8ywCSl:;ށh-kW)⻦*4Ůt3GynG$4^V{}hԉ]E$/tNe]:{A~b5Mͱi{,5e\%' eDmӤgy!W84BO>#20}'~䟖)ڭŜrZUUvuE7γ~qEj"z [fiv(a&{$'F70NAElV7A|z쫚[G9 P_O_MoEYxjGkg&ܖ@]'++5(Ma»h{itV-=y]EL^=ȵ{~I|ӓ.^߂+KqGxA?SA^Nҟp"tnX`܃e c.F*r$}Qt^Xl0suD}_|śQ'>LJQxaկdU) fUȅ78"Ikha7 ,U f`ŭOu߂O&ӮsTMrM/SZQ!SVr&Mf* SF hHU9Ox ̭H_4dÐRΣR f`p29 v~|I#oS!*Fj06ՄUXpuxO8<"u>{=1n +LUs\0.)UQ,@n)Z\wL0]z>l1`ULVHCi`Rn0h0oMn`s g S"ˤunj%nacblrD@Zrߞ]Xt]{ڛkrA{}{\[|74 9p#px ^iGpxE`n8Ep(0rxe2L2''0uL&oablrD@ZrTY{LsweroI{g 3S'L`L1쒇r\apx̡X4(,sW"VxBOi\UQwV*tBP--g-(M¼G耑3§(ŷk}"ϟ\~ ([ NXNr?0e Ҝg}6$aj ʮx:%Moj~#:N "2Hҳׯ6GM:/Hĩ]7Ԑ79Vav^x6قW)Vs|;)/X~yw+g3a ΋R13k;]Lpdoyb{.|!]7@:s2*8D _n m= endstream endobj 18921 0 obj << /Annots 18923 0 R /BleedBox [0 0 612 792] /Contents [18929 0 R 18925 0 R 18926 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26668 18927 0 R >> >> /Type /Page >> endobj 18922 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18923 0 obj [18922 0 R 18924 0 R 18928 0 R] endobj 18924 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 536.125 114.9865 547.125] /Subtype /Link /Type /Annot >> endobj 18925 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18926 0 obj << /Length 19 >> stream q /Iabc26668 Do Q endstream endobj 18927 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26669 20690 0 R /Gabc26670 20697 0 R >> /Font << /Fabc26671 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;7o77 fڿc}Lhj[ߕ9pZ [n5C;f٩c8I ko ZHj;|/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐ׫ٷǞ5" 91J*qlP;b(RM%4 <~UxoBDM>5TϬ:JG\YI ][{i4LT>Ѥɻ#-I*YZ-48יq|mau3jf//d"Xl˜5_@KiܟBj2gԜo.9cDA ^zUv,Q9 )ǥC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18929 0 obj << /Filter /FlateDecode /Length 5561 >> stream x<ˎ#9rw~EP.P@I*x؃Sgn3}NjTJ.wZ" Ƌ\~[̢Rۏ%%.^qB{5S{1m6C{%jও ]Vwɣ%(yԣY%z<ˣ!àK>hjkf0HcVQx:h˷-TݟIY x$΅VUh/GBB5O2^˷>ca>'2 EB>9JS9N?oNڂ@xٛuꨅ 8E>m(}8܇cNXrv#$prיc> r*Kq80m*R2x@|0sF{cU kxڄ >|Cxk/No@hHάZj+fAT'~AQft׾7 "]b}hǚn?2dKְjȾEDj⎈ii6{OX! = 87v ~(/tI k:|(lXPeø.`{( qAGg0?f-k[ЬR a[P|-O쒴 ;xU_&fKZD1y!뾡Y" dݣ5xcSk+_9p`o|?`rp&1%io A!Z薿.p|zN )bPi~ o :PIܚ" D1<@]qߩP7586䄤34^351]dX?ۚx !y*JmHa~i{bjZz@Ark)Dfm ѯ&ԙY,!feprmW ьX{t7⪳ר-@i6kj.߰JMԈ}6am#q=IDk})Qԝ&ѝˊeh*{Jxm2j;%$-Z@&w4٣0qOHa>}*Yשh4n~l!oHɺ}O[%Yb|6 n[d[d[}dV?#ٷ#7"_lD:YwCf.M.knuF7F7]&nKȳk;8iZ$ a-4{ +AP0p[aWxcO[7v{?d`:xĜlD?>o9 lwSzc4YYs𒂍cE Y~w[ >{nI>z_B|-y.aCR1HaQ"|Rx^đ҉G< mNw0s)aOxG.X;@$&dwÙ cYUXð3Y< 1|I"# 4LmG-dC1`(h 2+⓮ˊc(*:4AYcX⇅1=ݦ_Rq<^dyVQ+tgIdeq̸>RX SA0QuBqWCobiOkJ j| V14 I].̑ ⟺--BlBeS_ILO@EĽLT&U9VZE."#cL\.8*0|`ɥVi*9emgWAyT395ۚ-|Vg9Wm& r_cM@צp̩nsԎ/1ںQT)Ds[mv4wbX=yDmPΔm?CC(g5g3$Af-:~bpXff,?a($D?%(ƶ;rk_P?/\H3^5fONcXjjоroN,aaM_Յe@ƬlΧlQ8pDu a~)q8k6Ӹ9[:ds"H QPx'@Eꅀ Fzz[<; 9`3CȈ Hic͚`z M`^t΅'D@1AЎI͔9P* xژABYJZ!ʒ(:?.0f.zǴ @g4y I%mC^ bN!@xDyu'&X_Iv@{0K;(JCL`5 c"MԂD~b7G7@жDwi-JiKViiZ58hf{\A*a6tzJ)oNjut .่* Q=?_z$%@D2r"#U BCY3w$e6 jPA I{:>A*KZ-8UGR@%AJvxE&BB5gb54NZ N󪃁;`#`񶷡3;KD k`أHJ2.Â"7L: nQ;݉H0_',G!'T wDQEaEB9AͮN;OP-b{ ( dK[ۅvQv,iȡS m8#yHulH%~3PjCӀcKyB@uh[au/h+od~}eHmЈ&{+>9})}"Xk xƈ^HƕeŋD8+*%Ń:&B3W?a,tm`SsU˕n9-3FnRZS| EtAM>~].eG!F-qSDz#n)|- `B́z.aZ A^*t^M]s!DL- \, |6< ,.O%&G;$a8y^`Gj\A[͑ʺ!MiJө&%)Z>6InL#g暘cT[)?n5j[@,ehRsqToɁ}LIb73pjt3\j4潊1Ժ'+%HfPAW1nii sM lz0Rŀ~q pl܂P#RV?6Ƴ7<8*oBn 6꣏u/2$4daIKwS~ M朇DN56c^l'g41;rI͗*f]0R!մVK}&%OK]t 2原~26":⫝̸/T{i3aCcm=zgem)=&c:J^5StE 2B%Y: o2qN(+l9nݱS˖")q۰J8 >TWYK!N>ms$ՃZ{z S*Sȩ&FNY(/CwLCh"_FԲ|8=|boKՓXk$ l (RIиN,10ml-;~ÞypCW<]ȇo͖ٞƧ({a7nX3]w|`c-^#[hfR-4pv{E,TzB JYlg,?=>&>;;7s-K qK f8kϦe=ks|栺RYV3Vd^Q|g< 'gnpO >u`%hlDK&@37ò91W|VdI,fd+#XQ81o qOXIZ+v Gݝ(g@jyFIJغ¶#P=1n2vGXT&-N>B~+ 5٘-Z4s&i+:E6іr3y<ܭ*TŠ 4>͂3 ^nw-t>ʘ^2š6Ebt$kD6cD i/fb V$76 j%MvEc$sӗiiS36sZɞ?xN BM۶;$ڭQuVj⾷/@)6ѧoax`k5 z NLPGCY ? 8Q#QnR!YC mOl2Inj2 icr3^4,D߀JWkPr\\Q,xŽU[!=&Aua=W!cXz+dƞqsK-JՉrAqI- EbT7k&`XDpQ)ti(sj;.,Q @ W " OytߊvE.qM<%7r)3F 2AK?2\ ?/V[ߥ UòcTFWth`a pau[Ԏ咐[ΰ4OdqT%SG|>ݛɨ5:f٦!Oi}lԛM֯RlE4yf(}k ЕqAvd2O7wn7k僢!Zob~~et`.InSqex~(ϰzsI807+ƴK{=~5xʻڳl>ӎ\L.|xφ),* + y"7<"<^Ny%CP;^/^Aw _YiO0aӃ=CI{B"OG])mUߕuk5?E*T̲( ݆> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26687 18934 0 R >> >> /Type /Page >> endobj 18931 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18932 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18933 0 obj << /Length 19 >> stream q /Iabc26687 Do Q endstream endobj 18934 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26688 20690 0 R /Gabc26689 20697 0 R >> /Font << /Fabc26690 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫ӡ~1OE) eAko Lj;|/(q1Ur(r1"F7,)gD19W䝒 jE q-lb@r2dd~cޅzPRoJxbG /_;QOj3Ră+6+)C+0kb/mTߖj'5yCodԒԑLnR̃sV7ӬZpk"bH& d_ EC^B 0)xp´!ld̪'o.U1" ^_/|Uv,1@wSvbV^B90ډ_'Ŷa$ endstream endobj 18935 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1746) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18936 0 obj << /Filter /FlateDecode /Length 4883 >> stream xˎ$I_g Z-uUu!mّ8 N B=H,}lYeA*22vU;WHze-^O]^̩ӏYㅔfUR8O_QZ)g)/>ciFts3ﰒ) I/ 'xJKe_y;=ߙDЖZX8j$)J/Y`ni] [ #H\ZjF9k HSw/=$<6Azj ./H bKx9_`?qyS[||^/G>|׋.̝JH~ZWPiި #@w&`ʼ0!"/bS|fti㓧IĢS{j\fAAv {e\yKFgm'f4̟*Vi5&̡LI:]ɤIB~ʇM?kGD95Wcz^Qrnx^2QIMT@3ms֐ -)c2GV# fqF|iL̢][) QͨrVkVFsaOx#)‘1J**;ފ>P u]ƪnudE dK#Q"Qˠ#4Q+*$ Xƅٟ ft aa|~8Qh"͐Y KP \yʟ@6OM \PuZ#)QL5I ~=^=^~{ܳ7ҧ(ȁhW9nW?hh覦c<) V0dÀ" ~ZeHQf׫\nBI|q|*P>?eoT ʋ`iZ$mpHZSZ"AljC|'\ϐ $MOmgjtL6(T:!q&O-.wD,nur#ƂUΟ $"/|[&2nL H|jn|p=pxŋ8_ -Rd$P1ƭplƵ"הH*6y(]ji?\L)/ysB H U6YXnYL~xQ΍Bӏ:6T9>gN_xr#qRe'.F:GDKLX'w臒Z{hJaٔ-&sBe .q'Lq6N; D=dY7[5W|6SJN}05'gg-RuQJ\ ~&zO>l\^GsgJ `IME5AMtȓL|_zg|adsT KKdFsŀa(Y8GOy)4fZvezc"^@#cH!y7IfkC\o\ +,0!GT %{J-̞7+fҖ0:6-CqyH9W@%cqΫ)u8`NisI @G\)3U[&mIk_R[v]^rZnEщOЩXTelܬGN /%l\Mp:&CM9Ʈ0jaKNǧdC@%cpM@ޝSdy޵=C^2#գ6n8l6)MY!Հ-9泩º$槄ZU~Ms~15$?t4pѼPeyF-S$V]ZPI%sN)h 8'W;w RO!}m)o[=^iJ$h=5WlBds&\InWƊE o]_'T+o [s?Ufr4U]}%ꥰCB*γAj,6W~'ov7e.Ub ̕*>1HpDpknjk)aփm+dl7Th[Gv:2nc_y~徃9qAY-U^l:n͛P>4<SU+qEEF%4n0;-D*)5@錻P>Ɇk{ FV-4k|]@Pg!tV=19uui@㩜fDR5g ke!筵| ~/nɗٕv>D _ji;Iq.fǽvQ4g15~i*gd?\A :1πЃIxڐ@3c.p*үf͹r|Z6kl0`i! HU|ۿ[+^IyvPΡC{KԟT 9nFMإ0(ZmAj]ٮi-ֵwL]B6?)v,ΐ$$rNle]s} PDk9נʾa:<5vV4!wAm؉qjn5TQ^!yH :D@WdF z'rrP%:gdms=h^ U9CFt[GƠye*ÝO0E-܊cH b 6X߂I-_쾳2ycEn$$[_MU߸.//Քa*s7"osmߑ鲇u>{'zrC}b뽄&餜}Tb<a_l{0[v_G`˔Nڹ{'io*9![++[NuSq6;$Zh͂46+7};̶:bоk%zWL!/$ }q}Ĝ4`]m 7f wB(w' V0YMww$9ɍ{B6uvŢ 61]ZF6p⍕M0C''ػ.X}~&4,S_c3I <9$͕7kA/I}^V)F~-&iXAuUش t6Nv)?䁗X1,--)fmپN0lfN%q騼݈)^.y|PSn5w&lsJP`NK&j0w]Wg՝DYmxH4m`y ڪ,pZk)~J}[6dp\p||ӳB/ cd qS̵Qd)o"}P! ExD!ID)<ϯ~ umܼs[{j*n$bZ,\f&ٜ/g8 `y,p|C/;aG4N"JEũL r ^IҚTF %ZD3e``5(GɵEĤ{bwa29ֿTtMW⠃҄ -l0/8e _bR3DIia$MW` z]vr0vn-{;:RnBY.-`-e7.da 0K| 0_[?'_p@WdBGG"-X1+A:# 1A^2<"f,FF0UY^P9x70vPWgT>Z8`R~R(\ Lnk=’y!lwQ ?+厷l mbt5.J3< m> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26706 18941 0 R >> >> /Type /Page >> endobj 18938 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18939 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18940 0 obj << /Length 19 >> stream q /Iabc26706 Do Q endstream endobj 18941 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26707 20690 0 R /Gabc26708 20697 0 R >> /Font << /Fabc26709 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p.Aӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}>X endstream endobj 18942 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1747) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18943 0 obj << /Filter /FlateDecode /Length 4126 >> stream x\IoW50vr999 3bqm;L_U$ZIeeQN^޾/Z][gqp3R˷ګƯR]:oߟ˷@g%Ko*o}z߳~Է~VSYj BR%=m%p{_o?<~UR]>R LFяN-mL g2Q^QyQy*OdM`>wWZ2xmPexc 2mӡh/a&/0K"6J'Nʬ6y\7}atM42@yvPN*U%Lj"XdJmx9{5gA)}yq]'RŧlY"xg''ͬ>SdOc¢py?d9@%ޙa H` q#{ ˫T8 (ƤMo=1) G;Xc `&chէ8N `:gc\0@ '_LA2du/GnS7(I*#EIh$4h #U#&tb/ŋ4XC"ʦNs]J}Wq#J`5#k 1k JbKq6Dz 2+ҬXFX5UǧF]Ie]\MC `ZR(LU06^{1E)F; i Sp^Bƌ2F{1){z&Қb8 2˚gB)St3Kܞ|-۬bnMu6au: j]"욁qx5nηF^~}AB"Di21mm'W'4>LLG9`K 5kViUbZ(IHс~ӱ 1@Bѯ'1i5MUn#JqMH^?{(f:?_gA U/$S8iYS2ЈGpYT {d߃oNP `/[*r><$ݻA: s+peQeapseiqTv22{x>GcbؗҪ QPbP]5ΜH10 zU'ֿ r <@CbBj=@fh$R^}=EקZkP=FZj>ܫm:~QbPDdPT!ܩ@ˀJۘ5Z!6?eM>5`#tґ2<4%{_&&ĚA)\)2KT7.ð y}I,4|6cFYq`wXÝǶkq(.r1^X&6n7!չ,mY }kоrU+X1g9st0@`T5sY7λ )V*2c&}2JaaSl.rv<"DqD`Z"5Wke49=T 1Gh1M/os B#'kس(@.;yv+o ˹<Ĵ0*]=هYm>B?䧂:[U1 2+vTaM{~`#/:_s3.e,!_[&ƓGm?PǣPvSXͯ6W4mr7;]\n'͓|MaX곤3\@M-n cǠj=dP A3X=0^68aD1qhV aX $u9)fTvLz`xdhOw}KŮa xp#u3պ}y+twlAFGƺI_>[*z[!µb,%Uu֌ף5T'ZV;іu7Cy`I (M *2 ܳ;Gii~#L^̓_0KvswgtH p?1S>MKUȎ( /)X_rjrm{ .O 7 $okn2m -$M$Wu55TEy&}{^HL'ܴy@xD|ICJ0\E&Jÿ=@,ͳ$ 7C\ nnk=Ғy Lu[SzwdnM$^։fVu(7QY׷LhMQky)L4K-s<7ޫxq$8'R3dA2tb ە@utu~uvbEhKG2or]ǐLO)8Z,\~8Dž> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26725 18948 0 R >> >> /Type /Page >> endobj 18945 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18946 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18947 0 obj << /Length 19 >> stream q /Iabc26725 Do Q endstream endobj 18948 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26726 20690 0 R /Gabc26727 20697 0 R >> /Font << /Fabc26728 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nବЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%oV[-48יq|mau3j#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMD endstream endobj 18949 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1748) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18950 0 obj << /Filter /FlateDecode /Length 6062 >> stream x=Ɏ+9rw~E (}JR@ 33};"\3RU/ zI&E-^^/O-.Qa_*Nߖ*o?ƯREI|~G)>)/iwix~RKeImoKkPZti Ӓ;eJ/R+|Y"mpzZkhOaNTg'K # k0APZZ&6C)o-nԶ 0.Osԓ6?43pg wx?K|5ް>mSuM3=T g:zft0&Lκ4| w@[Le6ݴ7ݲxv[FͪU]' qMRF(74jQ3ELU]tMUS,4GcvdѪ%5j7Xeb$VcWℏDt@To*Z7dp1ZHlt2 4Gg5|6[w2X?Mbbc="G91l938;n{5=] z = e Aq֌謖| mg[iՂ; x<rC t LFPzǔcSghls?Mmȝ5{n! ]"8WS hf>n|̹Y|:1& !fkNa.5J=ut_>2hV Rtˁ';> <.OH)uy+ ~KD;xh\SwT'˯! [8qptG8@EU7—ůŮ-nMA9Z3QXPDx"X{ Сq`y>o@*,zeJph{[IhqR04n&Փ~M8z[|)0܃rV] L$0> h+'0}8ѫ9[+upemÏ.H뎁(!ߖ-(6&w`@N%#)Wg@RxGÂtؑMXNwmp_$k]haDhi0%8nA?^ M*f+.L!Ɠev ,6J q?Z(6S:M2/j-BeiO ,5 /똇ːpV Ą6߈{@ry.dHZѬ"H}aa(4AN!)yWG\-j+5$>|i asw4׍^NÑw I*@ZԡDq)".i.@ڀ=A \{9񧁦@9MGT 7QEʌt@%lTz8=@W& *h ^[/EmB =Z-`UcoGuYaLau;l^֫h v~6 A j28f}߫ ЀkZ}|vtVo)I3AwUQQ0bs ˘i΁T8N&;7;'WEˇ982uwTN@oNhT+P|!tyx>zaufY:܍WTG^~x+[c/c02gшhD(b:݋7:/;Nth3op7mL8Fzo6̊`2bY@4,*EGFh]Z>57^®UlψMi,2%=U i|A-z*GaE9;e}sy(SϢ7'8V-iTRzKOΏi5 ˈ&P.j/6'>/1Zpg7%AdDN̼..v >~⩢)jsG?Xts=$4a#@9) >ks%krLc$If`-c/Fk@s6:UY#Y 6QQsnі9_zJ%'g7'u)wUʪp $G))[6. sBy~iwCL W}C׮8V\h>27#kWky#P} x/=2;/<oks$;mĐd4[eүZX?Big8߃`"<ߕpܑޔsG;s슗MO'=yb%5?6x7-L\WuM;907CU@_Qr%촱%tC*vҹp۾i6zeE:M$~&I1 >S3(¿UjR ԙ us_[Ϟݵ`}KK{xڸG?xo +6.ށo|=b `ado2^AA՗s5|hAm҄ =XpXo4 U!25kXx띫Ds @lR h7ܼWŇTP >ʅ{G@6^+vsM++h[8 s T:,i9B;\R,ZfsX< Pet^*(LE@(j5w?&M{sQ#?7,2m&n'FECs.Ut#af3tSnJ7U,74hQnXkZe6t0o*my߽)HWZ!VjgԯL0r~nPs&6 =0HM J70mDsV ; Nբ*gT* 7V>Dbz_Ntg1[PVxaP Eqw[3ܔls5#/*)yuG^Xfs1/CUƪYk,Xt lZe4,RKuplnzpoWb"!*g=k]X,$RHoSo730'V>4WMejAS;Pp\nZH^خ|yxżwn.jT9БresZGūmR vo*Cn"++Bi0AK"OLo^bJ\Nq*Mҵp>#:a4SvIع%6ԟwKuTOݴ|~O_w8e*hF8T_JpMfҧx%-U0u(J@*H埰kIc~ ,+r5!W3 eF|Vw5˰|ox ;UɕF5cm x%H+!x$Y1KiqΫL29 c{0tp=_4:^cy|{1 p$- @gDNGzy>/UQt=|?'Ms0+|9@N(k E='Ppw=>[^Q]aʘ<+gqN ϦBk\^qv-s at *h 3vX: endstream endobj 18951 0 obj << /Annots [18952 0 R 18956 0 R] /BleedBox [0 0 612 792] /Contents [18957 0 R 18953 0 R 18954 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26744 18955 0 R >> >> /Type /Page >> endobj 18952 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18954 0 obj << /Length 19 >> stream q /Iabc26744 Do Q endstream endobj 18955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26745 20690 0 R /Gabc26746 20697 0 R >> /Font << /Fabc26747 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18957 0 obj << /Filter /FlateDecode /Length 4282 >> stream x\K# W9+z?>9,rd7fCHEnw+ӥzPGJUZ$;Rϩ%*<%ߟӇ׸(|?ji[Y%F $lipˌW1s-/OBTG|BPTx7P\x)tEDQT2(fMG1-jՏXLR2 rvu23\Ixh)m]|&&H^˳bhhD"s fU0ae4 e3徴m+'Ad3C G~)̪r\uP1bS82$t:erUwDÃhdXsKV xkd+ \(ݥ+MփM9A}";.α4nhaw=pǕU9SGڄ&\Mv|IYx!Lԁ]**.*,Rv<)7\;PQO9h58݁Gc]ѕTJԩ^0!* ,_dVDO|Xc'AFY!44j4LgU]-݌PG&y% E#[MӓQgY[)J>P,l:e'S,nWvCpѧh7:}9רTQv3[rM\y:ए9Z(XG5\% ^rc$ՂUA"ry>%5ot[nǾwsޗ/ d[q 9vŪJN.FE7ƒ] VmX_C]-.f*S]Mv1Y%%Yt%|,m5PY:abƦTrzSǸq afU\4ʐ\АRM9Nw9DDi{&fHzi pa4)ke}*(()4^{; eQهކҚ} NWPDR<7z]Q5@^~ֺo9:u_2B6;BlߢtE/՝Yf%ʇ 5 9y[J !.GpA ]q}q;`^V@^LQN>rn =^*}n$)҉5:(&~ 26SޯWx4wD2KQ]00k>4eCڵ9MHx#"^jQFƒN.KEiD-=Cu8@Ml_}^}y:7U١8:,K:?`V#]:A9o2ajTMn3fDYDa**inTh}f"ųjW^wk;i sBmRB-=,)&Ec(9o/M hRz aWzɠޔ9oIW\^]mɝ% mVoVTerk~plx6ĝ?h ʶ0T72W_Uf.[7)Ƹkv+" ~nH²dۿ6dxcuz@ǵ^ !+-Z??m?CXf X!rg\"_W 1 e0x:r$nQX^A#-孪܋o.JkRZ *ծۖ!}5WܗP.@OקBM~U(Z3h{9d@E{,O;Q ͫoWVQsGe^Ƣ+("vJ5flSAnI_sxcf<3~Hgb3ߠN;^9OxfW \7=,^rs]}ŋV_Z@*Z`Ž65Go-[ jւ:«o-C1 ar+4/ޫL޵r7+>bx|XAcnxX];shDC[\sk<[SįE. r Q} QJ{7!?@﫫ucTm j>3f W;Hmyo}+0V"mhT$93?̻m6xaڢo$^wTԗW`{B0V'{? y"/Sy/{v?̪Y구ٺ쐻{hH 0-&^Ӻw@%P%!Büf$UFocsTSA/?ppQqp0 m Y? W~!hY+XBr:]C;;8''?$$E?Q<skσI' 'VEkd2ϋ]X2,>A,XUn,$>e Dڭ$Qim*nɹr<9'>0/fw0wqW۴@=TiZj͉ғNv ,Ze(!Unmjuv֥ i8n3aϚ5L;dq~Z,pDޠ F179Ն@\d`1|1 Daqqa3?^PA:m`3}D p#wαscK ?`Wh=׻}T=/6]WOUWxGZQ,آ鿏.[zN'pJHJW(EICZ  "@E#̍CqJbW3Ff8UٿXPq֧ԌlU)$կH/hOf&HAx6 EܥHK掔(LSFӽkgt:Zl]r =x˄~ EW6GƧ6oep4qNfeCævnbXЍ.8v7>GLw-\JFinz a4!HW?0iXP$\'s1ǵbqa"ͫLTQgԏBl}P=S5Kʧuǐ}tyW,$0Mzb]y~t=?]m;]O_]X˳x ?W'z~Ӷh1ԱsaҝkMMx"Z9]7e Ԭk$e |ʕdCrZPg0g:]/7ΘE+az endstream endobj 18958 0 obj << /Annots [18959 0 R 18963 0 R] /BleedBox [0 0 612 792] /Contents [18964 0 R 18960 0 R 18961 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26763 18962 0 R >> >> /Type /Page >> endobj 18959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18960 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18961 0 obj << /Length 19 >> stream q /Iabc26763 Do Q endstream endobj 18962 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26764 20690 0 R /Gabc26765 20697 0 R >> /Font << /Fabc26766 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pIӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18964 0 obj << /Filter /FlateDecode /Length 4195 >> stream x[I#ǯȳA#$|Sc ӗ~[l)UUO=F%[Dd& ;}[~[_@+߮ds&;y5I%̲YX2ٝ9ʝ˜ P6miY Yz1T}cE6$.N))wzP$VvaKrԛ |v`5 -",2 rP]^Qk8_r{S]UV(3*0inFt_qpV2m ɏ,BEB@)!2訠1I lM/hˍt*z-Z D0#K5uwq@wf5 0ݻCB}eBY~7( kPvBA(Bh+BOPXIԻ0gGc-K􉶚$bOSceu}|IoqtZ5;jhP vTRlI+u42:)/8ƀ8k2]DU$oCMKWG 29k5q  6<O7b0ە(pp Պ kFi nUX+$#jK qN<\ -ɮ CrfG`~r6k9$^b ݧԂG3DX1VxD5cՁtH&h]be܃ܠK*Dj[BZE9i-6Yw,vb5rwΊo#}.#AJoE` <]aRJn^{cc8gϩ1eH)>u/n.NF̽ެ@˱Y,i7OcKVu<$D0u*Yw:V4&e>cD,Us &Q/2e1C]Ҡ0&Yǰ#o5^F}ʼ3N[@,mhrIY)q$5_8ޡԎevos͛Jyq&!אgqaoh5avMrBgݻ)qq(^Q6s%!/bSk1ІB7Kv۶^^u3);҇j-e6Y $ʐYl^!:&;v!yŔrq>qD]Vo2K:Fp`Fǽs5zΏu:)xDA5_lz%0Ћi/. Րΐ[M%)ky.-UZQk C6GY6uA5ZuqoZ+;(; APʴh(mM Uը0Y%^9e'ZLV> ZpbG?-dͦ.>AE!\ \9g^&.Mj\v'Ɵ} `eSɼ7̼۪:Ώ`gϭ~ۦni(Zq'a98kʡZ^-̶\ӝ 4<ěq,Qi9)&^LWn tSm4zR0 (Լ}Bq_:3Vƻ\vHu3&K5@`ʣ8H}ߤ].CTZ#@]qݵq2 m 4z~{8x T*4'ivkE wau[U:-e(: );qF΂ _4zѼ#f0>N.+w'b!%comHATҶ!Fwy|5pn\ᾓUPc$1'; F \oD:>#Jg&ykLroTG]O!ܳǔ^ұmFZYeKQЪ^uUMҞÒncC\:R-u0+ |ui,=3-kSٚV٪M]Z﾿]>&N #ܓdsľ]v<W#;w=*DuߵU/雊Qn qckAJ*ԾWNn z탼> pvuڰI6Ÿ*x=V.ӸZkM1 y:nC9v? _gIݸ8b̫p+f.^ھleM:ת-5 oEZثS<= /4*+A2W .ۆ:1TޱS}֦V9m侓`G/2c=4}@'st!Mx32.,Վtf/.Ul~NqYL llv!Itbh0asK1^^{]3-esJ&%ǫ~Wۺ@󪷤]fW{r~I_IÜC쵽o›fh@~j^ʭ=6 $mw%F ;MJ  957YZEͿ[{ V{ul0>hk>m2mrI}S گ;ŔEČţIAS#Y SHns6N_1{|)j/u/LUloLQ#)j3[c/ON/_&|+C~?Wd( x:21l1;JhS:x!xe(=9FZeC{`o^ٖ9<dNf A@uP_GI횗J{E⸪A3ZOuVY,w!^bu =y~u'>alR D%6`nNi 6]AG: ΤqM^  Fcȁ76œyGe:e#y3pz$)6_v)CCTҊH9>q  9W[ΧwsWk槯`ԙ[wiY2w{(a'JOCuM%>td8 !,DrŴ)kb 8n=l6±8_DgMVwAG9s99Xܤ@0+&N/fqQxl^u + #\ӝؿ>: N2@h֟i0 y݊}4=Kf7 S56h.9d-K>9M[Yǡ3$ªҩQD+?S73m8ȥ:  E\ f85/8d.>z#M;"%># %!HC#DrlHK@OPr)wgtXnH F'|PQ֡ AYCR;Tuv]b YWJ?M&G MM$h?]&loE7kq-D߫s.3El.º^0 _h#Y^odHҳr"\7zKOŖFMQtIozf(n2w{Or:>\k(O>}?G}te'.™xC)Xh [}!f}]L̟o6og'$2IldLg >A'z\yEyܝ&ZxU؅ g¤lJPbdVt݉RlM^kEJ!~8d٥cMD?,@cG>fVf endstream endobj 18965 0 obj << /Annots 18967 0 R /BleedBox [0 0 612 792] /Contents [18980 0 R 18976 0 R 18977 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26782 18978 0 R >> >> /Type /Page >> endobj 18966 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18967 0 obj [18966 0 R 18968 0 R 18969 0 R 18970 0 R 18971 0 R 18972 0 R 18973 0 R 18974 0 R 18975 0 R 18979 0 R] endobj 18968 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20210608081201-08'00') /Rect [104.1732 453.7346 169.3812 464.7346] /Subtype /Link /Type /Annot >> endobj 18969 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 437.5346 155.5267 448.5346] /Subtype /Link /Type /Annot >> endobj 18970 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 421.3346 175.4312 432.3346] /Subtype /Link /Type /Annot >> endobj 18971 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 405.1346 178.0272 416.1346] /Subtype /Link /Type /Annot >> endobj 18972 0 obj << /A << /D (unique_526) /S /GoTo >> /Border [0 0 0] /Contents (find_top) /M (D:20210608081201-08'00') /Rect [104.1732 388.9346 144.1362 399.9346] /Subtype /Link /Type /Annot >> endobj 18973 0 obj << /A << /D (unique_541) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20210608081201-08'00') /Rect [104.1732 372.7346 149.8452 383.7346] /Subtype /Link /Type /Annot >> endobj 18974 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 356.5346 156.7257 367.5346] /Subtype /Link /Type /Annot >> endobj 18975 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 340.3345 165.4707 351.3345] /Subtype /Link /Type /Annot >> endobj 18976 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18977 0 obj << /Length 19 >> stream q /Iabc26782 Do Q endstream endobj 18978 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26783 20690 0 R /Gabc26784 20697 0 R >> /Font << /Fabc26785 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v%C;f٩c8I ko ZHj;Jx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] Qv?f1oH!6},L>U|"j@͠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18980 0 obj << /Filter /FlateDecode /Length 2457 >> stream xڽYɎ$7+t6($KQO5 YK1ȊF>.")&G*V>gd2Oqx?4Z>}K]eӋAkSvxgx;|PѕZt+ZkO%dg8#- T"Gw NZ}ȼKQNa'-2;Y:1<@(@c1&VEPI, ] i9;c*jɖZ 0?jhupfX=@Np+Cqz2L8}(;&vD⬈f-wѸKK.49n/rmqcBd ScS)m NxĆ?ŪͼԠ5.~|ߤVS(:eM^%$`R!}ʲ*%#S%0KEB{g@gխН>X';pNi ZVLv;Q1UqطVI([ [8m Dl .[{; }ݲ-,e],%SXPJW)lR>R6CVu4')Tg YyFʰ̳Ȗm`BiPqzb߭YZ]K1JC -)eqtJx $٭1n/ ʌ@UO{2'و D*Z#H6 gkr/۞]ەxw¦uK*7E[F&'~bTwx=u+[ gܲ& 4gt/4:s}YZ+MFH'P쳤c~ :˜N2.UGuVm,<-#Fym- #F%|^m] ZL35ISr+xD4j)Ttyfr}4hδted~K_;c^ݛH1VGc\YY'EYrijڶv0asxm\Vdui. RX i`&?6KVB XFj ̺Iy23 pPE9W ^cPge?3zA:0T?GsZ׮ZJm% 59tTڭSxWSa5p>Άn'sOBMefd^gnO4v dT \ 7u8 ј_ 9z5~iܱ|i7c_uCx9X\?T~R<@}? endstream endobj 18981 0 obj << /Annots 18983 0 R /BleedBox [0 0 612 792] /Contents [18990 0 R 18986 0 R 18987 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26801 18988 0 R >> >> /Type /Page >> endobj 18982 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18983 0 obj [18982 0 R 18984 0 R 18985 0 R 18989 0 R] endobj 18984 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 425.025 124.1605 436.025] /Subtype /Link /Type /Annot >> endobj 18985 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 425.025 162.6715 436.025] /Subtype /Link /Type /Annot >> endobj 18986 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18987 0 obj << /Length 19 >> stream q /Iabc26801 Do Q endstream endobj 18988 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26802 20690 0 R /Gabc26803 20697 0 R >> /Font << /Fabc26804 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18990 0 obj << /Filter /FlateDecode /Length 5033 >> stream xڭ<ˎ8w~ H0 pf9g a0'v{xUYv;+E #rEÿRח%%_7X|M>|Ln!>-xuW_v/Ob{Z|uE}'.ߖ0÷e7t- q ),*㿗ϼBy.lK([ҡ-SzZ"|SxZ2Yh듲܇ S?sOKca #Boϰ|>>c2L!cX'5>*EF$hb?lD!S,a+>>?~_\\6[Zm.hjz&jR *<7\6H+,|kMlȫ5 cvm>X'X 1C&`7^# pqlc}x8}d $SvYt!WAȸYGdB)dxH[yHO~laX=&orFgֳN;@}`[8@<Hge,Y '+*)-uY|3U_3qYɬoL l`ˢnj":+@Q^[;jιAq-gF-/yփADa'S;D2{I92`m.![^mo§n=%pK[}6RrKO2\O2Cݼ2ɤڙD5_L~fa(hTO_ K}+nw *PquKTN}yhN[a!=oj,Db 1oDguz3«H. v^`hG 'x!b+0 mDm@y} 3}G(4yT껀5}abR" 6p /Jazj ѬC#\% W-`۠0 O 6_[.nRy԰TCzKSK jiB}A tdj``bp^M2bV\$GQwF,UGhD,cWqDENݙ^j XIW$|*u1SOFL }d/lDTJ_HIO;M*E6K:ؤ^U#?!? t,~nk+AG:8)*F 'J@;q𲇼# 뙃ӤVGR/?GjuF :ϐZ}~ܓ>[4U u}C Z`yh 'i(VNSpȂztIY@%jYP,rAVu9(}x$=20H rҩ* ĨF4FGR8WCwD$=[j_1iبa sVq|<-R(G`ނ"fh)„>EXv c:B ^!BK*J|)֞$T9aTIa"t"15K 3LݽJ빖Y3qWry#_V>V! %&;L`"KlR`CD͠u(DۥV^c8cʫ8ZzN)J=R*h>-QnB,Ƥ(]Y!^`Lin (`N1y f4Dl0Po$sd;Z+| $4N"i32Z?Y4sQ$ t/FVd`WD^].09m+ѻ2nYa\1 hZv>f]ի}1M-2D^Umv\l4Əglnz@)\>jTW'{ @۶d;oqK۴M,NMx'n%LV#v C*mXɴ[M@5ֻhtrX*X h_%?IiRҲlj[$XtRoQfYRdi#KXCyz'S*3;%1 >+]?EɥG >6j- b$ł'0\K,% _ :sCwޜ/H-d,\,xquuv3%_\И]-6qi 0 VLNl!psY3L)S0@>1*_p6?͆8(Fazę V]Cx{<?"gH@xPGZo-]!c;i KUlklbOѾ~p4z+g iMT5^Z Pw!T$etGRi dΦ-?]G9p刍`HP#9݉9pHֱ5G. 8F~9(o9e@+=JZ.sÝ!蔄CdڑQY Kel$CűV ^'=\M[Α.T ^0cA;V&/Δ$BCAQO.U,s'b߷ަwbQo)}LSMq(Jư&5Lv{&e,(Bէ`Ё{NQ`Oh*QHv&pcdמuv숎ϔݔ! pꫥlc8):߿}؅~IjW["/c⸽iQ|ݘ]1evUa:TʖYSe},r#7oY|QjH[ fwecd8vD3}s_ >\~ߨcU`,"™/X35:ȳ(BW 5 mXCz9,?\z{eN_SS.BNKQk-OcAu78Eŧ qR@uQ9Z4jjUXDRȚe#im9Q!J)v[a0k @P¯8#9az8@+60ֺ6\1_\W{@Gizm]X G s>lJ\ xUe2x&IqFu3v2c=mk |TV D<6l^cr~[ ;Nņ26s5wYt='Hi {,"2f=%=Jpб,Vӽg`Rg JGRvy<~[>^Kj:Z2;z.bj72׿L}rԭ0tjpu}LX߉ t*s^+9 kg \.cV49԰~V[P>מŲchT3S+Qjѡ?Z8ᡇ Çz5|-*k扌6ch"6uDk`֛}*[; >.[.꓍u) ;Ksi+S:qA2ƥlC;h`HA܋獯uoBٙOY}OO犌eCդK=v> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26820 19003 0 R >> >> /Type /Page >> endobj 18992 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18993 0 obj [18992 0 R 18994 0 R 18995 0 R 18996 0 R 18997 0 R 18998 0 R 18999 0 R 19000 0 R 19004 0 R] endobj 18994 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20210608081201-08'00') /Rect [104.1732 397.7461 169.3812 408.7461] /Subtype /Link /Type /Annot >> endobj 18995 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 381.5461 180.3482 392.5461] /Subtype /Link /Type /Annot >> endobj 18996 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 365.3461 144.0317 376.3461] /Subtype /Link /Type /Annot >> endobj 18997 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 349.1461 138.1357 360.1461] /Subtype /Link /Type /Annot >> endobj 18998 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 332.9461 161.9837 343.9461] /Subtype /Link /Type /Annot >> endobj 18999 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20210608081201-08'00') /Rect [104.1732 316.7461 166.9282 327.7461] /Subtype /Link /Type /Annot >> endobj 19000 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 300.546 183.5217 311.546] /Subtype /Link /Type /Annot >> endobj 19001 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19002 0 obj << /Length 19 >> stream q /Iabc26820 Do Q endstream endobj 19003 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26821 20690 0 R /Gabc26822 20697 0 R >> /Font << /Fabc26823 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pVfthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM* endstream endobj 19004 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1753) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19005 0 obj << /Filter /FlateDecode /Length 2733 >> stream xڭZKoW@À^ ؓ \*R;6dY,*2Jw[*V=gT*hΨ}NAHs Z-;_Pp+Kn_{a+K2N(H}sS Ky:>:Y1M`JY)P| s:k L'co;@\':sRV~]¾nH'# T Y&pc}> g)eyݔ>B"7g}\l\gPM 1Ut KG6rHu8 j0d2JIUJ"K{'Y{& vt V&.:ivw6"&ڬvQZ:l-o%ĦYCuXq ՓՏD*VOL.ɉ,<~n)%4@P6LXe 'б~`qeW =V?U Y>klLMI{.B Vh:ne\k\=\w隣UZ_Ǫ?%1()!C_IAOx7Vw`[/l3 ;vqyH'(I~t9qE#1F>2Ta)dv0EB\`U>va_AU@9S,a3:R%Y YؽzǶY+zr!mgXiqpz֏FzV&1@j&{Φp6MWa^vy'!}Z4ӵe>zLۅ7zv^Su7lUwpva|@6p3-K2Osj |&`ۤ$w(JGm). sNȡNsчDL17c;caIW)Bp:e" |UA[]+ b'W)C%!C@iVȳj[6qP5ۜOws>0?W`^FM Ho҂;7av$ڼ+vx=ZbÊImzm٠i]8l;f !w? sݒЖ8ϴS}kGiC.f>Cm(Rṭa6LoHSq G̰_Y_g*+.ض }/Sa׺խtg3Dz4RS?\ikgX4Z~o-2qM뚹vaO?>yfC an}Ff_XTr77uVeG0GW3˵EvIUo(iUNpnims!1FP {a&ܓKmC3OxfI^ V-6pR4PrsinniiYء^{ŸÇ9BRgAJχҦitۙ*p1-_^ExYVˊ[[/d;A jmVbB ûؕԘ_zR}ٌ9ᣪT +׀wM*HQ=':Dgіjv2zEΠ͙Ke)+m,JdY}sH)i$Qg:`;պۚu>}<&q<0ּv&g!{D|<ӱF '\2WJn&*W:? qpB/> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26839 19012 0 R >> >> /Type /Page >> endobj 19007 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19008 0 obj [19007 0 R 19009 0 R 19013 0 R] endobj 19009 0 obj << /A << /D (unique_56_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20210608081201-08'00') /Rect [90 439.225 121.8835 450.225] /Subtype /Link /Type /Annot >> endobj 19010 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19011 0 obj << /Length 19 >> stream q /Iabc26839 Do Q endstream endobj 19012 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26840 20690 0 R /Gabc26841 20697 0 R >> /Font << /Fabc26842 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19014 0 obj << /Filter /FlateDecode /Length 4113 >> stream xڽي$]_ }@0U=e~X41ӆҕU]kLu "BqIZ/fTuuf ~4:Yp5˗_O_-_^_y9Y o޲]u!?+y3Xgݷڧ(n?Sߖ/Z>Qi^8]-Ab_Z ZK&jg\5%Tv0tr⪵-y eM:TbY8xF;kpDZ5J/_N3uۆsvi}5yg|Do@h&.?S*뙜y-%g@( \Kl W hhkz3W Ԧ60CjT!"M??/ܥbDO3|Pe[ZWh;sɀ8P21df.ylc"+f~O5hYD;7zftl9}#z[',"yˑn}@B׫Ȼgr}MY1ZS_0pR13{%+'.) +/1S%5`[GOŸ_K+t- 8@䦑/FajK_ @ ۆ`;B0Q4 2W+KmRͿS+3!I򢟞qJC! Igh<f',XDw/XOhh 9xTlTj]Z Ks}R|Ui`'40GPi18b 1+.#+{ lҐ pu/Z 5{T?ԫT5HCoX&fYzfb؄HjIDGu)QF_; ;*e,VU*}˕IsT%? ߓ t,~Wn-l@G~q' ss 돈{Ph4n^7d=rϪ7M5fz[cC &W5 {告nJ8iHk ShdAea\Svrr-[VюGw b;FzYMFԐy\ dO\G6rȤ(SW$֬xABl Ylٓ&WېĜbIS Ή{hp󎘧LvU@xFzGa>'+S$(!2υ@ Tw, N> 9[LJeqmu$[Qd%=!\/؎/4!D\`ϊgqT2DC( f*Q;LJ4p5īDR BpA<}C{?D•򙹟ϻ-X"Ͳ(:mu>iY17+t۷P} kS'3i+] Ǘ\Ku)mMܑdsOR"; {]rl5F=okpq>=SHV![ V*P/e”wL7J.yRu;D =V c-'hm3QֿDUV (9Vߐ..¸BWB]9s4!ejAl̟mdzқEO բAsf`U^v4Ah|܊\26-mqi+>b]VGLFFֶRW,Y@\nɄkB`lVmek =+^cuEmӊ!YX+L:֑{?4@5߷M?$ o? EzXa=/97lk&[],dKWկjԯ)e%R{ĸ3?n~VpCE iK+k4Q__Bm1;:G}fmdG֔$^Sl6TDs `%"(t18= yUS&nH#Oރ:Pfl󏍐GQ#G#>ū(ҍ@ k|jVqq/թߘ[^-Tl*g&Ϳh,u~8Q% "hiXk^&,B#F*꺙H>QbJ$ԥ #+.j<#n`=s88r>@^G[5[q%(7N,F:-e {6.[2 ݏNdwڄ8ݠ׀.tuqiRnmʾ^l̴}TwI߲Ъ髦l0}3w̆S%6f돣|lt ][2Egtqsiɳ|UTsfG} Sku 2z`SLjQUy?lj:.k^+(FvCݼbbQ!ɤQmvVmǘ$&bzFs/BI\A&~M YPc o~*QX&~v2Tl0nRꌧN D'nT<툅5јZM)" tm.,ߖg~@8aG_*hE9]Krnc/c>W[ Ͻ􀻺Kuº@;=U abNɎ@dru*8 %.:VkCtk Gk+E W?te2|l619-䉴4LD߀J_ '0O(,ʜ~7ŠQ+e%- "ίM8cJ*WH5:H?PT _R{ts9JGkP bxM]_T|TaTjL(ȇCKS7\+[^ğɅ4eT!XC+cq49԰~1Z&%bCLDޢCp$>)$䖲3,0寭ֿ}`x*|PCc֊m(+rNcɥ^Tm.SMXYC·71.>UTFu|F{V>(Rq᫬~'Y"W,K!l0O0 y怌/τ<߈*<ï>?/$kVUEMmCzH~8g!u v~륝3{ RQSѥM)+ Wi[dW#חm$`!sWV% endstream endobj 19015 0 obj << /Annots 19017 0 R /BleedBox [0 0 612 792] /Contents [19026 0 R 19022 0 R 19023 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26858 19024 0 R >> >> /Type /Page >> endobj 19016 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19017 0 obj [19016 0 R 19018 0 R 19019 0 R 19020 0 R 19021 0 R 19025 0 R] endobj 19018 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 155.4057 608.2] /Subtype /Link /Type /Annot >> endobj 19019 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20210608081201-08'00') /Rect [104.1732 581 154.1957 592] /Subtype /Link /Type /Annot >> endobj 19020 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 145.4837 575.8] /Subtype /Link /Type /Annot >> endobj 19021 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 144.2737 559.6] /Subtype /Link /Type /Annot >> endobj 19022 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19023 0 obj << /Length 19 >> stream q /Iabc26858 Do Q endstream endobj 19024 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26859 20690 0 R /Gabc26860 20697 0 R >> /Font << /Fabc26861 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy45ۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19026 0 obj << /Filter /FlateDecode /Length 1623 >> stream xڭXKk$7W`a/a 7Ɓe~kbJ*}P$6gAK]_YNi6kk撲|g+ă1!6( V憝#=0C}iЙLȁ ;]W*`J'L: "t4&;';> UR9ΟL™SWY5<%qշ$QꜤVui` Ц'`bogfP'ۜ,>''cP'5 g+^:6ny;UDOkY}f!ZRkC&&~?QD y6H4pnXfDYN>j/q4{Gh~p $C~1s NaݍHKߖ+l hdlBc.&o"ʐPw,`k.aα*='&#|OIw a7wseiu 92jۧ'y?T9y;#JbDKrAADtW a23 ;c=3Jn6;D#Gg>oNvU/f %[l=^mED,ӲDFrS7-Vbim#g; {ӰȚa`~zU-xEEp7-Z .1AD]FiגR(R-@^#fS١=êBXv!^/m7i!t~F r(wzsx8ܳM(ޣVf$}(1ͥ  xiķs^ltl;x,X{OGk㾭%v+0tݻ߰Y>s\vp? ?DPV6p endstream endobj 19027 0 obj << /Annots 19029 0 R /BleedBox [0 0 612 792] /Contents [19035 0 R 19031 0 R 19032 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26877 19033 0 R >> >> /Type /Page >> endobj 19028 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19029 0 obj [19028 0 R 19030 0 R 19034 0 R] endobj 19030 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 386.725 145.297 397.725] /Subtype /Link /Type /Annot >> endobj 19031 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19032 0 obj << /Length 19 >> stream q /Iabc26877 Do Q endstream endobj 19033 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26878 20690 0 R /Gabc26879 20697 0 R >> /Font << /Fabc26880 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{wg endstream endobj 19034 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1756) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19035 0 obj << /Filter /FlateDecode /Length 4461 >> stream xڭnίy)0gmrrd&zrI~dz%RTXkY4[]-glKӿ~U/~f[1C~\VȝO>.]ayL8joKоߘzp?-?,z%#ig\5%叿WvO)0̘̘P obm.UB qGe #,FD`3 A HQkFl1Z3~p<._YI ^OۯfK M\~OBd3=2# gXAp~z&Nh c0.nfw`̾o=1qCb}]x ͕{hj_5 -8]x5#S*ՅR$47s bjGDR#>S޳ϔ grZ<L}>+;1h?6a_+t/ÄQj~+}.{Cgxu¼&fzwIant :䝿B' OFn!x`>C#e@^ym P}G(4yTꛀ5}c`R" ƻp /SO:B!TG$i6q؅Dt'QCいi<,ڐ:bjZz@%rk@LԔ0༚:3e2Ĭ(1RQ5#*ֽVK} :y =@6k=j.߰JM]!uUİ J͒'})QFo;M;*el K:eqm2jDJHOH$4N 4@G>@ⴟ0̑ȁ~&?#IӸ xCޑW>4{X- B[ 5Bs Fw:LUֺ5z#YPGYu 5۸t j/rб*;;i!@loaad`N;} ؈}޲k)y69&H$fާ6b-"uFE5rv]iy~R$$+5l SqI(JX|}OYNx+v0iDNQ68[00jS5VpؔB-l0TA>TrbXR͔DrY\xp `V7Q#-*5Ȕt/i6iFC۠ B₃{ jD%Tv عذȞC+:"_0f- zk ˲l`wL50F"A\%#m:>UyP9j9CFS #z5 FE3\@C 4XcW sP6XPLٶlSrh,Ug=o5Z iR~.QGXl8+v/ ƩZzyCMNRv,0fs~K!xr1!F Lc|X[㙗 7MKat+x(1ߓz^H@H P{;hb*)f'ylܾ.2 Kr8Rf}B -B!7fc^HG}Aw(fw'%PA9akY$QyĢаr;RXF(#SJۗ 6`奮8P^@BYD7$FjlEo$bP" ZQ@ޑPpy1c`թ* >M"g=.s+)2H̨R Wj ~83(-BNU^N-uփK xΐB-%U+^8ɋ`gX^9c_N{l'V`S:z7Mݤ嶘YE[m~u=m;Y[" j0J[ٗyK*ϴ-}}YЎr b'U[f٥2p*n7MmmYd~E N>[V%[avVcpVɑi#GYSߵJuͤ9ndhtwvt6-b*k+o`"FW]-O$|VĘ @"TESmh,E^C&"s;$LkٙV`WgN@` mum/T0 N&Sd\R9b6Cg;@# -Iԩxr c[%WoEjŒ 2EPfޢSE"q8>$Eu/BQg"UH%ERţSbR^sqGȍt- m`Kc¯<h0 n `0id55k=y?lj}{Y@\?~폪ޚͩn_\C:G^ |62hb{{w᨝UF8>t<2pϚ^ry,>O|X?< Q9bcUrt=?Ns}u:K^z_Oqg@NKg'#l0`>`1P 4,}9.Cc^8y&&ګݹ5O sQ|`E3 -lQScc91y5iXRчjɗ -qY؂.:^ + sv3#D"|rA7J<Њ@+ [ZDUЛ#ݘ'Qz]Ku6@']s>l֎@ԆZk1~6vܨ do@g/Ileυ@x |Eq1xMC_f>S{ W /8VyS|9Z(*xXnOp&~5xJnQfWs O J[mB5Jufj|%J':W 'Ǔ5 ܍s* /lg#ʧW4,8t񼠿:KO5𱏗ϏupBer0DÇR;Gfr@>g/g+& \/Rں\Wڞ`> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26896 19044 0 R >> >> /Type /Page >> endobj 19037 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19038 0 obj [19037 0 R 19039 0 R 19040 0 R 19041 0 R 19045 0 R] endobj 19039 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (redo) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 126.1567 501.1346] /Subtype /Link /Type /Annot >> endobj 19040 0 obj << /A << /D (unique_192) /S /GoTo >> /Border [0 0 0] /Contents (startgroup) /M (D:20210608081201-08'00') /Rect [104.1732 473.9346 154.3277 484.9346] /Subtype /Link /Type /Annot >> endobj 19041 0 obj << /A << /D (unique_177) /S /GoTo >> /Border [0 0 0] /Contents (endgroup) /M (D:20210608081201-08'00') /Rect [104.1732 457.7346 150.3127 468.7346] /Subtype /Link /Type /Annot >> endobj 19042 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19043 0 obj << /Length 19 >> stream q /Iabc26896 Do Q endstream endobj 19044 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26897 20690 0 R /Gabc26898 20697 0 R >> /Font << /Fabc26899 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pVSthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMZ endstream endobj 19045 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1757) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19046 0 obj << /Filter /FlateDecode /Length 1995 >> stream xڭXnG W@wj_aY4rs '%rX~ң#f-$|dh _ӏϺY. s臧'Y~xֿcN >Q>a?\3k;c}5Ƹ~VxiX\OQN;CrPE(mwʚ[]c&dhL<<1;etǝDb66Mh'c80.ADQƄhucĹژ5m}$ZӋڧYIJD8N3`p ?7eL #E v##1^QNr(# FY -[yR@z}v3X\q놴hK}>"  h)?6fy'uLx &@Y,X>AD@AB&fE(lXd8h0\Gg[-+GZJp6=.B-YE۔XI$H}t><+~[ϓܖaAM=f݃DM 8SC31좜̓ q|ސmwCE@5!İrgřtqq4Vbwfl Y?^qKIM ^Ai\7JOpF*aU F#%W_+EBtXleŮ=N{lB.;C5":K5صk0(3D1>'hqhVڔו440t#LÄ|rPZ=)8x{OCb+]0E(_zBX8AQ vds;7g49{_ k|h6HSKgې9n8,Gl&oRB/}* endstream endobj 19047 0 obj << /Annots 19049 0 R /BleedBox [0 0 612 792] /Contents [19055 0 R 19051 0 R 19052 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26915 19053 0 R >> >> /Type /Page >> endobj 19048 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19049 0 obj [19048 0 R 19050 0 R 19054 0 R] endobj 19050 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 343.925 147.728 354.925] /Subtype /Link /Type /Annot >> endobj 19051 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19052 0 obj << /Length 19 >> stream q /Iabc26915 Do Q endstream endobj 19053 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26916 20690 0 R /Gabc26917 20697 0 R >> /Font << /Fabc26918 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nlЎYzrv"i,䪍A ?wG?{`%( Zn\  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L}9I*dz)UD]@wP&]@p94CZ-z^lMK^ endstream endobj 19054 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1758) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19055 0 obj << /Filter /FlateDecode /Length 3880 >> stream xˎ$.-0`gڀok/C&vX_K5k(")5}̤b/oS3SS6Xߟdz>u~N>&7}~uȏj|3?պP:X3pv2f \ dx+ߧ?O/WZ!.`)h^z@ϓ㒡Iך%闟+0 _OL17b.Z),sҡ&KVtu[9X/-HJ:vHJ = 2E69ئS@E)oъۈK163Ũf]UE|LUU'Sv/!F?3ł^BjK _XXq9uj#8f]wث Įvv{ iu2{nYt2ԅe࿮xr @WOF,~AdfT.D'.zd(n'v.dF<u݌NjιFBSqeT, ( 1t6*/jNT@(!YMl/̋l9?8LJ+CEr ҁ_RnN[?ѳmO(Q=R}R]ya|aMe{bHێ-By/?/oܝO#`߇m]Mt&R탡Eڵ&m-ekdGso4R0pG\\.(>ْ(c'FTȞwQcUaociW]U)B# >dz5 nz/_KԄoIa M _~.ޠBC~>pၮ(lC4.' XȓS ;B0Y4 S/VJ)1_ |I0Ii߯CIz(DS@AbrBfoYUH@(pRR耕~Px !y* JKa~ik7ZPQVy+S:f(PY,!fũpr3B0`uWZ!IžV -*tyߕiMUpZw*WR3uͳlu% %Hz%RwD7.+#TK_,,ݫT2 ۰A]sbU!!ߒ.~mvEº]ut;:v{0{v=;~;Om yEF=Ij ڥZ}:Z4UX u!@A3q Un XJ?/aɂuJԸ PYnVU9XF!PMV0~33!;JXjlDcd 94ZA^)"z>\([(k;ź,I;d9v@S x?/hr5Kļjqr[5vE@1Amj-yvqT[,%T)u?}|ɮȏj _.J͡uh.鰬qWXc+kn pJ}!uE VN|"7€3RCz;`:*RHw;>ZIfc\Th0!9%cO\a݂|qhp;,5HO*m.ԔJ<'`@p#AGpWDqʖ)h_ɃXWrYZQFiu1dO]ywK/%vN}ȰoLŹS#E:dh 4?wN+O͗-ܽo֫K ly*ʽMG v:Zrt>rh'OGRR%jqtCXʗ nRgd1u>`.VSZk(~u\CҰ#F=)y son.x0`ZtI|ΰ: 85w݁ۓu$V:Z`e&͚g1W[̧1ȫ5^]]:c ",x>Lfk{z0t Nq0Q#Q8'0s(2&RĀ&m9@Ͼۤl619_B /BmfPA`gXDaS(.@ w'k!ޒ 5y[: vjU <6k!%~^y }=RS _[R{4Ҕ1-Q#k\/Rgd$CP`Tj@&py\2~1EK7q^˵Eg!Sr My<8WX F05_p-j-W)B9eP$3S QPkrKBn)[;<?ZSz1M,[ɨՕfXR!s-Kd]b 訣[ \x|jKemIeWױ7ٮ7sტ!-l=4F]jXOe紸 %Zx?^Q=Exh1$JGRKrBϒ؊77d%dv q%^{p|W _`SFB^9 c ɢsGx0]6ZwSPbP~^>9 [F:7'5\ldx*F+ B.Kf endstream endobj 19056 0 obj << /Annots 19058 0 R /BleedBox [0 0 612 792] /Contents [19067 0 R 19063 0 R 19064 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26934 19065 0 R >> >> /Type /Page >> endobj 19057 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19058 0 obj [19057 0 R 19059 0 R 19060 0 R 19061 0 R 19062 0 R 19066 0 R] endobj 19059 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 436.6422 172.7747 447.6422] /Subtype /Link /Type /Annot >> endobj 19060 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 420.4422 162.8527 431.4422] /Subtype /Link /Type /Annot >> endobj 19061 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (group_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 404.2422 175.5412 415.2422] /Subtype /Link /Type /Annot >> endobj 19062 0 obj << /A << /D (unique_394) /S /GoTo >> /Border [0 0 0] /Contents (move_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 388.0422 173.9682 399.0422] /Subtype /Link /Type /Annot >> endobj 19063 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19064 0 obj << /Length 19 >> stream q /Iabc26934 Do Q endstream endobj 19065 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26935 20690 0 R /Gabc26936 20697 0 R >> /Font << /Fabc26937 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu8$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwoQ endstream endobj 19066 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1759) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19067 0 obj << /Filter /FlateDecode /Length 2329 >> stream xڭj$_LǾ(E3'=f( .~[,*%aD*3Q߂\~zmt8ewuz{(} fVm>Y>ӏ((gXOUm<@0r0D|Ku)e!5`>3<;NAن9q Gr*Ke`F{(}# }4Sޡe+8 5ITcDH Z`G>`OeX$i{qذIkЈ5kCz"a'6Ƴ|v^nȨ-lv :رhf:5FJBomh쵬6DلsQs5ҽ_Sëw"¼m vk xeNn~NvvPuO_-XSr@,)AJ/Җ]!dO b$`{ | Y!u|Ո%'&qA0N9!)BkiVoN\OQio# @ ` (s tl3=$jBHi DEg<D'5W)'֊>jW@lkv7bl/ 7Į`K. MRk2nfM[ork)EB&+Ev!aŧB.tΕNf\'r=C* equMy.ƁD=< e'"9&,.PQ@)yε=XQ5JS:1qGʳW y_~Ǚ8g~}RzFTHDZFK/gEc+J_F |<.Nc "Ⱥ#7^GjւA9=~4K<9Y#C )raɯ>:̐ޮF^T%qΒhA`Ǯ@Ҧi@,)S³{چD,ҹ8p"8V̱(dTe--z|*Z]\8~@PQ1$ss9a@8i(K z{byW/?xh9j0"ڵLP~fOVuRųdAX\3]Հ CW2q+6+Y]M[-UgW窿C ZvЊ@G>nm6c65Ô7ī= .3Ѯޕ:S[&, rFm!@K=iKEW?c'fryj>RMSc(k QmLg="xUWm9H?KPNWp ŭ!a Z'Ѩ pRo$ю?6eN E( v lG }W5f1F8Wpy2g##[; -KU[KKUyk[Z7D%-yWeèA<,. o9@%'(^h3 <1]“It(""gq( 'H# ѻƂkmr<qYvfk+L5`_9{_.4c>l̷?ns]1 dB.:mA endstream endobj 19068 0 obj << /Annots 19070 0 R /BleedBox [0 0 612 792] /Contents [19076 0 R 19072 0 R 19073 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26953 19074 0 R >> >> /Type /Page >> endobj 19069 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19070 0 obj [19069 0 R 19071 0 R 19075 0 R] endobj 19071 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 363.225 145.297 374.225] /Subtype /Link /Type /Annot >> endobj 19072 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19073 0 obj << /Length 19 >> stream q /Iabc26953 Do Q endstream endobj 19074 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26954 20690 0 R /Gabc26955 20697 0 R >> /Font << /Fabc26956 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p2UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}ْ:)WIkp:3ϳ-nYMޚGFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶXb endstream endobj 19075 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1760) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19076 0 obj << /Filter /FlateDecode /Length 4330 >> stream x\Ko$ Wy Mvf% dg*(#EQ*L𑊝L-LO`N_?d9e˃!?Nʹ77oO=d)7oO6z(oϽ_"uzO_w?`oS~~zxjB'=ǒHiMfӏNbO犧O)9@ 3<-5W˃* +/l^3hbkljYC7xAW; ?3ؚ-Nbzv|KK kiJ?c)XY{$YbA,[%&aK6^#amػY6'! z$|ee[{ mqKToIa EUOO?NxdG/PP@H^N(,Cɷnw@ u'ۻ`9kߠ+CE~TIU*wxAfL]LrKz dR8CVG-hæJV`[sBkYAvPKح@ e?]FˎRs4?V-%҂nհrs)DF|g6"3W')F{-?5xfB4s=}4WF":[,&eEv݅^8gu'SYsyn[p-O.oIlIE<OԼb=-dJr&ҽL/Kx4[k[_ ;-dw5r%pqGAqTUQS=pw2q𲦼zIFj @_كZzzj-2P˜ZI}gy^[zUz=ݬN~lu^_`2"#K}`5"rFӈ6j}VP} M} ym}-no># z੖9[Gw$n?x uN3Θq8ba|A p$]oTLY`P jSRExvS%:c ;s;F֟*QO0FHq/|4"G/jWY̷@L'์K6$??*`& /0ɹ9?2 w|dp s>iׂ#]#v i{7"B "#+`@-[f0 z/+Hb/b,͙x}K@r=ũl.O.z:6dSkӖzumߗʂ9N?~Ĥú- DWniJmtD7KæPfw)!Jǭh`_GX6)\½0h?l4U!>N8'{nhjP{.jekMg(@  lljs `q6g>Lм8 fZBfӿ 19zw1 h%JwyɴI!gtۡM?s5Gum.X`GDЉmqyZۑr>ݳ4bޖ@,6%jz*;8]4-OQ4D]r`E:4 4qSeΚs݁ifK*$݋\DIHOhոb`WcȰېSjn -q랯:lmX(l3ǁZhI@^y v R]R:4Mx -R 7쀋]Ĺ.YA,S**ZLZY_t4Ͱ k-]VI2Ί#p!Hy<^sR.[.ڽm†}DA'^xE2œy4=mUl|X4ޘͦja$O .EMҴ5sW~r[Ŷ~rUc;Geldu|~OCu?Wjܼ?#;M6ly|6[mTTѺr.o|"z*z0 a9 nNGMLÞȽ*twK a!5lơ5x!3kΎMT.|})olF̹$`^|*[i;=4iCf$Zh]o;j,RM:R垎sHRXZ t[kIL5g/4mݜm]G*ߦׁ4[ D_ AeLcPw9٧q+,£ﵖČ!;nCN(!1`Zf2gp2_Gu_݊}T=7|^Cj6`!Z2ѵK umWT$kZZ!hjn@KX#+08t3kj]Kt;r&=Q*3I(b_rq`6*sĩR=Z@k4JÎ=S+!5JtM 'ܥ3AO07eS.1ܜvIK׎ F)N9ƷFH"eDjp֓+L4w6y(;kE&uHpAVdW'nw䠨bHAW#d'>/OҶ LXm[@19WDɉcN܈;% Eලm?ʀ2ݶ|\7=iەO7;;>(}ڹ .=C08S7:L7ѧ|"OgCVO~?r23N/h_"57Y7+pq,jٸbփ(ШVSctm\ݭ*g!?@ήՏn'+le} CfI8 ݜr endstream endobj 19077 0 obj << /Annots 19079 0 R /BleedBox [0 0 612 792] /Contents [19086 0 R 19082 0 R 19083 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26972 19084 0 R >> >> /Type /Page >> endobj 19078 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19079 0 obj [19078 0 R 19080 0 R 19081 0 R 19085 0 R] endobj 19080 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [104.1732 308.8462 204.5317 319.8462] /Subtype /Link /Type /Annot >> endobj 19081 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20210608081201-08'00') /Rect [104.1732 292.6462 185.6227 303.6462] /Subtype /Link /Type /Annot >> endobj 19082 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19083 0 obj << /Length 19 >> stream q /Iabc26972 Do Q endstream endobj 19084 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26973 20690 0 R /Gabc26974 20697 0 R >> /Font << /Fabc26975 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19086 0 obj << /Filter /FlateDecode /Length 2778 >> stream xɊ$y H23|kSS=T/~"#ncDGJ!}J~Z*7+f#_e;4N)wq~zM˧m RVj5{A鐔ZRgTTxJ'y96[i;+(N>JZCv,0s>2Bkр~;'X+y//u\,y<OT}Dm;4㥭0^.9pQe'W>Q#FntB0t`@ j9h 3TĝӲUh Vqɸ%hPB|fظo.kbk# D=cM~mԢmT L^-M(H `l# .`rlW2%b~iv^u| ;t}.#Uo'ﰇ&!sIҚ@"GQAl*Ԣ@nAsǭdĒ4K>2^%e%$J34aCsaG= !+I4)f(pطޏK9gtWg?Qˆ:+) dЬmb-|E/nS};$0S^jrF5njx 5cb:Z\ss"0ހJfG3pMQ ^7 c "0\)|.!'#GJǒ.q%Ms Wg3M0L}q7&)v*CʯZmi\e㛉; pI\kp7Ծ'Z@oZ.QWkIC\^35vk![QRuYc֛X-X}?P}=Q+^WFaiigzӑ}lhU}UJ\W8={sQ],{\.eni!PeҊtC޺ @Cc5ؔГ3u(AŌD#q@8&An6v7~c*n4s:j.m\壍9dd!bvSx8laujO:,&Ӆb'g&lb{`wʶ{lR+oJi n}hVў;{z țvr7;{4 1}4D5WrUj9c@$T`t86`7!wڶXFŦԢXU|}e=};#86,X}nkL:k'uOÓTv^+6H6Ys:__TBܜ.t9QKmaA:˯rw1aq1x܏k)r;ۓ=)+ ;c= B}gZO~Q"rR_]]cÊw~YTŸ0N'ثZ,qw–R$oy2Mf@K T4M$QU:4Tee ,ɸ:ds7Ked*LS2p:T`RQ x!Ƹǣ2a#& fv]?7*lx1P-WeFIJ!z8 b޻ND~gB.: endstream endobj 19087 0 obj << /Annots 19089 0 R /BleedBox [0 0 612 792] /Contents [19095 0 R 19091 0 R 19092 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26991 19093 0 R >> >> /Type /Page >> endobj 19088 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19089 0 obj [19088 0 R 19090 0 R 19094 0 R] endobj 19090 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 401.625 145.297 412.625] /Subtype /Link /Type /Annot >> endobj 19091 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19092 0 obj << /Length 19 >> stream q /Iabc26991 Do Q endstream endobj 19093 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26992 20690 0 R /Gabc26993 20697 0 R >> /Font << /Fabc26994 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p2th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lMef endstream endobj 19094 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1762) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19095 0 obj << /Filter /FlateDecode /Length 4112 >> stream x\IW@/`l !I3K~ƭ{[$b=>~oR^.fTmuf ~4:~^~<Śίoq9Xwz} J>ayƶ·vZ>7R矗OW `%ho\=\5@ϋ^cHjMf'e JF-fFUk[ʚtY`/{ YCKϏAw6)$?h&.CEz6  W/0`{q d'` #?'(ZhF;+ݕ '[}O wIJ -t.u2(I)yK+;}f^`8F?B[c11E]Wxil50Ѓ3+E̔0XQV Q1CZ ;xZ5jjNV57juJ`%Q*[dÖ~uȒrP<W0Qj,Pv " j ,,]Fj hiypQsG`aQU,4[ply(*88~i5U ћ#1}'9s oG^uYN~6K5 ֙vӭ"krun~ H7[~#Jъ{p$AV7WE-7$mArr3=»̜(ӂdZx^2h7@F3|&zeb#sEsЕE}c0ц+U̲C&!)&9 z*I l R_@:SQ4LYU?q-x) j~P-v[>ȱ&eC5i5,/-׹-FK hybRf- "m Ϋ2ZAaeprM7 X{l"Lg-y8ge.o݄ޤuQ|*55S7-wn&M\˳ҽZRQjݨoԽQN.e:4xpJrU{J_rqmrjfJ n[Z6pka\C)0աe1+{jqk𶥼úvڹ :zTY]esw";*W @7=`[f..|luY 45JĭZ:6%*5򘮨r/Y 2p'y_KA,ܨ  ޯR&)|TnE^eN:@cs` {ڳWYE0Tt1bpXve:kFWg_]W}!uo3H+EYFsTZҰ}zlqwv q[B>b E5dOmȶ)σ>y+׽ځ{6,wܹ{իJޖOQB}ѦnkʾP>ē Qg,0; 9Nk.wMUzN۰>͙G~lliۮn76ֽYV#Xl(kۖn0cE^%|@]΍{ivKڱnO_}&>"< Ip&'j 2fClCEj홹0mhqTnO^=kp@ye#RS,,>clqlգ"^۩TaCȬw"D歛R(}^w5aGz@ȻUr䮲W~F7JE1kقD|DRc?O?ۖu0!S7`1mȬG^wkvjc|<㔈=\)Ynr\I]@·ϵ~%{E>0=#3%SDr] cZ1%Wc \HHq ?4=uqkJ%L¸]˪3:$h)\!;^j/+fSƥ|>kD[9h{v5\X^̄!C+xE%tX#`ڵtI]}9͜'Ֆs+=𮮢ܖ\z`P9ܧjH u(!Fj| %.:RVkƒif Vxh?|K~hf2 sL˴y|]i4 D_kiQ 1;t?B`9}7 . #Pk 1!*PW&ț5h?`W=oyGԽׇT}]eh𬞣Û|Au1RM,/|suF# G4tt@!x}|bGC7+":_i yYf#C} O Dҫxyv % _D x4B{G}<_-ekgZ;2x0EIK-^;w,o"Qj(uZueBhy},ԋu֟Ple,K7_q.6HpAv2n7Z堨aHax _6)|NO<oM*@qNŕ`Qg~@Olpk* w'>qo/N;%[~rO:?| }I+8˔o3`ȣ6xԓBy឵3>ǓO# ~IY>MK ~A @LUuxJOSaw#?8tXPQs'Z,,>zPgW7*dİ_u <.ZD endstream endobj 19096 0 obj << /Annots 19098 0 R /BleedBox [0 0 612 792] /Contents [19105 0 R 19101 0 R 19102 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27010 19103 0 R >> >> /Type /Page >> endobj 19097 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19098 0 obj [19097 0 R 19099 0 R 19100 0 R 19104 0 R] endobj 19099 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [104.1732 425.2384 204.5317 436.2384] /Subtype /Link /Type /Annot >> endobj 19100 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20210608081201-08'00') /Rect [104.1732 409.0384 168.1327 420.0384] /Subtype /Link /Type /Annot >> endobj 19101 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19102 0 obj << /Length 19 >> stream q /Iabc27010 Do Q endstream endobj 19103 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27011 20690 0 R /Gabc27012 20697 0 R >> /Font << /Fabc27013 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ endstream endobj 19104 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1763) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19105 0 obj << /Filter /FlateDecode /Length 2195 >> stream xYKo$ W@U~Fn{&0CIf0G*L(WERLJoWN~ѽtewu|zXj֬Drgl*ƺ`>c~(yE {1-pkvg鿁I4,A;S1D&O&B/5$r-mrDŽM9+&"Dflsc>g,HdQjM.wBfd.D#jȬ! 6$-"L#Btf<1`m+QKXM]zXPbCe8VB>i4`: ~Dގqupe:$h:EAG꟱͋_SãLCl)mފ~tk]w^&:O9R1v4vP;w"W L9|,IA!tLde2r ?uQ} X#z;G] =ζ%MllgfEcA)%AÎkvsp(`P:""e ^+?&! Գf\J5v47 dy@6ā}c.`FoP>K>vRх[0ԏmZ-jhB]߀=)Zj\`wJQdzc%i{z7,/>3~Xe/pWהo8UHdԟ.<b|Nک!dM5Ӊ:bObǃ{ܭlu{P{!rSFz7"WhhBQ"&>D/OTB)kಒo ;»U=N B֤LIYZ|-_lP%t[uΙ5MZdy{?=q~/PLDw~FW]\\@֟BF v/w5;&ˀ&kQ]bR q9{@,b+@ b_@w/Sژ;˘uQE<+LKSx*rՈxQBW]!:\ 4N ۹̆fMaKcMiJꆈoo3%_,1@|y0& ,LFvu@:̿ @{FWx֮1[B|Dz8%+wa imn A=*Ed+\HW4Eum /𭫔aGrGBi7ҊI5Avo&n3|Òw«KfIv֛ȴ7iG;]itn& /B=JicnMϘit u#HꫝM 4,b0MLkZ\ݶ^?~G0;/R)e@ &Dj[CnHQwZwQ;w*bEm3?5O d`왬 ful7C߳=c1^ru+FH %{RT5#ro=3XqꆥveO??t3 xj>VYUHg웛FpYֱ|B|PR0пXGjES$^hwEh±E%셱MqL[L37>6b6xUTy?k^>O˹=-ϗ=y1M"ޙqGskHf(E7r2ΊrB/Ĺr7Q 4.{Ӧϛdl!;rXid0c[v(Ķ4ԅh3~ JIi7{ʔU$~^:PT^r_2~끚4f\XvY`;ut +Vs/7*F OmݲD 1?-!g"cn똟'Y MKiMR-e¸{9>> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27029 19112 0 R >> >> /Type /Page >> endobj 19107 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19108 0 obj [19107 0 R 19109 0 R 19113 0 R] endobj 19109 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [90 439.225 135.562 450.225] /Subtype /Link /Type /Annot >> endobj 19110 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19111 0 obj << /Length 19 >> stream q /Iabc27029 Do Q endstream endobj 19112 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27030 20690 0 R /Gabc27031 20697 0 R >> /Font << /Fabc27032 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` BЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛM endstream endobj 19113 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1764) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19114 0 obj << /Filter /FlateDecode /Length 3705 >> stream xڭn%ί賁ns_A C$v\[~Oأius*bZ.f+|~]jY_*M?~Wϟ/~f8-uǃ!?.eڗ_Ҿup)dlmD>_\>|R_r$y˗%h?=5Eodhқv%x[SY~IYam93s…Tʖt@ Z'}P 3YC_XNAOhڭ;fܓ EȄ#uN}b'|0w+>|EfK M\{( 3@ 0AZ@  )kl MmhM 4VdPJ\4-BSqOj )0`[}޼{/lc KT]͋HG2 G誢\w<.31d&YMY eVZXSWlI}zT vW癩_H]xM ŕߥ-Pmh_ 5k0t1T}$zFfY.~ˢS ztN~[jG. F'R-8]pKK޲ɑD4|˸d,H!θw6Ob#ly2̲H^;$y :okQXO{E5+G9K+(*1j`+ON{Nԏےlh;H¸L4Wq,A=N}߿5B)ۉ"X|@/ ?UL$2+}BmU +t|z0G=jvuy֣zMܢxළ-nlu.FPv F:woUZ,3cIcߣ=?kߘ*kZZ1@(V1>"DV0qN!"u(8 @i?֙|b#3x|I܈$K4+=7,Fa|}HK]wkg 4L}R+rI]둁XG[b\㊕y\}i6z$2q"Lӊ!n(h+Ds) [^RvW^5υaEUNdH?JˈWp.O Q0C&9sml 1{r?T&a][gQ87tbzZ\z1za7",-wR*[meZce +7X;(vxz,]%%XozK~)3*8뷖auj:W\zzvh]}]ƱW>|64;MaP;Nn6q#%o& ]YPPF5j6û "UcwƳ~9C:89IݹN@ '}.'pOrG;U+7 eV;mFMM,r[u7Vq+^ r! `=EvXֳG0ycxWuZ۸tI^>vY6 K89]=w; ϶Rt_ݸ0e5)]q(|gI%i'L2 C0JϗiW 8>ܒ=;o2Cb[=pȏ;_7<ߠPwbF~a.ň?& ш/ouS,CW*cpWqsz:tۢxX;87,4ـjNP?J 6S00=(R35\>drj% >m+Qy+t /Kl(~Dl2VA+H` n7 BpruMnGjOs/=Юr-[ (~ܵRx Ӄ۵#PJ0ւDc3 J\tʭw Ͱ G[)Zdl61;,4 &os9Y=^0ʜ4ŰSI'ǿ9HuyXg ߮F \gueX)a. `+ԑw;Q<W SU> *kbdM]YcuFF9*Щ2QF熁&MlyV,9>!(JSf q L*d0qDasSZ"%bC`gToѡ /0#i>|>p%%RvPVRjj/}et/"*|PCS֊ 9s2|,ԋ!2[Ҝ%—&7 .>UV\Ft|{U9(Rqf?>~Qt\d؇&qNŕᴸ\?53Ywbmm<3cqqA.E^Ҥ}]EMP)m^.gN\lgží9OJpe2nef ,1I y!/}/~I[v]*MO dLYD;z( C>)<q4ܐ5TGm"r"@۳B7{eNNK5.[~ʑ2ћ/h?,qgE6S endstream endobj 19115 0 obj << /Annots 19117 0 R /BleedBox [0 0 612 792] /Contents [19125 0 R 19121 0 R 19122 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27048 19123 0 R >> >> /Type /Page >> endobj 19116 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19117 0 obj [19116 0 R 19118 0 R 19119 0 R 19120 0 R 19124 0 R] endobj 19118 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20210608081201-08'00') /Rect [104.1732 610.4 155.4057 621.4] /Subtype /Link /Type /Annot >> endobj 19119 0 obj << /A << /D (unique_169) /S /GoTo >> /Border [0 0 0] /Contents (place_cell) /M (D:20210608081201-08'00') /Rect [104.1732 594.2 150.5657 605.2] /Subtype /Link /Type /Annot >> endobj 19120 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20210608081201-08'00') /Rect [104.1732 578 161.4227 589] /Subtype /Link /Type /Annot >> endobj 19121 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19122 0 obj << /Length 19 >> stream q /Iabc27048 Do Q endstream endobj 19123 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27049 20690 0 R /Gabc27050 20697 0 R >> /Font << /Fabc27051 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19125 0 obj << /Filter /FlateDecode /Length 1510 >> stream xڭXKo6W@ c}xb:E._p(Q:HڐyXN[N4ÓtŘΘ;X00ve0N',:ɂN ^>Z[|[At>SdJ&/ܭO$_ .5l`FM~2T\e)ꚷP8.TQ|Q_55&GCe?#G4>?;Bl2~~mgSkUd qחgpzgl'9!<gk:ʗ)ܷy ܯK=⡣({qE#mgzDFp85,s86ٯ2rau±='--(@ͼ=7ybHC?G#u^O!s9" qRiCM'Q 7~.v%F\4.k俤Vvrh;H Z y$( UQ8I eWmq‰8#q8#}Vv~i JHQ6o?8 )&?ԆXQ!B NF1͡GodWÒ)|kY:2[f0 >hjv޸F.GM7uA8C!@Z1t2؝zc![[>oW/o0Ivݨ7kbO;u ocdA^àhuTI@5`3O j%[ֲ5q~3 -Wz,Hy[ .3oAK5w-_j \Eb}5@KL(Z|C3dꖅnZ9)nyrӕusEl4[JUcIʺe+v*/'l.m^sm%C1]7VJrb `PPZ^&ՈIf/SG$]lDьcK]; 6{ 5 )^$J^f\:mĀ0SC! olS@?ƮeJxWƌFj(AyF* rLl1Z)⍸.yw9q u%򱒖Ԅ!MqmPRI\^ԍhm~\?7T7/|Y|7MszٴRH_PѲQL\U؄$$iir5yggr/7fnZ# փv n. xfCc~ۈNjֱ>cNx.Q\_ޤ/_0N;!l|s9T1qI,e-͟$7;N;ŀjMAj]/ w㹸$L uTlߚsX{픣)ݷذ\nD9Ӎ]!*R_me; O endstream endobj 19126 0 obj << /Annots 19128 0 R /BleedBox [0 0 612 792] /Contents [19134 0 R 19130 0 R 19131 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27067 19132 0 R >> >> /Type /Page >> endobj 19127 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19128 0 obj [19127 0 R 19129 0 R 19133 0 R] endobj 19129 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 377.525 114.9865 388.525] /Subtype /Link /Type /Annot >> endobj 19130 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19131 0 obj << /Length 19 >> stream q /Iabc27067 Do Q endstream endobj 19132 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27068 20690 0 R /Gabc27069 20697 0 R >> /Font << /Fabc27070 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy49xkEŐL$E d_ )z_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ3 endstream endobj 19133 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1766) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19134 0 obj << /Filter /FlateDecode /Length 3568 >> stream xڭˎί賁vv4|# '9vhH~EgwQYU'Y[,94|~^z[R\&KaΟ]w=5ĵ\yǃ~XNbɍR’R^װpo|( z)2x\>|dҺpA'|Yk_X ?-vͭB]mp׻ˏ/ߗOaq[>Z bSg]BX[`Dv8"!{h{`dao |r&(D(eV*"x#Dc CT RtQz@5g@_~C 3=Ab0*>Gd~r,8ֲ`ۿw @Ė VK2+plI@ܒս|̳T̵4 H)P3󦣿f# p HVO*i3}Z Sʆuh#㭄'Tv5{_]sƩ3eee'K}Q|/1*>*x05WHܸensrq=oٝ:C0םsN4Iw*o/ l̃PIttjO{s~Ao3*%n%1&XAyr?RAd}9Yq>@EvYN)e!NY(%+Βȅv~}^H6@fbeIvVMVœvͷBګyA7yNBR% 0r(# &JhHX\"6UUEN,-@=lފn_?_ <-w i@YJU~q@Z6Sƭ.F?\C\kاf1&y9XAZk2&XF)v 5 Ɣ@9zmK: rsbxbcilۋ$-p!L!1*5&FGA2f򗲻wxtlN]LAEEP9+eDlVE3Z馁|0G& gme6vC1GrfᱩldǷ,ӑz?_e[eKPzr6^v957N9f|F`KatPP6PpEeAOw93no&M5Kn">*nTVnD>l)Ŗ5P_ *:}+DB '88tXU\mÃ56Omx>;٭ώ7>|6t_vثU\{&aouuU«MmЮKk6k+"ͭ?nM\e)κuYMO[UZ ݚW }Nr.@d{E2U[e;L(:}Lc9R?Qmh{|t&޺ۧaO#*IDGԚ-ɞSIJ]epzɉc*AKT:bIXZ =7\oVg^lKŤ _mŵ%XZ0c1ARV_zw@.o Nk=]Snn)_L!_A> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27086 19141 0 R >> >> /Type /Page >> endobj 19136 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19137 0 obj [19136 0 R 19138 0 R 19142 0 R] endobj 19138 0 obj << /A << /D (unique_712) /S /GoTo >> /Border [0 0 0] /Contents (register_proc) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 167.0932 608.2] /Subtype /Link /Type /Annot >> endobj 19139 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19140 0 obj << /Length 19 >> stream q /Iabc27086 Do Q endstream endobj 19141 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27087 20690 0 R /Gabc27088 20697 0 R >> /Font << /Fabc27089 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf;2!3ϡ*mтGŶKN endstream endobj 19142 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1767) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19143 0 obj << /Filter /FlateDecode /Length 1465 >> stream xڭXIkd7W<40 rАCɉ'vWU[z!3YSmJ֯i߉Ҽ~zуt:bY}s򼈆l YSs A_^t6F_@/@){SCE_~׿l6a~hO;2lcǤ|Щ v=HEn,2%w2@V0X|LRA$\k w7} vរMUXA EEI5u3ֵE%8*9eB6jZN1EjkZLҾ}At,&i"]o3EdU2n(T ]2_-@GZOØ#>e#,םBX|5)L-|:R^7`k)5"(!S(b>J&_e3Wd"ieEE*8;tЉsS2뙂:aNYWׇ5(U.W'/H`JiW!V`SDkH\&e:Tj(Ls4"o5[*y&hZ+6qB.3.rVhPH3nV L'wXLyWs?k(n?̲+տz]m<ߡ44~ :;BS8,ÊE9=٠3i ě6iccZp&cdqxsT[e~| .1?6ydZ*_keF \p%w9F{dx\vw#y[cj3 .p1؟ Q2_HI~;ʺ cqX+b9F픣WP>ȫ^|?TenC2!fo endstream endobj 19144 0 obj << /Annots 19146 0 R /BleedBox [0 0 612 792] /Contents [19152 0 R 19148 0 R 19149 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27105 19150 0 R >> >> /Type /Page >> endobj 19145 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19146 0 obj [19145 0 R 19147 0 R 19151 0 R] endobj 19147 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 439.225 145.297 450.225] /Subtype /Link /Type /Annot >> endobj 19148 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19149 0 obj << /Length 19 >> stream q /Iabc27105 Do Q endstream endobj 19150 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27106 20690 0 R /Gabc27107 20697 0 R >> /Font << /Fabc27108 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePD>y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19152 0 obj << /Filter /FlateDecode /Length 3987 >> stream xڭnίyR/avLCS'3A`6n*b]HoOm1bK3KK6إ?/'X|c|LnAxg];wwGuی$3^m}·vwlwm]F(/_~Y^oC.oKоzjOEdxWKpDfW[L1RrK(kҡ&Me;j{96>9iaY[yv=nR҆S} K`jt zWJ ^`ZDv:MvWwi2\*3&Šrpf rXՖ BZ E&o sq->w`̶ TugOb}b!c_%/h Zxt 5ꤪzzbay-f  Xԣpb2ޒkQӈ}O32Yh10Đpr/%Vfa_k[t4V8 McTɦ!)~@ /Nx`>C 2%b-}G(洊u`)CE3sh :!d\Lr6~Z2B!O:䄤34351]neD-HqƣeRz_Zs,dVK{35kk``Ybp^M2bV\FW(F}͈`8nIWz#BkA'ϻ9*ry4.&8};] V+EL Cq^X-(}o?E7(y}9uEtbx\zqW`-JtOSYY&-{5RO@dd$ $5B1(I2"/j.<DVUt48C׼G:B3Us?rx &^ޭXQI kKc;ָM`:aDC\!4@1B<kzR툇On!MW[=aW\vRp\.yѓgaPn.5!шIK(āᑛҸmJ8O_SC@4s/\Fk箓[^~(@FT̘IDN=¨[-\atLA񝩚^hr춍 f]PwhCW;vC0F67$IL"ir=z[uW^ml8e@@YA`A>Kt(inuBFL ✇bpd.%?TKΙǾԤ.:_Λ@|.ͯn (gllx;n14y̿>!T{;$O]GgGH!1/k]Ct}*K''o9W"xW~« ~nr2zr*vGi$Dr{! dq2O嬤W}zacVØǎ֎{X5,]Ds]‘<̃6(1&&dW]֔JFM-/x zч4T<툅5їkK0x~z5Qoa<`|iWÍmIM|z1lDtX#`صuI`_e9ݘ'(=மRyQ>LZkG|.Tu( $Jt$ʭׄ ֘ T݉u&'d4BӇ sݚ-]8&/H̠ f#\l P2Ct?>9($C[!]O j_RГ{1XYcR{JGmGу. [n-UopDg-`>stO=(.F4oLGevo"uVǬ5RV:ԕc˥Tm\b+Y<1xk|[ed[7wn7k僢!Yo|쇃b_կA7?}p>lq3 9a& =˙! 9@ Ƚ~5|i'dVhm"kQ{B# ~]q/Ep%22 V ($2IhO/{%OX,p}_>/U-y??/H{O<5k Y$LKiS:< N%:Tx~ |&_OhbLʫ97d]jWI%$bIZ? mK endstream endobj 19153 0 obj << /Annots 19155 0 R /BleedBox [0 0 612 792] /Contents [19162 0 R 19158 0 R 19159 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27124 19160 0 R >> >> /Type /Page >> endobj 19154 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19155 0 obj [19154 0 R 19156 0 R 19157 0 R 19161 0 R] endobj 19156 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [104.1732 546.9 204.5317 557.9] /Subtype /Link /Type /Annot >> endobj 19157 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20210608081201-08'00') /Rect [104.1732 530.6999 172.1972 541.6999] /Subtype /Link /Type /Annot >> endobj 19158 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19159 0 obj << /Length 19 >> stream q /Iabc27124 Do Q endstream endobj 19160 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27125 20690 0 R /Gabc27126 20697 0 R >> /Font << /Fabc27127 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 19161 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1769) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19162 0 obj << /Filter /FlateDecode /Length 1629 >> stream xXIkd7W< ^&4rB;0WU[$3 k*>ժiҼ~|փt:bYgC"6hgM%}~WkZ<xw(xoj(G ǝnhdpG{i6wW1( tu|x5L̛(|G2;>F;H f1t l찞'"V;a<+Z)fKVWnv5?ښ&Dz/SIHo@17eb QMkI'x $F 8^'=8YG#*{Gv S/˜eS눈 eϞZ7=pKz=d{L%oU* GIP ~IEGr{<p90.54 5S2GToSNyNv" 씷=3$%!̃a),B0egs~ՂЊ&}rX)=gTBBO (Kv?y^,Y}%juPUEJs-OQ"⛩X"ގd/ΩO0 jVPݛOS"glI6.Úau}0+IÛ'ʮ?ҒhO.x /K,ޞP 7͖‰f : O A _k(ߠ+z]m<_44~ : z&Tq8g:s&xCګبSNpJ6[ ׋]m-JnZz"N? \&cmخ%S}̲QZWN6JoB{c#&<7Nկ9be!Rz4ࡒ2 Ir.Ix=g|`SPY/b]wi \s(!ʱNV%¹MPNM+TRG{ ѹ}lO3bc&A҄XV&r~E?^se]z! |LƌԢ(T|NjiM!2<.e[77S /+iMX)s[fRIi(!#H?,#Շ>8/Yo*)iW?}|V4I% 7C<']ywXMq N19_|llX c3α ¢gL]"r<_c=l>^OҸ!LW/P-Npsp}=OFҭv.KxR7;,Nq}nt+|ٚxCH-юaG7/o7r (d7ƜC_l#v=եq/a}99L'~6qHWhU !R1* endstream endobj 19163 0 obj << /Annots 19165 0 R /BleedBox [0 0 612 792] /Contents [19171 0 R 19167 0 R 19168 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27143 19169 0 R >> >> /Type /Page >> endobj 19164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19165 0 obj [19164 0 R 19166 0 R 19170 0 R] endobj 19166 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 292.125 147.728 303.125] /Subtype /Link /Type /Annot >> endobj 19167 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19168 0 obj << /Length 19 >> stream q /Iabc27143 Do Q endstream endobj 19169 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27144 20690 0 R /Gabc27145 20697 0 R >> /Font << /Fabc27146 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19171 0 obj << /Filter /FlateDecode /Length 3272 >> stream x[KW@&X,3c7 D%?⫧w4QNjoS))$iOux~>ɚK%6Aj|sͧkmzTB+=v֬ȅhfk*=󱾵ql)h^<=<5Oӿ뤗fҋv%xZF3ӿguuqڭn t(uP'xA8aVvgO]4iϏ $Lb/ƙi$!'|P'](#,h#3!P(3N5/v9:B2j<X:S MTzFNڃ0t!5 GBZ6O`̣ɱ|~5y)ʬ<5>/X/ mY@`%u6~džYQpBdODuS'Z~C0+IdRML¢K1j@0bil6Q~-j֭cX]0}^N܉YN)Rxt*t^A8"LJB,~Cimo #a ij_{Đp#ֆ}P%56eOW+( EMYԯF%BqH=GGё ݥ,$&G K'%[l@[=MLbTW,.z"1Ӈ+V`-TM}G6\e3C0gb=|GR¬vQ`tB>RvAe;++o8 -:pCyn_wM/^K!2X @fĭ {b(e/m">ioLN&ޠJ]~>s`#}`ad_B/x'[EwXf ]~L+RJDxQIWxtBOCIZ(TSXN4rȍGL Zj$!Pƥ1+?~R;Z!z jeE6Hh-ݩ V"n2Q,`>Bj fvKXXq-\\3`m̌'Zr-͕V%IX\ҋ[FSoRƺC:Zf:M`g{a䢽ZZKt!YY 6-ܸ]b1Q\lܺ A>W d-~ YuѡͮR֫FI8 QZ6b'֌X#>Ƶۖގx]%Խ.;8^B=}Nq=t/է{zwnV`O}=ve] ~!qa4B KkO L fsnĽŷc(!%/ 7(g(Q#9@ՈؑG[q 9Gv V~h={b̈kôk[Ք\7z`v*Վv/Mnl}.ʡ@P(ENwEq==el\S~诉k/0^B ;RH0?b8a=U 88W_aۓlVC=cN0Ѣm0ٱIACn\]˭?nXu(on Bhpě/;6xi֊X%RɼK}搡jv}ե͚Vs+鮮B zQCݹhC:k{rK yE5|p;R !gfZްj޲>k 2oc\_qDA=X v58p{ދAi9)F JV@<IZf_TKJgU$mf(FiaS Lݡ)On '.jGx=u;2lguMZp r ^FԔ3̅f'*j# p6tp>16ob4\%^@=Bd]L_c endstream endobj 19172 0 obj << /Annots 19174 0 R /BleedBox [0 0 612 792] /Contents [19180 0 R 19176 0 R 19177 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27162 19178 0 R >> >> /Type /Page >> endobj 19173 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19174 0 obj [19173 0 R 19175 0 R 19179 0 R] endobj 19175 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 453.425 114.9865 464.425] /Subtype /Link /Type /Annot >> endobj 19176 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19177 0 obj << /Length 19 >> stream q /Iabc27162 Do Q endstream endobj 19178 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27163 20690 0 R /Gabc27164 20697 0 R >> /Font << /Fabc27165 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -C |뻒0Nˠaz ^ #|:m֯޽:H/ jcBrt~AG31H[&##((BBq˜Ti,M ?$WJ2%ī+OSQk9dP!5:Y#rU (QIwĘ (R%4 <~TW<;!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19180 0 obj << /Filter /FlateDecode /Length 4377 >> stream xڵɎ%*k_F^3|rK~?$EUoT%NJmQV I/_ޖҧgK.N/vj[?-Xmۓ.>/dʓ ַYp_qV~ӥ*|\FtX5\Syg($XuqҶſ~%wEn>Ex%7i Uj2LYoR ]!+A +1gN:WɭBG/B1˔>3vL#3˾dCV02Dž-I\4X;]V'W~M!tyϤʤ_\7)MI]e%h"@^{6e9"GX*ɴw&iL4F-Gug&$&lIܦID{+} ^zk#&" *ou y˅m`n9pA$A,gqityژdCFiGz3!B6)vKni":@dG(-FgwѠ .wˁ[f`{ãu@ʞ,@':vvؙYu46j@Nq5 OσVKJnqX! I8,8nsaP([\; 03?֥,Lk. U/Bka(kurlg1{tdCC3\x\˗_\ FXP)ER!|0"T)wWyWQzvբ䑉 `!n01<4aI&4YMuđrUi`YTÁ{Dl)pe+<R1i6J@ƭJ?[,+ owu\j`8J)􈴖>Mtٌ \ k…hNHoCI^|| P>Ybٕ /d"f n/JQb(噍 lwJg|r)W~5VFﲳHuyyl$TDfqy)Bs ouzGsK`Y9%`P JUa:A)#wr'WSMB {q /~N=:[mM =p=F7lLkLA~<4뚍>@ea,'Q,w,Gs]ggyW̩lh9`>Lԏc7!@t\-[{CCQ#bx{ՎH T\[&u[c{'7 Aa|gϝ%Pfۂ߇CscY}*݊D_ŀ̄qrlx_x,G7c| '1hm̟w⑙Q QI:%W13Rd ':rp.N[ |5CX|gt~mxB0L_짂pN.y՚A~ !k6 dXx9(-U[xg%^43#f̆!ݛ%rmMee׺E]q2z-L-q"㻣B( KJ96 d`j0yn}LSƺZ{#+OvJ6sf m&CJdTlR_G{PRB9fBGoċTzEf(/s`5zJ}9Y!*spz):ru%+`!>e&F8Z$SXU$+M]m$rby>||7~5dːjo͞tX~;69Β-`T *w~ŲwouS481o3* ɸkӈwwe1=yN;))/GB$̦ۀ)v[|_n.[~a_^?sKB*qB^UJ[“ #]e)טv$Ű#Y;#ĊLy`Ke+O4I'Q="GQ6nUVCîGmkkk%+\2rq]/tM'\Jūج[{N7:\?goum>bomWsbXP91:~:U|m T"DS\ a43]ʗtbRw6VGq8=ڌl>+7^~ u"< %-f !9髡i,W|/QBj-dmmC ø%,WkPj"|g\ 9X.a!N@R%;Y@ 6Og:3Һ\M#aǘ=ØŌW]ܤzUX GcPjk h0QMC\~ (qtP͗њx `08&XEaULί˿Bf:Iঅ+p5P2c+d՛ Y8[OgH|Oi}w0 s8sD*KkȚ= cƖ8ZH^a0݄>0·>^kK*6^eKrxg%<+|AqQMO3׿䇵)~-0t(p% 8<>G\$,Xo!pHm)scW18W6c29TekɧK+B5cl xfj|!J7!X,qR|'k?2mGX2O4Ԟ6Fytk"2uX'Vì6T;}˄u Y2g_2sk/D[jLF{4lJ{>׽Ǎ/HQLi> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27181 19188 0 R >> >> /Type /Page >> endobj 19182 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19183 0 obj [19182 0 R 19184 0 R 19185 0 R 19189 0 R] endobj 19184 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 350.1384 166.3232 361.1384] /Subtype /Link /Type /Annot >> endobj 19185 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 333.9384 165.4707 344.9384] /Subtype /Link /Type /Annot >> endobj 19186 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19187 0 obj << /Length 19 >> stream q /Iabc27181 Do Q endstream endobj 19188 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27182 20690 0 R /Gabc27183 20697 0 R >> /Font << /Fabc27184 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*Ԧ\_/jj; Jt١Pϡh#bwo1Z endstream endobj 19189 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1772) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19190 0 obj << /Filter /FlateDecode /Length 3146 >> stream xڵZn6+ ߤBv L "Ȫ3A =De'nY"E^=jcvſneOssssueߦoqvn~O XfgM%ZM<'<;8-B&aLٽO%2*2[^D Y|0 6n2IUeUlI(y _ǚ\X-Qjx[S/Uw-ߐ(;53auZxg!Lmt_Kk\e=@ӭPSAd%dsMOla2؍Ͷny.-ٖ-qKӇkZX޴V(%lV0U_.ڏ9`|EuQ:c)vl3rRuEiݒf-l &GU+H!^f}޵8:67hW]|y_VtbXZ߯-ѶmirX`.Wu̚O73(hcEW_q+pE`0IP Z4,2)':º6hE$a}ھWpuQ|Uє2W^Q;ynk|I`!N\nX?OGGZ-Zͨd*~wI&KD*C'S@xPQ^z佢(EI>^M7B%wEĉF0nN$U3r[8,p_s 7ALV6 2޶G Uð+ wy[[h4{È"JmGESOȩkLn97쯁R/$C8s[2+mĜG~)O[~߿=~Fyx@A󍝿' ns' H-Qr^>I?P] zGpU/p-(FзUCrjbܐ1c%;_p ,1>=`ILMHw\g%µT @RgVn'rף1f z7lU Wd*jt-AM^u)9HQdJ)UIe9$:kvi%9Fz]:\.&撰/G_)5+iQ*IPKJ$1;3Eƫ6ܕ04-B">LyISraRA")+y4,`$! !Nsi򵠤H|!$|vOly_&9O@Ѣt)uy!^ KSAE"[`JY?‡2Ek#.qt2RvkNr>|b{ӑQ}zS-0ޏXdxEa|7- ɷ}?*0>L%HU(g3H?#Yqw޽ ym;$q%m4tT m7wHv ] gm'Ԩ'+6Aǟ+o}o#_v7CQ,.<&R0]e endstream endobj 19191 0 obj << /Annots 19193 0 R /BleedBox [0 0 612 792] /Contents [19199 0 R 19195 0 R 19196 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27200 19197 0 R >> >> /Type /Page >> endobj 19192 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19193 0 obj [19192 0 R 19194 0 R 19198 0 R] endobj 19194 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 415.825 124.1605 426.825] /Subtype /Link /Type /Annot >> endobj 19195 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19196 0 obj << /Length 19 >> stream q /Iabc27200 Do Q endstream endobj 19197 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27201 20690 0 R /Gabc27202 20697 0 R >> /Font << /Fabc27203 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہ3χv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>U]Ѿ 5Ժ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3ȚCC3OX0 GsB&sS0Erѱ*E拶`ѼnODHIڝA U8N\j|;B}O endstream endobj 19198 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1773) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19199 0 obj << /Filter /FlateDecode /Length 3737 >> stream xڭn#ίe- Clv``OV˖3YM6YU'*/_9Wn>İ]~N/aqv<C~hL,|)xK}\fS"'xCUsI}%N nDƃ߆~bt^m.?`m9欏¿Īc#d{P/IG=|h0 R6\նxcUx}X*< |P2pXD8Mgvn"1 @N9A af$a) ?|bU9 <;GpP%QuJ΃k-*:=|ԧbůAP`ۦۿ?jJbAyZK*+ H 5lLB.36b_w@< iws69Zk|iIP!(:4/!6[ȲߏhZ3-f8F^kk%Z m1 +C!غ0񘅓"pL6hjSR,X%#>VQc֕ !Gu]1jtk;u) f04puR{]rRKyJMGex+fb1^Eۀt*œ=9 ЃY#skJ6zͦM#{ Q=m^Ӂ8t)_b;`elz Dt ͯܮ%- )mA;2߈gExULF']>X$Q+dsD* G8EA,0;,2&M.;kljU;j={jJ:q#se=V|C>v bM|sa m2iK'C&KHBW]4+)E ~psq[((&8PwryO.O٫|v[\^+kqؒ"wdqx4{Țn:,/x<=x8Ufy] §7Vy/ M<C+M)$tUD:# XPuE=x0/os/ JIJ/;#6{ CT fՒ PcҸ NXƫ`f\T0g <54&`?.$w[:3.FeR{X^F׽W[j▙HYW^ךmn$0دjjYX-`PXi%ܠ&>J훆XF գWK2 dlK@\2+[}wivkꐦЩ]]\b胚VT'ۛs=NfS}Mӌk!e:JTrkstSRn.rL[9JX2s[ec}s}& `8a^A\9su9@EJ?7s:m}Ճ{;Z]zjZu˫jN-4[PKJ\TY;3w::uEApCe5!J6{ otXFw&kB]GP%jNv0}(8 p ";JD0bpx- :@޸#d;m^NѶ:+|Ntg:<1oMR9TZz>y^(#V<ӯ=7QkzRݱJUnqe^z{SM^X|qᕼؒ8?dNL`ΈpƒGв`49wU;;R7ns $1$@ԢZɀ$33kJa,HSju |oC6Rm{m7KkdA-A Ʒҳ7Mr7IwO<͹:E tr0q6AMlAZ}鹍C^׬u2PJc+N?IpdW"4a3Y6Bw2-yϳ'6 +KGSH@q-ݗ1Yh7jj ,ҵ;?W aQPR\=" fQԈ.Öh*ȟ>ϳY*f8 <;A1xVA,jqsW|\qWR3 Ɔ3 |B4k<z1 U4_a$,wbi @MN4׭w_J)v9x[#q_g[bWH2}ڥm8Wb&3! Arp8>:*/duve\&N/ԩɟʰ6ɲq+/-3^IZTt@Ԟ s@A$E:Es (.u57h{6ҫ ;t$|~MOL\ ֣!tGRLkZ|%36PV{v .l0ފ:pkwHM\^&*l&a(ŵ ᪕r\Sj&t <ŧo f%;iwqv~olеh>;\lJ5ҢXcBwa{h[Lf:n]8;;MM^:Zk/:pURySny'yhh :5;T:_ յ8u*gEM8%x7.^\+4j՘/Bզ`AuRr] x[Wcu\WW!X3qJiˍ˒˜.w 7o3W "Q'JS1ֺ fЫxruMr73jK(3Ѯ^:S ǻ@;=4 arC̡"BU7enzRN:cLRMp~Q} 3z]Uxf߼nB ؘ cyTrp:dV db(K0bDMVf|OY}Cv ToQGA ԕGfsϠ8uK-Wu!z!U/oyC{o,x#hLeX.$3n5 eC2SoWh0tnpL4>r?j6ҭ64/ȳ"`1#&yUXf3CLA`R‰"-^(g˴35>K /i,얶skXYLZ)i=mė1 2{4xCF!5(͎IiL[>{YkdeᆉƗ.7Y .TV\x{ 9N~&~SmVELqWA6WѸ^<Q.t/{oTv Ǧp4`p](ݥW4Iɘjj"6/N\1gYm;`8NFO|]X+/Tkd9]?],.'GEo)v^No`2BL> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27219 19207 0 R >> >> /Type /Page >> endobj 19201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19202 0 obj [19201 0 R 19203 0 R 19204 0 R 19208 0 R] endobj 19203 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 146.4737 608.2] /Subtype /Link /Type /Annot >> endobj 19204 0 obj << /A << /D (unique_528) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20210608081201-08'00') /Rect [104.1732 581 160.7242 592] /Subtype /Link /Type /Annot >> endobj 19205 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19206 0 obj << /Length 19 >> stream q /Iabc27219 Do Q endstream endobj 19207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27220 20690 0 R /Gabc27221 20697 0 R >> /Font << /Fabc27222 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pf&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%īxq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶fEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19209 0 obj << /Filter /FlateDecode /Length 1547 >> stream xڭXKk$7WJ-g! 90/JxKlJ_*jԆ\~zctm:\O砭՗eOkK콾<BD!`$<g/@y%PqS֗?tNۄt?x邹Rt¦Spة_ 1DɾZϑ“;d='ENUc`6ܶ#n XĂm ŝrl'´ӿbLɹV|/*­l5!՚3o5gQX鱙u RZN;C5y-`Y^tؚ"mQNjmRyC6Q7&~?<6 ?yO$/c1휐曭|N_^1wa.p~=8ͧ{#9爴8e}?6B(u4iv' p y'a׏,QuPAdc>I%-a\ꎘnijnWr%6> iȕ&U 2لP|y_ɰw#Y9ᰜ\ί'H9`9j&od7( sm؄ #BdK!/鄚7bd>Mu5TK<_t05TigqքM3vV M'X\\gխ.Zm5],Qu}skQhK<&ZYH9=`5q,ȧBZȎ$p"V쨗^ol1Nj_O(ݵHSꭀF0~VԌə%S}H(z-;Ũ /{#昐Gϩ%8vfU)䶀G>jYg J)KsNR޲ӰjT[Ɨ6{Q'h˕*~}je4JB<4l]fW @g$ =g JZ}'%ԗ-@lQDSWCcMJs{B];52(ަd&Sz^Cm|+[a(hEWDu+vF]=a<Z41n%uvx!)7ݢDjHZ'9n\L]G.|94~na͋9pJ~Z>wT6/|pf?Joxj\ؾ%W_{?nܣ=KG@/:l(5^gt5Uor{թA[2ꅧ~g],X^cNm^\ooҸcBn=z5tk:,.ˡnt8<{+1>ndB)}o[?㉝`p8boS6-R. {O-@9vEn> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27238 19216 0 R >> >> /Type /Page >> endobj 19211 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19212 0 obj [19211 0 R 19213 0 R 19217 0 R] endobj 19213 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 306.425 124.1605 317.425] /Subtype /Link /Type /Annot >> endobj 19214 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19215 0 obj << /Length 19 >> stream q /Iabc27238 Do Q endstream endobj 19216 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27239 20690 0 R /Gabc27240 20697 0 R >> /Font << /Fabc27241 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ b endstream endobj 19217 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1775) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19218 0 obj << /Filter /FlateDecode /Length 3937 >> stream xn#9yYRA8[40ŜzgH/)Rlm8.QI(oRK; _ei靌A*}[?˟N-?mZ77+?<(O6?P\ adz6\`G|,1 ;#ߤW>hv?~RMJMje_eJiY%HLA,8+R6(e^an XAjy~GR `n#1TLq~ybBؑImDA@6Ll,/D <֨Y3|5~.K;K$OYdzFxL. WӫR 0rFi0xV`M d"3Ƥ^%Ug.JS$/3}JG "p fDxD80čAg{ w[P_W3 aH Ǹ71D{g!8lʞM Sm$w >)yi'}Fq <,Kh!$b``o&:}LQ"#暏 Φ.}"jqA "9pZ4Қ%֛u}NbX0F1@TQT(6w6!Hbt9%QSG؞q:IzH 8B_&Ԉ#mZ2ӱ'NUZsֳ` KMBu߱-g}fݪFsvQ,̅e0 Nj4#m|b 2TF>xEK;k,T$kSׅ `l"9ycѨ 3 8Vs o[dV܆5TآJ]'kRwJ]pifl`Oۗ3E6 V}ݨ!pdFQ*d&G f*ZS8_$2? 6\dST:k/d.c%.f&ȏr-õ- l 4s*xe{?L/S-D$h;WuZq"ʦ^hbei7 a @=ۣ}~x.ߡ钺.?r@AQT:0LgRM`n LƧc1K'QB}XEt߀NI mҺ-5L'ZdrEth&ftcJB vGch 09E(@sqAA$.&٭@At2EJIУ^$-HK5,p,+;-K3K3߳NtHf :*k3s ,xj̘2׵\FJ-̓1QҒk.J\,S:L B13)''zSXu{6szS5ٞL22?jcvDTղ tkbMziY#Pu\uNƦ`; YZhͅuH c>!TؐJ;XƥJUmdn`:ǢnM֝7YטlXRdG@y$Ol]ab]˭v-۵lJ(lٮ/oZF*H|i->ԍsN7M%[v. \e#K͟ \l*$9Y#>¾χ%aɭJU]o0tP=O3/y@FE\1 yOp cjGk'n&YCtWqpɡ` rZV nm$E=*зJm\C m5nig o.,ޛ׳)+mX&9gNhG9KیDMJ:濛)c;s%|>go<¼HSnUC+βfܣt <+ȉN3} 'j3H9>9J${2E)-`|ܟKW:I 31CJNw%uy:;&D v6H!Kp_wˁ].% * {ɇb^,aan/wW Co-r!|Y5fq/fb.k_Qb#dܸ 1Gƃ*dCdLPl`ޛ##/XΉ-fh? 2? KY%_\^ZlQ֧`#ye鬸xEN"SըZW:NOs7ܟi-?Oԑ5o( ;msQ* 2kh'̞Ь`/-40lZ)8 K5K(4/'|F6;}hd YyՃ^rJ½z㳡Y5%#ab Gy)p>\Ѱi8BiWnV@zՄ}G%:;OsaiyS RȡҐ*;DzYL)v1pd +4i/iJI|v a&V]]9 0a W1oJMfv>ZZjpA]LV^x3yZ0{"Y:O 4峙4`aWCii> FGg~H4>KChi8\s.7s"k S+.J]$. vw1N'cZ$'k-HXV@EL!l a#p74me5a4} QX L@ XhɌ>X\dg 9tr>ÆI,./K.˚$=fª u"U+!ɛʱ}IO#Z^;[ q '5:5.C $6etֿ.4č؀e:d;WHY_#]O*s,XjdC uK)I**Zi5Ŏ  ysK4P:lͰgĔSKTQt/b-/.1=.E.HnVJ{""yє*gXHmɆZX硘&,E$N7\Rudar6jrն RC|S7 _xnֽQLI|OJ\wU&ԯGC>s:aK.b zh.6q?vY Sr4'Z%tua3P:NN9Ÿo)umpBu yC$\0O ֋jxkspoO| $R>!x'XY. i`O m9$|M-G D`ksS}Y9$57$S Jwaxn"e~@DlL IY? M endstream endobj 19219 0 obj << /Annots [19220 0 R 19224 0 R] /BleedBox [0 0 612 792] /Contents [19225 0 R 19221 0 R 19222 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27257 19223 0 R >> >> /Type /Page >> endobj 19220 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19221 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19222 0 obj << /Length 19 >> stream q /Iabc27257 Do Q endstream endobj 19223 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27258 20690 0 R /Gabc27259 20697 0 R >> /Font << /Fabc27260 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%NS~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19225 0 obj << /Filter /FlateDecode /Length 3950 >> stream x\I W<@+nr99y2{v.U("?.R[._X7oiWq~zxEFs7kI/p ||I]t*|M^sZ_cƓ|-WT^~YjsQU\ 76"<8~#[֜N&=0~6$LR.'EWa̼O!):lK}_abڕo\ FX8zI:%$L[`R5uN/QNɒh/ /=/8 F}6ި"ȓ'ҬKr U8xFNB&6V?kR+ bBWx^WJ飊&I cd}_uƓ$3)(u)y{q6B}]Ӆ&Pq5{ ;3O"`Yh4:ݛԜնP^ӹi v3`S%;0Ԧ^ -9 7׊(%[ DeZ0lwד08!A"v1%Cˍي $*mLC wt"SmGK 뉗=( şq\kݾ )4d72 cv%ɕuE±LJ3|&X<fS%(I|'8 ~K^Z62q֢\Qَc!h`i3 <:ĚRp{ۏ7~qMIR=2rvͤz'񼰭v}0Ēwvy}I]d˳]E@/j\ۓG\]!MaY?TE4SMd,-!hR׏kXH؛66W 0v'E,1 dc*@!ШQ@#QfVV5u.j<@^R0 `"KK3= D_jK"b"(qghGEAݩף{ =%{J٬R(Ϝ0;!(SH/ۜorZWq:'0mH\3ʑϛvnMQWU^JR&Stw&鴒ۑNemXZcu>Sp0iGh7]D6nSFw㮑l_VDQ7ܻ* 7~/b ZMu1NvE가K܋䣨NPTlHޒ9Y {̿cj׵vd&f|Dv5of D G2;(rխ-jWuqJ>tYU$ h\W!Fy̓;NZ|\> 6P8>OCq -&~H(>>ﯿJeIxB *Ÿ4l%~=$CJ3n_ˏʷp?5Qĵ+,)al"hяK9* 5D088 l`\աE3o㸏kjnـ/0un6ęyFp۴mc:Oa`q>p[aTIvOwN)wR"&~w4 ҷ`0͸g͎ܺuYTaGK(h4hmcd`Y~'Booh믊~7LT&o`?B'I%LÒe LEÿXx1dW ;/UM؂IZ঄ᤌ+^?,@C EgI)}RF:HZ3hNZ"HnA5tɹr.|&`^`+E,nUuX\/J<7X &$Jȸ7+i+r}GL+cBG-PJ<w!,[bouZw+Q<x'ޫ|cJ K \"s0 i-b65u^=o,hj~\HK=4u|-XOr8R}7ꐵqGL(XŁ٨ 5pNTP3OӎIGgxCP8a |J=7CBK,nn[@<]۟xGDm0იZCYALχh>xSM,c:{/n ĘjUA c\i.{ ׂ:gqmvbLFaDTz㜊+AcȭO8Imŧ05s R̥|N.\˥,``B>><|-QyL=Q G.Bu U] 0`)́Ȕ!: |>WE)}O~Oo˓o M_q>kPDQO?8v]X`>}8!Шbu~thL?◔A]EfѭS Xºhemf endstream endobj 19226 0 obj << /Annots 19228 0 R /BleedBox [0 0 612 792] /Contents [19235 0 R 19231 0 R 19232 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27276 19233 0 R >> >> /Type /Page >> endobj 19227 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19228 0 obj [19227 0 R 19229 0 R 19230 0 R 19234 0 R] endobj 19229 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 606.1 145.4837 617.1] /Subtype /Link /Type /Annot >> endobj 19230 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 589.9 187.3167 600.9] /Subtype /Link /Type /Annot >> endobj 19231 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19232 0 obj << /Length 19 >> stream q /Iabc27276 Do Q endstream endobj 19233 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27277 20690 0 R /Gabc27278 20697 0 R >> /Font << /Fabc27279 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19235 0 obj << /Filter /FlateDecode /Length 1574 >> stream xڭXn7+x64lrs0@ANr ."{GlF]E.?POzNQWG,?E?휾<.[C6ւkMI_w c:cnoxigK;U Xt;M=Hey6YS;ddYS[Ex]YZN5;G- ϰJ 9@ VvSQ0y^fǕ2{l /h|R.^,ޟsϑR˱5|b8X{qAӗW fśDo>]mMѐcYTɚHHl2U;TݦyC{ PA{}ywY{oO6 ]޺yxgq@1w<l_ӥEhu 1H"ޑ8 Xs'0i"Xc; >:дׅ`I?9Oՙbuz ΠRbQyHO~< !Zr.xmp(zGJ(z'\<ޮwWQ$pˈDR1ąS^tKaqT.j>]zA#=^>VG9Z4|V|L)-ʫ6 lBISW %A%j?uB͋7kŒ)1ͷHl4d[*>hZv8!Ua#&2#\ iЊ%j\Y5FyRy*]}m3U,Qum̘kQvI-"dl0NΙ4C"^-dFZp&^V{^Ŝ ~֢dE`86pɛX$S}H(zŨ/E1?hvZo9BX۲軪ؑ %(,%iD&Iy6Os+S6Sj/m_G+nEݲ0G;$u6/xi줿 f =g|xg)w(BV 1F 4I<;hjrS pnӹ'ԵSӊm{Kf=;`h+ܠ}@IЪ <-Aί臗u]Ep߽>1#5hfcJ(bZSlH?EʼnDCO:ǭˁH4&׹-`y\^4R䍖flGV>ҀH_ZZh:q4pBOt)I:Cw؈Wn}1s7/=wx<,Mp,4л.ۛAXXdxFzҦ~I/C_:0CB{kݟxNLø3{<I?Oc |Grk8R-wt??$ۦ}wpc9` ;EƜC_l#vq ֗eIXU ]* endstream endobj 19236 0 obj << /Annots 19238 0 R /BleedBox [0 0 612 792] /Contents [19244 0 R 19240 0 R 19241 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27295 19242 0 R >> >> /Type /Page >> endobj 19237 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19238 0 obj [19237 0 R 19239 0 R 19243 0 R] endobj 19239 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 287.325 124.1605 298.325] /Subtype /Link /Type /Annot >> endobj 19240 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19241 0 obj << /Length 19 >> stream q /Iabc27295 Do Q endstream endobj 19242 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27296 20690 0 R /Gabc27297 20697 0 R >> /Font << /Fabc27298 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`eO}:c֯޽:H/ jcBrt~AG31H[&##((BBq˜Ti,M $WJ2%ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 <~UW<7!fZ5Y}S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwoXT endstream endobj 19243 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1778) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19244 0 obj << /Filter /FlateDecode /Length 4129 >> stream xڽn$_g}ok ȃM X}^}g UźX$ߤ M_diLA}C^_N-__n.D+_oJ('\l\{E7irܾ۷N#<ʿןW8G'#J\˧OEj9#5+mOs #[pV, +R6v>Q* j_QFw=)< _Ρi6>gAk_B|ؘ"ΔqsV4 hy$wɪ|} %ěi0d#42 9M}(dyb>9g4~`9D#Oͣ|7:'윂'b!y٦ƏbXJQb}j[4 v6 ÅO OJe` <N~!3|!iS$F}*o\ٵ >H_'la `{m1N^^ ?Oq.ޥ 3sDx2 hrΠJ.~GB4K̚5|F0Fh\ESB2{##-ȵ9G |T- Z$ye<bm\Ae@d b'kq d=R酣ٔ#O_"Q(#a6s _oC*Ԛb0VȽj& |\Т U`>5M QArʴh.~;kY(ߢDIH'$.>ņVL,!B0ْwa\q*nBO V r& vVD.`O:Mlb댘 |zS=PKړʸWk ArF{i߅EW[VnlQ}ڭ}?[V vKT  Z̶r KqsvłW|^ՙRV߫w(QƇ^x}:cU-)<N\k$Xᙘ Gfwxq8&eȵv<(T{,k5al|4+AXDž݅ZD0D/wR|' |F=[gTk3({ܠ:ށK_݀>PAe66֚50!+zƢR5*:"#bVnYez9eLA|I_{(vEK'QE9~Vf;%=eWʻ+:lQ"brL+3nkB{mƻ*; H{5xZY ޚaK(.z 0vC3[$H>yp:G>qy4zPⵁ x"nKGS"<= O}527Aym]4*~{{E$U]O"TΟnS. Qw.Y_=oxȒe,pQ /Fy֖n B*c5eح崬<}Y91M [Ye1s7 V=,lބa,sG 2"땠+aDռeCb\׾{J傭=Mު&@cYbhIaʯWZ)$l5B~_lJi $ oZVhmh[f @]պ6|kXw1Kߤc̞ld k->\"VНOW!ZEΘ%9^8Kn MB:pGs1AgD1,AS ~|xqku:YQ B@G.# V>b˹<|X3/`{wԙ<狸 N}VqR.gx$qMc iɔ[;'HcH~&oĽ]Ms5;𮝓a< *i[ D]Kwf^܀d2l 2q5$wWcaú`Ac@#ge+̕{f#s%#ص:X݊}4=4b|VC1^cZ25),uT .`5ue/S&GTqXM!cGO3nLwAR{fiO _i &ɍzh=l`ANRn.RYs^K.VW.Χ.AIhN\#):}("^ Qv]> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27314 19251 0 R >> >> /Type /Page >> endobj 19246 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19247 0 obj [19246 0 R 19248 0 R 19252 0 R] endobj 19248 0 obj << /A << /D (unique_543) /S /GoTo >> /Border [0 0 0] /Contents (reimport_files) /M (D:20210608081201-08'00') /Rect [104.1732 260.7616 170.3107 271.7616] /Subtype /Link /Type /Annot >> endobj 19249 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19250 0 obj << /Length 19 >> stream q /Iabc27314 Do Q endstream endobj 19251 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27315 20690 0 R /Gabc27316 20697 0 R >> /Font << /Fabc27317 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM$X endstream endobj 19252 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1779) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19253 0 obj << /Filter /FlateDecode /Length 3166 >> stream xZK$W輐z?(^mMfOcmw<1fɖR ExI)I-[_^e;4v)wqz??ix>׆U)+ZtHJ=]ztJ'Ng^K GO-|^ i>M2Jd?Jmc#p<󟀷 6^0_?"L R ZEdX7kpzÞ2(r,s gW H܊m=Ue& Ĝ%.`J3QeUTb)<(#]UuUYbZN5XR3LVگ)ZtvkرXBVQCW{0j_|Vn9ƺ܎Nf"k 'Cv.盶1k(ԩvEAi eg16+3DjUJ@P 0 f܅ k'h[k2kAI? ?Y-ÈQ]TЌP;U&:Yl!;rQFz؜Wk-u;BN7샆^s{ཆ"hϳ"2@ bҩot}!R4rQ10A E&&RomE1<>u?'!㹐h l 0)./ d?ʙsNm| duܷ8yݖN 3mS5 VjodK]۩\Y] ~!&W諲G=d=ץ@>*0d X&Ux'i%nCCir#4d Ns6a?z@@܁fp ڥPp(Fq0w '``_qJqvD'mTY!|C<+xɐȃj_Y>ETLW8iJZ84]2s;_Zx)㜠UWe3]j5O*F0A*e<^>(h 1m r .ۈkmuECQ*B_)T@р,mYFjgzNpT5Ki5ezP7 ^^u˚VQ;iQXOzG.ݩW9  Dqﰯ%պsq ގh̐uqjFJ*=ƕ8O,$ZoWzԱQmC'zZm&{Ƒ=kzzjIz3DY1y՞B[&;D]q3wڎ BrوKM;1gy A|a!&tElc ^`M^ݽ NPMtKL|88]Z eж; u ]I޽`ੋ0ĂGp?.m4lrVb ןx !nn!| "Haw_Ezx~g֥.|{Q sڭǕn&▣Ǵ큝85q03߻4&X´vFR1Lq.EzH7pDr]˫$چՔS$1D0s\rvD&nabsܲ1ߛA0=IaSxm[sQ8-9zjPYtc#<L]hHsoCWKC k؂?vz>fCvi1xngPLCߖNT J4n\߈5QPĪ" =/:O<;t7@G/h<:$ܮ1foJCB.'v_ v eQ fH+(Kń*āҭكk/PEDc<_\x0Գ˴b%dzӣ@Y5gؔYcBUցEʺy K^ևsn;#ϣ8{IzIC@Upt],90.t#1z˸ ~=y]wmXKX*U|v-rZ:UD{`"8msUVZs@cU endstream endobj 19254 0 obj << /Annots 19256 0 R /BleedBox [0 0 612 792] /Contents [19262 0 R 19258 0 R 19259 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27333 19260 0 R >> >> /Type /Page >> endobj 19255 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19256 0 obj [19255 0 R 19257 0 R 19261 0 R] endobj 19257 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 307.225 137.278 318.225] /Subtype /Link /Type /Annot >> endobj 19258 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19259 0 obj << /Length 19 >> stream q /Iabc27333 Do Q endstream endobj 19260 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27334 20690 0 R /Gabc27335 20697 0 R >> /Font << /Fabc27336 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫YDӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19262 0 obj << /Filter /FlateDecode /Length 3407 >> stream x[K W輀zK tUO-m AwK~HE\Ϯ=5h>R%R߄]Vɤǟb*>8i|ƛZ7GINF᝭w.;מ'Qh^Vo=o<]4󭅪[[.Cϯ;;&rFjKGjVVWo#[xV/E+KQ OR{F)c4S@2< }is% _jjg9t˓HccWO94bC0!v[q ^]L RKp_)-tR9J, S~Ehe`lhmfT `O *0';mWeO\P}xS=>":ԳiYj_$$@{RfJ%TtPȃb 58i`Id}N RFzHlU}2txۥ9X7?"_ sAqq{kߐ$o۫dMP yk O=ǂQv2' dC%ZVTM`8Ò{LMuv0⼀"4V:f?#i2MZ*:;5m"8#*FՊ6y3*ʕtoޢi2t =^风:*Xm){m&NL~fbf~-ﵙ8a3y`&w֦PTDy%*hefBJˬ&Ԙ*@ZX&d:Q@ iY2 9'"e|4 8 B<' 3 CoHWe/5אu% 0ښ-hJ>sd|N:BT1C>nL!Mb~`d,rb/zBR~0CQ66d'A 4|'OA7;<[tW<'hvvW-p[!/?>$Zou[#Ȁs gP9 uLh|~nlʨd3ns9=Du>hq0mx4: [=_J=x,@?7 X? CyA?E6YQ%Pcؖ0Tq_:WK[=֦򙖟Z;L.f)xzߚw0LW a(.5/;r30#yQt Ae5^MYy+yxu476UΞ‰TD1{L}؎6Beq<2y3MkD͸TZ&'3 i*f1>G9bVXP '3#Dza!14Kj1/oE>emtP,l_FhJ3޲t"~I)96Rb ™v]l&C:QWFJFWΪ5WDd&$zwQ EKjf;T] 0Y;!Wxl>0d_xz-xii""oIEМ, Di_d.i{-u߉.,*9AѢ@xmP*5YXF%8rґ[5ggՌB\\)0fĥ7Zi6Z6ZFR:RZeCK1J(u(h3 n['bn '4V•9Zb{haֽzKc¬1yL*,U>0{)6} 5NVG]`e]u= 2k4g-ne}h`aƕ/Vn:m"{!y2YCh 2JM5`#‘I8IQe5yE6ƭ ? 8Z~ j|b@-ܲ%Kn{ ĵ~=|/]y4V"^[fLV~}y?--o[[8 nqo{&6vnp{b=x]}1\Mt)x9Vw͓ΓϓZb䚣ZǕa֢:̡,|u ]z[j3fЏ49;qkUTV/.ݼ#OGy#w-e^j˖%lRP-mt V¬ hTgf `t^Ae-d޵tKműj9AyV^ޡ|,Y[='yԝ<Ù(OxxjZP2Fk@bEK>ag_C2nXxC@QyQ͎U9;wf\#fO[@71 ؙ{Mfv1{`Uʠ4o#v S F.j&}!?JfU$S{*]C!kdS)[*FOT=uݷ:3heuEZBw ^ۯ^ jvf4[R" XG/rQ4g| -bgE\'f6f\+(Qg8U(=h*jdHSVJ+ZfT$S+!%e2'g7?gn)_ldamF^* ʛuMi{nҺyFykp22yY4ּl67]AVԄ!FMT|.5S䲝!Lc¬uGϞnDimR/C Fiʄ?|K_[GS\’0 J}:}0[t'i]9CY~Ӈڡsg"~^ۮL枝z:W !^g+=.IݮC{<ǫ>򻃦~Okʡ~~~/~ 8!aY6E୞}GgH;Wʉfҡ)b\ahs9.IC}a)}=Um"B3Vde}Twɺ8J endstream endobj 19263 0 obj << /Annots 19265 0 R /BleedBox [0 0 612 792] /Contents [19271 0 R 19267 0 R 19268 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27352 19269 0 R >> >> /Type /Page >> endobj 19264 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19265 0 obj [19264 0 R 19266 0 R 19270 0 R] endobj 19266 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 329.925 137.278 340.925] /Subtype /Link /Type /Annot >> endobj 19267 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19268 0 obj << /Length 19 >> stream q /Iabc27352 Do Q endstream endobj 19269 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27353 20690 0 R /Gabc27354 20697 0 R >> /Font << /Fabc27355 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19271 0 obj << /Filter /FlateDecode /Length 2864 >> stream xZKoWl;|0hF浀=,r)}OfdoՎHV*E7m yu.5Y/"xmGt|kq"g`<;HCONv+kB:Jȕl+\f‚-(ğH!zzMK", $6#,w,)9a'vZDEGySk) 9k]+[ތ/59n  7CW0};8 xGxw0? nPPDݚA=NsbC.%(tn%a_* 6{*#;N yH `l{^~]@4u UTQn>:Cӛbur+!"q@Iy*·s~xC\Pu&G"X3SБe:&AѾeIŢ]Ui8D,k. 63G X)ImY{Ԣ6{Kg QQ;T?0N q;y.^\ D'~^;#v beEV=kh-ә V&lYa!Aʖj`.0'=\ZK i%^nt-F,9KDҫ[]ê:VVfSc͚a (^h}ǟcAi^VCG7䗞,.c*sǭ0TY8l24}p -WeCc'qXOXfjW7=pwq𼕼D{ juu~'fN j*ԝ*Z{ԷB >޲lum\_ [2M`mB(yHdl3 .VZ82*ݜKvy 0Iړ$kA7szI]Z/ jX@2cBx)+ZXc1 :Fs# z׷9W$ouo:Trѣ9ݴ󋜢QM,ۿvҋ[s>Ȥ+ul)usntEzS+P\pG؄,C' 'oi,fgDF7FP! + #+Y8Fo qRBZy 9r55+6 ~2}nL!l2#PH̳V$:ΉrL]!)5[-W[ W[Z]:[ 3EYGsb76tqVԌz13)+;w,bc&έaݯȆ.&:-lxIS=HS_ .ag"mrs){/Za.F5j0zJ?$|D5&7!΢9WA: YxI5NGj'0Tv҆> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27371 19278 0 R >> >> /Type /Page >> endobj 19273 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19274 0 obj [19273 0 R 19275 0 R 19279 0 R] endobj 19275 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [90 95.025 123.198 106.025] /Subtype /Link /Type /Annot >> endobj 19276 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19277 0 obj << /Length 19 >> stream q /Iabc27371 Do Q endstream endobj 19278 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27372 20690 0 R /Gabc27373 20697 0 R >> /Font << /Fabc27374 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7ӬFo#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM7 endstream endobj 19279 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1782) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19280 0 obj << /Filter /FlateDecode /Length 4207 >> stream x\K8W@x Ȭ`n=]sr%)e+"YQdȏӖߥ x_?d;9kRoE˯mUBˇOJE;\,w ٣ LCN55>{UET8zxWھr9`D~cFCU8t .L"`4lZ.TVRZBma~ [QS9l+ij XSΊ"e1N ,MH!,3*iIMK:Q&e2>g$j7ym BQ-Xhjm`%[d.ŧ.JH^ {S%2Se!1H l+V`e}|7iT Yq(1aW0a 4KNٰ>  Y&~$WRy>ԗ!1h:L! axl@! @q5^obĔ @3Cę(An:zВ X@@cyzFs}w3zDC!ɬ/jt/2dzI(dL@09&AMcRc+`:"<[n\bi/Ćac:0 LmC1e/)d O;?Y(2Ɉ! n%IC ,=)f&޸ܜН0{Qw2^> 5(#Qko,hY of°!j0sk|-4b~&d.'[0 <ݑ^Wqn ;qXMi4 XLA;NizzD38S36p0ná0<BEҠy@MJ_Xd3>޽O tjh)|M8lr4&ZfNvweF?d28`T[M)mQZ3zHC*F!Q>dwT|oBSfcne<7'0ʿ:9O< :ⓠӶq&yIyhv< `GXCVOQ;O7W#5cG:ʓ4A97_NtVPGE;g7-?o:Q FGhtփ35ѰC8f`B5և=z>g6R#g 黍]}DŽ;ekv#Xׯ]`AZ}̌RzUv8[TP%N[~;: 滁Ͳ(q<ٸxY'\0mT$Yp0Uu쨷lU?]}.&bo6b3NJK1E3ԫ83V!|!+ILxMcħGxv.xϳU_N)B7Q>J<ݎ#Y?# x0wVKj;s͡u v|`7 &-mI:?K|ۄ^ UE āDbA'2Q$xzUèhib1KSXc %AroJ,apz_iQ!4[EG|3u7T]KIJX=f-ff@. DC,g'q#ZTd˧(KYTd/>wS+iK4R\+ʂGX97]`5+™%Rba!Z,&e7]&>8YS:*,Wfb{kU`{ 6+y-^sjcEPg U km2t)y+YuA*f kFe407'EjȌp(vIj)Y3VXKf2ECmRcMy Bn9Tت Y@Ua ;ʔ!yP-ZE؏jFT_U؍+{-=kqZ kyXjRlv+hx  ڗvE] !|¶xCRWvm3C~ry>O 4{f㾐"[6zUH+j#ɬ.Jc!#7QUbiɬafpq b{FrN ܡpq]6枕2StB^eCKT bzn]!peخTi"`^A !h\ ga9`(4o ج 2)U͈A[ۮ|֊:@,6}"sI4e_06k|ۇK[5 do[2>m;ўZw0w} ZI5CG/5h?ڂzߥb\Th@n"Q9N&8O:R%!Jl BpHW,uPqFex c5N 0$]_ Q%l3iAh1ΩuT%[n[b-CTJ5ZOB9K pn%tcLKGk9chVX8ƔS}_I!Tۈd=TڣPk4u4D;5&~}"rF 0A䯢#1P;ńg.s-%_8GlD%1G?ۍ1>jRA&<-W+cca|˜? SNҘEݓ|V9]Yr0~ {z$M{h@Uc{Uᛤ\x:/_ٸt=S9ߠ3 j8 hDz' ( ^>{`eZC]!S/{m^c񛓊IEڜ5n:_*{Ã{dHn&uљ7i endstream endobj 19281 0 obj << /Annots [19282 0 R 19286 0 R] /BleedBox [0 0 612 792] /Contents [19287 0 R 19283 0 R 19284 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27390 19285 0 R >> >> /Type /Page >> endobj 19282 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19283 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19284 0 obj << /Length 19 >> stream q /Iabc27390 Do Q endstream endobj 19285 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27391 20690 0 R /Gabc27392 20697 0 R >> /Font << /Fabc27393 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`cthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !-a(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI ]v4Lm)1:}ɓ#-IUrm.\gy4(//d"Xl˜5_@KiܟB6g \0S0'rqHB>W!KQN>%;2!3ϡh#bwo>` endstream endobj 19286 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1783) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19287 0 obj << /Filter /FlateDecode /Length 4820 >> stream x<Ɏdw~*: & jFcHdVfV#[)=A2%FjT|&[lJ8}?4F~ytuQi*IkY O~(: U٥EX(MtrICyߗLCO|چ'X8>ϥ~oadi_2=4ڸ#a+1⏲<-Է.R#~1J%H@rxODw Dk N&D%.FAjc#|| p&H6myu@ [0N8G^FYJ<#Fz_bBKR:.7XgV (O<q w3l0L\|dnԥ2ٖ)Ng} a%' y[Pؤ̴*ErQl$$Ѐv$y7XN HiElYm aYsX.*  hPt[ERJWk=)L\Jiz|EtJ 2h*T`2يx?3J;&Ӭ ï,0!ZP-sqјO,Q&z.r7 3` Fy|k{Z-cis2fCwFWuRFt@,5N]oY z7m)sJTi̊:6q%?ҫ\vr͟jFh$tok/3s,=8k'<*uʦ 6qp߇uh,G䵠JU(k([/U6%=ɀf0ϵZ B6hXpƁd#Փ.P+0BDc( VY xVVnf?p~Yۃg hѫŬ|>c s jUmZ)Qb}A0{@Cp?(ݬd`ҪtjX[BM]8WZ=A`O ``/}Zo"VACxx|IbA|gL(a $>*F%XEyaSC^R @M0M rmTL&R;t\m4&%5HѻA"QeMsLhud-jLQĥQ&a.HcMkx86A\qCZ˿KC3 t{0J8GV>+B稈RآĊ: as2 "vTXoTW mSa’EREhP{/&PTE!f7@)D(^<7`i]p*OĹGl?Gc!^#|!NߐKpH +*;]:N,lsfA*0b!WwPOY\adXE¡g4z8}X+CI .hH31G'z}] )#`Bn@F CO+#tGّ &RTy=H\#JsmOuӠS- D0SOԿI)x`kL5pGEZ*HQj-e{L5%, H EX33iD`svOS1ܓG8F P0c^rbOjc}hqp mqb^{OScE__چϺɁt㔖Ǭ ;^^F|xŭ;|ˎ+x0"~-LolKT&cv6il ' $ jANl m0"s珴Ŝ eR*uQ: (3 :?4nW8CpSvۗ!.Sbsy_-8SMazp_V{n2pUy\"P0z LSԜx"ʉLxNXh@5.kY&?Xw6IOMi]ql@޺ v~#3` *u-|?)lDgqjif#|+ _ϥ krcB)[9XKjbE@"L 0'|A[4 88.`PDZfFF$YKY vMdyqV-ms"D@G.?-S4w=1nV`Rmڴ#")^Oo,wgxFCmIwϒ3z[eYtNxs ]D6YSW(Vck{w^/ٳN5x^K`~i>SyLI.Nb{rkI+kmiXdSP.N3$8X޻F _b.yfqecH{tBOe6`+$/% m c$_o:&nNf t['qu['1['k7&)$MӶI&)$I̶m%$Mr6j'n߲lY7bì0p@ e[;w1%cD Ѡ. vcF[ zEJ|!:q+ 7 eRLa.F@-485Q $E.\lg.o |1y|`򵕞.bζrQc6}mzB'g8Yr/GE׺ :[oE` SsytɁvb( /e3H <_PY/ 2OOsk!=LV7h9Ԉbvif8eI-SI][K3߭CO|X0i*>7DkjZV_pOo2S##_a" , `<{`q*!kr Z>_,< wYIZ=VzR]bCL7CDcHGzY.沵KXd,zJ\~5{Qb*br!2<K(`;/Ŷidi7Ƌ#1ZYv$1^D&?x񻠆![/˞O[sM;LX߇3 9W_{/[6x=ve>U0/WY |"8W2|J9_c|^yEskvnȑ xh Na6֕g +H/4xמKWbha/~?/pyFl2_5pt(<MދoN0"xK+UӳҜ;/8rnuXf`|KN 4a2@ӟ8HXRq endstream endobj 19288 0 obj << /Annots 19290 0 R /BleedBox [0 0 612 792] /Contents [19298 0 R 19294 0 R 19295 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27409 19296 0 R >> >> /Type /Page >> endobj 19289 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19290 0 obj [19289 0 R 19291 0 R 19292 0 R 19293 0 R 19297 0 R] endobj 19291 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 116.2925 148.0577 127.2925] /Subtype /Link /Type /Annot >> endobj 19292 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 100.0925 150.0652 111.0925] /Subtype /Link /Type /Annot >> endobj 19293 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 83.8926 180.3482 94.8926] /Subtype /Link /Type /Annot >> endobj 19294 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19295 0 obj << /Length 19 >> stream q /Iabc27409 Do Q endstream endobj 19296 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27410 20690 0 R /Gabc27411 20697 0 R >> /Font << /Fabc27412 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ d endstream endobj 19297 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1784) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19298 0 obj << /Filter /FlateDecode /Length 4361 >> stream xn+>_1gl X@AN/C ?6QlıM3]]U]{_Wj_Z Ni3>׺v*>i9[SDM8k} Z`s~y; hwZkx?J/Fyd 6~wN;E9®}_>?듊N Zwq3D^ijg4p,neMl֎y}0$vTU&C7W:G2aHލ0{z^jZǀ1X4 U&pܦo >PT,fwbJMQ`DSC.Z9_: ] BDZ^ a 0y*kچ!ZB0KpԵ!8Qf7S ^ez`" ~ qQiaRcE*o@<ۺr-_[ K/v {AH=`l\\)eya\6E2=F Aa' m{y`@Ft|dW0PƖIZOdPSzJ"2R1ŌAۚP01:OAI+t~1"wqaoB[d@.͑-5D. :ATjj,MѲ綅74g4\wMAwoqٓ%025zĔBR|3z3QrB~ȳp66TCJB*D>w"IyYoz&-^=ئj۠IPhtnq? }r+7E2l< =69tm>XԖ3hM |]PuԒvCYy\pD=͗~`īXC6*&9&*Pwfq_Kik$ˎ#T}~ "CFUv0}hyq_99VUECm1$85jx&L@o+/&xѱ/A9,5ob+zbֵhb.p-ꞂlR=W4+8k!YFI)Ɇ49f8pRl [j!Iv*=^=+Jn昰QЉB2}3 <[ A O3ԭi%]ƀakeqSdzC}su*P2#ދ4+!q &B [e/055CeMb{ivOw<]ck75b~׫wM=!.NV́=.{>^j5l}-k͵Ҧ_O? Mƀ9joި"6[sM ?_Xi-VV+^:Z8~BZd^w!k_d9{`;FDa>(|Χ37q?HMl{tvg?p :pfz`T 7r.9.>d`sFq*C QN?Zm>6ѳ)ɗU8ӭv\&^D˝tI w݉Koe.{U6r+.>Zn4T;PO @:rX\t烛a7{#2n~Cx$֑m νǨe;N߀-4hKսN/1H޻y$17 ssңOugn.JG =C\mÅ ] 9;*S,75 N04HI ~x-]\?TTIDx#-pda @p` $م w<|h5VrפŤ=}FP"bSK5~6x(@5JܖEfk+}sPE"')T1$m؞?iT@ʤ y#lZ)&M槉A`Qs_ᛄ;gPqY=lG!*U-a6mWe&j&O3uss6_yHaS.KiCTJ%LoM!; &jI(uCx4KFc @S^a"ZSq͊ʦ@9sґC fyYA/:(nadu6p,>&%͘'-'r-7a{Aaz_+v؜Zb;t:ŝ2zP Tu]P`"ssA+?2ud6㘯/뿖 .ED/7[Z&p(v'e]w},b*l[ȿӔ/iC/fS<t:B،(U%<6LBJKf9̞..niHũkY i_[I U/P D0tiey @Vdx,?^ `!x&`YFx.1([JeOq}ј+@DSq{-rq.'ܜRũYm*HCš} R(w2(\u<}=z+KSh!khvB<-'B9S xې1Y3|':)`wFikw ai{mQv}#?6yR3Nϗ*k +␌>0o_!׃8[Y>S E:G endstream endobj 19299 0 obj << /Annots 19301 0 R /BleedBox [0 0 612 792] /Contents [19308 0 R 19304 0 R 19305 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27428 19306 0 R >> >> /Type /Page >> endobj 19300 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19301 0 obj [19300 0 R 19302 0 R 19303 0 R 19307 0 R] endobj 19302 0 obj << /A << /D (unique_346) /S /GoTo >> /Border [0 0 0] /Contents (update_module_reference) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 229.6007 709.9] /Subtype /Link /Type /Annot >> endobj 19303 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (validate_ip) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 155.6367 693.7] /Subtype /Link /Type /Annot >> endobj 19304 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19305 0 obj << /Length 19 >> stream q /Iabc27428 Do Q endstream endobj 19306 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27429 20690 0 R /Gabc27430 20697 0 R >> /Font << /Fabc27431 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 19307 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1785) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19308 0 obj << /Filter /FlateDecode /Length 1177 >> stream xڭWMk#9WКWK`a˞Yg y*Վ3̄RIW֯jo]*N_t:m"}UrZ}z^y]LQ^Ȇǝ>'0o_ oQæD0>üc/vl )k)ӱ;m{\Խݩ"1`άa4n?<㰊Lk)>TwN͵'H66EI.oU{R.FP eaj"g+&oNA-ݕX4W&k7SiUEL5I]u6A_t2F6r"[fOD@+f':v>T>d3?|ߐW'7-zŅ y4ݩ3qnw z=ROQÉszg~ľ!7۩Ul\Tb0 {ɮa7s|EEb*> n|mr&)jS6q_scԆK! Lj5&hʜZLgⰲBn7ȏZgV;bnYrӔs =&)oignef?2Uzm[3تq+,a|ṦiNVm qnٶĮNE YY AkB3XۧYBj$K pe_Ih4rԶlܮϽ&]D1w)™=ZЕv)D2#>4xs[,jY7uYz^ GieFepuvGɧvQhBW +z %nb.n.=eW@~%4~Ss[,ż6A$fO FIр\߸)m*@o/\C}Pѣ@ }u"d dxP~9: ٠"fKNAG*p9=Ή~c13D>>OXBzҦ񩁉@w auad=x@{d# hIz'F,ɲѢNx[ Wc#[#SxsqoZ?gc^Jϵt',`7̗C HZeP0<2!z|S endstream endobj 19309 0 obj << /Annots 19311 0 R /BleedBox [0 0 612 792] /Contents [19317 0 R 19313 0 R 19314 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27447 19315 0 R >> >> /Type /Page >> endobj 19310 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19311 0 obj [19310 0 R 19312 0 R 19316 0 R] endobj 19312 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 410.825 112.8525 421.825] /Subtype /Link /Type /Annot >> endobj 19313 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19314 0 obj << /Length 19 >> stream q /Iabc27447 Do Q endstream endobj 19315 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27448 20690 0 R /Gabc27449 20697 0 R >> /Font << /Fabc27450 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjY>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w@ endstream endobj 19316 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1786) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19317 0 obj << /Filter /FlateDecode /Length 4412 >> stream xڵ\KoG篨 IsW=i WMJ YʬȈ/"#"_^/f?R Viןe_Y1~|Ln׃!?.''ړooӣųc{|˗/_wY>F~=j_Cƒ^3.5%巿)Ь4sppa-ŗ&*6%xB;k: h/""ϝe:P(`X ~Ϗ˗ 0kObYӂeKҀz2 4Ʈ>A jzU\@&@3=e)_9QЍn2(eү[}{KAKd/;>pρ3]51s_PK}!] AջR]BӲ|(ʈ2>;dc݋`Cm"ުsv99COBdCOhBr!?AӔĘFPрF4%{&!Ɋ*B 'IyҘB~Ǎր@M]5ʭ@wFr;0èUV ]-0CݪxQќ0/nw"'VS H:.eY[*^qw9l|2ElFFM^ᆗU˴r9Ge6]0] G X)Rƞ 'e!< \/s^ uK%^jo0a|Om&Πö& %8ڔ"B /%~' kFNFkpH"ˣBmtqOB䴅a,x悛jp#a%YyH/^:yc$B~d_V:L>Bj{5)Oq/#؇F8H7ҒmH?fNƽ5pJ gY4+gLzV׈P!!wÖΚ2i_ܟAO2*_Bk2k'{ƥè`ܸΝ>gM)8[}fQL7ºWCS/Da8+nIة"\?-;MqJ\&ȑ|u$pbx vU*+)9+!%pP\^izouCWQD8 .rEvL[X|~VW]I2=P)'/qiWO 6mN\=`Q'UgO 6FK̦l(A8.n90L}- n߄泄a 1M>Ij~Ӛfk[5D[_r)dꖫYUl,&0SbS6dCl}ݮہhIey@>8N|rԜnu,\2'q p#kiSꧼ~mt˻FscKPbt;@PFBvFq7hph4lHѬ1=,G#^֕4Kp?9*Fj7Q'5yea m|IN&FT qzLjOmnWjn]$NmJ\{UfxrA)n)ur.Cu8I.kzA=(48`aS.Xu(ե|Ue+b+SJ(ܣtބg`WWJp`~&N~6D] }B']&S\U!5%?lw3<塝ϻ=_[sc|^vHe}Eo8LbȧPҜ?[n_ <>w\v3H .VinhF !`2xOK26T0JkfVi5:`[P;Wz2:,N ݿ@sݵ@d@IC ,l샄pv?ϸKtVVfܱ?ldlM}FG"6͌o&%%L~XxL04v+ [̬Ajt:-2-.sJUuk8:d4g[mm{ykS`6лOyX>{`'eVdd"qY +R[Wzz>Db7 r9ڤq,~oG|\:q#u*!)(qё)r\6k] -֯t0&o^-dii#3h"D_J.]}bs'reN?b(|)e%y4 #TmjԠ1`^ys\RGVmGӃ* [1>Q"U3Aexz<|Es1RMpuF3ex2A* *]-P?#Uw^^OZI^eHT)b_[$l0sĩaZ&%TQ1bPQothNxbJ<|ӹ×NGJ. Rv#[F^D3A Y+TC-%zQ{V6adiޯʈs[ӛG & nPݰ7kՃ!]<.|ُD_t~p*lG & 8pn,g|e ',oq6uPvWYBh]o`XKWu 2 f5 5ԾCخ' 狡f'Wzϴ{d'?*g5zF5žu8 pۙk$|> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27466 19322 0 R >> >> /Type /Page >> endobj 19319 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19320 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19321 0 obj << /Length 19 >> stream q /Iabc27466 Do Q endstream endobj 19322 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27467 20690 0 R /Gabc27468 20697 0 R >> /Font << /Fabc27469 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}GF"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶f՟EŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwoXV endstream endobj 19323 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1787) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19324 0 obj << /Filter /FlateDecode /Length 3721 >> stream xڵ[IcW@ hIrs@ANA0nocgErH O_~홑l𑦿~,?.e/gMy&?4H]^?_f*j YWE~z:ODZ;zb("y!NGxo"K#SKu܈UBp $Uy:cƿjـB>\$5ATYjI ߦ%8>^vxTy^5M?-/:1Z:xu`Y \`;|^ao~lR)\\ְX'**Y]ee`DcA bۂ@Н/*"70֡Hl))R.%1+bQ"T"=V"yO .$J&EҩWEc9ڧ``Xees'pbsJ u9/K<(55=ĕ){2\hʷ7QoSݔ_Q>jF-5_L|r SdNuj "V Ʒ9=~>!AQ>Lu@< .5MU^(MtQ`&3VwGf-YW/hYT7h@Dk:!tԦ|f. s+Y):.gv7oybQvQU_g=NKya_sˮ!8 lY.e:*EV~ѦIhJڈ`<5\jw]~4=g::)*^)֥U*!ILytϰw;vE1C -3v%I>X]!d0lPdJk>{wYV3s,9(D> /<9,.5t }ǵ0¹H~p4]L\MvOuX:= s<+p}`H꩓Rp7C--Orl/6Ep "S-nZ$ϔOwQ骽퇊:ED!haj?",ߦkݘM'{̖}|QΔV/H4vc0͆u/,wHa?{w˧N7"O!"2;(_f\qE^(N\2z`|Te .ՌJ"I r*,` GAZME\m&s_Jsi9ɪJ뜜GNڽ]bvr7RgcWXn ylsJT9k(rs!7ǻs~Q׷?@lgn {?fB4GP.Lqa{8HY>=0}~yY?`#PW&'c!,[botͅFi`jzOO?|*Rx=hU.a|iY/$kR?N황#_vqj4tjtu@.XX[B'n(M|-Xor:qHo8We6<58WqJ 5[_k7*mиTgxC(n*;P_Rewm[y"c/Iuc:z4x XYol}@s˥}nŶeeQEs7u& VYeAMbC;X-cHaz_m8o;t |_Ӿ_귭]u,O[{(;P0o?87׎aW7\YMN*$ʜ޻*?_b!ohuٵKeD{iH:0 endstream endobj 19325 0 obj << /Annots 19327 0 R /BleedBox [0 0 612 792] /Contents [19339 0 R 19335 0 R 19336 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27485 19337 0 R >> >> /Type /Page >> endobj 19326 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19327 0 obj [19326 0 R 19328 0 R 19329 0 R 19330 0 R 19331 0 R 19332 0 R 19333 0 R 19334 0 R 19338 0 R] endobj 19328 0 obj << /A << /D (unique_744) /S /GoTo >> /Border [0 0 0] /Contents (create_macro) /M (D:20210608081201-08'00') /Rect [104.1732 365.1616 169.0347 376.1616] /Subtype /Link /Type /Annot >> endobj 19329 0 obj << /A << /D (unique_745) /S /GoTo >> /Border [0 0 0] /Contents (delete_macros) /M (D:20210608081201-08'00') /Rect [104.1732 348.9616 173.8252 359.9616] /Subtype /Link /Type /Annot >> endobj 19330 0 obj << /A << /D (unique_451) /S /GoTo >> /Border [0 0 0] /Contents (get_macros) /M (D:20210608081201-08'00') /Rect [104.1732 332.7616 159.1127 343.7616] /Subtype /Link /Type /Annot >> endobj 19331 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 316.5616 166.3397 327.5616] /Subtype /Link /Type /Annot >> endobj 19332 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 300.3615 146.4572 311.3615] /Subtype /Link /Type /Annot >> endobj 19333 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 284.1615 165.6412 295.1615] /Subtype /Link /Type /Annot >> endobj 19334 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 267.9615 180.9312 278.9615] /Subtype /Link /Type /Annot >> endobj 19335 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19336 0 obj << /Length 19 >> stream q /Iabc27485 Do Q endstream endobj 19337 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27486 20690 0 R /Gabc27487 20697 0 R >> /Font << /Fabc27488 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Eӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19339 0 obj << /Filter /FlateDecode /Length 3136 >> stream x[I W<@/@9x@x9}Z_xIQJH#EQ"Tw?1ᳬuZz'*E.JՆI)UW^>2 3+|;*A\tA$KޡQ8C3w8$OOPpR*үP}Ćt1"|us ;XS(:N o ]p% btEPnWBBB6NGEwR7YqI߃a2u$a=4&:hܖ5Irҵ{TMy8P,u`€QPd4/A& cQl*;SفZ?>sb 5X3SI4u8K+n ~As6FhY˳"Ք\_/!bS??_{%S?q3|; F v Ag3vPcSR R L%>J]msqWWTWGs|2!@O g@+gK$&ЮPڡd{_VL,9i҅3&e@.\Mo49SB)xlE"HPH&okb\T+`'X]kW==>RbD^wDA ^;\1o˵Dzo_׾tglZcwz /22)Dw SpcU"D<~ =5zBш3At?z.?(zB\t6,$9/-B<=s[,QPDmH ½؋q7} QIk;lT (}>|.,a!e@ȁȢ6r4K?m\naסv;z_$D6Mp(ؤ0T9yC؀p;UpjB]j9Ԉb%-sɠ` zKCSK_ԝ7s1,GRP#/ ~+?;)1d/px;i]͔\ KQ/% UMLΝ-[ޯPmb1ϩ)J Ԭ[jH Ѻhd?'sxzT-5sa :bd"٬1J)DLbDS)Պ ?K7eNQ@䋌 _'Xj6)&Cߛ'^u|h> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27504 19346 0 R >> >> /Type /Page >> endobj 19341 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19342 0 obj [19341 0 R 19343 0 R 19347 0 R] endobj 19343 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [90 377.525 123.198 388.525] /Subtype /Link /Type /Annot >> endobj 19344 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19345 0 obj << /Length 19 >> stream q /Iabc27504 Do Q endstream endobj 19346 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27505 20690 0 R /Gabc27506 20697 0 R >> /Font << /Fabc27507 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p֐ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔfW!ӘV;!JϡmтGŶ* endstream endobj 19347 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1789) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19348 0 obj << /Filter /FlateDecode /Length 3932 >> stream xnc_Dih7;4K~?ޣ(>{˷,N],,/`?~WWX|m|Lnuj,Z|MJ'c[n%Vvڗ}Ѹ`Z[z??.>oD'$._}/_[?.z%C^3.5%]+0+-?1bfjmKYBYv ~:}wJ]5 :>.i#Iʚ bb T"`rXqMWBc(R>1RAq5>, i${\}<(AРp$ଵgh1m1/Lk^9kYcdW$|B A)F˃o`+Կmִ`.}Wylzfr^K 1π.O@G UD]@3?yqϱ]-剿k?f.kM@VYQXe SUջc^e} mJo2R OtG6HرrrfuYPp> U:5bl2U |X%3NTUjڨR, b  BdM(O֣vblW4p-o!j`sIUVzH3A͖omQYz]i;ҕ\:4"@6|,*;8 /}#2누p?&}C3Xn4"&&CFŀC|8LH,8*V"Ϋ2 BuR@2G6N 4.v2D^l[OJ@'ǫh^+,e}_XXh5W" R1:@^fܨPm9! w=LAì&$?Uxpx j/ߩ{Wg$NQŲQ i-/-snSK  2ʁ Jpcj0༚3e2ĬP^c`UC4n ֽvK+Jtr$ -@ԋT45HCoX&fMQİ :qI$ %HF%SwZDw.+3;TX,=jT6+ɨJ2@2'Ijpkeۯ::aTF3qqmԝGh-HdmXXK~lmx4(:6lvFY< -xO^eL1,{9P[9X-]2+=20La#!BZ@8[v`m #%}::8#O t^}/䮒J*/c\oq\f|e tW>,rRAG GBx&Rc5ޏWi0&Abx"5si.FmL%V0~?ړ.js\-bM 껄0#$!Qm7ԍEd) gB?5绯*كX66ieCf$> ."Oޛyä`p^fL󱘽oF:.eoFmbah̔Xe0yf ‰)Y6Nh D_@3b™ ғܥ7ꋀՉZv*Zϖ wmW^#_IoLAUcy`Ł 8 3AzP"u6aøh.;|iӺ1V&;1Z܉U`}ȵ?c$ϟ'Mjm7i998}Fu+@*–NQ)^i"L"?353nD)y F>s=UXJ+ssd+6>ZUyJ0GpB*`E+0;XTdAbBDsp 8h#3HܖуƏp~oZd4:crΊy[{(ac4^py:fg'عnBƤӾ &w̏ĶC2#)O'c&Ƀ_ ژ-I ϊ_mqkJe5Uƨ/ΘqJ`룑$tCv>j1hSZ,f<&1J=b+%6opWV:vnҪ9}c"|yիTgl (S#PN. N^NDGUG꘸hbm/aQsF^0dl619.4 m6o+3xeAɮ>8L }RQ,@ 1-ϐCWImwpoQ`R(Z@\x k6tCkh CôI6y_;§+|}KY X&GH.p f5 e©/ w0tpL#pyl1.FH[7y^ʊ֣W( /ɕteT!ZIė^$h0ra{wZ*5ިjCL/DޣCV8a\R~ p5I%!ԭai^X+i-u>Gev"5ZǬURV$ ARX؊&hP8W6qAvd2z l]Zh`HaǕ/'I4'~)_!C6lrdR@x焩"o访k5w]=3 x,٩Wfܲm͌;ښ):~ǞQKcOO8o=` ) yPjI;'G\G$|\[M˻+|'+H8F[~w aAr~[J6-i2N*Ƚ9A}uK&][ G=Å߭U 6EXE-H2 endstream endobj 19349 0 obj << /Annots 19351 0 R /BleedBox [0 0 612 792] /Contents [19358 0 R 19354 0 R 19355 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27523 19356 0 R >> >> /Type /Page >> endobj 19350 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19351 0 obj [19350 0 R 19352 0 R 19353 0 R 19357 0 R] endobj 19352 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 528.7346 172.7747 539.7346] /Subtype /Link /Type /Annot >> endobj 19353 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 512.5346 187.8502 523.5346] /Subtype /Link /Type /Annot >> endobj 19354 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19355 0 obj << /Length 19 >> stream q /Iabc27523 Do Q endstream endobj 19356 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27524 20690 0 R /Gabc27525 20697 0 R >> /Font << /Fabc27526 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pVthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1^ endstream endobj 19357 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1790) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19358 0 obj << /Filter /FlateDecode /Length 1972 >> stream xڭXK$W輐i] iYc ӗTfM{#/BiYoOKoymݜ^쟮zg_`d9x)W8Գf_ .N漀ߟs.˿"9guSE~\lq\ gY9)]w0*\ѣ6,ː VтHQXWͺ1Gy#Ym䫌wAj] [icJsPN;g;=[kY:NSǭހZ.5ͭď$nQFl2aK;'bGyr]X4r~'[%Z07_?I/wf'97ދjëAdY5 z̪5> M4 b+O˖F#h 1h !}!|Xf*fiMd}%=.j{f:O xV_ŲH"vӏ (NatI{r ?H`K.'<)#Du'xg--"I@:d S'M4^̍+" b-e$zZ *^$_=m7 vx8T>:h@" +@PiهX3;W|67O?T=oKʞ%|A!z/ s)-[oH 5n۽բxTD7rpYK1.e]ݛfJ]J)0X #հ)T\<@zHC.JiTNEv8WDQ遪l9E?Ýj,-e7env)/aI,uȐ&$u:WsC|^{u|_9à ʨ>$WֆYw>fCOKe oBxY6juꇼN]ԛ:fQaG6^a~S͌Gw#M*U!0Jz R޲iڎ5}gnMn,G{^NaCޏ{ļ)o!LGgCuG= a MDQ ' q[V!;rZɫkMimP7se8 !+%H AIe:rG͜hoaЊ#.-T:J_s~FBп j:c})9Sv@P~@uCΔU*<]k JFC1`7`:fꦻ Esm;]='./x#~UA M t/_Jxpڝo-LjO2V-Fkd?s꿴t Oe;6,/CMsb7ugT,px?J* endstream endobj 19359 0 obj << /Annots 19361 0 R /BleedBox [0 0 612 792] /Contents [19367 0 R 19363 0 R 19364 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27542 19365 0 R >> >> /Type /Page >> endobj 19360 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19361 0 obj [19360 0 R 19362 0 R 19366 0 R] endobj 19362 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 439.225 114.9865 450.225] /Subtype /Link /Type /Annot >> endobj 19363 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19364 0 obj << /Length 19 >> stream q /Iabc27542 Do Q endstream endobj 19365 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27543 20690 0 R /Gabc27544 20697 0 R >> /Font << /Fabc27545 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19367 0 obj << /Filter /FlateDecode /Length 2458 >> stream xڭn7ί@w 䖌9ybe~j֯0dYEXς\+fe:=_.A;S}XsH'gcvgk+ 7(gZm ig.ݨz2u47|| /fMe.o}%Yǯ Jw֎v֎O10QDzf,FhdgπF{°KmQ4)]ntqi\0-  <NcdJ'ؤ6Kg (DƢІ=lZBI!7XƮY#l~[TeAfVWuA D}6֘{D/ urƢz}n Y/ &w@P`]I떫v=cƯBbkö_JmΑ~mDsW/ݝ+⋷+8I t1 p&1xͧ '&+\DRm+G'v{~,3;6q=4rwzIJ[M!8 b\MűNIJ͏䢏ij#,%P(8YqԤD4̮8 xMͳz{:vP~_ib@wcU{jax@ ā3xJel}r|e>f@,شk,x=|U>k0Ѧ)%[3!s6BVQl p4$#)~XNT:SU4\T0gJ'W 0??N.ȑOQi iR ̪k)  rZ> [fKJzp(u /Rwbp 5JH\2nFSO6k=@5J*4rs3Ӱzf2$X^ՑWq)F3;11ʊ}hlN2դGHe^rq6%J"UUTU9ׄ[}c8P9ju9(7 G=@ڱۀ=坪Zj9)۴&,op@D8A:!z&gVxQ ,ҵ9\*Ezk-" GZJ)%+(2[h- gt1|JVEL_ьLmσv3-Ì=Ksc:cA$^"܌X9闃i;:ua9 !l|8n'>m/=he;AJ+f o X[^qKHUAףiVC5lH7d P]}ŗ=I}{jc-oó+c::8{ _ׯە^Z~e䥄EEF0ixQWٍ;F> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27561 19374 0 R >> >> /Type /Page >> endobj 19369 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19370 0 obj [19369 0 R 19371 0 R 19375 0 R] endobj 19371 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 453.425 124.1605 464.425] /Subtype /Link /Type /Annot >> endobj 19372 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19373 0 obj << /Length 19 >> stream q /Iabc27561 Do Q endstream endobj 19374 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27562 20690 0 R /Gabc27563 20697 0 R >> /Font << /Fabc27564 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=b endstream endobj 19375 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1792) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19376 0 obj << /Filter /FlateDecode /Length 3828 >> stream xڽn_ҽ/ @z f[ANA0 0s臨H>-A0#n-_h#|zYjY_.Mb[1eۍ!.Oͷt~q1vw2NO F_^`%h_<=<5?X2 M;<),_Yaœu9VJ*~ eK:TZ xIVk/>w۰y7ַ8 B'B;B;< Kd8)*8Gx#"Y7c[?^\~|)ȱ<(MZ6 ''ymL+`'|ڜ6bZs2ȠfVn֠`}ϯ.͖֡l|U hաl@"1vq֏@#!O{` J)jc|м:a>ꆉdJsN~6JS^"5>oޕRX ?z&n3l.31d\Fcg;v,Q@!m cV,>w>;o=(;.A CsrvQ5v >ڌ}՘َ>Ŋ@W=#ο,ͻ#.vZŏ H=ڰwttqqx$`iZT >%oz+ȴ#jtfM<2{uYp{q AXh=q̲HZ$9 )C[akj>Uپ!{=_iCM#> |c͗1 _D3<$&U(_صa|p.?}{}{iuZy-);Q~iBZ8`/Rp/F%h\!y 8 @9aь32[_ +mR6P r!NI{a۷ٟw%3)G=<@tƫ`۠iVc2ȟ<64`[2nO2rdTl\jSZKs=|R@|Uy0PY[|y5ufVdHXq%\&6KXF, սVK$ձK@I|ԋ4t5HCoTf]zfD]IDƨվQiߨ{/ܝ.e:4xpJrUgJ_rqlrjފX64y (|ǩD+1 C hγgv#``SRVNd " Ww؏AШnƽ&h.:iՅ<̜$_%ij&w:P4% laD5p0Nňfk:"ky4hu踇 pL;@L.Hb!a[h2(d9T(da+H 5)QGɰVB),T(# s V~09#-WҮ֡jK>WkQ2-pEu.GM-4IDD˗Qa`pQnI1Yx1" BŁ$QrTpn`qM.Xs_ErwR|\ ʹ >QfZ0. ~$G3 k/"֊3Cn+%WRC#Fܪ]D4Z-oW7\Z0FJyz@7Պ.Wc-,{+DX+'G&(edO(ܳ|Q$v.s5L\䊐W}=4Fi%^"Rt-'{"s~ːײ7uIRϣat{UZj۰Z@nƩcD,{75\@΋PD?<}wdm{=#֞]MlRz=ͩBg!Kf97`a)3G gҀHBz'aGffqBu"~袨¸5]'wrOsRJ~tXAX줍G1 @njeAHaPE̋F'o+@r"}`vO^L){Z,I$6M^v/fwm%: $B&x G m]PAk2xNoY?`d:z*Skjptz;K_cj$Lx86_1KaLjUln܌FzcN9ː3D$T=FnL*WWZ x6M-:0>w8L=i|L:%e* lxh; p?D.5bV ~b1VpYwOvuisM%9KeP$8GthN3@Z_ni[;ҌJڟZO;b7xEF>)k͆J\r7UCe)  9΍Mn8\P} 1ۍfrP410'~c,&E2)z{a7 ܍s* H"I۰kw[(kƀR'L(}vֻq"13ƻtYAhK$_~I2gB} )pzPxXY+b읾h.H?a~~<,A]' Ҟ`)|;ek|  p~7pcw9D} eNNK5.~ʁћ5h2L/$A,]r endstream endobj 19377 0 obj << /Annots 19379 0 R /BleedBox [0 0 612 792] /Contents [19386 0 R 19382 0 R 19383 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27580 19384 0 R >> >> /Type /Page >> endobj 19378 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19379 0 obj [19378 0 R 19380 0 R 19381 0 R 19385 0 R] endobj 19380 0 obj << /A << /D (unique_542) /S /GoTo >> /Border [0 0 0] /Contents (refresh_design) /M (D:20210608081201-08'00') /Rect [104.1732 552.9 174.3257 563.9] /Subtype /Link /Type /Annot >> endobj 19381 0 obj << /A << /D (unique_513) /S /GoTo >> /Border [0 0 0] /Contents (close_design) /M (D:20210608081201-08'00') /Rect [104.1732 536.6999 165.1077 547.6999] /Subtype /Link /Type /Annot >> endobj 19382 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19383 0 obj << /Length 19 >> stream q /Iabc27580 Do Q endstream endobj 19384 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27581 20690 0 R /Gabc27582 20697 0 R >> /Font << /Fabc27583 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 19385 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1793) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19386 0 obj << /Filter /FlateDecode /Length 1967 >> stream xڭXKo$7W*z?w;@n4ENN&E{%?RvAlTW&EIVOqVǠ%?<m|ݖ45sI{}yy0cј6x\Ƥ`G1:!zп%uCۃH$BʜJTh낙k}1w0iX͜Dk^T|93GsfHxf,d@NTUXuE[ U!%2.™+& IuIMq5E8݆EVKsU7i0YnB9DdWW6Y"""ӄV"$qijS}b6s!ڿ c{&naC%S!Ji*<^Sڂ颾ik y{2&0$BedNC(?f_c\kQg72 Kvzsڸ;r2t\k1LikolB{D*)^rUΞ2 {#(~^ sHhB "bؖ"?|Oq[7ZxΜ8Vh~xhg"ZSD{^c;cfow12$=~$V@2B>2SOLoANx27ZMnJe gYfQ*jNqroQtD9i}WU:L\z~fKe {2Eg .x!o8[> (1 f6;J1b[C598'DQiSiwF*M"+HA5t l?/;rvح(C:.9$Liͮ¨w!f7t>d Jqz:[]WGA:ot6G eiCskMM>a1=#Rŋ=ɻ`qi@qi :cr![dB9+Z :.Sz_ޥ(H4k$@VL: ;>qgl4KJ?-H|'^2 .ֹKюyq.}6&V{ O8_Sxن01u2 4m@,۸}B| <0пXig1x PqM)u'XR+U plSڠiKxjVjxfKuĬ9R!0SaQy (~kVCv#e%MFlU/8I])Ie T_v3#7|0f+Ym x{`sEK M1_fv{> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27599 19393 0 R >> >> /Type /Page >> endobj 19388 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19389 0 obj [19388 0 R 19390 0 R 19394 0 R] endobj 19390 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [90 439.225 122.648 450.225] /Subtype /Link /Type /Annot >> endobj 19391 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19392 0 obj << /Length 19 >> stream q /Iabc27599 Do Q endstream endobj 19393 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27600 20690 0 R /Gabc27601 20697 0 R >> /Font << /Fabc27602 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`́ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19395 0 obj << /Filter /FlateDecode /Length 4158 >> stream xˎί賁~4C;K~?U{vf%4M6XwUMo >nOomIc*]\>m?^fUdt!R>=m';۝kOƳ6sS}}x.>}$5 '}ټrFUat)rGJ*m9ן0+lMgX/s9n>˨|%L JEJEg508  ~YQ ?e}w ?2cmyOY?#H^Ktyb;̿ieܰ?~k@ 5/:aـ.`g*X@D(tzy+>JS OL0y +]O/4K(?-H (b +@@`k&>>BR2jT(\'v@Ez\Qf@w퇟siףdžD;@ z;uQῡy*tU5jVFz3g&0Kꈹ'zRdƺ;\D-s4UЌx4hٔ)&X,x+`(iBՠq~K` Xbb*I:c<}Bs8_А[o)I$L_ؒ:`_kS|EWY"jZI#k|u~;G,|\n54$ /W>h(c{bSr<-)}*刬(c1;x yp[ $lUXu32uQXѳȰ^U-lc`gJW COGoB*. '=_~iDܯ04W &x-Zt|fi|_'(t"mMA. зE̢h/0.M dF)nkx(ju8WN&wܩ&/X䘤34Z3Jg]H@jpծ %v+HqƣeRz_s-J+ !Q j0o:eԅYa9\6JkFe{Jo 例AQK+@+7t5HCkX&fdc31lzooE4EDG}"}썒SwDu. 3;—YYz)m.W&V@$*ܞd`cQm5>tt?!#UqL\wD܃N1@;qB^Hz*}Mo2ezȥCCZ-4瑞ai6D05z;>LxO^FB-ɁX`/[V^hǣKƻC];$t2-ۼ )yѢJ25K_@1e7%d6pDR#`K(OP֏(qO=sq7Ճ; Q9"$n }r4^>zY d^*iK+䀬#zꌈm&SjOh,L/(ƾbhS(a=aَ$>Ją mfҬO2f Rj|&&7D4rüy5rAb|~.VS*+×qr\@:3ff4cE$b[$[>yXhl+ŔB^Xv; $碌 >JƝ]K#L:]oWҡr0ܓ@6*餟L?TT=3[=wFau=w +laC ِĒ!Ġh)p(/fÀ+.6 5ZõuZ9o_KJQ8 $&a@Y1Q&lV(RE1]\ɈJ qEU-aԩ*Č>*GRQRݧ }Rߑ)q#Lp ňߨM(7_F.(#jo尘Xώy9vc5ΨFhPR JrL9K}de2Ҡ:>1x#(E<]$'nGr5zmg!bлVV|L'H hQmU':2X93C8<*sت+н@(ؼu cOвˌn=%lNZE]G^քd =Ԃ%*JJ?˥E.I̜Ni'!L8ٌİʔ{SkU~6l K u̪a(еhzj,Z,#M>9燒*vȄLZBIH%eů[Fj[1ޟ'|l] !2q4 )Aqˋe\= :Bg]i&F>(nlG1g@nǜ]H4HqM~;:WOڶT NJA=A98WmUmoH!b1S!~4TL`KL+v#')QS$7b.6{.01P堀2DNs\kZ+,Aq)TαD{q>Ʒ=ktӢy,"@~ y/cwsSÃVL$F<>4RP0RP#V'Y)8b$ #ӳNAӴcz]6f uSg<>/f%F&QScT w"t&8,}h1/c9 %+VI1uڷX6<`0nǀXۗ-ͣA7lժEUصuR^caGbE~ipoRqQx1L(C2N{v>"5m2b/conFXKE:tpP]+!ش K4b__`.x.8LpzJwvpoƾ?U| Yi>"pKRߋ,'RWZƉ%T!\ShrSMBk h4Ӱ+x\(՟(^+ qzhZ_= ldrsۘSG|>1ݛNV:Cc֚m* Tcmb*Y,Ƌ+/D,&ځMv q3f߫x"~ݺJi 1 )fh6F0[U+]s&9Ťq(\`b16sO;4dꁢ\ 2t$*13хvt>s<=80dqޖ,WʱAayr}ǂκzWËu;eEϯܞ`}ɘDq_hph?[ :jг~JYͦ j ?oyynx6dm<%i3UoC:g۾20+~\r}YO eE?NO endstream endobj 19396 0 obj << /Annots 19398 0 R /BleedBox [0 0 612 792] /Contents [19406 0 R 19402 0 R 19403 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27618 19404 0 R >> >> /Type /Page >> endobj 19397 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19398 0 obj [19397 0 R 19399 0 R 19400 0 R 19401 0 R 19405 0 R] endobj 19399 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 169.4802 501.1346] /Subtype /Link /Type /Annot >> endobj 19400 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 473.9346 218.6612 484.9346] /Subtype /Link /Type /Annot >> endobj 19401 0 obj << /A << /D (unique_610) /S /GoTo >> /Border [0 0 0] /Contents (reset_timing) /M (D:20210608081201-08'00') /Rect [104.1732 457.7346 163.6062 468.7346] /Subtype /Link /Type /Annot >> endobj 19402 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19403 0 obj << /Length 19 >> stream q /Iabc27618 Do Q endstream endobj 19404 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27619 20690 0 R /Gabc27620 20697 0 R >> /Font << /Fabc27621 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19406 0 obj << /Filter /FlateDecode /Length 2065 >> stream xڭYIo% W<@)x[r@se~iw#6UTI$E~Ȳ4_^us:E]Y}]_vV?KCǃKC9C8o2I <Ь3IX\/QO8A{KrPE9)_ɜ[Cg&dlmz/źxD`¸6fh0gZ1ewtYLEkCVp4X*M0#/p'2${{ hBw5EbkzuxRƵ{c>g3닶Ƶ5rPusek@ dZA ?u~869/A@l͘"r`[{7\XL0{ EG E/D#?9 &{BjPAU+;#/| տv߀ԥBJC.[uX(?3Tٖ!3jtE~ts \%H3P y>]E綱%F64NEr-fW}`YBя߸Yz#w?xv%iz cBH-Jvl fvYAhj`c ܩX20|qbCR|8UGLVWcB_u ~3-cy?@̀t"dDݩgjXs^siW;խ'Yv]}m3C^n]?7eLMFa#WAXywUsd#N Tne<("dӸC#U?V g%-a;Q$_E//'ʀxᇭ9^}!SuN PV0K6GPP؟Y  A[ǚ"v䥹=mH_l7C$dml=[X†? R@ endstream endobj 19407 0 obj << /Annots 19409 0 R /BleedBox [0 0 612 792] /Contents [19415 0 R 19411 0 R 19412 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27637 19413 0 R >> >> /Type /Page >> endobj 19408 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19409 0 obj [19408 0 R 19410 0 R 19414 0 R] endobj 19410 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 372.525 147.728 383.525] /Subtype /Link /Type /Annot >> endobj 19411 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19412 0 obj << /Length 19 >> stream q /Iabc27637 Do Q endstream endobj 19413 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27638 20690 0 R /Gabc27639 20697 0 R >> /Font << /Fabc27640 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r& Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@lIHd%okp:3ϳ-nY[//d"Xl˒5_@ʧ9 ?d#98` dT:I*dz)UD=ΡLH'3/v҂bwoG( endstream endobj 19414 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1796) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19415 0 obj << /Filter /FlateDecode /Length 3785 >> stream xڭn6ί9@)IW+@n1C0$A{*۝,q{|#XL;}~J*M/?Y3u~N>&7N&h`J L0ܻeBY 2kA <06^h=`A9Fzp0 u?GW=96sl__?0+zTec3` z,J X P2O @S2g40Lk˴: rO!ᬗ3CG6K XEwmEuy?| 3X/0-s3] a!GeK`x!3b.bm ]Q.xШڀ'sv)b`)ͨjxNTcIL-[\U̒rm ~k3=mr2.d晽ZL"[Kd˶F 9Mó\ZVY;BT5^%h@*khǭ!U6dL!CC22_W<7EMUepF,>Рx\1 y 2ja8j2{&qGsȬSѸSTTS jVDGp'YsMl̋(lYF:]\kzmY"V[٢s>WhBNiG_؛ XE} "Ne:JԼHοW()fFbzahefHo sg!¶hFucg&C8$NQںw QqfeP޸xg(Bur?*n6*JuW>t~r2aS_ ^q}pUh35WRX~a_&o+t]hl8 ^iEz0 0!2Myu ^9Pi~ WkfSJWxMKv$${aj߯CIz(DS@A`rSLAݬ*d?s)x)tJ?NYwk<ݿ:F4UX}ԡ A3qP*jApT MtAkv.5.(toz ت:9֕wwi7>Hy%وleGGxyv;vrl Bqo8og|.yz/8y>pG;"(IŞ]~ WJi~L  %q3G)ka7 ?DHVۖ<%F|N,f'eH\\*-E-EEUZ!RVpB. HYޒ*bche E'rpl^>oU +or;FtMmSEvU]!>}nq򢖜%GNVQf;GEu݋1b=Nªc;Y#2"굎3O;Q9kqL\;5T> ,ví\*+q9nXmp^]R2'A2X7)>eynEŔ]MWwQo.0Z:>1  ҚƜE k"w+#ѯ]\5*h;D4͐,xRFz2Ue/rfZ:NuM kToXMη?&u!,B`+ v ㅣWmw[驃Rt;vnb˝fff ٢ܨ(91dW03nF]$A1]T7Ys/Rcu[Fpoᰤ圫1q6)L>N6]<{S_'7P2댩CFnF))y sb\o+񐋓tyx8ogÅ˔f8\@-q+s$.M56cOwcWk@{wu-=@P0܇lm/RLDÂ⩽f(qё*r9fhCh+ELOl'z]w0nE:f*o&ZfnE fz}bu(.x6k,_IiuMv~P5̱,RyaXR{3Zbw+QL?׹Bֿ2i@sZ%0te[Џ`tPx$ܮȍ\K[.*``nh~9DIr-<, saI^:b`'l=SXX'}F/'xb&rI򖫦\15)_ R`:!& T= f@I> Ou8 .^r8r[\֔F>xvoW^~%r v?I|7K\ H$`8V endstream endobj 19416 0 obj << /Annots 19418 0 R /BleedBox [0 0 612 792] /Contents [19425 0 R 19421 0 R 19422 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27656 19423 0 R >> >> /Type /Page >> endobj 19417 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19418 0 obj [19417 0 R 19419 0 R 19420 0 R 19424 0 R] endobj 19419 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 400.9423 172.7747 411.9423] /Subtype /Link /Type /Annot >> endobj 19420 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 384.7423 162.8527 395.7423] /Subtype /Link /Type /Annot >> endobj 19421 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19422 0 obj << /Length 19 >> stream q /Iabc27656 Do Q endstream endobj 19423 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27657 20690 0 R /Gabc27658 20697 0 R >> /Font << /Fabc27659 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pIӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQے^u#UR,Wm'@eݝCr\vhwe™ph#bwo^ endstream endobj 19424 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1797) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19425 0 obj << /Filter /FlateDecode /Length 2600 >> stream xɊ\_}^Հo2 > >m.-W]Ӓ {Q_Uן_ts:E]NY7uz?_9e X&٤^:=yk<k/0f;P Ɨ`޺o٘c3Gڥ$wp>?`[XldDOXbrt ">,aN@XIGU |Ü'dj;e φCTYe~pSڎ>2^|3@dP \PC,l Y;],R?8JKMj.i |V\x<*Q]ElHHtAq.a`M΅\,<5?g* nFc `oոZuD@'_m`WӣlmΪ)!w"I Nmgce'߀N5R.w((Կ8)!2"k`*0䠌b Fj]]᧙JDHٔX(]U<9.bi=l&\8칆tXYD._]ʊn~ůF,^ QK-QKE&9* ٪̡ů{ Z@9nbky3.H4Ctg F[4zB^Xz_p/=^ږ+OcA@ v y 3\^>]YLAVi '7&2Y}8vMJp5,P#?~3^0`/WHj3E"@LQV >}ݲ吢g{^ _XQ(Re7rkjfsBl,!ĉ +itG/ą]vn:gxЂWD|R`:2pAL gBDB8 ]q+#!! |1Xn˹ms}]ŇxVB8xcDekJ X\=WGI WI~rI u@YN!inPO`."IOs# *e3Ek7 Y*t~e!8yZwIy.4ak#JђQ6[kSJUQ鲽NhX$^ĒeK8҅:!pqWcͨ%}|]ҫ>%bTqd{!UݲB$ltؖt=ؑBܜFy|=|7쾖E{LDjydָI9S[ꨙ5 8.t\_60{3yC;۫KՇuٯ<Ժ4y_~t4RZv ԯ+IS ,ywL0Ա ;YK-e_t4ꙒDg]N,|}.d(`r Њ@'Av ۔הSn?@< ]3:ay̢?NSxfLhw6x<`3^¸01Φ`ؙԗCiP`Z`_Tϰr}P{0ܽő~@v22#-E-ˎhZ@JttD;`Q)sjju^,Zdm?ec{Vsf'*wY.Ofj|43,U-U7,Uz[uKT;ڑ'Ὴ>?y9&3l}!Qu4rn Vld,@| /oVe.dzh ]ioZZh &z6֥N6*韯WM'{N)<lڟ/¤3&9'hY5Rڥַto ]yt=s!OW,O#l^2a79",vaW +@]t+ endstream endobj 19426 0 obj << /Annots 19428 0 R /BleedBox [0 0 612 792] /Contents [19434 0 R 19430 0 R 19431 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27675 19432 0 R >> >> /Type /Page >> endobj 19427 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19428 0 obj [19427 0 R 19429 0 R 19433 0 R] endobj 19429 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [90 214.525 123.198 225.525] /Subtype /Link /Type /Annot >> endobj 19430 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19431 0 obj << /Length 19 >> stream q /Iabc27675 Do Q endstream endobj 19432 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27676 20690 0 R /Gabc27677 20697 0 R >> /Font << /Fabc27678 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ͪЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19434 0 obj << /Filter /FlateDecode /Length 3971 >> stream xڵ\K6W)wܜa'Xx/|%vzٶD*~`*e:I i痩)i1إ_i'kO[M^:,陸xʙ}*W[.?O?O>$%wӗ)hNL>z8j@ϓ%=kg\5k4/?);7,4~>dY0e t(Sd$ >f8w ~g nk^l~L?Fdw {s|*G,*"6kdQc 2&s;>L L6y>q2̀vu:>DpHOκW Ovi¶ӷ??(z3O+nA5Dv H {@3L 3XA'l  |`6y';@"Hn> >̄nj:b`-T!0u0cD^<^h<a2we8bDx6YcѬ>8?-B!,嗅V813{X (QCvScbT]vyX.&tt)!]aYs\hыZoA Hd(9}WUu JT3_ßD%́V,J{E&aJ\j7rqL*$kEeӮ,8qSmTaa۾ӨZN%^94:܊}oRBf0nv6;q:t $u0H WA?;k|XY=$bD͕J2[c;&L&]9<$9"]a+R5fGtiB^JcP\ cbo>s4uڵPbH1Oue]A?SKe,]4q63gfT R8ŽV;`sk!DKUjpim*cTS(9~ũЧYi]ѻsߕͱP5烾dsP]|M=?e:WyuX别i fn8y$tpQp=RuY3< 7Doqo%HFi} DO7}Օk쪰"ܯ Ib/L`f> ,ڪ5“3u0e5#'&sc\lcf$kVWl[o*o/c>jdUȥߟ\ʹ0nc=Gꃑ=fd9p> % o~WLc{uL0퇰W6\`AוH[tɯ9WۼWEƹ3וV]xnc ͩc,{gFܡ9g0nPjTV nUGEʊRR{X_:]hi!B`uM&f4KK(G?W]f )+NRÅ=Qj3J4\lWZ#zLb/dl]^rN렫R]JCCћ4:/H&*ISd}ĹcnD7-+NǝU5JGJrֱ j^A YNBVd^quպsG[ODUl5 N%1^7P7X{cu0VŵZZt 2#U+4ĺS:Z "[~iV"O@վ7\*5[p~ŴpnehS<͂찳[nڂo==:-}n:cp<ʜBGfQ $3+]&nfxd(:NJ3ْ BӅn)#٭ݰ_.خOo0n)`Uˇ R-Gu1- n7BΡֆ647bN.bd+M*pL;ʹJ5Tشy@V"^!#o k6PKĄ㕫J3)/HQ5]񁒫\Bns GG۪1eh1Ku]VZXeݩ7n}ZZitqc]NyNxϘ8&OUQ} Mk r+JEL+8^YsCXt>'n 2[ W1QW(ޟ Fy`n K|=?)PN뉷[2"a´K6qfiXr|:,$ OoGi!:K YMZ>h:,H8l${av6ӊ 1 BMzbk8' Jf2'wM1L-$sb8͋e< p~4LDߠ A9s9,9lyG.g4I4ON;;ON72f{r|:M̔HN8+"+zv>[jYr/-3IT(YR,Ij8\O1 J35>R 72AJϒm>) r˥ wn[H_=1w&/̨%ZMڬl4:.[.<[Ϣwɚ/UoyrTdA  5f{3=(R9fGD~w_uE]w&@W%n; gQ]Z{+z)eyۗFFmL-n}e[.Ǫf 8So3`HE @B9~b/K:. g#WӖSk- nhdxVXGu;0 >=!ZJX ѵVThTVsiNˠ^]֜ا\sٔz0gW.3@"3P!kf endstream endobj 19435 0 obj << /Annots 19437 0 R /BleedBox [0 0 612 792] /Contents [19447 0 R 19443 0 R 19444 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27694 19445 0 R >> >> /Type /Page >> endobj 19436 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19437 0 obj [19436 0 R 19438 0 R 19439 0 R 19440 0 R 19441 0 R 19442 0 R 19446 0 R] endobj 19438 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 139.7734 148.0577 150.7734] /Subtype /Link /Type /Annot >> endobj 19439 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 123.5735 162.8527 134.5735] /Subtype /Link /Type /Annot >> endobj 19440 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 107.3735 138.1357 118.3735] /Subtype /Link /Type /Annot >> endobj 19441 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 91.1736 150.0652 102.1736] /Subtype /Link /Type /Annot >> endobj 19442 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 74.9736 182.1687 85.9736] /Subtype /Link /Type /Annot >> endobj 19443 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19444 0 obj << /Length 19 >> stream q /Iabc27694 Do Q endstream endobj 19445 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27695 20690 0 R /Gabc27696 20697 0 R >> /Font << /Fabc27697 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`͖ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19447 0 obj << /Filter /FlateDecode /Length 5097 >> stream x\KW@ | ="ʬ@*e f_hs%}]ZY_AWp.V{8,FUU:񎉫+֗İTJ^cU 1kI1zڇz1[L_]KOGkk6! 9?y׊ ]K|)0pCոkVuEz\ưdk>KˤFFfM~y_<¦P/Շ &@LkCmX='z<&ba;Td<ݜLXIe0hu.i y" @9Z9Z#S^ 0zϘw5SXusbNAau^k.h ].QEm8Xw2kn[axOCfiͷGax#V'눱xDj-zJ+4Ӛ?FBR58j3D.e=@Yi@ [:~Z~X~2JxUܼ)B W=_iܟzM[D+ΠEL.%fPeKn(q'ee $FJXn"~g h識, ,hZ:H[u* G>txJMXB2Ux^Kqf&ŋ^AH2P=vz'  ,)"Ut-[xWNظ *0uMN7R8',G!&TwLQEAۇՀ!js󎻔mBxR$^iQԎ%9h0;O21no{y]=!w'-xZAЃvN4&,Kib2?uJ)=M?{W@3`QFԶwS|Y畞O݈˗_bqES˟htig`җ/D{ٌ0 W$IS&A3 hJV=<V_E@~F ՠv.H/aM38=giH?jDڇNjO,DGzBDay^\/T *x~ ,eŁ~'M2/i7cD<{^쓬.Ӹ-@Om| l? 24)<+Ҽ4`4XjyKxuj:AF9?pucÍguP< eLn.ҡO+2%y3W/Ʊ?x4Ā[ж}X=72#CqG"wBM]5KW?[TM! Mk-] ߬b25g'R_w~-K-\Pg6֑&adКF $+*0\̥HNv|d+iVQm3y/h^TFIb/5M xb^DB򹪨K^6y}5;ͧ`~լ ڄU{z+SSfX{LCr g BKeBXb}qPUY]cQCTN-e~(c+u%emrf窔"v#=' 1Y#\(x{Wa\qȳsQ45,8 A@ _ :pՇm3Xga8x6/I>K}dbBr|ƭ>ɒs[1VrKr5=KM/,Jifeyd8Sn*;|@/[gfj sx&+k@>Frg V Z i:1b8_J(N_a;5cF^Gwp$֙}]6UX` {/~3mxƄsF^+T9 ޾o;7p@wW%cV17$IW )}ΗlY& A#"m 8?Ek,wj73kH(m @L *ΕN4w*d>תO5/FRRDBsb3騒hye/쌱#7MҴLjƺ=t"Z̅L45pM]Cc')G&wi{>c,Vp^9$>Ç~{80q0SiRӉu6f7~2OscRGِDXdI%DobV"l;!_\?˒bp p)wqHLpKDHm[4@z٭U̷'#2GlV #1뉶{/Cb<ק299ym<ЃIߢӇGcLF5e`D"e3cC\VSlܿ.İ({ 5klSS c;ۧs*sN ]^o)iɋrObXL>I+NI[FGPII9u>Yw *{/gӿ4jQx@J( I[S͞|X50Pn^ڥL~Ɵƒ z%{Ք6>,AI8t>+'kGN"j FV.4Û+S Pa桐˷+]_{a)n ]]B]UMp&'|c6GNx@a8i9_mg~A$wt[MU_a%>v&oH9Gh^UYޗ[!K/UޠP"QZFa$uD?XϽIפLG!O@3I }{˂&K4cfs$ƶFQ4Ty~j B*kS!i|&ş-i]-w'D:0cV : ֺ $vob1_|A^_~pWw.ؖ܄E|Sڄ.+FSSY%XB.?s[%m_cmUYaJXunze+gV_vBg]Q pEh\b~ 4s}OMucלsAz:^@#/vZSc!wafLO!]<{Wg#]h%$*K"lğLk:{8Um9uOp9ufL]f,i90%߾q&v3orsj}FF_+_6msSlʳLz"s篜\}g`. 24NQ b ѩ$3VB+^[[J:37ޘRK;Gªy=>aAe4Q.naiةQcѣi혌;|[3$٧9Vx>-6MۆہbFh!ť.7VB7my )LؤD߁T?lޠ5JZX5||)o*V='kh0N>'>4.9+ێr ~:r#Ѧ IXPM:E7?[ pSo*7dWw"v*m\'M{O=PABY9 >i !~W4Я]?/իL'+Bmo`<#˛Nq8"<> çܒ9Ww/ A H=/j!M)<2u٥F2$>h ew!L̰ endstream endobj 19448 0 obj << /Annots [19449 0 R 19453 0 R] /BleedBox [0 0 612 792] /Contents [19454 0 R 19450 0 R 19451 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27713 19452 0 R >> >> /Type /Page >> endobj 19449 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19450 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19451 0 obj << /Length 19 >> stream q /Iabc27713 Do Q endstream endobj 19452 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27714 20690 0 R /Gabc27715 20697 0 R >> /Font << /Fabc27716 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pΤЎYzrv"eYHUC.$G7]Nx41r$bE0EP,h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 19453 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1800) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19454 0 obj << /Filter /FlateDecode /Length 1047 >> stream xڥVˊ+7+gK0{nEM_䨪_2S*z];m[ڐק7eNk.X YDՑYYVrޛ7ɹ&_H" jl %[V'ZטJ 'ǒm5q66R|ԄP|7@6>'x˜+F\3@wE̊LtnBJ=rņ湺_?v^=r~ֲ}WשiVSr֏U7`sdI7(;8Km8%bf^ 9rfr i@`Mq^N༞gݣ Lt^[0a[;EViג?eFݣR>ki,(U"6'z&\O ݋Gn(Ec\i%:UT:Uv[\ӸWuK^"$ESk)'b]R.>d2;ۿϔj%C+ҿcL[ȊV9k1Xdxh#\:c5VxyX{Y2mS%LDc 5':x"4l a˲!'|ney7Ffyf#8LyYDyiF!_@߷E&nas[G$\*@޲!V(Mޖlr֭H C]T:}B,q tȅ/YNoV/oOHjm֦'c^0$/mSǿ@6>U;"&ql]0B]{Ȏ;i a|~$>fGDt_vW}I=[zYξpuS0xt-9:?J4U{<}|Ɠe$T$1E$c.-4E1m5х> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27732 19461 0 R >> >> /Type /Page >> endobj 19456 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19457 0 obj [19456 0 R 19458 0 R 19462 0 R] endobj 19458 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 379.025 124.1605 390.025] /Subtype /Link /Type /Annot >> endobj 19459 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19460 0 obj << /Length 19 >> stream q /Iabc27732 Do Q endstream endobj 19461 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27733 20690 0 R /Gabc27734 20697 0 R >> /Font << /Fabc27735 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`UЎYzrv"i,䪍A ?wG?{`%(`6P\0&U$c"KS¯"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OM٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3d endstream endobj 19462 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1801) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19463 0 obj << /Filter /FlateDecode /Length 2960 >> stream xڵˎ6ί9| 3mwܜ!ؓg,`_^|IjO7I["Yomysӳ8c"?Gkꏟǡ>9gcbyg׷p[%o؋uG*}lla R@OGBt4Xyx6k 4x3<ݒ?Ь4~N^N> ؖtlb5KoHcx~r:+w. !uRD? R t<ٜ73|ʩ /ovW6 gԿDRDjm~3HsH_<8Gb`/!n!Z|`m]F&Pmey !X=h ]A yx3͇m3oTWɽUB4nݼ1Țt4QQ*\[K@MB"@hj]25S21GѺx-fفcX҂,XۄCYCuA2k&"3'/[;0 Q+X7&IBDq FgrXR8{ud dgm]ضx]ݩWu2neK.7< Ezh6b\1ТA)Μ΂D3y9L5\1ӀKw\-E(qm4,ppX)' J]xw*XOjE M 퍸Ϳw8hxrs':r݅w f'u ՇrA6trpX[j2p뤞g -ˑ l,u((K,C|ވϾblcGb~`a+OƢvd B sc9 45a&KIXV3LSDs¿^͉G,!Q{A\h^{ _ UQɲq#וȺ~;Ϻ;%bB^]\>}B}NtA/i9=ٶP(%Iym5O[H˱AYM#: |8K3-^?i,/Pe?a9GG_t ]7ā3x:a kv$_D:7;g@,(5=|M}`XJ_ [QHkl6{^x*#Ib >1'&M<*j  p bO^4ȧJ٬Ժ4 sF`-3 Y8,%g%=zR[/u>5dfhxM[+H)%$?0Գ-p'Fi@&Una:Dޥ6I=Ac9BtOQ7#֝e14x@V!")}k3dҬn03#oW59y8'Lsг#V8pqϐjvnyOygnֳ 2cX>:%Sdɜzt`8"'H%.zu7z@xN5QާM(g$%>hw/}bv_AS|^t {sD(t w OH.-f8Ưusޢ+kF brKٔ|36X<{H:O}`9 ZadM; :cve y7Br6PJZ&tvnp;\%wK>W{wϽ YZ67iAWT i4n$*74eCΘ AO1f?BZ!,LGCnoІbx0i:f!r'1g  r%T{-n #E $4ג_FMBO6&AXp!z0E1W6 x::ʩ*}SZ3) 4HUs#R{`Ǚ I @dO^Vԕ,gy$~LUiF JD/w"VBO܋E:RD^NE`HRY tʲBO-X*DÔXI8,[ihlI.h>D32 afZY7ǘXFwWfqdp+'O0mx|@W.@1an)2&>Q'-l'Hi%6MamP5bC[NBpO=+>L=p#<~@կ,qYPUoC[pC,5%|75|W6ڇ7r%|;w]Z=ww9β߁~'I}XH7+Mn0.d Ys=[ ޓt7x>¯>覙r@vv;`c]}H$ ef~67D,*L| 鯷r䢹}!g_&cllj \_'$ endstream endobj 19464 0 obj << /Annots 19466 0 R /BleedBox [0 0 612 792] /Contents [19472 0 R 19468 0 R 19469 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27751 19470 0 R >> >> /Type /Page >> endobj 19465 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19466 0 obj [19465 0 R 19467 0 R 19471 0 R] endobj 19467 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 19468 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19469 0 obj << /Length 19 >> stream q /Iabc27751 Do Q endstream endobj 19470 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27752 20690 0 R /Gabc27753 20697 0 R >> /Font << /Fabc27754 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫Iӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IW̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)^G+QO TϬ>Jج$Vazצb/mk: s[({L̇h*dKRG"+yk|\[-<8יq|mau3jl?7‹!H5h}'d("G )xp´!d5Y2pj'o.9cDA ^zv,QOs(R]@p%4CZNZu^lMD endstream endobj 19471 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1802) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19472 0 obj << /Filter /FlateDecode /Length 3925 >> stream xڽn$ίp' Pw fgƱ@ 0smܪ){,UqǷi:Iÿ>b/oS3SS6إ߷?>|Ln6I됟ʛ>[$}'Ffgx3K>Bx raJT#;NAb?L&=%Ð3.Ӛ%+0 O?3dF.0/K\9PXi`k%VÈq4G`G gm3Ϸi230L8G0 W{j( wl@A i/@ 0rI& ?)3 %1Z*;tb'`S{~srq2i¶ӷNPd@xzR)- %cNIòZg@_ NV=6̡3? &qXޖ孙sOez \h dg`&<'[CRMOtWe1h7Оc"i|')|zċa?n٥LJ_ :kzSC{* xGPE_.N={$ }s釟9MܧڬY'C7^'@:?]u{hj*[Om.ފ~*2؊ۮe *TdS$8I|Gmzx>Ik#bY1FmR;{#Ԩ|w8֜X8q_o'rjy&c÷JI12 ! ;ZľGc[>~/!ڍy!D xaQ$WL c"k Вo4 AO9|$y #6pbҶySK wVr e鱿υPj<*ġLypc˅F~Bxq  0Ѽp>չ`_Oq- m>0DBeDCx?tB><)r#VA˵Bp7cI NW ŮO}*3~sΛ]K5$PmBm!U<_,`@=~~jU t1*ZO B*J|s6.AjFՖ,7%m2 .C'$Xƨo#<z: AW<7jCc=BlS~s9acZkZ7v#3=+=τ{0 gbځ Z0GeإuI}a{jypW7BwQ|>Lfk{\HAi,C0,Hk; a_]ːXJMFsч}7̤2l1;_1!2&p+B4c@z}buRFpXcI>DZ_-m$k,8u2@ެi0Ly }T=RP*_#x3hDXX /jT#$kR/gKO@W\ǯ3^fwErѳÕ%q2 D\e,#G/H ֫PEܑCᒬL/ĩ6CpbH,t"v~H%aai^NJ_jOھma5xY:|P]aVu)+R(RkXRlA,Ks sUnB .F*lH.NLv彙M )tcqEl3D??=]qXo{䴸+q(:9^͹&|jLNpvVKW)_^kW%\jQT0J-E9#`,Vya!Sbo}煐?^z~JiȳSZ `_YžxQD(4t]EkawicֵPɡ@!Qx[20;?K zή!M|!K endstream endobj 19473 0 obj << /Annots 19475 0 R /BleedBox [0 0 612 792] /Contents [19486 0 R 19482 0 R 19483 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27770 19484 0 R >> >> /Type /Page >> endobj 19474 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19475 0 obj [19474 0 R 19476 0 R 19477 0 R 19478 0 R 19479 0 R 19480 0 R 19481 0 R 19485 0 R] endobj 19476 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 156.654 195.1267 167.654] /Subtype /Link /Type /Annot >> endobj 19477 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 140.454 174.7052 151.454] /Subtype /Link /Type /Annot >> endobj 19478 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 124.2541 200.7917 135.2541] /Subtype /Link /Type /Annot >> endobj 19479 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 108.0541 199.2737 119.0541] /Subtype /Link /Type /Annot >> endobj 19480 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 91.8542 180.2547 102.8542] /Subtype /Link /Type /Annot >> endobj 19481 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 75.6542 159.8332 86.6542] /Subtype /Link /Type /Annot >> endobj 19482 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19483 0 obj << /Length 19 >> stream q /Iabc27770 Do Q endstream endobj 19484 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27771 20690 0 R /Gabc27772 20697 0 R >> /Font << /Fabc27773 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`UethǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$W>lj)\Όl iVQ`^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/}Kh endstream endobj 19485 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1803) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19486 0 obj << /Filter /FlateDecode /Length 4566 >> stream xڵn,_ns_͌ !Icz.ƥ{zf$rb*( HŪׯj7 C/[܉b}Q&%R/? cI1 0kd_\*ŧDR5qK6!rۿiWY ]G(a,^8A~hWTXJd賰LhY aKZ,=o!l e)E\zB vMjDDD7{> B H**%9?fk/S@Ld}dW,`лĬj=}URsXtfs"IaiZ9 |f4Ժ\pB qȚ{;x|U$cGP'4[E#'Vl'( ;-S ,UC6AT%" RlšN@ߩ0 xnޔJ SE/{ďqV 5d$%@D2~8CY*Kv(uKD @'y5B  o=h)/63,T (Z8t:eB $8@CY8Z t@ZI}K.ZX N Φ,H^['( GQ )Ul,҃N7#2R`EP{0+ ƀ+Eq$_"/S`%( Dj((H(a1(ԩᯔQ-a?U>8AEEO&өoS3UIƜ`  BWsCn]*n}kxn51R2P)'m293lơ!{@Q}٥1tDQM>M3ۙ;M(N/u&xmyt,ZD/1l;0m1h+bn) C@73 kU!!t"4b+\;]_]< --+G(Dr<_'0Z5"m%kLnM$Q.Mؗ OB҂"95䂰@ >X>mS˳Z3~RP`%\ E^xp ZkM6&`h^:La: _?6 ʘ;U6uq.Df uV>L{>LC"H }*mLc{:GQo`;ȨŬj;k5>5dMLmLxdMtA(HpM7m&Rώ,W;hav>rr2϶F-ЏOZ` ƝY,9YLcwﱍc~>a"IiSwQzhBb=X[˅׊0FU\9EPUW7UuQUj킖LkonnqV|Ik)c%l5p69yW*:Jr/>H`]xjZփ2g $ؠ0.w/\T=.򣢐QעpQ[RqK$$j7[MhK&xb~<2-O\;&^z$Tâ0[&懈h+źZКX*!=ױP?c=k3C/`ʐ[0]Fet˜`DtuC3٦*c3xnv[;+GXRx8e8_fK ^v\%6a zfW j,5<갧k͋ԼIнFxK{Vۻ=8#kԱM8 5li=Q Җ].FOs2`Vܶ.V]^@& 5DvWQXчJĐdbBjA$'ܵl~8c1VIBٍrЇEsq?]Ձ[dl_A⓾GxwXsDމ}u &~Sק Od<Sɱ{Q :獧~R_x\ryfs̐~=&~s{[ES3'Icgȏ#`loZ28[<^)BYNnc7Hʱ}h\@e8M="Rgd+Ӻ<(ӏK: =֭{>vDl]!R/%L_g_0v?%Dc%}N&pcjA+l:b4Dr?!*iM%7cY9bx=D+'Mo]nBa>]bލ|E^}yJO7BQsptO+esVJt$ӭdP_@)x266& !/XG?}옮oi ؐ \|l/8pIVHA>$y>Ԟ7u}<*^ \-.mM#Mko%*K*ObDF!MgOR!(۵U`5a ZtEI SW1>#/NUQS@,X~"o1QUt7B~7+n*[+DJ4 ^*u¯tޤ5\[4l*x%JF73^Z i=3`@!xXy"ju31O,{>u0:f@6D(+|[.MZnŹ&%Ƶ[Jhq(۲B֖RΤD{!՗F p/MVS P"z+\ҾMsR6xL9)mݣrqH`G9 R Y3 %$۔:uOh>=IB6ҍJzICZq-w!=g;ֲ.N*T;^abY{dC= PԄ/ ,׃8Z$$c 2H?p_@ endstream endobj 19487 0 obj << /Annots 19489 0 R /BleedBox [0 0 612 792] /Contents [19498 0 R 19494 0 R 19495 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27789 19496 0 R >> >> /Type /Page >> endobj 19488 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19489 0 obj [19488 0 R 19490 0 R 19491 0 R 19492 0 R 19493 0 R 19497 0 R] endobj 19490 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 185.9197 709.9] /Subtype /Link /Type /Annot >> endobj 19491 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 155.9997 693.7] /Subtype /Link /Type /Annot >> endobj 19492 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 177.7742 677.5] /Subtype /Link /Type /Annot >> endobj 19493 0 obj << /A << /D (unique_325) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 190.8202 661.3] /Subtype /Link /Type /Annot >> endobj 19494 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19495 0 obj << /Length 19 >> stream q /Iabc27789 Do Q endstream endobj 19496 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27790 20690 0 R /Gabc27791 20697 0 R >> /Font << /Fabc27792 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`U#thǬ_{9;u S4i_rƠ査=0fcX-LF.nf  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛQ endstream endobj 19497 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1804) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19498 0 obj << /Filter /FlateDecode /Length 1202 >> stream xڭWj,7+- ̀Ydg"d9*u}6aJ*:Z_5z)ڐuo砝ӗuiAWSSҗA_E]~cm:tA;9a|M.ۘro02el< ~NN09iwiԾ9?aԃo@/ }?B@-g6ξWR 񸿨^4fȇqȕ`rޛ~SG`r%$lMT5~jQĒT1`5ͥŲ)>Z&v8UgEI?#grc-Њݥ]6*2W?3f>oȫȳ:a.hݩ3njx?F=Sm؅bf1PM/lNΙԧd8Q-1:˰6 ׫Km=Jv=M7Oj77g6y3Wʆ4kɟ467pQw)G1dSԚh,\@lokma=.B Dȸ3Xwj% l,7u ܃C]7Vܾ ?!U =dǡ4n>y.q<|p4UG<œdR("kOyq8{09|m,Tk}t<ͶMG \1K(QGp9 A9{#"`K!Fs=d*)/ "a endstream endobj 19499 0 obj << /Annots 19501 0 R /BleedBox [0 0 612 792] /Contents [19507 0 R 19503 0 R 19504 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27808 19505 0 R >> >> /Type /Page >> endobj 19500 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19501 0 obj [19500 0 R 19502 0 R 19506 0 R] endobj 19502 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 315.725 147.728 326.725] /Subtype /Link /Type /Annot >> endobj 19503 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19504 0 obj << /Length 19 >> stream q /Iabc27808 Do Q endstream endobj 19505 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27809 20690 0 R /Gabc27810 20697 0 R >> /Font << /Fabc27811 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 19506 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1805) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19507 0 obj << /Filter /FlateDecode /Length 4214 >> stream xڭˎ#ίـ:|?#(@n/C&vX_*jitM֛"Y!T.kɤJѿ~ǯOg'_ZE_ߟY7۹[|k;o'mZ^~/`4lYC~|*~+_4?<OP|RFx0 Y~)RRRaSf/}ޢU$SP**QJ=K+TD,8>K x*O{6ؑY906-OBpQf3L_[ ^eƧͥtZhcV( %:o՞HA(x+;e hRJ9mdCWvJ4W]2A`?$S/$vu,$"o/M; 31o!k v  "x`.e5>ۯ@JoQbۿ/?U`jʹrz h|R !'[)AzGD/oT^QV`p@o*z7zc6 bzo@B@׀;0U&oþEx̴znjKnA~@(J \~=™=yEY;|b=Jgb*";49f[}qt#Rv,LLM1XPm\VZ1SƢǧo3 ,Ch  Wځ5>!Wr⢑:,Qf ȿu?gzm~8\hp5ԉުWsW/ ahJa:{607 S*ov^xTL1$xDL1GD[mdH5c2tbhfUԬ!. CpCx|7Ĺq@h&R j.-]c6 I"H [oZ8}Xh +cdu<km]&r;7T .$fGI\VX-bU0܇+4x@1l{8C8hUpNQ[+K/!'|k`=nD5_,qۙߡi.?/@G`~åh:l ٭t GڶCUdnš&pka.zfO 4Tr@EsXݫ}+E{}Fv]Z箨ťGuM &;@P*=c{QQ@~_Y>`^ڵ߮v--WWmMqmyӶ'l{;sQ-pB7*a03 0fF^qݖ2gBA[mo; vtZVY^bNrHCzRT8HE=Ԉіmݖ`3w76)t?UyIF0llclf틺K t{Z#|>KfYLT3Jkjgئa?xvpPW(3GJ}M+jI\q=E\c9rlT%A0̃J$ޝӳ0?FnTK4t$,]Ӂ`j٠-r85]pT!!TMRĞV9'4 a*Zl9]BO01J#NED[=N{ }:1D]$l_SZ&0XM];š!Y/)koʷ$A*穨#gΙKːSRSt TQyXrש\t:9NZhUZ5k$& [npn6Xo[8rkBg贛j<̾Iߛ7nQM`A%K0[r@)T[,_W&P#j U&nMK21gmHECc=ϐ4{ňްj;~8~]ťgxL!Ȥ0S05`B2ŠBqłJB (f5Nw79p rpMYCڍx:4"| -2y%.9P-z[j8+Vk潙/; ҫ-eSS̏fŇkh?$s'1~\Eh-W9%垚+«q}In-l>ìW7%+t<:'Pc&17ݐ VEG3NW*H%K\ݎyNaWX+iXp}?Ձ15`xoQg X4mי̈́T~7r`"ٽ/oOO_U׉r,j1Ldgrܬy7Λ4?&9NҩJa[{U6Ou{Teo:82(ͭpowoQ\\FX6[= =RbIOij7],gZ&/akDU%~HŘIj*[P$U¬CT j3d^Dk<qHl0YGmZtP1PI4ReL,dRXI+hA=LtDNk:p Z9'٧\\R.|>/V'/@)B-LWa=T aΘhI*&π(%Snj]joE#!-UɦP0Bg …-.]i#+0fp^<@,0OeĬfU+${?LHsqt5bȬWX)~.=p8ص:G[Gsxqx:KklU TFI7|Cs\MEˡi'a?T:V;4=L7E YTBAY F q _ &G_rVK߹TTLW)1f*|#I/ʷ.#0@'NU*.13,Un\jjoꈹw/"*CS֊ >5U},؋!ߙSɄEV4 71s8/:d;@rjrݶV ~ xlý2VڜJC2_oz)fþ# -aWvy18 vo P#D]hYWn[r`ʠ7eOLmO;U8r C6"@G",1E`|rG׾zA ku`ζnUuL!(? endstream endobj 19508 0 obj << /Annots 19510 0 R /BleedBox [0 0 612 792] /Contents [19518 0 R 19514 0 R 19515 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27827 19516 0 R >> >> /Type /Page >> endobj 19509 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19510 0 obj [19509 0 R 19511 0 R 19512 0 R 19513 0 R 19517 0 R] endobj 19511 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 475.8346 187.8502 486.8346] /Subtype /Link /Type /Annot >> endobj 19512 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 459.6346 182.1687 470.6346] /Subtype /Link /Type /Annot >> endobj 19513 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 443.4346 179.3802 454.4346] /Subtype /Link /Type /Annot >> endobj 19514 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19515 0 obj << /Length 19 >> stream q /Iabc27827 Do Q endstream endobj 19516 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27828 20690 0 R /Gabc27829 20697 0 R >> /Font << /Fabc27830 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nlЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?M endstream endobj 19517 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1806) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19518 0 obj << /Filter /FlateDecode /Length 2114 >> stream xڭYj$GWP |#$[cL0;\՚ (UEVf/"3J\~|mt8d:Uڣ8ZrCI43 »,[Js4$R 4\#L&f*`7{Kl+ .Zk4 5a[BQWcUȦ &}nIײ\g2vՍk{FVy]Ex}'(Xu:ʘi~Nm\Zš6A_-NS̬%"&MO8Z|NX0 Yў34k 8 'B@%{BuWϻHx/*KnBOWN\EXej_"oݳky5An}ځж`ܚӑj>^B Oū-ba0*Zb.Ȓ@< 3 >.YCxK!':iq&~I'OG՞ZVlc/O_xkS3}>Q~s.g{rs3:}jt*SࡀW~y 9 @Bzba>qUicՉJNYQm4{/`aʪJܳ$:M8 ش:*3Ҧ%8޿[pc]^mCxL{knygum—]D'/$MFWhֆ-f|=!֞tb !T;kNqL'kj[2p+i`inLpŪtO79Ck#Bi;kE#TFfۚךwk>3(?<2^gmZ8Jߡ43չi7g\RQR&{pW/B6ՇjGgcK µenVoHXpAͅ|F0"Z9i(ȇ&=C3#y2KI`kFR}Ål9(h9pݓ3~p?{ܝyYpE7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27846 19527 0 R >> >> /Type /Page >> endobj 19520 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19521 0 obj [19520 0 R 19522 0 R 19523 0 R 19524 0 R 19528 0 R] endobj 19522 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 281.725 122.505 292.725] /Subtype /Link /Type /Annot >> endobj 19523 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 281.725 161.9785 292.725] /Subtype /Link /Type /Annot >> endobj 19524 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [167.2915 281.725 195.974 292.725] /Subtype /Link /Type /Annot >> endobj 19525 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19526 0 obj << /Length 19 >> stream q /Iabc27846 Do Q endstream endobj 19527 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27847 20690 0 R /Gabc27848 20697 0 R >> /Font << /Fabc27849 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {v`-C;f٩c8I 6-$G7]|t'w J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19529 0 obj << /Filter /FlateDecode /Length 3465 >> stream xڭ[KoWl`z&hF]{rr)}OFFwU"٫k WZgu X2:>_A;C}XrHW;cz[N-߫m[ku.~r/(c+[g+RI7M/V/T͒Mf1 Ogd*'4+?gFk;#b q]^ɳ1?kL*P)kWS#`o]*+,مuUF? amF%Г>׾+3=?‹<i ac' 6H;E/5;+t֭^HL`|#tW;Y4p,v kJ`BL%W̮)Yڲ_lvf YhxiGѭI'bΆGQ5 (?H `gG%pm9wI DK Y5jA|]p金b 4S5ͪ$HDs\skҭ+4 Vg`=>;q9)t m|tJFrhX`b0NYTOeކ GU#M0D)>Qb%/5ڻ!śO\n[\IrvIKbuV#{(涺|Ŋ{]^Tߖ4Fͪ7>0LvOqLV_ Mvmx q]p8Jtb84m`<&50DtkE\D&Dy,;1)Ks 8O`Qy|bҖQ ”q 9'4̿沬>E 7瞴S-ů"I5^ܖ^ {:]) dwfI=&%csAƜ gu;v&`"%%%PZD^1̸ެ-ko./Qc&/SxYؐy.a̰x6_-xFN C0=I>T< }24*/ؚ'Ij&N!{NP)oMM;=<`K^hJ~%.`n#YQM lR|NE#G%,SY7oRw)xg3yV 5pBp9`$/~SB0u\z^:z_m{`Pq/ÿx= UcJc̱-1+ba̡`L)լ=y2 oOFKͺ\.A%KwnRqjĪ l6C1栓Ǿ}>۝3il joeBv AE5¶] 8q i=a#C0ݩT}[- ֽp| ..E" LTp< yv@$(SP*BtS-BFoRKg i^ځځ~n)w iF}|t708*u jn9kH-uFgMa >Ⱦ.!]߷IPǷ4PRKG}iCr}Sx>hb=Lq'=]o&Q}:F3 iL{=BNYab8iT kktzMQaOHO ФgѥZog,.>7f 4pJi茑¡cb,<+Eʙ>f"qI20ZNr nϹ\H|b^m?<2Mԙ[Wi{ h$W떷lӒq#_mpx`<ک^ڰPt@&;t0L%J$M"\Ba~-!21 ZQP xCr z0mJj s6^Trĸ)I+Jwy2# ҐNXgjTwX-u+[],Ӻ!.A<qJ5ue/Zgy$4tF ̊1#P߉Xg<^^\8HSqQ'xqZ l0sĩHB\K/R: RK4L J23RFk2ɳR*!~< 72<lKz˶7"΅7 id;A +j mBsRWRe{,|/<<}km,Wq+PUկC~,L3tL!g0mM_C>|'gg>vocm7=i)ș>^]y> av,}k>& )fٍW&#nV!d }|2'=tWx€tO9ܧyX/64𿅀> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27865 19536 0 R >> >> /Type /Page >> endobj 19531 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19532 0 obj [19531 0 R 19533 0 R 19537 0 R] endobj 19533 0 obj << /A << /D (unique_56_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20210608081201-08'00') /Rect [90 429.225 191.6455 440.225] /Subtype /Link /Type /Annot >> endobj 19534 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19535 0 obj << /Length 19 >> stream q /Iabc27865 Do Q endstream endobj 19536 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27866 20690 0 R /Gabc27867 20697 0 R >> /Font << /Fabc27868 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`-Yӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19538 0 obj << /Filter /FlateDecode /Length 3731 >> stream xn$ί.s_A fgƱ@ 0smܪ[=VǷ?{Eÿ~b/K%%i7ui/,~:&[>,:d5å5*W~\?/wd.Kо?_[ ƒKۚ._Xn1]XKI0,5Pia济Vk ܽ@Oӭ2Uwf3<0:v$,Y%?J /D3 zovг`$&:Wlؽ`?5 ڲh/ (/(oOy_ѧڬi,~Wyo@&zf~YabWJ6,@y=r vro L<֧GsF?x!CV7(bAꦏ1亿hnE!et^XuƨN1Ef'W8|0N;k S}Tv: u S u:74O*@k4c|qcDE#FǞC(Oz^:RmW裪2 ۉgKGmTI\DiP a%%zJ"i9dk%1`[${`"gn9lt@,'>IfD =Db1|rmjz!+nwzNRCE#6 lc [D1|'}IpWE64ă-؅̓?l>"?! bUlɢ5whjfA !\d-MoEuܶRA" Z"1S>%c~̦͑y@: /B%hEu@ym F@#rZEϰ"b _XqJBHC"]@=dB2U KglgZ jf5 @1 ~^w[::MFƥ6AX^ZgnsK  2agp,̬ɐ2Jb&6JXF, սvKrd 6 =)ry+&4"ͦ8}iFUjjTwj&MTTt/TtԾ O7j^RVCg w$YEzTɩ]KTe?`߳ |,Z6jࣿG?q89ru90G=1ۀ- ;[/M7qY`AjBw߮ vgށG.J)!$!ۯ%ļЂhSh],AVU6~5Jv(Y= bDip]5`FN-ut#ÕZqoG#,6mC%`‡2p^où&Z)~?5tG>%k >qGt,a_9@ngχ }^9T=gKC+'@)sw V,# Rxȹs oM9fq,-kmܝe' 6:PUNKLŖlOeAAjLX\SRU%cη*^UǞZ*aVJWJ@dAXXk5@V}FVՇ\,ȜtG=i%;jDduh-$&`Kuiĩ2#Uq5(V̔8$q')1uYΓ򺐰frUߪ@^HV4ȭֱ];T^weujJ2БQoּwTHG^vU Pc&NK9 _njy4 =4['& R/Lirn6pYU*&NycN\:p==!G`c 6[$4[/[>xNP4$DoWd-K&<5M#-3ۯAKшM"qfG"! t̫v/gT VL?*Z7A<`"byЊ&30w96dnȻC[pme1I8hfG{1+ uc0٫o;nvtqFIA{ *1ӔsXufI'"{3,\wq%6QELuֱ?`ʥ *a}wfݧA=w5+XqY%{j}j ap$Dz+*:vWʱUrMI}|{f_}pun.yz遏wa,JٓNpUSO+3]$ @\+:xk8cd Ώka0~Cm" [bW( c"PG[bW/@<*יZqw{avD7}3TpA|Jtʭׄsf jbm% 7yK;`&\f˸y7,3hBوn.z]}bu'r2MbV@VUTa]v~{$kA<7!:h?P0anC>oyE{ox#hD7kaJ|Fu1&YPg~8U?ON BFGǏ@<ͪigErѫK%q2*F\ke*NBG/DP[*ja1T.L=:)]pEH}?|咰[θ4/dls_nn:}aݛ.Fꔵf[ @h>\M[܊slT*lGH.?ALvY ) }Yl\8ʉ~R?ͷp+a# hSqep/te2 k9*oN5Ao5`k/n77׊R\/bNB\K}|zL+s)%fɎw7 xϤQB9cTOy$=|||[Y]M"O Ҟp/!&c=,;P0^+ܵý0_ׯط,tuTރ2~PD~t|]yOIMCή6EP{{DE+// endstream endobj 19539 0 obj << /Annots 19541 0 R /BleedBox [0 0 612 792] /Contents [19549 0 R 19545 0 R 19546 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27884 19547 0 R >> >> /Type /Page >> endobj 19540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19541 0 obj [19540 0 R 19542 0 R 19543 0 R 19544 0 R 19548 0 R] endobj 19542 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 429.8346 240.8977 440.8346] /Subtype /Link /Type /Annot >> endobj 19543 0 obj << /A << /D (unique_75) /S /GoTo >> /Border [0 0 0] /Contents (get_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 413.6346 230.9757 424.6346] /Subtype /Link /Type /Annot >> endobj 19544 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (remove_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 397.4346 251.6778 408.4346] /Subtype /Link /Type /Annot >> endobj 19545 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19546 0 obj << /Length 19 >> stream q /Iabc27884 Do Q endstream endobj 19547 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27885 20690 0 R /Gabc27886 20697 0 R >> /Font << /Fabc27887 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pQЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMrb endstream endobj 19548 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1809) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19549 0 obj << /Filter /FlateDecode /Length 2360 >> stream xYKk%Wh=PUs>:Y9;н+#cK^Ż.ķX20Q7F&KWzxieΙ܊/`7Bl®"Zv@-N ;zvެɳ}:kO5DrokCTB`i/JqU.z f˪^*}sz}AFa}>.Hu9L}} 8{USNK"dDfJ GE$yAI@@.a,%lAלHnU^Ll;V1x[CfIcҡ%DS$^hOIXR/]8 ih.ˌZI9s-eQ]۬O[U"X M1w儑C> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27903 19557 0 R >> >> /Type /Page >> endobj 19551 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19552 0 obj [19551 0 R 19553 0 R 19554 0 R 19558 0 R] endobj 19553 0 obj << /A << /D (unique_56_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20210608081201-08'00') /Rect [90 386.725 111.626 397.725] /Subtype /Link /Type /Annot >> endobj 19554 0 obj << /A << /D (unique_56_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20210608081201-08'00') /Rect [116.939 386.725 158.4255 397.725] /Subtype /Link /Type /Annot >> endobj 19555 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19556 0 obj << /Length 19 >> stream q /Iabc27903 Do Q endstream endobj 19557 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27904 20690 0 R /Gabc27905 20697 0 R >> /Font << /Fabc27906 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}>f endstream endobj 19558 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1810) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19559 0 obj << /Filter /FlateDecode /Length 3887 >> stream xn$ί賁iɀov!iu^_==x=&]Ub xI._glKӿX|2|LnAPp}{iwvv\Hi=]> nv 2gڝ~\|uy'|]U?~Z ݿ.z%#jg\5%_]#0+,1gbfκ/I^ IoBuZDm5t`fRۏfK\IsOR0h PoP—4Ĉ؈uNLJ~\Bʲ!5&Z V"F[}D 'OID&(kH@SB ^`8ϘGڀhf,3!JjYu!\ikǍIC֮٥Z a Ь3k[< } iyϠbJ*chF5{= ]ixVӍ$s۠ՓB[q1y-T;@fwyuR}R!^RI=45uU@ktF5X@+80X}c%7d{@=xW.w[7l3B}lFۉ{rqnKbDil=,Fi eܸں00|&taA{Ys|gAcٲ>Gg]="m9*m$ϫPVy{6qTK~X~?ĕ5ʿt GfF@,/܏#12>Ḛi9i1UBhr Ke-qv}<}@ %=Xa;Y0HZs#xx`>C+@>eE^lCK DhP6N)ߨH$xfߩPH/℥3435 @1]ښt #GםiLݵCLQ^}o?E7ިyKNHtbdWm>i=a#Ws\ܝN5@q𺅼aug^mvirvY V-t牟5 {瞋aJ8ҐF6S[.ua)lnԅ,oAVU6~5Jv(v2=mrk9ELH.oӮ1[`ź(8Y60o92g$e3%WKym j}_YF$0Nj}agiXL)T =J;Y}>y=o$wO4 C~9,d<1WWa$ppdv1Y`<:,qC60R36iHX2!Gx3aC6 N!;!b`B)`aqc1[L+OYGs9x"sKcOEL%wNrRL|&+dblv%áIgȰTuڈc;aSP1[tQo9qW\L5#tϞg/.jHdUG4hP2 @"y[sAմy|`')Zy KG9~bxM/H 2н~{s?ӬW5EXDIȃ8Ituz %A4U}'j$wo9g(gT*:SC +/eX9YAZM-K'p .5Ե~rOG[b5+K)ykܤݜP}*3! <Aw/2,]NЍf(vW/mPIͥEM:3f2RQ홚0DVIsmz'Hjjo*즵t*.5S8>dӉ͵Ui ![GylFub犻EGqy,(C0=_C;5;viq7J~={1hCakġ>tlJƾaB^oiZڴ#qͱ F6-.\ؔа&wUmnHn+ُ r9=ǁZUH0KI"RJmw(ݑ +;0~7eWd*Paȧ/XF0R,oMݨc>~ܯ|CYnP +obkvǺMa  qс1q5), ֔ @55/XrHꢑu [tㄶ4T<턅5Q}ZlA/{5QYq n,_ĕ_`i20 Z.jvm]nҪdcruIr7#x%~Tp qNX7Ћ%R0zV zm0L_ԯ`I-L/_ SˡPz3U/N>*ثii2gBm ۭ{+U3N ~DPz}riJ5xQ '$ɘiCvwqc^:=%BN*ڏʜE~}!_#V?|aM M׃:jeYlYhe endstream endobj 19560 0 obj << /Annots 19562 0 R /BleedBox [0 0 612 792] /Contents [19569 0 R 19565 0 R 19566 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27922 19567 0 R >> >> /Type /Page >> endobj 19561 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19562 0 obj [19561 0 R 19563 0 R 19564 0 R 19568 0 R] endobj 19563 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 582.9 194.4502 593.9] /Subtype /Link /Type /Annot >> endobj 19564 0 obj << /A << /D (unique_151) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 566.6999 195.1102 577.6999] /Subtype /Link /Type /Annot >> endobj 19565 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19566 0 obj << /Length 19 >> stream q /Iabc27922 Do Q endstream endobj 19567 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27923 20690 0 R /Gabc27924 20697 0 R >> /Font << /Fabc27925 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJ׬M CZNNٷGӮBxg(&FEG7uߊ dE4 <~UW<7!fZ5Y}GܱYZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nYu_//d"W-j}'2gH5<a%xp8!t2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoD endstream endobj 19568 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1811) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19569 0 obj << /Filter /FlateDecode /Length 1648 >> stream xڭXK7WlhE,;r9c0^UԭmKRW/=ZN[/Ԕ}N.Yr9}M X>Y뫵Z<'<ԟD% {SCE?h~<6aN>'K]W1Ox8( ї/Z!1E&A;a.Y&_aKn)ɄZUw2xN2@^kA5jz' 1ǂ=Omƣ/{BYw!Ex͎[Tnw 9>W,/wV;EEFK#.C"ZGG-cNV%¹MPN-YTR3{J#v:wi|aIUiB<,+t:uMq$|(㎘fjCfi3J~R8;wy1T(;ҏ~8:L3$q!.kܺЄ)LqnaJB8Hm#ջlٗ7ݗt}iWֿ4}|i!!]C .6V|xq|W  lm&61uE汾ǼҫvG4k;bCuͅby gه=Ӧhn$jwLsi'uz' x^ç1\ʼ!,ݯOKM2׀;i"!=gc/kE>U^|x99w2  @%TLSN endstream endobj 19570 0 obj << /Annots 19572 0 R /BleedBox [0 0 612 792] /Contents [19578 0 R 19574 0 R 19575 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27941 19576 0 R >> >> /Type /Page >> endobj 19571 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19572 0 obj [19571 0 R 19573 0 R 19577 0 R] endobj 19573 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [90 410.725 123.198 421.725] /Subtype /Link /Type /Annot >> endobj 19574 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19575 0 obj << /Length 19 >> stream q /Iabc27941 Do Q endstream endobj 19576 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27942 20690 0 R /Gabc27943 20697 0 R >> /Font << /Fabc27944 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19578 0 obj << /Filter /FlateDecode /Length 3975 >> stream xڭn6ί9I !i"/0szVL["EdJLxI>MLO`RǓ^_u~I>&7M=hiwz\ңڎ >rl=NO?O/+ወv2ۍ0 q ֬L?Y`kw²i t(DV5tjqZ?b3s`;Y}wh0x2w&TKx< I2Ix FH 45GJ8 g48ʲãBcx Ԃ[Ą 2d kL^YPR@V|dsY13[MY>Mw0vL%l?5) >^dٮKցjãz[bY}0x>뷿&Dӟ?7 z 2>Im,LG@x`AjMLaeċW_'~\0pO)ptDwinhTF0 `(7.HQ/ ish]<7E &gvfu Y!#ˁbʲP:=Œ4m/[1}ju 8: bYF3{ iu2{nYt2ԅe࿮9܂Wh:l4@ۣaeF'ο3Y^A92a.&(}/hΊ x[tcOjЕ^H 6 Q =/' XȓS,S/@+xIxIBT`CKF(DS@ZDL9!WLAì.$?4xpixitJׯ?s$d?OQR=/-ױ-ꨥ;B+: bjV0:3e2Ĭ8.P1R!W֮%]i<-@+ԛ4വtUH]X*f#Q>$ iWzS$}80n\V,CG7ėYXשe.vm# 9fM-s_A ڍG=t>뀷- Y&:'1R=RO.?Bj˥ hy*[9?Ksu,^8/kX&lnU^ЈwAU9lUuO!zv.dS Q, yAr M67FHۘr$S!ac6RO-69RA0?qor|뒬=.A%*L=ii C(,τэ~VexM>"sc4%A:0BJL| >őʬ >l4*#ƫ[@ݓ%i&e=3r2kg休A A).\wzMHNu#$$؛"9YjNr]6X`L7ExĻ˻Uoa&w 1ZfPqeDQ\̹降NK <\,H: E3 Ǹ}CV35HU}@pMew|/Vϰ}3'3a0 ~x[^B}EK$TPwܔ}ԝkb^<)==D+v Q.#'uhjJaJVBW7dD7%ӦDxT9P :RW njRA$lq 0&.1>L+bfx^;=~&TZb 1> hxvdiNnՓ{G ;JrF Zu$-hMӆ8۶~(.~^^{=Uu#ղ[;S ߪY{)ӭ޳B-sc6dl$9ͨб8+nsdmݍ{z 5hR2f*uBd(|١A(y[^a9хqk'UPػ^urRt@j왛t6;f3m66b߳,aG8j_7`h5/0`֫n.t ]'_}nYB_(TXoOOϲ]4%ut7@J[ %듓Yy(1pbTPc3oW>)WWٶzkE@5=S .j {2)Qz9α J>5Eccݦ!\`L\L x~ldsXVYb4,&K0(0`L}pc2&.#;phYZDJ13Һ $02f{j(ᮮR8",xsp=P.SS'Ĝ0Q#Q$1XΏ]CX޶&6E/jҽl619LT m/F)3YAdO,\(@)s0 x-ϐ\Hkzy8s8u2@֬i0@yRb/wQ|5Q3 [8{C#B!!> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27960 19589 0 R >> >> /Type /Page >> endobj 19580 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19581 0 obj [19580 0 R 19582 0 R 19583 0 R 19584 0 R 19585 0 R 19586 0 R 19590 0 R] endobj 19582 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 582.9 148.0577 593.9] /Subtype /Link /Type /Annot >> endobj 19583 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 566.6999 180.3482 577.6999] /Subtype /Link /Type /Annot >> endobj 19584 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20210608081201-08'00') /Rect [104.1732 550.5 157.3967 561.5] /Subtype /Link /Type /Annot >> endobj 19585 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (update_ip_catalog) /M (D:20210608081201-08'00') /Rect [104.1732 534.2999 191.3812 545.2999] /Subtype /Link /Type /Annot >> endobj 19586 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 518.1 150.0652 529.1] /Subtype /Link /Type /Annot >> endobj 19587 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19588 0 obj << /Length 19 >> stream q /Iabc27960 Do Q endstream endobj 19589 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27961 20690 0 R /Gabc27962 20697 0 R >> /Font << /Fabc27963 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫çCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξe#SZ8` hT:VEA ^|v,9)C ( Ρ\_@E ۾{wQ endstream endobj 19590 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1813) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19591 0 obj << /Filter /FlateDecode /Length 1639 >> stream xڭXKo#7 W\`z?@l Ef)GR8GbII֟'ruY9cҔ/A|Z45sI{3c0<g<4@y%Pqn.>Կ|1B8 lB} J; B&p+/w 1d'r3 fǽ;aIqkxʺ-%!P#={lӐ_މ :fPΈ&%a$0Y2ٷ>%~yB^H{~˘ZF@a}!7n<\ko-if!gg%o.\5f- )u|Ei~K??R PceQpd7OQ_?h^0X|v#r^3|:8c(?P^e)QL l=~Bc-&1:>һY^}`Ju@܋TĴIO$"-(jiH 916m"?ߩph1ʽR5K-"]=vJQ}M [A62l]O_?\+̵!)%%6\4bFyư̂\] WdrsEG g+<;xbv9[u,ihqNDm XjzmaGx oF]s*(ܩ[x3ٹLJ/.C|И L[c#7ԥZɆ4ld0^Y?'⎗^jQ4 w#6 `86py%S޵lFF5jc"J~ ׈9&hԺav)̰PVSm.jY J+ksEyƙ[LUc^wKVݰpG[$˶iNfxY.s:3f4ށ`lYq{!xx3XiWBڧE+IR+] pnSҨi KXL(5L!eo~ח=q J\}mGnSxN|CS|r 6.k%g^BK\"~_1M!%Kx7ct5YX_cc@%JI'sLHuQa xcܝIGmtI=&Kxb7{4'liG ƂGFސtˏ^k7~(d;ל~\+KWcĆh32Yr%եb$21 endstream endobj 19592 0 obj << /Annots 19594 0 R /BleedBox [0 0 612 792] /Contents [19600 0 R 19596 0 R 19597 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27979 19598 0 R >> >> /Type /Page >> endobj 19593 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19594 0 obj [19593 0 R 19595 0 R 19599 0 R] endobj 19595 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 319.925 137.278 330.925] /Subtype /Link /Type /Annot >> endobj 19596 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19597 0 obj << /Length 19 >> stream q /Iabc27979 Do Q endstream endobj 19598 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27980 20690 0 R /Gabc27981 20697 0 R >> /Font << /Fabc27982 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p1%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟB>e#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMXn endstream endobj 19599 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1814) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19600 0 obj << /Filter /FlateDecode /Length 4432 >> stream x<Ɏ#w~EH> P($ v>>@ nJ`t܂1 jEb6ץŻ%iR_?g|ej.D|y]j]vVX[O'8 X; m^,] L5QH8Dbd'` |*P9)Bf#5 󊄝>2maEsDXs9OPZ 6N~<P\ > 4e(V@rt"qށ[0+],B\p'KY:w9.PC/Ų4i2Y#87Apf:$q6y@;eMt!7 7{,P9Sf{v.&G.s?Oϰ󬋧>@H8Rnf=~S & ,=b[ܷQe'{}me. a985ec2}LrW/w&(wA[VTsCɽ0/1d*7g(w4bz!?"?nDɓrC~\ v9؇L)yllb1c)m"U gq7yz>֛ tfe xEA )e9[H*72'VҨCKLwm}6-jUps ^G]-B&|kAnm4f3eW:a|00X@uOg,MSŴ)J[B0YTL鯺 Xע[hc`;U%HBu8W⡳ۯTS{DAA|rZzv)iGLҹ`o?w[<ԄM& ۀFWw ս0ABP2 Xڍ@B^y^ /Gw7N ̡+U3.LJ8ƶ<{& ЏѝJ"zu1Kka) w@zq8f|^NYlw]C+3ߔ~uL@XjBp-݊|U{:)yq¹atSኩeS2 b_L+Y 9XΒ@2LSvǒ}b`zȅc ,chvR*:IKPB%1e?AW"3"Fˤp襈CC]HiCgzN\5=lPI@CbD3\;l%z@rT*EYE5RVڝZjs??(=#=*b(w(R!E|G+l`mfv}t7aܤd\Mto9NG7C,SL`KoU]u'19B7rQ $K<~7BCX /zƛ%r+8,en' g 3FSPҺ2h06#r j9R\-j}ah,%*ݔbu,8[[VVԗט˚gGi gvpM!N3JЙZ[:blzgoĬ@d _5f_X^}Z0DSE^(^GI+"zkҦJ6(Tś.Of26$M ZhS]^ORwpe,]6١oNMbN,Z39߱&Tف G|cv-]!2:nGbK/5.nr` UXGt׊>LZ@'1DY]+l8P?\G}e Q 7[OoBm>&kS{pa0Jrvv~[VWnC a ;Xazs&, b%񟢍YRIH65b̑Su-ptKbB98}T=:\7O<|j5,#]f4ZKy|Eu\ME_Z4Ĵԯ%-1͞OY|sMt^zed38l[R؞cit?gi.Ip}s _ 5P: WpLf;nZ4:/]޷Y&xC@2o0cOE: 倌yQxp>!8kt8S_0FnJ> %(ؓ69E9 J{s¸s;_zk EVf> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27998 19617 0 R >> >> /Type /Page >> endobj 19602 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19603 0 obj [19602 0 R 19604 0 R 19605 0 R 19606 0 R 19607 0 R 19608 0 R 19609 0 R 19610 0 R 19611 0 R 19612 0 R 19613 0 R 19614 0 R 19618 0 R] endobj 19604 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 257.8308 197.6017 268.8308] /Subtype /Link /Type /Annot >> endobj 19605 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 241.6308 190.1767 252.6308] /Subtype /Link /Type /Annot >> endobj 19606 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 225.4308 187.6852 236.4308] /Subtype /Link /Type /Annot >> endobj 19607 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 209.2307 195.1267 220.2307] /Subtype /Link /Type /Annot >> endobj 19608 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 193.0308 192.6352 204.0307] /Subtype /Link /Type /Annot >> endobj 19609 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 176.8308 180.2547 187.8308] /Subtype /Link /Type /Annot >> endobj 19610 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 160.6309 177.7632 171.6309] /Subtype /Link /Type /Annot >> endobj 19611 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 144.4309 182.0037 155.4309] /Subtype /Link /Type /Annot >> endobj 19612 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 128.231 205.2137 139.231] /Subtype /Link /Type /Annot >> endobj 19613 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 112.031 179.7817 123.031] /Subtype /Link /Type /Annot >> endobj 19614 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20210608081201-08'00') /Rect [104.1732 95.831 168.2427 106.831] /Subtype /Link /Type /Annot >> endobj 19615 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19616 0 obj << /Length 19 >> stream q /Iabc27998 Do Q endstream endobj 19617 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27999 20690 0 R /Gabc28000 20697 0 R >> /Font << /Fabc28001 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy45ۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19619 0 obj << /Filter /FlateDecode /Length 3062 >> stream x[KWamO/ i 0sٿzd~$CfHbWbQߵ__t:],V7u|z ZmdL%eӋ#<x.pxƻ+ÕWg kM&pO|O2U@%)`I$\0puJoA; ]*M_Ipل =h!O)XDW:,M(b u46q!YUg>hga"&9 ŀ{(K 33d Y'}Fcɨh1}b:>6 KPGV{r)aԔD T3},\D;K07.%#gG${HɂhY B5wc8}\_@g xf|R9}O;pm %`ie@7q Nal`@QD81Ȱ&w,7|>4 ϧHxb?yr ML0gt(FDOuK`[C 2Cf-b?qt1<&BC=3x6Xjʚ"#2!#>-"Znm˲[BE>,f@5}0B}n\TS) r)8 i\γ/hL 'f4baH$DV%Չgi^x>_ǟ޼؁\/[֠,w< ŅlpPUZ#1 py$yQ5B3I^޺ao!`-ވ7ܳ(#5z>$.$~M̏vv\gJf`~VپL6 [> Uptd}/NmaQS}kl^򚉀K#05%7K?:<# f5#nWxǕ1i,k/7AmRݯIER3 d5$ e;;sq2 |if7"Ǹ$DJвv|xGu<.͕g?\:ojk׹1oPiv.mfnHS-x*mmNŸQJߵSYl$L f*4ޛd5q=<}ENChm&DְԄ0'sa[+q%oOҏEROL Q۴JWaލ׳az5;ܽݙϺ1{M 4j|{k׼/ CcV gTWQ cZ1A0 'گ^ ǟ(}ȴAS& +5]E 7~ʹFWբ0ۅ !~e )Y:RPX2}5UW!e% /Lu\du\Ɣܳ1&e1 6m'tHn1&&W9W9/Wk;Vf]ݔ:s[isM pqM΍DM`owG~H0ivz;56ث!wf Csc<r}TEC6g_$ DNS r 'j;7HQse4koF-^v*AhSԬ09)f* VUm&=fGLT62/uw33&lї ȅ7.)dgVbWFl X^qxf%5&%/RhsϪ沊,:9A*PUaGBK5z>s| 1gp P&bH`#$ʪp2+42lY}B/m0y,?'Ivc ݥב4lw#ڐYX8MΉ vјD) endstream endobj 19620 0 obj << /Annots 19622 0 R /BleedBox [0 0 612 792] /Contents [19628 0 R 19624 0 R 19625 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28017 19626 0 R >> >> /Type /Page >> endobj 19621 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19622 0 obj [19621 0 R 19623 0 R 19627 0 R] endobj 19623 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 386.725 122.692 397.725] /Subtype /Link /Type /Annot >> endobj 19624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19625 0 obj << /Length 19 >> stream q /Iabc28017 Do Q endstream endobj 19626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28018 20690 0 R /Gabc28019 20697 0 R >> /Font << /Fabc28020 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n` dЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽? endstream endobj 19627 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1816) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19628 0 obj << /Filter /FlateDecode /Length 3516 >> stream x[Ko$Wlie7; $)%?{zFjGdWWY~yӭuuXeY9j!s i׋k{J?^IoݽUIN6'-P&^Yc [ӿl4y ɬk^WVSlj`N:wyfN'g>=c;.D(W.DWjh+=5xG+ܷAfAxd{59 |z }Hɬ19ʱ萵eh;B^rx+@ Ya0`PuO0^3@ M\(o?ӈAi' .Ā,Ffm` d F JHULY :0,ت75 Rm=-ղ @+( b+a>ص65zj1i[8P=uj!8;[*ATq T\Uh-+f#w֛#b nC>X]Mc"J Y#z =Fj& ]Ŭ>rE/1KN$h ,W =Š iI:D}줟:.QO`ocAn T$Q.HХJžA9rX1V"ȧ38j8kqǺ}B{d)VO@MueG}ŵ] 'J}oXi{3t40^Ŀ0X 7n(X꽭a2j\vz^=h -; V66f+M BTjY +Y5V42J4p=}d+!\`UB✕ywzbW1׃NiLݴClz/GZ{xRYZݬoֽYFst7!e:Vƒ*e.6U*{YMƣƩ] T,@![,YhՄccp(n9:[pjvqo𶧼zz+}ٺ޲q.,AϽWĽН~aKSG.J)a`;5gu Mepͦ@@[ֺ󣃏Vv.fN~E0I!=0˖X;ʈaLCk?i[}ZA&eC-!r5mZPbysjIR%L9#;Z%쥉+s4>_]$ucbH= rYRlh{͍COMj\*]Iˆ WDz`˦Tf3pჃ x;fi4c&( ixb|n*qCX6|tND2j]XnLZ^m'"%h 0ZW0+XR(-l\ӄ}6&jAbݽw5 ̪X0=}ez,km e,V7mΕ bб7tl(O2씴Pb,\|d^iivbvs Ғ< ͛x0omM6eh=ȑ"rUI-1kҝ@ee"n­bJG+>riypn5í+ܳK@Ƕ =*gٷcQ^qeLS wojNJ]˨;HqDbᱩlduMn1#[0=2?"hŻ2mrZ;`|Fxaeq :Q6q{J^~ؽ5oKnk-ҝ%(Vmª֚Ml]iu:W]\zy?,c9i]훘-g'gφWGWegdf&5yjܴybM]׬|'\AAI#9hcl(uR~Q']є5~z͑>;|\*9ƒ4lOx cњ|Vœ󊫱OJ\jToi;KuIqe[*&X 4l7 U ND2FZd20VLPtsuιyg^N3H (ݥqxL3&L^ղ‘R*\ ʽM3h:$kK 6b筰L}i,閙,M^0fʓa!.'`iW"b/#nH}$cHU2Dl- GՃ* ʧQ>QSj>a!ZН@<tOH j55es_ ]]+h E. ?+k%Pn){ey 硬kZǎ("6UĤP^*J(>x/SM,F ]n29\Rc &1š{g5L%MqEls%D?qs^ ++ո5IN8e;R^ۅtq` 7 ByG>ӳ+|||{~ ?'IyCdܺcR[i'ǦyܢL'~7nQ#e %P5 zʜ޷[q7}LjmP/Ro4ڟl4Xv+V_щ endstream endobj 19629 0 obj << /Annots [19630 0 R 19634 0 R] /BleedBox [0 0 612 792] /Contents [19635 0 R 19631 0 R 19632 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28036 19633 0 R >> >> /Type /Page >> endobj 19630 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19631 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19632 0 obj << /Length 19 >> stream q /Iabc28036 Do Q endstream endobj 19633 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28037 20690 0 R /Gabc28038 20697 0 R >> /Font << /Fabc28039 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7QthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1R endstream endobj 19634 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1817) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19635 0 obj << /Filter /FlateDecode /Length 1353 >> stream xڥWKo7 W`Tw7[z(rrŦ@|GlIlJȏQ3_h(OzNQWG,?Es򴩆l YSs A_>Y뫵hm-烾 0ޛJ.A7 :8c<`K] s3΢p<( 'kgZA{wׅuX T3-0X,Dw:O{k=(A0g `g6B!3"?Y8̕tPgd0fM R2"9gbں xo/곶hȱlGl$MFB0e**i:U(S _1?Ѓ(N[BX|Ɨ6u&-z \3HE{pOau#.WF(#Ғ]jGL`Kl֐^5);9~BM d7ǒ)[:* ٖJ!O:)ꪝ7 }xDb1{–\k . [UN&#{Pb,D^\}ߞ;խ;g);5m3U,Qw˘1gЮ ZXZd襼`\3i,_yh{!QP nyÚ8LTEt^z2p7WpPÜśXvbpZ~ņTڭ=YG6޶wxp5l4X(WOm6s6SSPU qRQ|?O}km!w#mk%9(nH?d<'_?!Q걧VpS U5,JI&Yg32ִ^,;=u~XU(TuNa4$;3ش-ے=\ 3r! T(ncY6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28055 19642 0 R >> >> /Type /Page >> endobj 19637 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19638 0 obj [19637 0 R 19639 0 R 19643 0 R] endobj 19639 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 415.825 137.278 426.825] /Subtype /Link /Type /Annot >> endobj 19640 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19641 0 obj << /Length 19 >> stream q /Iabc28055 Do Q endstream endobj 19642 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28056 20690 0 R /Gabc28057 20697 0 R >> /Font << /Fabc28058 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7Tӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱRwm-־0ZOt⩔L$u$r!o VNsV7Ӭm?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM7 endstream endobj 19643 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1818) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19644 0 obj << /Filter /FlateDecode /Length 4051 >> stream xڭn7ίsf&hf<rs@M k{8nbdE/ Y>?/N/-IcOW|j.D|z^z\V͹v.o>淳6"יU毥~} k?O.>4j/WtTBaKIIe4z zae?L:ms[\&Df{\|R( a5p!ORqj>(]R6Zz(C@KQ_yޠP*iOCkyx\jm_mm89 pm\4J6 ob(9([{xŧdyb{~{@ee\__?NjYX.<JyK~?j=HCp&q+],_a0Np=O· `_ȧ[X8> %]q "S'wО&Sd~ (QVKCD%abQr|Bz,~Xw5phZem謴szT Į6 H q5#.rTĽSYxe*+\^(}yz[9@jm72@HlVq0cɼ4XڤΥi,Ʈ*A6B|7Rń9q'FJЈ--I&[Du E{UsG,= nnzS\-P\HD$-9Nm/IL5/dGWh)V8Bjh2xB,A%T*! Ͳn2_wHrߦ !Cq-j*?7gS$Dʘ?Ll\xB413䊐0Tf?E_񚇪+ 4:DpUO3aYpo }dXaԩ{iw;{x<'Q|3)ӰjF̖ Pe.r9ް/5ǫƺCuSx=G>rqʃKH ߢmx_\*n֊@ϴ)#2uKi1uk}K(4qP}faV" _$+YDBMGALrǕj(̠Z&\&g/fFa ܸ\.tJ?6v3H~ʣeRmRk_*?]/訥:rB+KZ25Kc NCebAfpk+qY3F0lƺlIWZ!:΀N2=*piwex*8mURW*Vk)+zf`؀uУ@DZ˟ e{z; T2k}R\.&uK$d#&bpKa.: n`؍<@ ڵԧ EB q*EƵ y"u#QLݤ{R/GjqDGZ{H->%x:8xVd(Y }C. }gFFC=hܻkñwNn~/7IWzi@3 i0y]b  =2 A,Z56;2vBR&X,-V!IZ6\ LJXʳ^i+K^ҊwM 'T3*WXg>SS(?:UMfz~ދxC`iDRC6eMakf>g̣%KuWvռ@Ö?uuc#>LذtB$cFee lZm&^JE#é%kFQf8k,ovl7"'Lm;ΏJΛq>V[,R LT+ <8 +=缜jy? L|B=DրL "O߾x3QU%-v5s':I5kR\.yqXvonλ @ܦh)Uh>] OFшY:28\|zX \}h cGN5"1{1Y~f{ωZK 1(Efxhr.XteMߕ|f]ģpdⱥ}e *^hUŋ./63\M2xuiR;Akh&Hv CG]lk8QꌒtbSr0>'UaC8jH ؓ Pq|_C 9Y LAgA؆|Bl~3v>iěoO w ^C#௏Miǎ&R.o_6 ٹ0=6|4#~cv6\B#6NY?$.Σ\ṩ.#S!00v )$%ڽZWxg(RYb8iݹ;r+cݓrhCTÈ@S)S=[ ;[iAnz}!NoZjDoE֕#l'0j0K~-S%]݈Nn.߸1>~'pڈU^ Ҍ,804Wlr` L0ES笫(Sh nxAadeFfGsa?+L99nQZ&[Vt1B!jq*A`U=evc^ckVl;w\?7#l%w.(L:蜎N}W6A8mb>nNyyiH3@Sc|E u3_+cܼIj(I &ᩫ5*m4d9= 6vRX: }M s |,RL+4t(j.,_(MEQr#IZh/;`إt8n@s&s|_ށMi_GgT|V8A | ?rL\6fx ʖ\\kJ/jޭ`TJ ZC\ݨܽ/؊־穘&h]Q,x.||˓#yѦ"hck]ieრ>[8.|κNDXpK4/tUY))mw(o)Wvb㈋>! ]cǗS.FJ9J_ن'60]7:\&2sM`"dz<(k$2Ia|?]5ݏ:]O+''O'y|W\`MrɸٔzYR:^?NkM!:ųds+(!Mׁ8۲^H,|{O[wQ endstream endobj 19645 0 obj << /Annots 19647 0 R /BleedBox [0 0 612 792] /Contents [19660 0 R 19656 0 R 19657 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28074 19658 0 R >> >> /Type /Page >> endobj 19646 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19647 0 obj [19646 0 R 19648 0 R 19649 0 R 19650 0 R 19651 0 R 19652 0 R 19653 0 R 19654 0 R 19655 0 R 19659 0 R] endobj 19648 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 376.6423 195.1267 387.6423] /Subtype /Link /Type /Annot >> endobj 19649 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 360.4423 174.7052 371.4423] /Subtype /Link /Type /Annot >> endobj 19650 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 344.2422 200.7917 355.2422] /Subtype /Link /Type /Annot >> endobj 19651 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 328.0422 199.2737 339.0422] /Subtype /Link /Type /Annot >> endobj 19652 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 311.8422 180.2547 322.8422] /Subtype /Link /Type /Annot >> endobj 19653 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 295.6422 159.8332 306.6422] /Subtype /Link /Type /Annot >> endobj 19654 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 279.4422 155.9997 290.4422] /Subtype /Link /Type /Annot >> endobj 19655 0 obj << /A << /D (unique_320) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 263.2422 198.5697 274.2422] /Subtype /Link /Type /Annot >> endobj 19656 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19657 0 obj << /Length 19 >> stream q /Iabc28074 Do Q endstream endobj 19658 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28075 20690 0 R /Gabc28076 20697 0 R >> /Font << /Fabc28077 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4~m~oC2kh}'2g("_@9 ?ɜ Fp|s(dWLj$x2UPcY@wP&]@p94C-Zݛ>V endstream endobj 19659 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1819) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19660 0 obj << /Filter /FlateDecode /Length 2754 >> stream xj$_JǾ@!E5[fN}bTT=%R5Bo:n﬎A ~M_.E[>駯{cl}6C||п觿P3K{c}5Ƹ/Oxz`刓(`x=hg/ GiLJg]eNI - ~߀ p|2&^;6ė; ll8;ThgT">b+x'ᢵf!)XV {FĠ x(Z4m\D%lX|S5EhDkzkCz"a#6Ƶ|v^Ȩޖ}91(k\_C,JlZ4eƃ@’LdOXx% AE_3I%F#:ʰ"MôF):%="Pm삉øBhPVR}#:Zrd l% t{w6ˢAED? A2BH>kI5U>.hlS!jV:P۫ZcU7M* qvnIײ\gezИVgb^ռemOK /Q|w2>v3PuoJ%,9ЂX0*GABLei[S{C W E1RdA=ņ ':IlJWi?>.>-'gNDu /jZMKݍ{IS5VПxo$ \]j,\Y)& @ -\ SjT6c ٶ:s1з ss5XIj9ok0::JFGl}8D].S6%PkdVI:~y|Rߠ!Zoػ;S3]NOw~] 2t{rr ǖb)\;)TD!,Z㹀B:ŻPZ S(Sׅ!qIT#:`-N^$,qDq"lxJ˘cM)\r_Of~v\rV*Əf@9sjXmR8<7\j_K>4?:Y;].2boY7dh0Cx0 H__n~^eBamx`A@vSʍ\=9$wD7rOw>?րF84] @Ae]zFĬ&p% f3FX̩_/MtOjHv[MrLjɯ46K@jJK}!QX Y}Qy8t>@,LʻT8zyUJLJվuì+:E7GCcL搤򦳓T$kl:˚_mUvk _rLv#8G6KLV gi@ɫd:[+Xgr:,a 3%ygYџCAׄf V:. $`m6/ܤ\\M |E?@<2U36î;J w(3Źhs> _qϻdy1ГMq>H):N5D,a2Kq ,gZs ʑu8 pZKG2[%ZWAJ~ fhSީ^ 6x3q3 UV˓=)#4oYZ[fK2״nJ`G[$3d4 3?;+$@gFPbfI#jv3+2B}Oz xv8h5mN28rT^ȶ1YFiXڈ)`g_LSOdC0#C+|yܜ[26}31D q 𔕆$('BG>-wE&d#+%΃7aGd@ +Oj m sFd'4䕒jHYs*W۟6WY+}:lN;1s;e3fOvj\Au!Q 4Ѳoy!Sspg$-v:C3#|2枤 .Ui,@ Oa9b@c\DT~pe;a;")_2^x`:͝$UciK-h$;1xo+w56Ꜿ{_BAc,7}Xx#@]t09w endstream endobj 19661 0 obj << /Annots 19663 0 R /BleedBox [0 0 612 792] /Contents [19669 0 R 19665 0 R 19666 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28093 19667 0 R >> >> /Type /Page >> endobj 19662 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19663 0 obj [19662 0 R 19664 0 R 19668 0 R] endobj 19664 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 415.825 137.278 426.825] /Subtype /Link /Type /Annot >> endobj 19665 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19666 0 obj << /Length 19 >> stream q /Iabc28093 Do Q endstream endobj 19667 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28094 20690 0 R /Gabc28095 20697 0 R >> /Font << /Fabc28096 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n` ӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }')WJIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ 5Ժ@AC5N tweBC (cμs?`|۾{wD endstream endobj 19668 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1820) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19669 0 obj << /Filter /FlateDecode /Length 4040 >> stream xڽˎί賁iM6X`gVc79 $mOyIB vMVId& H>OLO`_RǷ糟:?'ާ?i^}};d쳒:[|3=֯/]Rwpds]҇x1:}xS_h8>OAb?M_'=%'=kg\5K4o(;W`>2?dF~0/K\9Pj)D|y:ZICA86#?_yJOzY>h|RP[3zhΌ(get @ 0!;r ?c'xr_WP#yV|D_Vj3 &N_9S1Q^䵀cgap 'mlzN9z#sfg:Ux*=wzbŅv- ,)"c5x02͏iXKIl9y{~c AѴPCHDb :JS*>Y%^_ /qwpP"%foIa p_l_&\.{@0wZ[Т_oD|Ah`B'[QwD"PNX4 k΂fWJx=࿘0f_PHjڲqfoYU (pRR耕RĻ5OQr65,/-ϱvӋ:nUx`LnR'0lbp^  ^5Vj42B0Pud+af&UbKJ.]ޥXuB|*U5Sw7j TSq= vWzS}u]-w$IY 2$A%H*,"[]*a̮YV:ьfWn)΂=>vCbC4-IB<, :uQ%,tWJ˚H2 ̜6Ǯږ=[QHw%M%ي̓0?x ܩ3@(%VWe'YG@n$IJtp`.E`==Bٵ||e-׶ *CF{9}ʒ\g= .-Y^8ެdFk@K9qVT̴6<)  mz M7 Hئu7-Jp}jLIB % Uɀ&=~dte;k&]Vafџ{Q/?WrsΩ %qcZPCYyҤ'MS›Ͱ7{#pԌ3ga`NCW PA5n{R#T.󇦲0@TPF LmkvRݙ.*iԾ6iD昱HFz{'(oPa㚳"ޑqJ۷i"sީFBSܥ*L|j'jO7sc0$vb$˜/]*@lշ)IJInN]]8DW=~o:Ɏ"UyV:p?7,!rb{!,irǬT2sC3B,i X8Xխc0%=`Vf~Vi9{:<%}]v'`E1U4MphN'(8\JT[dWSFS1z.m66U uĦ*4xY!L\^ыrx'1zr] CyԒfgSgӻ˧GV,&;eԡ4@1[Ewf&p3/}&*;޻'vXS'ѱ;亲YЦM abmRzd =m^, kXՃE1J@̰Y+dʖqK V=[.ɵtlNELRuQUN{Y.>lmɤ;OwkE2 mF_$fOUpT )Өj~+󾹁sM'.}!sT5/a1nFsy5gn+cUWy%7E3&?Vn`ޔFkrHlq k!NmnwUUC qvދwPCj[e9cpgRd",29%LBˬ3:$=CvX]uNtg^*+@Sc"S\>Oi%I)ȉ0Њ@9ҁ]J4kaSOwSWko [vuL-/={(aʇ "π(qё*r2s(]Xz[)b"`9j>g539[ͣpӿx 2&p pAiƌ ;0LeNMs>' !P5X ԕf}M8#:{[1M7O=|mHlD T&Ą9bdMMYPC G(0t*pt4>)V~qnfM+̨z| Yf=CLA`RG(ŊJҽ/Ԋ"ke( y9΅Un28\Pm :1ەf.rP0}Ob\ޜ:6\ҹ;=MZ,DpO4)]w'b6/9#u<:s+Azau~TF'3cig\3 S V/ݵD y@}'m|"x6t'x>¯<_>?n ,}~A 1WoQ,:!!KD ?M qב&t9~jDnzBEAl(W iٕ{e,b"颕Vfx endstream endobj 19670 0 obj << /Annots 19672 0 R /BleedBox [0 0 612 792] /Contents [19684 0 R 19680 0 R 19681 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28112 19682 0 R >> >> /Type /Page >> endobj 19671 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19672 0 obj [19671 0 R 19673 0 R 19674 0 R 19675 0 R 19676 0 R 19677 0 R 19678 0 R 19679 0 R 19683 0 R] endobj 19673 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 504.1384 199.3617 515.1384] /Subtype /Link /Type /Annot >> endobj 19674 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 487.9384 195.1267 498.9384] /Subtype /Link /Type /Annot >> endobj 19675 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 471.7384 189.4397 482.7384] /Subtype /Link /Type /Annot >> endobj 19676 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 455.5384 205.3787 466.5384] /Subtype /Link /Type /Annot >> endobj 19677 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 439.3384 185.6062 450.3384] /Subtype /Link /Type /Annot >> endobj 19678 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 423.1384 190.4132 434.1384] /Subtype /Link /Type /Annot >> endobj 19679 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 406.9384 194.3402 417.9384] /Subtype /Link /Type /Annot >> endobj 19680 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19681 0 obj << /Length 19 >> stream q /Iabc28112 Do Q endstream endobj 19682 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28113 20690 0 R /Gabc28114 20697 0 R >> /Font << /Fabc28115 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7EthǬ_{9;u SJ* ,䪍(?wG?{`f ZnFRBqAeL }'Y~IdJW3Wޯ% ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4 EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 19683 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1821) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19684 0 obj << /Filter /FlateDecode /Length 2184 >> stream xYIklWhp՚( jp5d!!ϛ9t]&6 Gu$i JU6Sa߷YzY}L Zϯ;k]k#_G9G8?_e.[yκЬ~#YWa5֦^WiayωcsYh핀˲t@ K b`&89U;ca)X8Z'] މ~tt&%яGr9[S'iP}π}}{g׍&Z; -.fF8笇hj> Kw9:xɔ);DL.1sl'~SLcbLB49)Ny *Dה@)GKθS.y1>[D6˜?:({P^b*fZ1{@B1#w׽75\ocB ĝw9."wAN43 UA0`mS֩$쾴ANuZumqulBwBw`?0M6/lOꇶ_9~ʀyvhlYKEaF 8rͅC"bG^ 'ϵJ&B4&yX β2=pX*l7APX2-n+~'"CQ./: mlOe;js菝C=9\K28UVEȘi"'i: pi)_8BsC|+sX PvJӥZn^S-.O?%B¥Ss!"T*S5S窾/ ]}D˗4{"io,Z־P(K}rȼE/ ˬ^tXIbS,)o* #_n@JҐFOX_(o)%OKL̖uK B\@v1B7cd ][ĤlʶT%|*du] }@r!W]- "ҭN&&:N+"WO#o[0M`BYya3q]&V]v0VӄLqmP⥒Nn:r_\ zxԹBW>hsbغ)zcA.M[čΡ]elQ<L`;e$ endstream endobj 19685 0 obj << /Annots 19687 0 R /BleedBox [0 0 612 792] /Contents [19693 0 R 19689 0 R 19690 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28131 19691 0 R >> >> /Type /Page >> endobj 19686 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19687 0 obj [19686 0 R 19688 0 R 19692 0 R] endobj 19688 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 405.825 137.278 416.825] /Subtype /Link /Type /Annot >> endobj 19689 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19690 0 obj << /Length 19 >> stream q /Iabc28131 Do Q endstream endobj 19691 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28132 20690 0 R /Gabc28133 20697 0 R >> /Font << /Fabc28134 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ査=0G35V-dQ\0Ɯi,N DW2%ī+O1%PƵa(Rɐى9_>Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19693 0 obj << /Filter /FlateDecode /Length 4040 >> stream xn$ί.s' [|GANA 6nUՋ43*ǷNfT Vi/u|~>ɚϾs1mq:X o׷Sy IN>*7_Kc-uqg l.ÛY{1:}zQ_H^}{1@ᓞ3.Ӛ%_+0 Mn2#e]/n ˜t("{$mS9|ҒUuN}`pBC!D'}z^|0>緿&$fNms6#2--09`݃66Z gmpgy?YjC{)S'N4lA9,G'c}*_$a@C8@vD3 ݥ8g slcdbH˰} ^)1GBl6YnC\~2*n&bfPʐypFX'H,"4/R9MrjZemuʐ氁Uֆ TI_͈;2;uT{nLuʵ9(ͳO@7,F9$xthXj5{bs@=fken;EYD-j@NjnS*[rjNBSqu-)zdm#zj-ˬIF-NRh!$, S☲I|[RX\ZP_&\҂ .@o%(Ѓ"2LyuF}G(4q_a(CE1h* RϿp 0D6J=B4Tx4{TjB"CBT#@[いǩ<*XV*&9nz1TG-ݡ .kMjR0lbp^ 5Vj_5D3B0`u+aeA'UbJ?\^2MI NNUUUbeEo p= vWzS$}u]-uAtbxiFmJVp W}!r>HO3&L^cc6XƘ*]clRLpx185IjLKދ&}@2|"L;!ؑh=S%awE;^Vovp*F_x=4~}-:[=xP,4;!Z &w e~8Cic0 =ԂBJSg ҸQ&s{8P6.:Rt_Ǹ?ZC4?7\]ly䭝=^, W56b"ia3ӿ$tKNF-NiSz.&~\B{FT8D+S^*mZ˒9va}.2fҝ]e' .Y|n~Yqt9U%p_K K+Ӱ }+NվwL'Ʒp0/_^9"7t#^mXCJЄ.(\*Mb˿];{]7Ĵ8w{-Gyq3Btv^:hZ<(! 5֭RÜ=C0٤[Pu/-fj5_f!FFTm<sk>xEO79Sڡ cVX\^4'<d 7 hET.M5'*1W[̧1ȫ5V]]:c EX G 0}ɶ@IÂbUg(qё(r2s(]͏Xz[)b`:j>Τg559[ͳpL_|~͇CJ. lK@*TRRkJGw+"2URìPV*T}ɥVTu]b Ya1\x|jSemdWױ7ٮ7sტ![/|lGbpKt{X4isZҝ&)]w'|6/wUG.AԌ<]K?݄zau~S. '.Dt9_3 !:Kw=`E (o$2I ~Э|SKf(Eg)08d\Შǡ(ّ] ñ:v!C>Gyw Bw+wꅙ.LX\/t=+i ɘ86"ϟh endstream endobj 19694 0 obj << /Annots 19696 0 R /BleedBox [0 0 612 792] /Contents [19708 0 R 19704 0 R 19705 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28150 19706 0 R >> >> /Type /Page >> endobj 19695 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19696 0 obj [19695 0 R 19697 0 R 19698 0 R 19699 0 R 19700 0 R 19701 0 R 19702 0 R 19703 0 R 19707 0 R] endobj 19697 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 504.1384 208.8492 515.1384] /Subtype /Link /Type /Annot >> endobj 19698 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 487.9384 195.1267 498.9384] /Subtype /Link /Type /Annot >> endobj 19699 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 471.7384 198.9272 482.7384] /Subtype /Link /Type /Annot >> endobj 19700 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 455.5384 214.8662 466.5384] /Subtype /Link /Type /Annot >> endobj 19701 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 439.3384 195.0937 450.3384] /Subtype /Link /Type /Annot >> endobj 19702 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 423.1384 199.9007 434.1384] /Subtype /Link /Type /Annot >> endobj 19703 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 406.9384 203.8277 417.9384] /Subtype /Link /Type /Annot >> endobj 19704 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19705 0 obj << /Length 19 >> stream q /Iabc28150 Do Q endstream endobj 19706 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28151 20690 0 R /Gabc28152 20697 0 R >> /Font << /Fabc28153 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p!ethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 19707 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1823) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19708 0 obj << /Filter /FlateDecode /Length 2190 >> stream xYKo9W<@w~e[氘w2@|b-^GetW/ߴMgڬAMӹhk>'h~|c^ >"׿\3s_;c}5Ƹ~7N^f]?ď;O{]8r< cxsIh핀Kt--֎gALpϫd bhR;x-Xx+1~D8”XrZMC1D?#-jj](^W2hmZ?Z&Wl5>\rpAXk#m8(Np,#:jG6 ~G|ٽY,0GX4.6Zʁ:1[<`O|/+g-[[.jtP4{vbq8F Lb.0{%! oy/x,Մڢ]cOR\ Ğx;u51JNvüKs 0Z8j@h|!R1JbM6i|"lFw n-m{QΡop{s%2nZ``F|ޡ\79|pxAvDL8JAYȱixaQ'ȶ-vX<.q [}קبз6#v:;V2x\MRɈuߐ`o赓&Rw~G]>}d8%\sx=y0II0{HPdP.GP)DX !0TB^坔f fSm϶M7ړM3n[jAN"+J?l5eΥH,XoUz^/L>Z"ul ]`;ƙ!0>F+{MݫfO wH lt({A.Jg_ w'Ώ F] Qæ]_ !s5::$l !3Δ" )YoBuflL<;<hrAg Q.t0/ʋWUjrH ۈψ HV: 4x7m6\F?|d;(3`WjB &/p60z+_0-qbfғOt ^dqtܦ6۩N ocC'3a801odz^//(bwS", vڰK(=&7̞4k߹ڀް[ F4bbMiSݾavRX6o3H[E.GW-I TnCob6NJS~Ɩ[Sek'n%-E J3 ex⏩YLjK:Oȿ_hĬt'xt':éqĵD`poEi Kh-DoKkq(3ϣd]1^I3i(-wQ!K`@iDH(\?+iCECXx5\|Ž^7/[l.Wwڜ/k{E?↦4ܭX77U<}(Bu1 p= endstream endobj 19709 0 obj << /Annots 19711 0 R /BleedBox [0 0 612 792] /Contents [19717 0 R 19713 0 R 19714 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28169 19715 0 R >> >> /Type /Page >> endobj 19710 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19711 0 obj [19710 0 R 19712 0 R 19716 0 R] endobj 19712 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 415.825 124.1605 426.825] /Subtype /Link /Type /Annot >> endobj 19713 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19714 0 obj << /Length 19 >> stream q /Iabc28169 Do Q endstream endobj 19715 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28170 20690 0 R /Gabc28171 20697 0 R >> /Font << /Fabc28172 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`BЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]h߄}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19717 0 obj << /Filter /FlateDecode /Length 4060 >> stream xَ_&X`gVcovC'%vhH/ţ]Q7b],NM_ZgbPK^~sHO/O -fy;[εVo[k]loӧEBg7} 30Ne&>ْOM o/<?}ԥIJLlbeIJC~1 48KR  |')sF:O<~IO /=78V58aW"g'19 0|,cY#QO*?T@[i=%tKbF?,1H%xπ{lldY=x)GEÜ^ Xlsƹx ϏJ [얨k)9^"NТiDtjG ?1y`:OXiZP_jaJAUu0yy69 x3@Zc&Z'(s$jxrm!"x&!. w'bˈ9"ƙ^\).L=e%Q]Á8 ]C|̆5FL ~ Q]5jB̺lص~:+]p\z^:n>RP:f^P q5tTԽSzc*w(tTg/Kph8'Zmhɡ#lȜV+yUUEqՇdžVnqnS6Z4T ы KhM_f#eXb jYpi":`?8S=Յ"0> GrB."Ɵ.Vnn PcUɤ/>¾Ϟ0Uõztޡp3{u`*Dp|TciH=B^qԼυo,n-c)D'&U{L,f- M)0!ȣ Gl;xzZq_bno#wc!17W!6v yI 5[VXո_ן&C +  4_DZ# XP,k(88=x*K ʢ`WJܯT 1HX5%WUIz($S@A}N8azArTc7g ܹ`[gĻ-OQqui5,/#unsK 2 2ʃe4,m>Uj J( [/ulb /Tr-J/$ 9}ؓ2[nBSRlzP UQΙ;5+fb=FS} È=wWHLbZx%*XEzTu)WهڭQ @fn˲V7 |,Zj戏z0G=HbeQuxBް(nV=c:bWZ{X~yOujNuiaAo/u(pQGڙ sX+h/Q;fYT]J.kFm@7jmPŽmtwhP& 55PH@`ӇER^]9 8e!RǮXp=$ée=nڦWgVl-G9l [={2Yp쏉"ANNK=d7aM&:O=ϰS/?|@iC;nv59]~Dmr0S0;Kj.Av~jh#}I$RO M !!q]& M R?f qlned\L1'&(gp_۞'Njy?Cp{vh78:$"A-%&Zl($ѻ `RA,Ʈ4%:aoQ{SJlkg 9P@ XT'* H x&n#VQ:NWpL#CUg\3BJF!V i$S 왅V!iĊc%ho\bWIW1ym]1%wz1M[QUjʨ k‰tt8)= ;g&EfI%'~w\ki6Ve?TSRTg5ФMۑ tÊauіW#Vܳb&f kiGZNQGJ#b a@}d)-A(uF_$}St]/ù.ɰp,a0RG&`-Zlln=lB ^r,=lvcxO,axY[*;di[bױDjTLкFJ++9Ė&ڻ}R|xORF-E"$Pľj}?;cjgRPl@}:lwAMîqqV C8׍CעI»2^ Ƥ:l\teYȇeEbWhveg}g3;̭pG6:~Z} V7|ODPDȥLvNЃ~+N[4G+"Dz(|z)JJRkINpkr`{d/&*&i }&V(]~VܴMH2^0eu5oG ¤sĤl>ιD ̺0g3g0dcRH u^R:Ӈ)0ڷtD ee;eT pq:Q~au6k)c՞nG+Ֆs/3Юnr-\zA\)<vnw"1he.zRVN:ձ6HH1oJi6|0t3z8ͳ/ӿy"2!p+p0@Ɯ`@fCfuX0%7X0q?HBz]]а#ԨAb`^#yS㺤&38ԺGې0m~P>^S>b.Z2ӗxӅ@Q]T+K3̵Hsqsskd-!@#_WMjJ[M)|Wli-F{CZQrae6+D52 ΅o4)D2L;%Lĩbb^8cF]|8wCJ> aDJʟ[M1E^QEj(uZrR!S K>jzLU208b#ǹM&GOU$_ u*EcC[:.|(b_/W(X/V/2ܫ8 ?|P۹n_ᕄ# Cmwr|\4{%}<;!߬+] sUHa;c00⥗Gt)q #l/Jy5DKq87 CcŃ:j_34]P>$sjq@hdi_lU{/ά4UM7:zTY"> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28188 19724 0 R >> >> /Type /Page >> endobj 19719 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19720 0 obj [19719 0 R 19721 0 R 19725 0 R] endobj 19721 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 297.3462 161.9837 308.3462] /Subtype /Link /Type /Annot >> endobj 19722 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19723 0 obj << /Length 19 >> stream q /Iabc28188 Do Q endstream endobj 19724 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28189 20690 0 R /Gabc28190 20697 0 R >> /Font << /Fabc28191 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ* endstream endobj 19725 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1825) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19726 0 obj << /Filter /FlateDecode /Length 3111 >> stream xڭɎίy+0P6A9s'L_6.Z: E||&ߵ'W|mt8eEb,%e71.IGOp_2p W:__5`:S/<`jv_/Ɯ17⍩WzX+Gq'M S[dhRa9iga=5paG:ZI7w5!JўyIA.p? Z]>k%E1. 𿜔?9|aT=SMK8AѺ"@1X,1܃hHdF4DxlHz_B QL"PL8y)-,%dS;T!tN$S3d`tfw4ن0 }~,6yYYQ_mӴ*<,,݈"bK85͆cgs;< -(-8o 7 g'tGPDޢ@H b^m)sCCKEW 5ao~Wñ iKKDD *I|S3eҪCRkeќEK $ 1xRioYfeL?bMوHM)dD{1352`"+!q ybO[6;9KAvRHg@υl{F^ãlk=Q =FTߎVB!7+"$mllbfBwQs[]3 Ɋ7^tYI5ٍnMo$&od/MԛA@ B3ljwD=)CsxeGj]rlFn}eK.nǩhc:ԓaN>s`MIx?3\]eƣX(oSKH4Rr&зmCM#1+#|&;vmy{QᎳ P(&q".ǃzڝD }lg8ɾQA  o8C͋wYl S;烟a[҈t7=:W&Qp[Pil&>7?:`0s/JҘP Fhbs!N}2y;+ B@kl"pJnz?`XCҶܩBl fCrtŌx9.Nf٭Tv7L[fmijD\# e>wk338<t$tG\3]pTVKs17z ˦ [$Dx\y9j I*YMM, p=enhmWB2[I};LΛ8Լywatg U+t9iMNun~Qv3>&f9vjy8nC}SqÝǶ y2WsHH69, w5~@zMLKf@[av/Nb3dTzҜydZ’Zw:+~ONI[٣WJM5!ϛY :O{wwWimQMuZe\)qTw^w{W5Hp!NAKdq:|dž/"0.CVa m&L>:pp6?;u:| sL_!@W w&[/=J@0܇ yQpLJ'upb'/'屏dvj 6ئ! ri_cLg5E]7_ \Bb~-!龝BOVHUR@8̩!_R`IpX]OGr}j :b܌(S%e<J[))mYig4U5UfM"{R7%-YUt4-e76gy'uX` t@,XnE@&x"#ǀW Cg͈#GZJ@ 6=0 K6%\i8O 1S95 K9 R`"ix(8fG:Z{fʜHw.Rm& u5L0ݖ;س L9 lgB|<W_'D^;Q~~+x 86SNP.gƧ~>.]ꙟ.0)$DT09="P7ِ:L%|I9,8;XHtј endstream endobj 19727 0 obj << /Annots 19729 0 R /BleedBox [0 0 612 792] /Contents [19735 0 R 19731 0 R 19732 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28207 19733 0 R >> >> /Type /Page >> endobj 19728 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19729 0 obj [19728 0 R 19730 0 R 19734 0 R] endobj 19730 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 349.125 118.9685 360.125] /Subtype /Link /Type /Annot >> endobj 19731 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19732 0 obj << /Length 19 >> stream q /Iabc28207 Do Q endstream endobj 19733 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28208 20690 0 R /Gabc28209 20697 0 R >> /Font << /Fabc28210 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n``ЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽? $ endstream endobj 19734 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1826) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19735 0 obj << /Filter /FlateDecode /Length 2883 >> stream xڵZKo9Wj 0 sˌ9,dH./vTH#)Q%j >Ó4c"ߏop9:va!e?7zqb} \+zB{k}c:;p=vg;p4o7^}'$GM/V/fM[*o}[_ #뵝i5oQm&VxvI?w@^svZ+[a;Grѱ=h tdZ'ExQ+*ʰ$Vd/<3Q3iT׊l~h-2vlkJ΃,q@(M vBH!oƮYc&_j$ 3ʺmiC5 ueA[|g,R711P`ݭ>.kʃ j#gߠ_C(`fhl,8☞ܩLEM9ơ!\Hm.m]CHI]rCBȯ1FtIoWpX%#8Q3bu00y Av58@{%UC 9:9y~@ܫA'p0e]| 5+NBU:83tLSU'pSڲGWgbk8sZ388543Os<7f {5*ClPik@wp 9L3 CG~YXCp'\? 0@,RkIee#ThͶyӂ:@B z= r\Gײɜ|Ll8m8Q?E!Aܐ>#&Gi-aZcZy;cCx9jEi.Y˙=5/ X@޼ՠ6ל[mM\v3h"negVh:] N6~7Uo{5@ b\ Y.BD.dngOr$s@b$x5)`ⱦCEk4ΩUŷ.g2)շGgm\ 5Ocl)80O(w'Ӛ;ɔl60}:A;ݘ؎B{~|Y~T ߲B XéjB_F]_9`O;la쫘H_m/K"vMg'AsbX#yعiJIOţn6{C@f*I j ީ?PN vQ͜AOn\ A Jzsaw9i6Z6ZFa{yԳ^2 ҫbm6 4,$MRAMn-+•Kl~hHdxxѺh)Vz!8s8WeWN݌u8 дEp%л6&EG﫴y{NBLbl<[s%j=2[ڸw&vBBv bW|pk^ 8q i=a#C0!>ƭ);{{CΡZAj@\zRjuW_zW ث_۵ r0{OIkw:`5{ap11YQqomXJmJDgAcH vԐz?ՉhFw=^rrđ<0I` t3@wmk0[@TԼ{H=<|i[]7igJFX܏oO1'W{``ag;ۺۍ2 )|[P͋iPs";irޢ+j* K8JV3@gj]fYۆ5f6\-mu4UΡoc, Nyrs6Q%"qMM2Zz9g}չbGTy幕tW/<,/u>ʵ|1Ҿl<-`5|!qۂZ 3̹S(a3iah2mc<ϸ>yDɥ=X v%{-n =E Uʤ4ko&'v89d#jN)4 P> VaLf摦G)}1F;FYNJ]f? #eSgx=tluebꆴ@hI^VԔ_JܓLPU:GE#heg %zv5/wbVO*N4 eDʑg%䔵(e(ZnJPTx t1<9i<!~< ydP~8tһyFRb ILK>OEW"G5! z`Ĺ&}IKZrΐL3ѷe¬ /8ԥn ^Jej; d+v6oW7 )n=^yػp/ b-`ü|}#rU=G伺8sX`|Hu9uCiJO3#?6!䑃2> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28226 19742 0 R >> >> /Type /Page >> endobj 19737 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19738 0 obj [19737 0 R 19739 0 R 19743 0 R] endobj 19739 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 296.525 118.9685 307.525] /Subtype /Link /Type /Annot >> endobj 19740 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19741 0 obj << /Length 19 >> stream q /Iabc28226 Do Q endstream endobj 19742 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28227 20690 0 R /Gabc28228 20697 0 R >> /Font << /Fabc28229 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`eVthǬ_{8u SJ* ,䪍(査=01H[&#`6P\PS)4GCID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fѯG&DS384hwm-־0Z|fOr;L$u$r!o VMsV7#x#?d_F<<%C9P2,|<30-s@,`K'o.Ŝ|B毗bj;j,'9 ).Q8ΡRNZu^lM7 endstream endobj 19743 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1827) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19744 0 obj << /Filter /FlateDecode /Length 3967 >> stream xˎ$@~ 99A`zգ{g79*Q*")(/RK&|lWYaZz'F_NjFˏZ7G_Or2 |}s ,= z{֦X{io[;_1ȏ?˗ ;4Yz.OOE7j9A6'a ΊWd^ɆY)P~q8x>8ydI,2p!v݅jfAZ&A.\'xy@.C/ ^GP迫#j48{ÀC KhH吜餖:!}x-#*`qS8ǧkQ;ZB٥i%F֛K[z."©i.cST* ?Mޕf $RՇZ-ڷ:tEb-Z%$X8K|@dU.[\ՑTlH=J'h 5!! K0L~:,8T@'DK"eUL n`D0i0M1}t/ F]ȥtFv}Vp꠮ٰͻ BWK0;}4h6cvuǼt_$wLױuCS[j8QWÁw nS&]z!B]ޅuAu/ey4ZQ/kEy%Vy(ĉݛx%2}cE?2K,iq q]y?vev\7lb7UւȮl*m:<|ﭵ}6ՁXd!/Wܽ,A%%$·( {0';tx M3}xe: @#LOpi"i$x [b8'*~R}e3~zE&auH$ :3բTZd#.qqvƋnZ;J`QƵJa@VAAu^C[4U.Za}\B7_ -5PsQ"Z['f *+Q-Vbh2ᰅ^n aV܂ -+#4Zpz_w@ rL:,sP؂q[ ٞ h}6ڟ moV "kY -tpJzU{@kpjo톢ȌZd 6NLº^tЀfO|8G-;p EsX۾[5敨6XZ箨Vԣɺ&0nE-@lP+ɯ=+;-aa]ao.l.a^[Q=}S={-;,{)_yEZEy{>tqa%0D`WRize{A:Bx DjXעwۂXۢ\Vt>nxc!M=*Џ ˧=`Uj5խL΍ 浵M-MD3轄E+-EgJB#8smOӷx+u?<L Ϗ91%!Gcӧu'\n1Dq<%ɕɏo lu6fPoGatiaټDSݤ6;!"V5ɕ̄?ozYҬL"P ^pA_Ŵ\4/Fœ)I-bq|W OkJ?8:YEQVt> N: Wf MF@:촘D|d}2H=K 0*Yc1ٞZ#ɕsal@ `LvʘY=M1V(4 6EPsu <+돀ԣ4"*ۤ*>#Z4hhr& Ccjpq^/ 2SEqQUnj’^ρw-]GxGfs\|D`^`[wqS-N}ƌH9])#A,RX "-r+9b}>1Nڔ"fn a 9ͽ,ܑ62"t+x0`9@H&3x_ /0(Sf3t3?V[,tX5D u+#FHeIu{kuhzxC'0>q.UEW-pndX? E0隚?Ta|;{?U:V|@SXuƍA꺞}ʻ dը"%$RXg#©bDZC-s169T~u-}.%b|RLO$^|p3‚9Xl<(%K٘%.ń4]*O R[|ߨN|݋#b(uZ2PNT|,؋+EYX\V:GwM#$_&m^U臺_Tf$ߋ>mtYҐùgæ_C!0+MK:\eɰuu5&_Um沺4M15v>p6" d*0C>J42 jE=f̓zVZ.{)7y48]NOFOS:Y/vEi'0'2-ԵN;ԀXp͚nie-Yzrq;)Ӎb5xx^S}څ65 =Iڔ3n͈*2V j]eE endstream endobj 19745 0 obj << /Annots [19746 0 R 19750 0 R] /BleedBox [0 0 612 792] /Contents [19751 0 R 19747 0 R 19748 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28245 19749 0 R >> >> /Type /Page >> endobj 19746 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19747 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19748 0 obj << /Length 19 >> stream q /Iabc28245 Do Q endstream endobj 19749 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28246 20690 0 R /Gabc28247 20697 0 R >> /Font << /Fabc28248 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7r,ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3X endstream endobj 19750 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1828) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19751 0 obj << /Filter /FlateDecode /Length 4141 >> stream x\K$ ׯ~g `6`6UAVJ(>>*j_!׵\SkTxIҿo.7K{x!YNT>Jy_FyƲEJg\hq0r mK\і|xi#®Fa`ӼH4_9L9{e^{yO#L+t<2FYĬ7U(*05R+/1ݴU]lZy$|'b9oYigNq/ٮϵm^p9 =?FXb6ȇ,72aU 2,p:Ȏl@6SG:SZB&VŒ:>nY? &eFվw^"5TWY _!mʴ-1YΣF{gEԡp@6~=WH}g['%6]M#"x&_l?-  h+(qS}r{ǥ#2u]AQBK;RsscD]1/!pJ3_taZTH),DȇYJyK3;5zѫE a!/OT)GEWXvf=)f2}ٝ x' dRN.䨁 Q&vBgQ 炼prpry`i`4b{Sw- O>ha AcLAq)M a` Oܭ 1I0VNCV#a& \ !wi-OxnR aLw.6P-(:g '5?);[۹ t"s T9RuPw{r2w+2+z B`Ŗݷ -?V/Dh.1ƷXgu~Y{`zm,_X-`mLAYe懂u Ljp+ lM̮N}CDƵ^)sFjF ) r3c"}.}3iJD O,fm CR6Oz.ۢ1kdoTsKؕlZό~„2A2奋[;y^TS jP9 ՊU.oFT1߁GMM69 Pi@ L/1Z|f=qA6pOyeN<d{I8U]?7yt.'7ٖ|k~|7&'];7^*fG:d"g΅bwfdk"25IGhŐOz$ڥIr.bN50'W޸g412`{.81[.[qS M[TP3vpgT#]}D||zpXL`vs[q,'BnWJlӭuKjfJb[&R'ky) 8o>r<7>hҖE H&46&ە0^ _X7nn=`\kFn*$q $;H 0Җ`I8}EݲU_,}^ íVl,v[Qar`D:?lNQR鴎i 9Q=.YH<#`*@o|361EǷ|^Ư )/ yGfLg|1O2M-5h$Ks ;y1߳u88PFw{N ME\-<W K˵ 5_Xs[w?<; endstream endobj 19752 0 obj << /Annots 19754 0 R /BleedBox [0 0 612 792] /Contents [19764 0 R 19760 0 R 19761 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28264 19762 0 R >> >> /Type /Page >> endobj 19753 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19754 0 obj [19753 0 R 19755 0 R 19756 0 R 19757 0 R 19758 0 R 19759 0 R 19763 0 R] endobj 19755 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 187.8502 686.7] /Subtype /Link /Type /Annot >> endobj 19756 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 192.8002 670.5] /Subtype /Link /Type /Annot >> endobj 19757 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 182.1687 654.3] /Subtype /Link /Type /Annot >> endobj 19758 0 obj << /A << /D (unique_396) /S /GoTo >> /Border [0 0 0] /Contents (regenerate_bd_layout) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 208.5632 638.1] /Subtype /Link /Type /Annot >> endobj 19759 0 obj << /A << /D (unique_156) /S /GoTo >> /Border [0 0 0] /Contents (write_schematic) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 182.7352 621.9] /Subtype /Link /Type /Annot >> endobj 19760 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19761 0 obj << /Length 19 >> stream q /Iabc28264 Do Q endstream endobj 19762 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28265 20690 0 R /Gabc28266 20697 0 R >> /Font << /Fabc28267 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7rЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19764 0 obj << /Filter /FlateDecode /Length 1286 >> stream xڭWn7+xh$!7$[QyUEv#[6""nRN[/Ԕ:SՑp7Ǩӧmiڠ55r6V~Z~G&蓿ӧ@{kY>ZxYh\N-N{K0QtE)_d^AxҧW )?sR+'rKG_Sq ?dsQ.~Px(:lغ .! u D Ab2`!~=7<*pm5AE2݇{Njle2fQvQPVK(IW}ҒȫK,;qWߍm:?Aw cdAǖC^iuT}g.lc-cNJk{"Lb/S=J2 )MY kz 3 Z&)BhcY1$?_jy[c7}&0Ɗficfcdqx1R(;я~#:Ml1xI>E\.'nΣd7@~55aJSk{VRIXޒ4HL٤#Շ >j.Tvwr굴BBh8ᴜ\2 =R7Gac58sǍMR&4cKa8>K\/ldۦCc5aw C_lpI^1 ^b|8L'~vqLRp@THd6U endstream endobj 19765 0 obj << /Annots [19766 0 R 19770 0 R] /BleedBox [0 0 612 792] /Contents [19771 0 R 19767 0 R 19768 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28283 19769 0 R >> >> /Type /Page >> endobj 19766 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19767 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19768 0 obj << /Length 19 >> stream q /Iabc28283 Do Q endstream endobj 19769 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28284 20690 0 R /Gabc28285 20697 0 R >> /Font << /Fabc28286 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj:3ϳ-nYMޚGFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶK\ endstream endobj 19770 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1830) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19771 0 obj << /Filter /FlateDecode /Length 4440 >> stream xˎί@+|SLLm|rZK~?Ud,JT{0KTU|H÷A 'z>d& 8~vV×Ǝ`/?>I鞟x=X(IīV]/W×_ķ9|भ~-_~ r(2~~Q3y$aJ¸q7A,yrz2h)~ӯ9QS$y§ {>`>%bI(?E26Xvy4^^+פ4|7]u4E'3!B Py^Pxġhx$qN᏷``Ra~!kL&e T@eJN+hTN 9jPOkF$ 0+A`^G&2H(d9W~Œ=h_m"H7[4Z3>ܜ`zZ/H#L! /Ҟ8yFz0D404fCB.g%Ao.&sV&8p %x$z0NdJC憖9cՁob $󘔠[{&8F.K g9BV݂v)I%i4%DҢ-)ɥ |kZ(.A,J#q#2`z!! L p%L{|Mlr"NSM$-_ȣ) w?0*}J.@1f4fxN4y 9p}⟾˴Nh8(P(ab$mǠp?YA)c )rXlRᯣ/J: 'uK*WL8~5#yV|*sV PS( F,x&ot-ం(:DU#Lv] #` G'`uぺ{ & b9ݽaH݁ d7r؀+Q+.PSxDCO!=劇;l(Wܭܡ\r9!wQД1fh N3H38#Oً,y--LWhM3Vt0̧0mqlh8Wy&\@K6v;tQU^S)^dq֫4;Ik_Fj)JToU~u=bO33Č򴊫D33cokO3==d*;'X$<9զVj;\Jhw¼>7K5ȕުa:j$0!WsvIDBn;&҄MLDN}QECge/ zVh!Y3Ma!::Eׇ"# VfF$K0;l}zl}v+촞T8G.cRM g5͖iZH&ўF햘4tc?e.zg^nZİDwC~+lHQ>"$G^HӐ]"䆧"IWf'A֨`%wN,~|vmT79e̬ K4:U:sʔf|GL d|'RkO}#)XP2ڒR#7 :~<Őwe9=b ZX2طZYXjliX/m-rx6Dg=?fҹYTc=KWI# gDZ\ ry*EL zyYO9kkY\qb.{Ts/MGKn&{1,`kre^h(T]a/ :*ѵuѠ7Y8gl:| 3Up^$*tk+iBF@oˬS*э}2V&+FS82 [n +8Q[ƽ.\}.V?1 _(`v%ǎ{ZV6| +sZ8}딒'LMzTwbʸQdj?vm[JA;1Tx-k^:to}V#&|TǙM/\Wr.bɍ^b嫹lFX)1ߴ(yN}bo @>lEMuz׭i˂8?Mp_@5Tȭvla_}8dYma\ xVc&Lźs'6&4r!9զS7ܲi@lqczA/m]X.eB3ٴخl=L U0EW99F _{5^YKVu&)).tXy\;KQoelA/o'h&f"{a)&>X0XDߺ U5ijR"\f*׫B6Qr=hocʫcev%Ӣ>-'VCu7'mt<)U}qg̬\v .~nwѧ=nq.CzgF {2{w,NF˴#,b[ ^:Xg/~}3ewTeq^hˬshxeou^4ZX y[~z}#'iSvT+2,?p g,Xzkg90umF_ ?IOAb '7-]&ީY *B13lrMkzh{nDe?Z Y, 5:n5\FfVi(䶖cMPtVYȴRdDsL/*ɑQn06$Re=+97p{@e\:/1/D];ҋ6zKQ5QZUQ3R`R[E͐,>?Iy^-jŶD-.꫇Pa3RAv}EWڌ>4`@P !Y&Xm?/Ǒ{BqdG8Hn ip){X7CÆ"Gc`%n[l!YX]:K$]wzIro.I.Kvc3D|l(-x8[..elL9KMw !̚W|P;Ǹ*; 3{gԼ mZuؤԣU9p̼Y!.~:hƋ+snGLrnW4ew(7 ϳ0GڔgCΠ~=.`߫xڍ>ν|!ɺLYŚ/3/?ۼh[pM\`Gb%aHeaFXH BZe3єK?P5|83֌rC?; lI'i&mb ୀN,"7b8qA6$sh{)03G2A:C\"MeX՝eZA2i=s*I%.F3;hDcr^[Ehz7>qGU-pWpm~W4E5ik8d':b ӆL1šQ,]l*whRz48Э)#̑~eZd"O@rDXX'XrV&@&| ) z3Y2}kxj✨CM&[ƐQ/ S]dƬ;=o|%߬E޲&B,>em>#'vP- 0Oa63_5>^*lOorEt桷U/_$a:5O>8G:Y8mI'=t 3S*~w8+;vtpHy~ί(vI~Fr7 TQր:Z_{5^JBS#WygWg9vr,/OghOeU˃=¤]6 endstream endobj 19772 0 obj << /Annots 19774 0 R /BleedBox [0 0 612 792] /Contents [19780 0 R 19776 0 R 19777 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28302 19778 0 R >> >> /Type /Page >> endobj 19773 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19774 0 obj [19773 0 R 19775 0 R 19779 0 R] endobj 19775 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 512.725 147.728 523.725] /Subtype /Link /Type /Annot >> endobj 19776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19777 0 obj << /Length 19 >> stream q /Iabc28302 Do Q endstream endobj 19778 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28303 20690 0 R /Gabc28304 20697 0 R >> /Font << /Fabc28305 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19780 0 obj << /Filter /FlateDecode /Length 4941 >> stream x\K$W@~*+;mvS{m`%)J""zEwV E)bu1'J.~,,/`UpjYi5k Ҷ]}nuG1m6Mw f}Qܨ722Ugtt3TIOO̡Pӿ_?^R׿ 1ڮƣ}3Z{u𝟗X|UNKW.w6e}EON+|;$mcՆsǃMLՀQLf!>M>/O;C]o %mݎ_Jma(k.r.B:b>תD^o 76#N]AI0ZOܡ&0t~mn21^ QV_Bmp7(5yˏp]r! ;h?d3 ]7<^G޴'sӁ6vǂ)jsIwP1@٥.ZhiYmx^0,mY} A263#t7x{ H=eaNsXǦe_ "d^ X#{%Y,8vsTy8U^Z$бL pS94s=0 40QfD@B_*rkCD@sv1 3X2[ 3a O%d6 =ckrԁ{UJ\jm3eu<LjD_O|2gݚcY͡0O MM5Nz(8^4<"Us0B~#~G|g@!;@[l"0 Vnhw+;m$F2KmRkZx{ȓpar~L_YQK9/'|_G3]_Ia ?x5忖_8}"=|: Zȋ]2^J<1ɓ#X# 4wwi! f a\nsk^qx~0ר8~ӷ5=p!Ȃu:'*-wި,; 5c 8C'fq/<VЧiaZkwA,,O]>|kf+RbҫEc#9p*zM9MI?šn[pTqNת4'GxTRghR.u :eit`47H s$zZc #.؂`6R27|az׆5Ś+r PEYWJ,|wJau ]30.e$:#dQ/(ϿOBp mlN /aH=68^{v$3‚KX@Y λ$D!S؛A䧅cK㸇 Ol-p? jwU;vЇ7żڎ@R"4DPW7ӛu fXQbBXڴ))B rM7憠Q+eyd$}h`\ TSG?kɂf8&-POMg{-pqJ NGOu7glzoNCi4VSi4%.8uR[Вa''9Vr7LXɞO cBo0Dz8{bM" g\.у=ٲ(zAwFdˡY+2 z+J$VY69 3ݝ0~ t]@ĉW]";kiלǤ.#Rt"R62Y| IM{pJF}B2.suxmkqs¹)pL20n ѩa |,๓vlf X,I5ZcLJ=lWUfNMa1_o+Ff^jc֦5iq .-cUv'1n`GtS-Ov.gCq˘YFix6e/n(j&zDoB߿qi[ r˻ l}9OJh]e[D@tt,tGB.'IMƇݻ?f3j:\vs4Ats7?:9::qמ]'g2.LK;8T; ijx~;6AIz“B)}6(> MJ8 1.2$b w$ƠL eX8'[2G7NnKe/aē@֯v)ptDZZu5Wm3hΔg┧i'8a<*xOXQrrB1Eudgr!%?mtT.tl}#ls"O k8ѱi2Hਤ[X~ƹfW5D1hA ?pp CZ} I5@a>i"%2l$4:ro(o[;ȞlKk̓ -C~߮ȶPnpͥAyo9=>:o:F )X99]73"SX,iԯTgvp;Cml!;>P 7ڊ kFg5Q1/`҂wuW[XݤvqxL%z"SY 2tħHZOp9t]%ilw[.a Ms~=|SMĽnS@Uq~_{fDn3}.8w9էj8?NӧY,no$ aM;l(1`QZqsI YnOvaMoy{o acZ2ћ~0GO 1ONp5隚V?Nxt8ONh 드[4tjoErW=[zvY '$S}Q#NMK=J߹TŰchR➩$5oNx xJԗ3/.沵3-];2,.5qݣ[gTY/,W1sͷ˒Kzg:66(]kj{j5A ctBM~vY5 )k}sK9~QlʚF IlSqE>WֈOЉ;<㵖e>v+\i붷kaq[/om_kфL!6NV>`ח >__?_ ||=ç}7_7bKL^'py9SjsEyF6ˉ}{ȹ^oQgQōQ|i&߶bN*1O ~x9svD6T} j4 endstream endobj 19781 0 obj << /Annots [19782 0 R 19786 0 R] /BleedBox [0 0 612 792] /Contents [19787 0 R 19783 0 R 19784 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28321 19785 0 R >> >> /Type /Page >> endobj 19782 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19783 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19784 0 obj << /Length 19 >> stream q /Iabc28321 Do Q endstream endobj 19785 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28322 20690 0 R /Gabc28323 20697 0 R >> /Font << /Fabc28324 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 19786 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1832) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19787 0 obj << /Filter /FlateDecode /Length 5469 >> stream xL LF 뇟~ %(7 \Eʔ%SSa.cU"nH?W^kܐQ4d !/$2#7–9o/5+.)@<}ʕQ o'ػ/;1ꀛ Qf $:Ɔ> ؏߈jZ$ak6q 헪c/5:-i+%\m[9s%aSjUo&2Ubc=Pu,h'͢d%<9mq `Ggnܟ*n ~TY3U"؛7l8zaAvRŚw^$M{ھ_Yw:zAKz1g0hcźƃeynv! łql lz9Ю_I=׍,TӕTvlUHcFB*c<݆:iӽM^G­BWرށ5yծ6N@|qĵ44k {{ų;57^q Gg;T/[69OpΛl[sLoLuO$H*C3"a{X^C<5y UB 5͂mwcqЁ8-נAo&`6m4 }n[Mgd٘\9c8T'sgSܠ*Ssq(R1VopO4h9&^F!96%ztEE7M/$Ve L5F!T V$*lgh7.cϵ}qFw/[% q-&GhK&@F@Ug7R_WE&;'-fAه4?8b-m* (P(RoG5m]֩H 0$=6ٱ%ngU@MrjMXCS<.z[|ŵg6ctWF;;&wቱ͆c7ܶe,jNd-'2dޗߌ.LĊNu'OISt9F9*7%iF}^\:ʺ\3ǨsQ{yt[ݛʚMc4e5BIò㐕i9U_PGPAMnM9鎲jq7+ճ& ykˑ_pLc{f>'a1xLVyL#$[-̒p!b?æ {Qfu 1F@"}{oLX-H_:pm!rgmڲbHn=9QZ;WPM;xXa3Mc͚`m@;Vx\zB4LC>\h}=z[b!H;THZUtfu0`uWҘ.>\?F+R]tutu.aչqý~[g/`n aprY GV>DpD=Lun8Ƃ/T( rtiୀUPJ3 Ӛq Jqf{\A65l yMvx7o@=u7TQ_{M5n/ı +rtRV[ *kvȻ̚#'ek#r~#CPX2,= pv`NI @ ^s(Iu!J'XӁJ ! CpK󪋁?F.k3(;KDJ*̏"=h${q\Ru +VĤq F!&z>OY<3$(D1({Q ! GsSy_)#c]yԔCn h.[؎%ɉj1zЙ>kH " RoX"~WZ1=Ԇh`7즫V%FN R6JXK5aD֍]^Ѷ ,VvZ^؉'+fٕqgD% ;reTp(3zΘ;g&!O{?iٍ<:OEoH SHi^ 99m(NiO~v85cFfV&FrR$k k#k^iVT*}CO}-S۽FQ~~n԰զq:P 3FW<_!kvtE2 48nIvqS6)]>Ŏj#{vǂa"8lm~PG)e/#"xwPo1=PQN3XsP Xqвlh&6.?+e֐>M⏘Mߣ551n5褣ԇUBZchm50&]Nm`Y/`/2skqь6&1jfq~tzO `g>12$cT/a5O@mO=vJ!mi]RT]5ow11aφS{F2x <mNlvnuԖ4j&a7o}/gZf>/B%!^jYwjy-Nt鎞l;~H[Pȕ$]%] j$5EԂ?mթ~Vy"#ͷT"(4ipbT5d ZBQW6vDPp5H I:)rsݦ2֪9&`cC0R:(;8kF'~QweewѸPd5%ݜ} ^lLx[s*id e/5S%s\t+|u"Z 9X!(yB$u_K3&KԥЩH?Y+`[ܟեZENY~IO+Fs?1\7:o7nՅ*jfxfj+XKWаPΰtWFqo=&G1uDk`֛}*D(|-FSs^mh_\Go8ڸR+2O'`[xwLDRrKhf]QY qNŕZX-%V>`0z`9.m5SKOE@<aBƿCw1gjy} qL=eK#2[8A@%`C~On}}yBb(t}§}?'Eb 0/ ܞ`<at?k/;MM#?8W&\#>zц Pt~sK5 bҕhCsr=k7\ }uwQKSm endstream endobj 19788 0 obj << /Annots 19790 0 R /BleedBox [0 0 612 792] /Contents [19798 0 R 19794 0 R 19795 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28340 19796 0 R >> >> /Type /Page >> endobj 19789 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19790 0 obj [19789 0 R 19791 0 R 19792 0 R 19793 0 R 19797 0 R] endobj 19791 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 171.1309 187.8502 182.1309] /Subtype /Link /Type /Annot >> endobj 19792 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 154.931 182.1687 165.931] /Subtype /Link /Type /Annot >> endobj 19793 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 138.731 179.3802 149.731] /Subtype /Link /Type /Annot >> endobj 19794 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19795 0 obj << /Length 19 >> stream q /Iabc28340 Do Q endstream endobj 19796 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28341 20690 0 R /Gabc28342 20697 0 R >> /Font << /Fabc28343 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$d endstream endobj 19797 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1833) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19798 0 obj << /Filter /FlateDecode /Length 3571 >> stream x[K&X,3c 7 DU⿟z9=`rk*֋_[we?IUrͨU6xIӟ-%+pef{T&Itw|TP/*,Va:/|mZk^O8.A>H8<ėCֱ=k&Ԓ 1!<Ob xÞc?i<\;\pޘܣg(# h&!KWx/zW7:F[3BaP2 &/Xn\}|0~uŔb#VSZο'&=18:mut~N,/ME|teځBu`Y 'ƗZ0 %&'roh[5nLbjom͵-S%ʧ5zx Ez E+D%& Ys԰t|l) } Ey6Şhw섓KC'DM?A3IX@>.mb&lĥMvؒv έ)&DFMDjT1hu0.WEمEINܪҢDuɛo {'&a~p2451> n5T2ONrϤIr(nǫ$['-k{XdJڀtƎYMi_\G]Ks:QWEr[t+Ǥ4&}ej\懶:Ϻ\u3]6dFs^^EdYj6cEQa:8XEQR]tjKDѮTQ.BOueL0Ϝjs'-//W 1oEKUqM`,c,bSKX,qg,qn`0ݎ ՛,S`VS^}WRwVPEtrn&~i)fO=*V2i0A}{p^ v!(+eNG;]D\lG Uʍ]{MFF*ZӉkNzl BݻO!eX·u9 X[.䁒SZBrt)V0%BAoŞ51CE}ᅎNZ褱ecWy;T~k%秘$v.̾ǹ y JSAꝲa|Χ]JRFy%_-6 D߰/ccBPx ůRRbf_;ʶΦ,m@;[E ;?@L+XD},CݴWGm2dG͓04A@j`NL ~ kc|w,h{FwHa!4X5WhL>=YsxÛw;|sxQ7çi/nk0nѷH/kn;0D.8"Hj۫WTz{%Ŋy\*|) &7(#zseV\*^2pZ5vm _9fҀ7;xWpW̴ّ th+/!Z2)!"|be9shG͂Ў]m;_bEN]ם#`%؆w֥XΫ$nKNT >V JCkZV9k 4{<;Iݨ'xz;=z?{~e}д.knh"Un]JFH* ?ϒi<_%ֲ(fv}sw_f[|l{,nGvukW='u礥9crqŇ؋@NNK}23ܷus*x1NmwiMCؖw;u"Sm띤$Z`npk0}ťFwZ(F q9ۍN"yzۂx *-1Wjx ^ZWm{%Ԓ9|Va)؀)Mh$[@&d?؋EN?:^WF$jLnuMAh={5ȶ>̊~}Nm ;M> Vĕm&)``?quQP`L.+Ģ{'a,p2FХ;\@]|cvm~jE"{mHBiOTk,PXR Fa[r12G/ufvǻc;2{[#^nDxZ6ҿKu($n\ծ+nj uqw!!=D]27Z/Z?,%ܹ|m+k,d igq8oXaᗽaOCh(O{؅6g- f [Q[=}}'E;ⓨWnTeբnBck߲.ZX ZWڞ(]WӺV/)(_ѿ /Tpi 6Sà#v :dh;PXRٱdԇg`aM(UшC@a:eT>EnWXV$,_g@AY .u.`4B 2MܤU#7%_%WwK_f7ONM(nҲoP$ܧ=o|>lA>t5gqf 6d҆BV[>KitU{g4۝" "N[{ҝ.9}2=یn$I/h \JgUCBIK &+m!L@NK:SNQP=_ΉX%VxTmO CD#I g% 6= skhI8iJ;-g2lԲj!eqX;:4_Y^;1ft~$ꀆ(+|G. C[^MMĭ\.U?yZ&%kqFJq|2C!VRf"?Ak[ w կ0G|Xjqʲ4'-v紹M|2 ԐJAmoJŶbYC#U\ePu!_#icOG?sMD?n7ɘ='ѳuji3.lb y Pu3 `r# $V&,.޿d> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28359 19805 0 R >> >> /Type /Page >> endobj 19800 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19801 0 obj [19800 0 R 19802 0 R 19806 0 R] endobj 19802 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 258.025 118.9685 269.025] /Subtype /Link /Type /Annot >> endobj 19803 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19804 0 obj << /Length 19 >> stream q /Iabc28359 Do Q endstream endobj 19805 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28360 20690 0 R /Gabc28361 20697 0 R >> /Font << /Fabc28362 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7J&thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Qj Ph|=B/}* endstream endobj 19806 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1834) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19807 0 obj << /Filter /FlateDecode /Length 4378 >> stream x\K㸱Wp=@u@AVA lO=Hh[>ŠGb**%Cj/1]Vɤ߯WF˯?Z7E_>)__(ν5Zl"MFʫwOW:]z]'?@TS IYm#\A?QgqR/q~9e/},_3pRm!m _տ&fƌd>HA83gU癳JρlE˱rLbQ< ,W jB0,^XFvz|_]2e5^_ R+=Ei?TրZBA \yOJ~+~4J#qCz2|[!aHSTfʠ,>HR}v5^blyom Lc)'*#ɻr O7L'zӉ(iGYHXH-.~(\j_U d}+rA'r]eUV4ZnET|̕BrRmxbP&W(P# vLZ𯻅,BA5> kk+Zlm7HY8u|V*B_`Lw} >T !q)I{s5MBGYS뒅 VkR Z脬3AS^s"M7 Hw'39._zgJܵ@ÿ"iT͎9zd](z]+6o0m2re͟Bhd=ET86UaV#.ˡn,dp^ho`qݵ:28`ĐtrfͰظM-3k_3~ ;g3% N:H 4Fpg`pcQ/޲/ywTlWp+Zob[tr(eg=y݅9;@\-bM!s<&Výz}-˺q9J 5nB}kl05zmX5[ʓy cz[Ro=pT hmHc[ƙ# 4Z|+r?  ,iWs6%_l Cg0/@4 g6bУ)^ s4AgQ`-(EBF(,j5k=0,e(qr.,QBSL|9v|}˩] A<~5֚kzY30q?Y5SrL[tWdլc4+jg 9٠e4n/)\v-PܠdN$ j߫CՔz4k]O6W 릋j+0M)Η2WFs3\)%MʲCGp;պ6`q0&9\ż*[tO a(r+Gʘmv~.:WcT{\ˠ:јh3}z+Ne,^ss6M!Nl\5rՆ3H󻻅Z\j~pO kpVAwsNX<PW1 zY'9okD_ėƇ\Z.į?ϩa^i+&lsQc݁{ 8:^i2辒n) }*R%\i\Lg\%s! -t+8r1$^aFE QB9c^LB-s<WpcN]\Z . }rQ݆XpBxc j k{[u\qc>sz> +cCGOlViI@m endstream endobj 19808 0 obj << /Annots [19809 0 R 19813 0 R] /BleedBox [0 0 612 792] /Contents [19814 0 R 19810 0 R 19811 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28378 19812 0 R >> >> /Type /Page >> endobj 19809 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19810 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19811 0 obj << /Length 19 >> stream q /Iabc28378 Do Q endstream endobj 19812 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28379 20690 0 R /Gabc28380 20697 0 R >> /Font << /Fabc28381 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ1h endstream endobj 19813 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1835) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19814 0 obj << /Filter /FlateDecode /Length 5549 >> stream x<ˎ#< P*Um aFwfJJ$#fVJKHz}>:F]rǛYZ5^H R8/_>Ke_S,rO07WwQRJ/0[-38Y??2WnӚgȠ-V RJ4ÙY[B|kL#+AXZ*0 m5^4%bK'XˣЙ(|M"H H. %DpR.JygK""fpL^o}>}@%vv@m"%huA8,05#4'Io6( p{[xdL'eM 33@!d;@$[RF>c“L['3Di( khdg0+'nojŌӢ$|J$BY-(mܝqg6͋1xe3!O :iד';U0wAó( :ibW!^peGtaےn/g ,~9]{ʮQYB8plS$ AZk֟tJP Ƞ1Ȩ3T1jeѰ ܽL3s`LՌQџ`1WT=ң΍;,'4OtPZciqy[V-s0kz0~IXB>t+EZ5*RJ k&obh;9Y>9_WY(ytCD]H7h^yEQC672S }>bhn(M0Մ*9qَNlm;V/%<,N B*?3+#_NʦU>2 I3!xwKK*Px?H,n&-V>-YcN;`-i!Ъ%\{쓚 2ۨadQ{1bGoŨN*{mc@dm+E骁d dKO6ac۽G9?/@9ĦT'WvAO7 5j%zz+ۉ{g2HPqd2Q Qmey,Oj,qVK$!N?7/')Qhy<>O s,풰A<x\W-`'A7HM;'خsuxaR_= gE8@? [$~7 _q|lQ &ʸ"*./dN \uy_o"%e?\}W߸K7-^NRsKkt X8 4* Ӈ>7)\svʟeNiL;]gly(CS |5t\%ݠd#pw(̱%\˃L̅%H)ed ܏2qaR8U`'9`߳hNg{JӽGa+5K9ÿVZ 2DYcEJ$>T$tG%tH퐘m^ GcyX((pi }0е! E[Q@IUCe3Z".\uLBGo1š9)*Z{g9/0XVZ#kNPTt9̥nhNh Y蘬*m 0ˎ&xyik9d 8*ԏ2Ŷ5dc飒IGuH֗0O-͞<~y)Wyv9~zWIm*5j7;a#xz=XWX4Q%AUxq[S`}60Q;3,|܀=~7^;I 5yVK/)sk/ZNRsW;JL<}Y _4#{ `krxԃYG+ho0Z?eJ+xeVn/:ljlS69z`)`(ڕD@;d+1 /e$ n}X3$m\ʃiOk}^ k<2%.i\m9=*7Pm Ha;)mЂ,妢m+JFj죉o=VK'N+!6ϙ}o-mL9Kv(aA~K4 ۃqu.[h%y>[O]~I$N$!0pלs++Gz-IId|&Z;Av?pr.ɩ؟#fC-Ï>-z#U]JTRᔚSFԷO:zXfQ)թ{Z`Ns痢ӤǹܹUZoSؽ2cI~[,q[۝Jci1T-4mW3p(;QR?'kY?^Rk1?L=kvY wDƐ}>~v\.“~Wz~ ,ΐ'ls"WyeZ9Br:ҨMLh7%ou17:xeEY`T(Rk9Y('<PklK:ewh8) Q>LN`6]ZǓ d_@l!_|~_x%;ؗTghHsi{SpN8_'-T$(IC:4XȩFWnO"MŢ;IpYtxn09?JR?[DS8OLy\0“PAL3DJ7ggNwT5=Kcȵ..iAZy=lwzx̷aP*:^ሶ H'Xt> ii(;9>: 2|M( +_u%X}b# >R$7NV='<q.Cq`0pb|@>r];+T+S(HWO͊ s|-2s[q. Òﵧhȶp~5nQuKjf]J"Y׷K]Ϩ&H;Su2rqni2˶ Ie&x&F9mY=pN때|O7먐`"1$(o@Q/3pM-ǝˑ&Tmf%|Klq7|"vH: P pY`+pbj` ؗc2g\Pt62 yy{+yS{ |>!_uFc x >.9M )4^DźWEt ɹ$Tf:s{NM?&^k[h+D#kMBn ` ywQ*; endstream endobj 19815 0 obj << /Annots [19816 0 R 19820 0 R] /BleedBox [0 0 612 792] /Contents [19821 0 R 19817 0 R 19818 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28397 19819 0 R >> >> /Type /Page >> endobj 19816 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19817 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19818 0 obj << /Length 19 >> stream q /Iabc28397 Do Q endstream endobj 19819 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28398 20690 0 R /Gabc28399 20697 0 R >> /Font << /Fabc28400 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV5o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19821 0 obj << /Filter /FlateDecode /Length 5735 >> stream xy FHȂ`*m[IjĬj5}VՐڽT ;EdzS4_6k 4&@{\Ve#TGV*bwI9[ M4XfsFZ v sXԣW)-h 3ML>RkнX6KH `~<,vّ*t(Xod*o/{~mJtt e`ŜNߑ3KXM?!8 UdȻ%%l0ሲlw3 F1c[Xh c&QXD d-8Y2)Q +th"K.Jzb] TPHa8%dt}wY" ztCQjl,UtLx!эu;.\B*@/k]%@#/Q8i)ސxd`[L5pKŵȠ UD-vq5_)&{CX2 x )HB}%J8E fƁlmeL]fvyxfZ]հD<5,KJ齘}ߝ7?ÿق|LONھ;p2GYAۗw.amtqߔS= (Q$Qn1֡H*/J=SuozRGo0_' bFqhqm"[Vô ^lF "^E@I ):|ֈ,d}]"Y>Vԑ~xi2Z|ʡ` (2MnC˔+9dnt~4ST&5*<"{ʅbBO XM 3zТcV :QAL?1@-=lMB!x0."d:y+.ʰ1 ߣL ωȆ*GaȏuP܈: b'hSЪ]% f(Vn0ђo;1qTa "f*p I0$b3zKǑ#wѕdYXMڈ 2 Ad}"~^>z=L+>{K!}[ c&RgMkp\JnϏA}Ɯ!sn508LGr@h߇?IΈ{3wdH&Vr &)rMn%$S;Gկu5JT=nc@r[&`@JM1[ŌwbF-( wdL&T"F#[ VV2裂1!eT! 6t!,Eur!>Z}V&i B ^K>G#9 )vVyu(Pj,?HrHY)lh /=PӐj01h6RdJNрUyd!))ka ]@g-_Jи<ӄgnt h,dnz7P?:x²Ye09!n!d0z @ as1!~i !p>#QEIA|G~$Jª4L>7]vuD9+,|/(6ٙ9YјQѢ˪s. D3$-}o }mTÏt$}U;V{zⶰ͌3uns-͜ETKPÑjk +V&Ml2n{{(( geyHG3"q\e8Xm9zf{e:sy8vBu8;7%SnփX}#@GҬ>&=}jRe ՞vOl憃:MJÇ>k@ZWtۅ qin+vP vAH !Ϻ6y䫪~ ~WK=5E.X:e cH/&ʮYq;i"\ ;tICUV3.[g٦Mж *A#|QL{N}p㛔=Q ϡWXa,ݒʯTUKQnadaܬpw;s|ODme{>9UGGW,*K-kt>UXn4k3duZjxW4םJ2CHN^ =5#m)eͦHt/M'x?:qxvzq,9^:mj.fҖ zgak1juTj{@hdLq4p`SonI  a4'Zqz}qdv[ a`'C[>_W5OGctOE{Ǿ-A*s- ɵ!cA5`>`~(gϰPE@%ƻjDLh. *o}ۜw[5VS5u+=xYxv1g0ԣtǻzGt 0b7kv{§y3{F.&h#JV'խ|OIo϶o2ŻZz=;JG\A%k'4q|qeWJr2!g48^51:HsGX~vg84I=@,utXO` 8uq;Ϻ60uyӼy(<٥Od狪[qFNЎnp;˕hHn{3~FaOCfLr7#,eN R[[ [yu)w;=rɹIg9W9SyV|ߞS 'n\VHG֥wQY-q q 2!b bbR"S*f%VY)޴k\gh!xt`2B}m(\Or֔, &? \ mNo5ґSUڢƞ&.b=sq ٭!>z(cQC,X;W4G!e[sqHѵ%Yo4g-jBJlK ^zJm]͎GWW2~ ρ7̍WC/iԙ*|t3zUۅf(LU7&R1PvF&^ݭݻm_>s6c\fq_/g67ZM*x(YoʯAz-7¸ ZpLFMӔx%$OP1]NM$h,7 x%IڧXV 1 +7rU ^E%]KׁHb.owc>+7 ϭԀIu6|Q{&-Uw<E{| ?DF+Wk$]0ӉP{RěpoJ9җB29Ǎ~oUDXta0 |jz BwSKxk]39 #kV ?ESve^c\gr}0l}|'>|'RK+(9c-ݴߑ]t:]zrxбU#Vi,Km1 )f3Z9p |IlIz6 bMeJ`n;s|ѽ|jB6>w`nO x}9q+q%^=`J@o%'%+ y'z~{WBMS  b5er1Oĸ/?_GI=ڑ$xQHIoc+\W ޹`xbZ|{[k% X*ʥE—L;ۚ$KFKȫR c endstream endobj 19822 0 obj << /Annots 19824 0 R /BleedBox [0 0 612 792] /Contents [19833 0 R 19829 0 R 19830 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28416 19831 0 R >> >> /Type /Page >> endobj 19823 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19824 0 obj [19823 0 R 19825 0 R 19826 0 R 19827 0 R 19828 0 R 19832 0 R] endobj 19825 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 124.6347 204.6032 135.6347] /Subtype /Link /Type /Annot >> endobj 19826 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 108.4348 161.9837 119.4348] /Subtype /Link /Type /Annot >> endobj 19827 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 92.2348 205.2137 103.2348] /Subtype /Link /Type /Annot >> endobj 19828 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 76.0348 203.8277 87.0348] /Subtype /Link /Type /Annot >> endobj 19829 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19830 0 obj << /Length 19 >> stream q /Iabc28416 Do Q endstream endobj 19831 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28417 20690 0 R /Gabc28418 20697 0 R >> /Font << /Fabc28419 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7pQ*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ>Z endstream endobj 19832 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1837) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19833 0 obj << /Filter /FlateDecode /Length 4140 >> stream x[Y$~ׯB>hZۚ??=kLaeЙutϰx,e*CPTwl篲;4RoᙖwmXR+/?}=*RQW|V_z9vI~b 1lByTJ3^# JǼDm; Փ4^/O25'*W@\tY?O}\M'i/ P$Li>^-\iJ@N!%s}4ʜvKa NUKMI+!g»fٱdɟxuN|lq'Љ< BK_mMY7ӱz*ը8]O{0.-*h@#sЁŖ2WP  bBYb:+U"R|;0ÃZZ&ZRFPE(ٛG "yX Z8k.v/mT?vUYX%,wty6}m62&mW1h3벶kz R0E2! 12ᵶs\5)N |*V&6d D7np+2oeYG(C !EAbkeWImitK:P0F_cQ& s^fM1;'y63ଁ,cEE)&Z\D[k2>Dװ+VR!:ԕlS4|ǔ}S `ыyGǘDݎQŐ\?Q܀pAm瑐l"ѕ z9CpRT"Ujas:0 @ ^@Zyi=tmszboI'nc6?ID2kM96d 4Yyd&kNA`zZ pm%݁llP,w]!ҬPxItS I& o2|IB r6ZLw,\z ʔK  ̪;|Sw#}F%(:Й. |@U4Vѵ;5*CBCG"9l|aCNt/CYǔ0J-J.]Yk0eB(C) 8$Cu4UR kx58 q9-KYu)"q?9C QC`m3[|x{L\~Kd5^7it/.xk%3A~.iZ5/1u.Ў>ݿ" p{ ppz 2P7G;+1 pk8ـE ::x*n{+1v+JiRB;B*xYЫ}~-3 x#LgCAs{ޞ\U9YJq} ܒpk 'C#Ct?\?D0lL)i 5 2< wB^Ђ TJwOPcr^A9,ƬqփPvL]ڭ2IZ; !j hUrϦZ\4.(uĩɻ ͧOH:qI-PBo,Pv 9P}.Q `b9^+\/t9/K@T~Tz3rjK|$^?<#&α}Cya;lV;ڪ,dx}~ exCI?o\po?gKٛD@#QXy:ZCSx֒MT C6&,v*݂",ޤ6|q1M~'K9↹={XhFZiD][׉@ ys\>H|b^`{wqS-UZG*4_VSo[x|8FܴӭE@緍BYn2it,M[3H~sn{`/b"p2v0)b^G; RrX%Fֈz@]YrOugRnsgXU,Ϸ@'{NLTvM!QIYOxgOSŬGh5u=4[uE\t&K¿~F6ue_7$JHG=@-:K2._'E=WTZ6 ōCyL<.t=珁tZ#iH@ Ь& ggyzJ̿\4k|^ՔIb`Ry_K{-]|}cĩ~ڂ2J9=eK<& i3ˆ%(umc.t& PXqUŜ? endstream endobj 19834 0 obj << /Annots 19836 0 R /BleedBox [0 0 612 792] /Contents [19842 0 R 19838 0 R 19839 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28435 19840 0 R >> >> /Type /Page >> endobj 19835 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19836 0 obj [19835 0 R 19837 0 R 19841 0 R] endobj 19837 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (verify_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 192.4097 709.9] /Subtype /Link /Type /Annot >> endobj 19838 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19839 0 obj << /Length 19 >> stream q /Iabc28435 Do Q endstream endobj 19840 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28436 20690 0 R /Gabc28437 20697 0 R >> /Font << /Fabc28438 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 19841 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1838) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19842 0 obj << /Filter /FlateDecode /Length 1135 >> stream xڭWMk47 W\؆0M =[ʦC%Yl6o(ab?X_8@xAPP޿ 8mk-bjkJpzC84EB;zXB2bN#-h3ϊY69٭ d905|9n.'f'ۼA9h@6jah4hS - ̪ K6Km5:sᨲ+D ;j5֓'Hx͜ՙF elARNvHt>'w"|jh9gGxM ͿFYKu)Nۜ1YGR7Z( S_YE?nz\+1WgHgԱzKԜ&"a=|1;zE2;G|6މL0I:+]/\փ/^kqx)YQWCݍT=d=4CP [v2p endstream endobj 19843 0 obj << /Annots 19845 0 R /BleedBox [0 0 612 792] /Contents [19851 0 R 19847 0 R 19848 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28454 19849 0 R >> >> /Type /Page >> endobj 19844 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19845 0 obj [19844 0 R 19846 0 R 19850 0 R] endobj 19846 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 363.325 118.9685 374.325] /Subtype /Link /Type /Annot >> endobj 19847 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19848 0 obj << /Length 19 >> stream q /Iabc28454 Do Q endstream endobj 19849 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28455 20690 0 R /Gabc28456 20697 0 R >> /Font << /Fabc28457 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19851 0 obj << /Filter /FlateDecode /Length 4182 >> stream xڵɎίNR[srd&K~?oVUZmǶ\Eve:I>bϯS3SS6X﷿OL:?'>N:<ʛ?׺TQZW{ݿcJ!R;}S4 q ,L_Y`mȴɌ8kme ˜t(8y/)h-yr>XN }cqY:d3@tTЛY7/>|D_@4a??*l0=! g"I _3>&\~d^&h )mts\^p>x98]Mة|Z@{;n6 L !|vL"rߤ8EgfcȢD V4 ؟K?N7 ֺ`ᘢa>a=Ws\ܝJAqm𺆼buc^ݬV[VOjGZ{X>=\L eWms KT^8s&\ 0v jE(L&xzuU0Y }׃b=`jzywxC k{x?``;JDеjba+shr $ M˪~0ZdKܒPe%youKӸkҹd1S ѯ;"&@Ü$Њ:Du]-rd&VRVulЌ^k22el0 MDKBʧڀd`*#CK[ϗDF..^N[\R0lbq*=1̋;Tz2v\l%gNH' Zh԰~rT 1\5'~YB9GГm;u)=\ Kcby4xI4Hʚn0pD;F]HfrO)^xƽd\c 3W"&XhA=7eqi=NmY>0ڈi8"J;+R_8 ՘d8nR  ֬D0ͧ5+owR?H<'n;wOW`shW>"moi4kw^vU$H:o{6S7E_ di4;0\ouG;7xs4k7+#8x<2[.iv܅j7YradL6X0o6N SA<(vt?L$;z ,9cuEFfxR5+9Wv~‰(.A-phpkJaUTD+n|~ZDWNW^{쒜6-N.,!ujP ǯ( B $V E)j.qFǒTl4ۙMgjT5KWF?" MnY"y`įej5!R QkQD ʡͲq iĀ TRDYȓgdǛ;RPж!+ݙ!x*h\M)& 9έ1,L_1=vv 0Y(s YV>jf{j乕pW7Btu=`Ӈ( K o0P#U8'\4s(]!VwMɟh>Zg53B@m6Sᘝ_1!2&p+BX4c1>C@`9}7 C:ƒ. AI&;ơ&okT'1cF ԕf}M8K;DRw+QJC;ʧUFR[4 Kn)[;<n%ϵ6nE^ TWjbJҽ/Ԋ־ ) E'57 _܄8\PTV\F{u|{39(jRgve/&>]pLAX_s5i@8紸;RԻ=# t\nK+Ηc;pbG=o/kP];w|w/ ;LCH!n`0 r@>kg}.'3C"_dMԋ ^59נL=}(i.=4r1T#&:t(PqžW|DU9Q/vz/#A]\XVDpv}C DE+; endstream endobj 19852 0 obj << /Annots [19853 0 R 19857 0 R] /BleedBox [0 0 612 792] /Contents [19858 0 R 19854 0 R 19855 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28473 19856 0 R >> >> /Type /Page >> endobj 19853 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19854 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19855 0 obj << /Length 19 >> stream q /Iabc28473 Do Q endstream endobj 19856 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28474 20690 0 R /Gabc28475 20697 0 R >> /Font << /Fabc28476 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7pYӡ~1OEʚ jo swT+_PQL$V-dAQaaԜiJS¯"Tx5s剢xq-lb@r2dv"`V g{ֈ.{4yc-qlP;bLd>R4 <~UxoBDM>5TϬ:JG\Y ][{i4LT>Ѥɻ#LD*)sZ ip3S~3cy/ KaEr63po.IU1" ^_Oc*[}tw%BJqJϡma#bwoQ endstream endobj 19857 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1840) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19858 0 obj << /Filter /FlateDecode /Length 2129 >> stream xڥYɎ$7+t61 @.F> |*mt](R!EV݅HhU[mWN|}t4dub,Deol*ƺ`ss<M4v+~q{uoqƆ#eau.U? A; tu4;7>x.VۃE? ^K v$`9?L A}Dcr銝 J!JYm|FB%R+Y6%~hQtٯ$kϼv2!MB{^\ptY7h&:14i**%>5XM]=Q?@Ѥes~ [EM"ht a `U:*q1yXk3y;[Ϙz-͵AGh|85n)u0Cڙ5% GDۄ]IX_ sHsi K+ kG]M94Rrgh,~P0ǶLm7ץ&7v8r,xك kD~Q d@F*WDf,\g_&l\i_iO"=3:%򞕡0vP*/՝͉Vͩ,Ukw5kxpk~E^ōn%eHu6L,cѝueٗĢ ;yc xP_Ь_|beB>y%ZɉĤKnEwfX\V7^$DZ}3!UqD(rJ=ޥQTKrgG:VP Jwy\ &w!$C<Қpto,Q9~vr&A|%&{QG @$QDOR(7nsK9%%'/^7rH#pq*N|r7'̦97;O6O{l<[W gYfP-L?=P] +sx3Ź&U"+mh2w}3嵟LU%{yw; [C)&^| |A֛M9yK e\32æW KY?fBH-M4VF~ oGԤ1Ш-)Z` 7G1n{`|Vq01>QG>ҊZpI6oy!s2tݖ_cVve:c:w\#ZbM\(WkGiU+AUaTàHYb'l0sSBL{リ-ӱSRʭ$5fL\ #JW;-"n;2Lcex'BTSopvWRN8|]r͢ĒO79\S\Tl°Uj c\yiD;9'5ÜsYSZ6f#3F4.>T2)hT%%ꁪ/u:UvȈ>ʦrtb5Tb[y \RL Qx̼ѓumY` pޤķ0UVW0D'3h1R%Z>#,o'`+( A1"o|dQ`x\5YvfsaUT.R:*:o^jjBAZ@rmifqyE*v-B.ݲnC endstream endobj 19859 0 obj << /Annots 19861 0 R /BleedBox [0 0 612 792] /Contents [19867 0 R 19863 0 R 19864 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28492 19865 0 R >> >> /Type /Page >> endobj 19860 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19861 0 obj [19860 0 R 19862 0 R 19866 0 R] endobj 19862 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 358.325 118.9685 369.325] /Subtype /Link /Type /Annot >> endobj 19863 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19864 0 obj << /Length 19 >> stream q /Iabc28492 Do Q endstream endobj 19865 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28493 20690 0 R /Gabc28494 20697 0 R >> /Font << /Fabc28495 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HU<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wXb endstream endobj 19866 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1841) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19867 0 obj << /Filter /FlateDecode /Length 4139 >> stream xڽn$ί.s_ARml99Mb`۸ҋ41nUE>=nMfTmuf ~4z|~>ɚ叱s1m~:XocK.+z;Z[]q1:=o%w/FPX2|ҳv%xZS~E}T,va.ŗ42'*~tZ'~& )Cr:++ߵ:|$m#rx ?\2 ;n,uz?.&mjGL *X;gJD '⨍`!92I-幷\'Aw!:;';0OIJ<8.#@M0X'x|1Dx)s9S8Ϡ0rYG? `9MX5S+AR }lA@ s'>2'@O ;c|@LcȳpfG``gU#/r|q,$"jsq,Y1Àdgai"~%6%$߲ݨ45;B Y>(_\ XLהq9Mi BPOc1#;ָC%֛5QMK*-HZ"ք#[TB`=k F~sXV65lrzek̬{&nql ^eCid;Ϣyo(迋ʷ8ϐGv' $} 'v*6k6fGANF8f/jPzì>zm\/ b2]\/wZpqU_O~Sw5C,%x&w K}t]{,E&N8ݾBFeU.zDgQ6J[\ sD-/1'|K k 185 W-h 8/F7|&Вymξ}G(4q_a(CYz0+%97xWv$:$gWEIZ(DS@N}yr%4zfoYU@QƵ0UI]["ޭ@F4U,ZV\nz1-= *ZZ#DWj +N+KlԾieB0`ˍZ^0K`*Ee.݄ޤӺS Ua?;6 -g{aպQiߨ{[.]ʊuh!㥄;TX"ݫT{S4Pe~e~2h:ZXWe>Er7Yntz;伩viV,[sb/=I}@.j3Cj!rW~I&'TU5M:jr*$YUNU,oHŲ nTe-T}zѐ$ٕ 1=L7qLdn"L:G8Yp6U!6Aӧ q207޹k!^~rV8Q3%<$tQ=m";7y=;hNšc5gkzckQp8X]j`ytt54U?Q)*Gܛ>v~*0uqJuFed2_KgeAe;{բ E>G7u-u `Zle3e!6Xߏz/v1i6E[D2cffiWu+Onbkʓϓ#׃|cQyjAL8 ]1Е' (s21D'+noi9-SL K>1إ4J[YXQ4oOyPC!FXv+l}TШ΄Vq&J7f6'Mbj[)>c ^H #Ax)Է)`3Z=_f ckSאHAƋ0'nq6b )?@4ƍ_..L1!?hfr)Bܜs פy#ps|yF~J\glen*0}<Cz7աI3 H[9 >3|aro+Eaߛ }ݜ-f*u7,3h"DJ7f  I}\Q(`YZfoIu]v0郚Q`z+ƚq˒#):LWzBȢ>|SUNR[t.>G':q|Eu1RM,ruFzI* *\-(|_gܑ&Ls5:E.Q]ZA>eP!XW h0ra9Zzc\8տкNV@НN⇔\vK%,ኈQRjjGw/":URǬPV*ԋcɥ^Tm]HM,LX.Vꤲv2n7ޛAQÐ-m{9ey|/n<{&8pZQNl};0&Nu\]Q03sӕ%JdߺhGiu;ld>> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28511 19874 0 R >> >> /Type /Page >> endobj 19869 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19870 0 obj [19869 0 R 19871 0 R 19875 0 R] endobj 19871 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 334.8462 179.7817 345.8462] /Subtype /Link /Type /Annot >> endobj 19872 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19873 0 obj << /Length 19 >> stream q /Iabc28511 Do Q endstream endobj 19874 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28512 20690 0 R /Gabc28513 20697 0 R >> /Font << /Fabc28514 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}$f endstream endobj 19875 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1842) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19876 0 obj << /Filter /FlateDecode /Length 2980 >> stream xڭɊ#_c_@Ҁoc |v1UKR4ݍ*oS^ru˫nA :=]-<8b,Dz46c1'-ޘz{ΘA= zk0_G8 x}д1ƺdk}w@̓vӃd8V@ GP`5Dk ppHl0tR FgyZLNB |^\HjHv"Qpw C˄Z’M^Y^.I8%>wĆQOp/~\}x+^Q.E𚣨.`c+J6ޓKvGbkrpk tn S\ 'Z7qʦA%e)""ÍِҰ<8R'@Q(1b0&46[BM#tNnǁm"RRYk0k ݜ)B  g,X0 4] j'+ۥL%pyX(A8L˘ N`B 8erK9x"ŇEƕ at{)[l\ޠv[\9Μ(Iz$Rn\D :?xnS"^'>=o`5D~SC.%4޳I _, ~{S>Ģ_Wz;q/>ªz᪇ʲU%2ot|t<2h;Yy6<,T߼;KWA*&L 8 7`xB輸GB4-fvЊ@%$춺fh}-ÔWī-]f])u]X`G0C\=<>T>yɦ:-p=XaH~iR``0m8xZs HJE [BfKQeE4kɟDVDURy vhS_0&3i-m)qgf .+Ɍp2R:0ٳTT3,U͖e;nJ`G[$4/+ h+rh,Ŀ. oZ9@%W  о"+Է,ǂw CD!#J-G%l^du) fZ|!ItpPTض&~p`'~fdh/>OskXaI_w8cb9=!!ΫAJiQ9yNr/yjq7QdhB&|8/^ބ]R\_4)Į?)̶- ^qz wJV;G*p!H_+n[+N!\oz5qBks/U<{.gc!Q]56XwzR ~x3D19'hYKs!ݥ*4p*_&!BqsDğ8p=]IʋY|~O 'H8? 4`S#q,;3xg+LAI~T܋Bm&? MijY6Do m5 H\DdB.:Fl endstream endobj 19877 0 obj << /Annots 19879 0 R /BleedBox [0 0 612 792] /Contents [19885 0 R 19881 0 R 19882 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28530 19883 0 R >> >> /Type /Page >> endobj 19878 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19879 0 obj [19878 0 R 19880 0 R 19884 0 R] endobj 19880 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 278.025 118.9685 289.025] /Subtype /Link /Type /Annot >> endobj 19881 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19882 0 obj << /Length 19 >> stream q /Iabc28530 Do Q endstream endobj 19883 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28531 20690 0 R /Gabc28532 20697 0 R >> /Font << /Fabc28533 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQathǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 19884 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1843) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19885 0 obj << /Filter /FlateDecode /Length 5956 >> stream x=Ɏ%w}Exa P( Wsq$*^-3b((ZX̢b 4wu}˳_Y&:&[-Epu9XO=K*zz0b*d u?[D9|=j, -z%+jg\_kJ4op|p9⪵)y eM:4vh调̠{#'~Uԋov$l9z/7G@rԎ㠠rcx93ȌU2be:}W# 4)hp[ 8AL^05!̩f ;{L0649 Ij7}2Rqn|Jj~ jQa@;!PjA `6:lܘuBc'Ҧ%h3ױ}W2 lqK(c:0PK xCC.lI r+9dm&1br7Aq !)0wQ0\S+柫X[8Լ~|ʣ>Ri R`&b =Yw/;m|u;i`Yo?9s8&)ݣfi! ׅTXsuJ#Z"K\>6pd< Ә`4p,C?.pCwˉ{nKq{voܮQSf(uff ![9I<w5g>UaФҴ)@FUb n0p0%zEYi_cϑi2EPvݰ,cE F^6>?Bþ(~זw9sNy-MO`P;1o31 s(u^1h'fV~`zfb}qg(8v-W 1=Tn26uÈwg>U뙆G O$;fcmE1cvjunǞtS7CEq#HLO3v/;枔-T 7Z-*|EDߚ beDMHʩ]} O"[mE*?jfDZLrpxc>PO%B!jTF? Y:c8mP3LB</ݖdl˨Qٹ?*/Ϳ3V҂x`&$_M Ϋ Z&CŠprN+?4xdB8S=ʽ4VF!:[ FqLl.o Mq+c ߩJ]Uxj&MT{aRL"퓺'5o8ԈRVU&XI.DTGɨ[}Pe~ee5 Jџ|\_ ~;@%ża_du߲.ZZp_chyx"ES4=bpɯ-Z]˵zjV7EfRL{ %iv}.ESXq*6ňJ 7Wu~VP}>gLzj3ٳ&;zCp9"{S Wϑs|K"|/g̓'͓fϓf3̾Ҷ ;,Sxͮ٥z\+v>+=g] 7lJ]Re=o{?3Gځ2:\X|DMMGGa q Fm?*'J&!#7Xa}DN@&KߎAMgAn|ψ˱KX])O;5[0iDL=Rn"˴ + ю1ƺCoOZÚgAE6LZM.m5A P4鈋[c. ˝ gU˔=056VICch׺5Y;f]i{ J'A+#E.UxH O0sQ `WV4.;l3.AAKx{Xoo떱Iq3 R;_G8bpsGۆ ^l jmMF`\]/SUB!#MNf/w7V;.Ojitp ի9[ttY(]!ǚQ\q6^\4gL 3o2`vPBRR&nK͚F=넂 4|сO-DL@WT2#*!"=(RL`IHJ X @Fi!A F!To pu)(DJpGEY:PjP!Zq yy {Ta_ -%t 0z}V.Z-,ǒdC-hZ../`v{}F~ԧS CmAפy0oji1V6e[h*N1~yC8>9 ,YpH_=y)WDLwp#}dPI=˸H_'5LuG!3S)89aa*Eqą=ErcfEbt tp5iVN[!,% qӁrJzE"ch-# !qjeA-L%)ؕ*FF]9`C$>d0/kʇDq "ON&{p+w!_#!5b$7qRƈ i#v4J&nЃo}Zp67:97x' Ԥ/#di:{-$B1w჻ iF;Y#ޞc:5eL(ՒMGox<HlB䲯1Wāc}[kfӽI:ޟnjy)habl*Ʃ4>B6 M6qܭwnb mkiIAVqJ8eqY(o}rAi}DU|y4|&$ܤh>kF;:`G*W0A #8L:w#z[nj#bj7lȵ^oRw-ߴ 3r-=+9Y:p)Cz^nOj]B19:95=3;1xOҡ _UBN*HA&c9Nv*d.Pf mx S-:R^(7khEl-q/kWfL3[zs&ʻǮ%}~4tDe f H3'2X0i̐*6ެFVi"z)z:B}|Au1 &YӧU/`kVBCCSëM!@#c=[xIaMo[vKtږI/ovHkY&x'+<008J R[cayhAlI\W{G7U_ʻ2~_; AB)"`q> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28549 19890 0 R >> >> /Type /Page >> endobj 19887 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19888 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19889 0 obj << /Length 19 >> stream q /Iabc28549 Do Q endstream endobj 19890 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28550 20690 0 R /Gabc28551 20697 0 R >> /Font << /Fabc28552 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQ%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1j endstream endobj 19891 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1844) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19892 0 obj << /Filter /FlateDecode /Length 5988 >> stream x<Ɏ%qw~E 4(4U%`n#5S#A60߱q|KiTL2ؘۯ4wG*v{:eUoq3fK⮵یރOZgx_ N:ZT-4zof].W7i P_->}|1u\S}#IY +6c1Th@rಸ'* O06Ч?? q w`l'a'3w3GޕD)Ko'p+..=T ﮂ]S}^RY'; $flt||ds"rJB+q2a#P\NW. -:b/km=wc ?ĝuhg= g}ٝPL=OJ&o ͞ ^ <[mg`g u/TOOe/6v`BXhn > F=[YHg*,/ {|ߋÆ0J4oϛ m.m%d|51n }`/UۧJiLinoTAJDxySp3>}Nƺ`Wm𽕺JH˃;3=Rd􈤃2ɪ }k.eE"w;}61V2țAX1e!<'Y: \*yv " >7D%]Nq)NnP6`A`LuMr,ӆ7z"sE.Lt;fo$qWN+*Vl?F]X$?mQfK4'yWEqzc 6(C3HNEp0H(0},22Hl@B]*uU$j6t`UX6_? 6qo"Vɱ!x8THVι=*dx[&mM&YùBFt!z6>sU}ra3e31hw_nP٣L!%}CB@)Q/ [bQdłBvN xCgzdmžA @qjVTACxx|o  u$o@8(Y" +4 Vjm6¦q`^t aR @M%ELӤbJ49ԃ-ńJNE< DPJΓ $?V2mC%l EP` /AǚpWI]®3;'ϫC\@{}n J+HJ睶WD )lQaxb"E)':8؝8"Uh+7ΡeL{FH䪡Ayފm [pFc1><8 ^Ƌvn|Z>p/ >1׈(_b ;Rta.3Z ~g XɀIJޞmn"T ĂmCf7Oya!dXE¡uD"$0@ ]r/D>UBDQo~SApH6)#x\uɻVav`k-D s-4(ꂈdN! 27`h0 N=Qy/M *{hG ~/ko,f 2=}T)aBV h yIy*bbTmdci;&-%Jtº o Lz΢6QAwfhq%ܛnwՠ΁Tv2єaCUz3:HIE.;2v/lx وeE,cKǬT]:mhVoCM4U1l!lBGDEPZE(WsnNjvG%<(Flc}mHl*W@?V QH6ΑtpO4 ^ua)F\X1<۱:(֣'q٧|BqEAR\{J̍p1+b7';(ʎ>=;_]mdR[[QV:>韊BJ>BM!CAU4*yNȐ ڑ01dBbhSUȦ*ebol-[CZ)&1E-^kLPpz .v/=Z!8zS*eg2 ڋCg`%ŝ@hhq]aR-Qmj/#a Eb2U6U^9C$9G[>Qu,YЕf\K647)3h<[$)49`[V ^TwK]܍|P ?1Z{6'p@:8'aQ*K1DCihЮ^R8DaH1#D'̳Y0 >7>897M4'W5(+k,Td FXRs?hF: m6OЌ⥚Aחg0Yzͩ`ǭaqW٤izBwM̊YBi$&u4^W1 TaMsяfyzc ^ klU4YǰG}́|B>> bsd Gr,3*ʥrTu;5ς=7lIa]jNcH!f-fs CMH0l#T78Lƚ$i[{,>e{oF?{< ^([L!ޞ&s*9FohKt7<䤭*4_8[(l ȸ)=bYda 4No D|I_/4%8P7$3leed. Qp:jR%8o1=bU %0 %jaa>t 4%\Gk1jCgXƃ :xXwlR6笁v_2_B>P],SHWt3za5k̾.xGʪ 'Q#y쒰|MJgsuU~)ۊNBl꟪eNG\ a%:eK8uT4[~: ?mIȻ7[NJXfAusԳWpaЦuÛ%w7\a.;0/hl }HOjCdvOrTEّu=8 4IG .2p/-E'+hEa>]Kׁज़f3|Z'乕n:ϖ,~^ aP;P<ԥ tA^n砺N*C}%J9)5v믍3{{\ ̘b>bw/7p.s/bnJ;C![jԀ1 z+A>:[P?(xe~;ħ>J|7HDu*MU KS҂T)c\j/~^õ@ WK:B`Bs$y[h0"~ <$.*3F ,.2 /&kNZ&%^bC% S ~sk fzY\햲3,QWIz޽hm*|PCϬPV*ha,ԋ Rl&pN|q.|kxKeIdn@oE C ûx^Q3g55Q2>!~0B8'Qf4`+ǗUD! B蠶| c060t!J/re.ϗ<]6*=V1q?OQ]Fn6ī jw@n`pt>,RW؟ yמߞ>?_Em7C '0-oZ@!|n86lo0Bx6@;/$#`YM@/,9e} e v endstream endobj 19893 0 obj << /Annots [19894 0 R 19898 0 R] /BleedBox [0 0 612 792] /Contents [19899 0 R 19895 0 R 19896 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28568 19897 0 R >> >> /Type /Page >> endobj 19894 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19895 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19896 0 obj << /Length 19 >> stream q /Iabc28568 Do Q endstream endobj 19897 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28569 20690 0 R /Gabc28570 20697 0 R >> /Font << /Fabc28571 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E@dQHL_%ee8>϶ff^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 19898 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1845) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19899 0 obj << /Filter /FlateDecode /Length 5567 >> stream x\I$ɕۯ \/ddV699LK4Yݗg[RՌ!gobuQ߉Bץ֩%*7wjsk*Y\t˗OR(嫗2H 勓UTwoZ+ f0edVm\J=>p roOVΟxdJXKЯ˗mXUR!ij1R<Ga$zhlȽX(#ו0l)ٽ-%QvIhjBWvMS>7oeݴ5LTO"0G>1\w/);ν>OJ6uvt֯)YSvu+_ZϬNJe+?_[FB҈B sR@f|rP-*<@WOK|ڠ<6koE 5jQdYCʬ81܄^. ^cJ+UbGTxꇋQ&"~]䚬Sm߿䱘P~?-?ʭ7\L6.mf^!Ŧ 4_~X7X#n80d+lH 2bʫs_|\bù$:3IN̩R(j]SO i]4T_ɷO mnG&/u $vnS'+LoV nnI@]OYo|쒱nĠ{Yeg^OqLa_QBRE񦫜]3G=$H Z yr XunYtݖy͙ 4#dzꕄ,5>NZ9ij^u9laG=_܅d9DW346͓j_Qx9, i(0H?=([7t42dj&dN)c[ѵ+,"U$7e6mf8F$PU7# ;$IKǜ\;AI0CgP"l<Vh5 th}4axTB@X&@ۺL.#Ӄ;U3Lno#Y:i4 %h'VJ ^MOQN0|$qHV1{BPpC \Vj5e:ޫڢNn1;wGgc^; ~%%#1HT PN*08{JQ:*,1:~(kbU9iGtat>j1([M@5XhE1SwCxOU.3 J/?=5~ ZIEvTyw蔩,_G SŬ/MhT b.fQۇ"br4M 8BXJ CaUXtEѐ?F䍔.0[$;$MGCqD w )Rң; *Z c`KNtp6cZ0P[8%hܓoV;9uSN3y@tkH5pH݋j=HxiUБx!l@y]Ee8!֧䑃[[XILO0~ixΰ} 1=8dɜVb`#ɝ }*|+1HS._{KLd2e+PkvUn<t,Ri[;YHMB\M ,Kn r4f]ez Ciޘ#TtE7FЀy ]o.%˅;(/A'8]aEkg }yb>:w#!+*{^c]]mn}VʾfK:0*/8| 1seay3<*=aB G֑֑#x'NNdPivlo|mV6z_s? dA:$J{ CH] xYf=a8o5D艽>4wǜjj'/nwB;8ew  Ig-6V"PW?q.kPLK}C%XnK(M!zRoKJ*ݲx98:QTn?m ;!y\r^}dت!9ȇHߖZB6xC"RѬSp47J.`vL`m]:`9w^NBXL O;ƒM:>դ<>\dz!5q@Ws>N3:G9%S* <~^~f@I;(8 ,B[^NW_Unu jQlh34.4oovFހQÙlU,(BԾ[ho8gnȝݘ iXxu>݇FGÊumՋs-B,3<`iEAvΞ-i‰.2Q闧!`[{GKDjyi1Y{o>St%Z&HY]n>iUWxڡu7w%,;20ջbkXd(9bj^7tܷeK^vFƪ6JxS(%J;$CVDF\,Q33)Utt㙼t:-xųBvΡ`C%Wܬ .>8(nw˸تʢAG\NӚ1mY7zL@FmBpMyg`<4yS]lٕP387Hq4I`h,) e<:ml򃪰ܡ**.qeB\_PWr@)em}ְ ժV=k|N=j+il}vVLz Oʥ#Jٜյ8+U~LH҃i6N&59Q&4mSeYM%UR&__ xWϒJlx^|W]1cY*'.[c<_zK|ڒR9n{ěBfN )n-bP 7Iմ ^&ī,GYv:zX!sD(xi#˝s]Ƭ%Dt/ :q6"F#2oI"CחRW1됈v5<( `!X_ JNgP]hu)6xRMe޻z" +fjU^ƇDhd&Z.E3ԧ׳ L^YmnC3G l⛀ |t+:zT?NܭfS<KɜJ<|=qQtlDv$whY2?ttn:5OaiNc®)H]lo؜kPoVʹrwTеtVxŦUFzDWW:Y,[Aƛ5:YW|GN/ڕ~_;R|,a|c\7 &M>xLPuhCCJUXʗW>Y|s+9]\z^-$X'~`1)NrOt2ډS ?m9r0*_R@p{RB屙 K^L;4 } _N+^dpe><ܴy0.Q:1m& 'r=o\䛆߹D?ׁ߄j]?;kpbt;1qtV]XWܶ$6;:9ݴ|Wo@GoUiXVp,{kϚSZJ,ߨ-c5_(_9; >2h#ySJg˳b{CA| <Η6Ћ xYVi]YcxҎ۾uβQg |ȓ ;b|J^̋?)Ό9&? `bKqd2y2A- j`i;U+wXX~TGzgM9?=ɸV~}V+ÆQkΦf#T#ϳ #̧KT\9 endstream endobj 19900 0 obj << /Annots 19902 0 R /BleedBox [0 0 612 792] /Contents [19916 0 R 19912 0 R 19913 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28587 19914 0 R >> >> /Type /Page >> endobj 19901 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19902 0 obj [19901 0 R 19903 0 R 19904 0 R 19905 0 R 19906 0 R 19907 0 R 19908 0 R 19909 0 R 19910 0 R 19911 0 R 19915 0 R] endobj 19903 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 509.3 197.7282 520.3] /Subtype /Link /Type /Annot >> endobj 19904 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 493.1 195.1267 504.1] /Subtype /Link /Type /Annot >> endobj 19905 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 476.9 197.7557 487.9] /Subtype /Link /Type /Annot >> endobj 19906 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20210608081201-08'00') /Rect [104.1732 460.7 192.6352 471.7] /Subtype /Link /Type /Annot >> endobj 19907 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 444.4999 166.3397 455.4999] /Subtype /Link /Type /Annot >> endobj 19908 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 428.2999 208.0022 439.2999] /Subtype /Link /Type /Annot >> endobj 19909 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 412.0999 211.3792 423.0999] /Subtype /Link /Type /Annot >> endobj 19910 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 395.8999 165.4707 406.8999] /Subtype /Link /Type /Annot >> endobj 19911 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 379.6999 179.7817 390.6999] /Subtype /Link /Type /Annot >> endobj 19912 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19913 0 obj << /Length 19 >> stream q /Iabc28587 Do Q endstream endobj 19914 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28588 20690 0 R /Gabc28589 20697 0 R >> /Font << /Fabc28590 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`MjЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?g endstream endobj 19915 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1846) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19916 0 obj << /Filter /FlateDecode /Length 2380 >> stream xڭYn9+xn i PPy `9i=hH XIfVm-Edi |uN*K~W'\2^o;k]{.K>ËrpxO)(oMj ?;B'Q+v":#J6QO2gy?,v ,J4 2Q~p,+) V[kp KL^C8DvÛ ,#Q{>pu$Z24뀺jB0)8)BO뎳Riyr랂֬YL/@ݰOm U"xYB9iqф )&C v7 0I@g(ap,]H24u|6BYI7ؚo'nԆedIr9f`{&M}:G|5X-7r  FET$pba750(ryNbbWp{ vb蚖7`YrfuMő u1AhD1dt±\8ɜ: jt 1~K~fa ny#'l׸Vhu;p,FR&y$nP{ny{`7+]lRjC;"!qNj姇Oθ VV?h1{:LoZ-J0 dÆ] Mf'h&WXZL9|S+SeF_30_3gߥg VWPx3c67I_y3LO[prӑI|X+RrZE]$3ʏP&VeEx񲖟/kcb=(+@fq3J~R奝"/ʦp?(r4 !(j7.n-tiYIid8PK%Mmyy%sȔ%ʆj. [B/ S1qNُtx6]n;f:5Ŋ8BW@C 1 H|:'+K2T0v(эP30~oa# <=> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28606 19923 0 R >> >> /Type /Page >> endobj 19918 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19919 0 obj [19918 0 R 19920 0 R 19924 0 R] endobj 19920 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 235.525 118.9685 246.525] /Subtype /Link /Type /Annot >> endobj 19921 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19922 0 obj << /Length 19 >> stream q /Iabc28606 Do Q endstream endobj 19923 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28607 20690 0 R /Gabc28608 20697 0 R >> /Font << /Fabc28609 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19925 0 obj << /Filter /FlateDecode /Length 5444 >> stream x<Ɏ#DZ: `9h40d7 &kwjY2iſr+9lqQeD.Eÿb 4WukϿM_-ߗ?i>=/)'j`YOAG%kړzS3c|YN#ġe #~5o?,_ƒ^3.5%Vv8~Z~dsa-ŗ&*ly^~g-ќ1C]3/*!׏g  |QT9r DF—i1½_Q7x5F@3~F*`H?`~Aʟ?ѧǯfM ?_~5w=38SI@]}rOX>/~ >O~//\_;m}V@0tkk˥Ʃ_iLen=Y:C"ۻq >qf]N7KT|_b(Ѡ 㐦Ǻ:*bY4mI5W͠`ah4xV߇Ӌ>hƱ_ߕ&Qk2[EfjWf2S+|Tf̖ev8U;o@5/P--LбYD-B=Gp@=wvgŲ&{"{`Iϖ!ܒ3p4Rab5Cc8=~#P^ l,pr4YWp Ӭpwq`!GGϯjB;[ό`cuA lBka韮0+ ͍߁7n+:؏žoL7M /aK:T"c.1ň؆( &&WcgNOG[RCVϼSjr9{%`&5|YL n#rm0;MHcpp~e^ޣVe_WO*>$RC5\ /J*gNczϚgt2kKwsW6VLx#[5jh}js;Œf(ḭEB%~} E}ER#Rzyݝ0/aC8HEN*wW>C BYܸl_2mCBM~Z~䀂;x Qںwxk76; \ȋm]: DzUκH:,Nŭ!p&98{-T TQ߼@tƣp۠jV#O\ ^  k' w[:c?MFƥVAX^Z~gE+U]v4GW0ZB^Š([-ob'Tr-J/Ug 6y |ԻUtzfDv} t/TtԾ O7j^oqݩݥX&XI.ctu)WfSf*%U[ jࣿG?q8'sPs`T} [VDV[-kV?cWY=tEX\.e'GC?lu^lۘ3{ygRnndzDnnfz@gvoV*|w%_}mTߤ{zh*գ5ϓ0_`{Ui&JrQ,p QCPfay9^%Ք@Yɥ +L>%u>1w0oٞ1o&U<3P݈w'͎zʝ W?4ޜ.eD}Sę³^\ H";)G>VʱGcoE'4i>R2]Aьq̖`wuMl:[}\_>{&6?xq9׀ӼB AunhY9O"p(YV姱>U2(׈&]W:_[8 `gG߹4 5W^Z&R0q+]M8a~t|YjܟHsD6nI$/-jy"ng|ȷɆk #ThLӭ7gWK8;m!)DSoԈ:HS<@dDxK*5( -h1G"E»X{ca41e `5^i-J+NhEЪм[p9b X %A/^|[4l(_-[M5 XtB] ZP~\uC} fʹЖmf Xnl\B.@ \f\h,hQ+6VQI>xh EВx ҤPHȊ3)M Cu-0xK~ջZmFhxmu G"+ɏ(8sI";:B`1SK|ON ̣R;(ʢ!C Qݜ* >zo*.@*7^eArNk$_SK[J:#dRE*#/C$J{Y~Wr 2f5w`m%愶2;ʘ~Fh`|yX!\5}awf{N`1.hn@4 cun[ I#Ooz=S8 Adΐnr6 "HFg;&SikC +HPif_ Ni8J gpUiWw{Tx>;̦f;GQ>n3|/rr~fs0Ʃԫr bSkL{;zwhwdwy SpwPsJF> \&fumeJjMCt!AMA_Cv3KUSaMf,h蘆ܧ%Q zTȴLOKߎ6ICBrq@qF  w-r5 VWm㔫wM%~?_]5HzYU\GrUgS|(f)ht"PxCy\ՉTJnvbmm6~f2TvxJ)(1;\?Mb D_],8lQ$4,L{(sGH,ZFfAIi.+<_AbzA,$C@|5H8Mio<u4݆|'˿{ʧ>|=ʧPj&DW+åJ+C.F$kRCnIExI IĢ4|EW_y}L>_ Y|K/p-.UBQGxV !N?u$R=RK_t.ITx#N-n[kRa -KqiJm a;6w,{|K2+]Yy/gtkK.ME[N"E2 $q _d|mT#$h &?권Pw D?N5}0?[|YIlSqe8So0y@ߗTc ^}&[qm {egϛo %=?|Nķ|T=ʜ9$x<>nk/2ԛ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28625 19930 0 R >> >> /Type /Page >> endobj 19927 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19928 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19929 0 obj << /Length 19 >> stream q /Iabc28625 Do Q endstream endobj 19930 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28626 20690 0 R /Gabc28627 20697 0 R >> /Font << /Fabc28628 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7f.ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?K` endstream endobj 19931 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1848) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19932 0 obj << /Filter /FlateDecode /Length 4041 >> stream x[I,篨˹/0 Lw&ӳ%cF#"#ת kXX2{mQO^{jqv oI/u,J-_nC_ru-_]$ϋ%xxxp_tr]|3PTI&S_co0  ƽ| ǾD)/|__ \_aY|wkR1a1yIp{3~plۖ}lx`/Uh>f__sA[.2HV%1fwz-|aXF 8h Mä= 0# G>Mk<ϳf=,*P'M,VtQUƣȀ|\|4@A@ FDL-^n߅{1(M2#ʥ5Z%F<'jDyccF$Tʟ- o/pHdWg S0SCB%Q0I܃!dqRx&^wUqa/&i`@`ã53Y"X.Њ.1N.Ym={5@`hI?}V&(!2\ ū]{}[LlM>""TvK|jnI+k)Xg٪=$:gF,䝫Ob,&)h 9TƁK&R{9Tb :dZAUC>m2-rY> Y9̚ȧ8:WwGYH]dWo"ĉ.Z; { a?(zQDhԁh7A֌ZsB9cH3c+E(暲ړR)&2:貟3|E&TTȯZ/c9sVڳ⨳]&QQ,^@vP`?xoW=Jw1ҰOHcKJJP]f@P " `L]Y˛DhM:$ܻv\J(c 6ƫ拼`.sv |j.m5RsV "ԛ"z8y1'v{ k>+pp[LE)!|,x}6 OG4>W`^9HG&qWDo~AI$/S4BR09{m]T?Ly†$n GW#8A*I8aL!XS=9 XX~srv9tѦ![ n#'J?f !9#v`N8V@[MF1Ƙ[hpUTm!"⋿.*7X+hyUby]ªb3.eH @;T  (8 ^9\l9_yO|`^/`>%;]gn!=v`T8ܧikOF 8%|Sc(KC\pSGkʴ%1I^7L;dq. $"EG93 9Նl)c,&}fkhj$|Gt95\3qGhi;7^ZnbMb-oxڒ +|D`"_ۤkz5k[r*u՟  ](l$Z W\::4wAb}"wA$y])ʼnŬPĹ#NU\ Z2Wz嫼PA[wEJ<3]|#I'! Scխ^sL`q#-'"E V7^&uj:$(LhN֞Rta<+5k/D[j6F }5l*{ o?$7`ϹsUk, Jo^Ν[؅}lUwp*T?sznX6[CD,21!:K˵`u',~g¬]ZL endstream endobj 19933 0 obj << /Annots 19935 0 R /BleedBox [0 0 612 792] /Contents [19941 0 R 19937 0 R 19938 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28644 19939 0 R >> >> /Type /Page >> endobj 19934 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19935 0 obj [19934 0 R 19936 0 R 19940 0 R] endobj 19936 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 183.5217 686.7] /Subtype /Link /Type /Annot >> endobj 19937 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19938 0 obj << /Length 19 >> stream q /Iabc28644 Do Q endstream endobj 19939 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28645 20690 0 R /Gabc28646 20697 0 R >> /Font << /Fabc28647 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19941 0 obj << /Filter /FlateDecode /Length 1161 >> stream xڭWKkl7 Wh]8! d̢@2)$QYI&7cK?e[^o]i^`u9S- "phk.!+} 1?"``]|` ;h]Do^T8^ d7Œ-,|İ[]R Dj (֓%HgxLNnzrm(]3" m:L؃$5wʛ)t7uѶ)Quu̘3ޙ::h"d^l_iL6lbEpA'q:/`l1'_/[Z/z|ܠ f1_A[E2LNi:6fbkS@K,Q<7ZO 9STigQn xb6fGJkQjv9b4Μkj G9S6^%EYK2ox)ӀE%!d v Bv3_ RVs}CR"1fTw( !RB,:Uj.ΎCkV"[8'Ee"xQ/L<`VA= Q9ꃎJ!clD?˶uFv3bM3QV^Q^bPvDS5ьw qYrV2Rvk% Sj楒i(!rHJI #gUt2pW굴Ф&&4R-"5rN$jt}|0\{Bmp(1s]-56PCEp,\w Npݟ5zIu,S9;]i@ށ)Xtͅb9)oOwXW#R+{5ZꘪkZRzqˉ|'a25ğ.ntT ;\\_eby>hbv09<}݊oX_N57R:M.SY)(~Ţ݂ endstream endobj 19942 0 obj << /Annots 19944 0 R /BleedBox [0 0 612 792] /Contents [19950 0 R 19946 0 R 19947 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28663 19948 0 R >> >> /Type /Page >> endobj 19943 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19944 0 obj [19943 0 R 19945 0 R 19949 0 R] endobj 19945 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 372.525 118.9685 383.525] /Subtype /Link /Type /Annot >> endobj 19946 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19947 0 obj << /Length 19 >> stream q /Iabc28663 Do Q endstream endobj 19948 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28664 20690 0 R /Gabc28665 20697 0 R >> /Font << /Fabc28666 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`9C;fũc8TRQ e!Wm EI&7]NhAb2Y@QʘJ9N2&4'*\)ɜf϶g5{kEŐL$5l)l,ȱaSi ܟBd#XU^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?Xd endstream endobj 19949 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1850) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19950 0 obj << /Filter /FlateDecode /Length 3810 >> stream x[IoW<@p' 扁9y2RqC`b=[j&//Y. :}?_vVs|Շ9qgL|ד3x]xluՕ{EwֵѝŻ^?>259 5߿տj3#3o}K_'kyYRt\lb؜)dgL5׹nYxW&el>tC^^z+j{K"-v)X {M|զ)됸 BpbaY@!˜` hQb:B%Dr~K{9k,ۤSSUv hvus(&'PН$xxNR<:. Cܽ)*:s | ~F;9ຉ6|,E9>6O4,25ų|Yozv6%"6YQ! c D7Simxn.O]ч=RfgB?MJnBKly`Κ9MAhbX0ouꕭ!c*LP&7ţδA 1-bD_ U@i M@/g0bh@А赹LHt2^,aӗh}0xx/f;a[>n-4W=eŪ _u¸Scީ;3iL>=D2zI?K  m¬Qjqoa=N%Uȱ/bp:1qy_q@ȟ;g`iT%ތL8 qD竤$^bM7qhφ,7܃D̮m 1!ݢM2OP]AI0Q#7Pja@9 jZx a*Te50TOEd|/tW`āwBu Q SDiw@,uQk]p=S/},5W Jb )%+UxdRb~tRqYB6TJ0'<BUB@V'@4U.JIa}kwo1Zj@ ,[+ahҠ ZU6lIYIT^S`k]C<#-D ׽ܤ%_(>{VV?MiEpUPWiEsR؊qs}IgG&:Z_O7Z^cӵ؆V:^kS%ŪңJek긿 j &U,@AE5p |4GTa n8R Ԏ1n ^7PwX? C ju!?t:U^iN51[_0KT0sf~ uc`,D%n"Bv[Ph Db .wOaG)R V]009nXʛL>F_8۝@x Ys 0Ld=g֮OxG3_ڪkv/ jabO2C3wFM[t%_Wr˽Nhaąݜ7VeX4:=:M^{!Yek>X$XJ78"+Ѐ)lȎ= Q䶩n $J<m~# +"Wwٟ aƄ-q+HM v&CGLӜLm}#Q"nm dž/9 Mw\f)<ł4όm1WbaҝQV+NYKyqau݅AMרAcǂz+ƚq:%8:a hz:o| S5.R[t1Op# |AsRM/|3:+ojFJHJ BGG>Ʒ3nv6^{EJ{bf=+]raGu6*Ekx\L3GZ/( oҳXP_.)Vg*|!+aj+AgM.狔|ܚ;{Ψ"D5:gغrR!F^K>j"lgဉsM#EEe)d[?)tn۹AQØ,o1'iV*2C`p 0eB>6[ɸ_mty8چYSpVnesr8)tY C]3_ pG0^#N`C%h9]D̟.!8]Ne:,(E)hqbޖ[0 f"B;mO*ؽu߫s>, 9kS?dç-  v endstream endobj 19951 0 obj << /Annots 19953 0 R /BleedBox [0 0 612 792] /Contents [19959 0 R 19955 0 R 19956 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28682 19957 0 R >> >> /Type /Page >> endobj 19952 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19953 0 obj [19952 0 R 19954 0 R 19958 0 R] endobj 19954 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (read_csv) /M (D:20210608081201-08'00') /Rect [104.1732 529.0039 146.0447 540.0039] /Subtype /Link /Type /Annot >> endobj 19955 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19956 0 obj << /Length 19 >> stream q /Iabc28682 Do Q endstream endobj 19957 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28683 20690 0 R /Gabc28684 20697 0 R >> /Font << /Fabc28685 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vKχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐى/P|}{Y#rڻ3^J$~uc.@Bl(Y|z'&DSsA̪xJ9ߵn6ZNoK5>%CO4i.dKRG"+5JVVK2 ef[X]M` //d"Xm뜡5ڟAKiܝBj2gԜΘ9cDA^zQv,Q) )ǥC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19959 0 obj << /Filter /FlateDecode /Length 1895 >> stream xڭXK6W\@*0zK@EO&E-P4 đoágm߉:1biOZYqlDr0xcNgcјK»Ø;?׹&~Mr0`QxhH{cŸ-2.ux9W#&aZKE[, ¦3;imrڨUZG̒ 6#s2ےDz'QsNh' sX=Z ,aR@bQX8'kk]6uh[!u8dlbԔ=-V5*&6="Σ ˮi<%Sl3̅Ӵ`9hl%muke%dFyO}UW{P:(W(s_+(`#Z;h 9{ znyCfM'Dz A성|X=Sj$ tFHL>: iTd߷ǮQ aH+jwn*.bLG"k-qt:l›j{Yq{D} R P#]*xh<sʽľ-d $Z:,j}射V6aM֢/k8FhMGfY.__q>䯩mndGLcQ g8hB^pCHp\?~?P70'ɻVl[2ךqXS^6{A#z^1'uK$ !b*?_ҰXcBcsǓҺPKfƲe.08%S!AbiAS |*В!bYo.;nn=dW@.+qq lQ/8̥I]%R}جR/q\HzWh `r$# 7퓂[?[]}jD_i<} {Gs,L#|̽u[Cγ>ܯr(2涏:^{l+mZ}'ހzTa5a¸9]=++',O'J҅a+~Q  8n5$Z2HrnS &GQ}yދBvT 3t"lrp|&k.xl&CͻLXŹ3 endstream endobj 19960 0 obj << /Annots 19962 0 R /BleedBox [0 0 612 792] /Contents [19969 0 R 19965 0 R 19966 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28701 19967 0 R >> >> /Type /Page >> endobj 19961 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19962 0 obj [19961 0 R 19963 0 R 19964 0 R 19968 0 R] endobj 19963 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 334.125 118.9685 345.125] /Subtype /Link /Type /Annot >> endobj 19964 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [124.2815 334.125 156.3905 345.125] /Subtype /Link /Type /Annot >> endobj 19965 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19966 0 obj << /Length 19 >> stream q /Iabc28701 Do Q endstream endobj 19967 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28702 20690 0 R /Gabc28703 20697 0 R >> /Font << /Fabc28704 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19969 0 obj << /Filter /FlateDecode /Length 4003 >> stream xڽ[n$7+lh PI*-c i53TZ˨|x^` 8ZHۣRxF~LF 3VT( ӆ8~<ʠ23;gAFi݊H!恫f,C0r|O432;\.PZ&,CPj1KGFco6 xO(awO\Q9!nV`ʸ-UG=t%&sA=[L9_( >|m{.ǼE~RB+2Q@%u!d\y@lҀ (I%f}[a\bӽ6tc\^_1L#*hxx|2Ba^F&CO `C)'(H GRNIޚ ]aծ•a[w+[*E0FI(W8|I?g69:l8OZ=UM{vCql~Cq/3^Pu*gP+YG\ r-8Zp+YBAR1#ʐ!w#VP?NȬ$zP\pj\ lަU_h\N-m"z5Wj TэWi^qyNyI2>--d,hwkxzLRYoSu<jr ݘ@jjN*6]%vҶUMB0}.9 穳NVMz&X\f XN~<]ެl%P#y*R[KAv49*;Szp֢Oھ;%?.0 5D&q7yArݾ3Q?ӭRWRٶeG2W _eQ5̛x^_M_&AڲҼO3teiɝ-ОƪPկM.ln6u2| &#OhxFbJP&=}8XI.e9q~5dc#m'sU$_\`-/!QE9xn/?-xE~/P5S_/@Hp/tXCGgdk7; ZHi]'[b8^ ]iVՄ6DnQ hQ$xYGZn?SMRC To0W Q:Ќp+lp5Jb V~AvIح@ ~* V尼TyιVR Uʜ͚N['j5 +,+Kl(3#da =FKs'Tf[VZ>0KI6cj,׸MUewn&M\5{^RQjިoԽQz-S'KYM2%ܩ\d^P\eܛMFNTdn XܚXGwG78P9:u3nܝL1PǸUxYS^Aaے}jzb3jjPzUZn[| YLT2ΧfK7[f-N27*3B0rƐfϰg93P8NfZ3u6KSm/-; <:E^yu>>`ސyT"+(@? ppv3YĈne.)֊o6c7P@Lz𵳱WLϮL?ǣO{W FNT~[Y nY xJ\ mp,4jH**jgyԀ&;Y)`ڹX&".VdNV(wzUQjD/Lf` ҷ зˠbWۤ򿤔R65lL&%!O[eadئ^Z0 PcudZCj_\J:\a0CE*a7 #y)eG|Nù>QUaåtNB̶,xPغ}@6Y{Dip(nRRNᚵ1aCKZ "bSJK'WǼ`v^-kyqU Lh<~ XMZSbbr5#yf~y\K 3Y泴 Α=Ti.ŌD9]8dCGTtƤv`ڔ$m"X 4F0me8@ȭ@Oco r0EV}"^B`Ra3@.$雦"Iռ.;B 'ms 1#cS >αsJ Gu)zbU;oyE[x5hHG`,?_Q]t&YSUW~9<]ZY* +]U<}9~xOCRQ;WKDI=q.9q(Ee6ןT B6h&s aZ!$]e=8j endstream endobj 19970 0 obj << /Annots 19972 0 R /BleedBox [0 0 612 792] /Contents [19980 0 R 19976 0 R 19977 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28720 19978 0 R >> >> /Type /Page >> endobj 19971 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19972 0 obj [19971 0 R 19973 0 R 19974 0 R 19975 0 R 19979 0 R] endobj 19973 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 363.4461 195.2587 374.4461] /Subtype /Link /Type /Annot >> endobj 19974 0 obj << /A << /D (unique_84) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_cores) /M (D:20210608081201-08'00') /Rect [104.1732 347.2461 208.6622 358.2461] /Subtype /Link /Type /Annot >> endobj 19975 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (implement_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 331.0461 216.3457 342.0461] /Subtype /Link /Type /Annot >> endobj 19976 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19977 0 obj << /Length 19 >> stream q /Iabc28720 Do Q endstream endobj 19978 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28721 20690 0 R /Gabc28722 20697 0 R >> /Font << /Fabc28723 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1l endstream endobj 19979 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1853) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19980 0 obj << /Filter /FlateDecode /Length 2764 >> stream xڭZKW𼀸| f9 Şx`OVk<3 E6Yw}EYN[{GYU9SᔥT׫wN?{C6I6GrlS}? b8j:hҒ]&O`(sBeOӻ~4<ůfQl Y3:kҞȴA*(h!w䕁W2'"|f N?֝T=y+.wӯnqX B@)coޮŕn|xh@Y>S=D$^!m.I8&)0bV F8YQĝTA>r"$%nV$XSϣq8WDB)gzrd,F-tUY5 cV1%a.,SB r\"5n5[TuIܩ p:]w9+ߑ3ɶu//r&E{F^rAF%;l|"3GJ~?p٬c\Z-_/&WJ~snKLQc\:L"*-R)_㽲X>)GXnZ[I[BPnYlTz")zVwL'~ZeբqUmKٖd)W׹mrreh|U~}0hTj%‚$SP6`:4;m ~3),s"`]['˅[*5+hJ^!pV5^qSRO-{e 3nO*`jEﶜBj_mo6=0HAOC zk"U%;G .xP[.3.S;PUU8+GcKX Y/Υ4j'p?xwN=ɸsP>st2\q(/`g*MݚڅX ֿ+@`&rotZe\SMLo:ۤvl΀B=`pzs^pB#`j{v[H6û=cXπ7-NH]Sa& 漽9n1;L=A4O)(X%ũ_QrHP }@U"P87noj(..D WX#|ʺɛ|09IJw΄?qu7:D JiaY}.19|Xғj8/ M?esolPf76DH_W{f '{\/ A#=1~vzzO+ʔ 5pTȞ$8Ł3Ok#jcth-ŽaOe?H:mpށZ$&g2#!sgZ9:h0!WD+bƕ)S~Ab;1Sjȍn|( Rk̩ ^&|N97Ӗq7TZ94$9Jڻh&sq_ei|'C7q\I!_!)eIҪ`"v xaH @zɏD9X}2P}j`,B?(A]glKE¯:h>X@o.3/ d]ʐC>qjN&&6O}-͜W̫-*;^:s u.-v}1g-᡾ iqXxȧ8xB5RanC mÃECL{i8%Kv%_"|XSbⵖwVL-o#H6(֔95 f -)m&(13Hi3ړBeWFINJ[~=OUkO?S[ZepbꖸqH}d܀C|/6x' 䦡 TYYұ|5dؾ*#w(ǀW Cg-3G:J 6=0 k6%Zi4 c)3Izi.;RZI4a2S}[$b]T.#5&x%Q w է ީsʪRWo'm/@@z-KX?XmFk" {^;}-~\5IGZn[] +Z^gim|xn{3&>:Oڳ\@kiH@=LV %IP!K >^y"x>"O/BbXE1U+|W4Nt256boMSS[(G~mnR GmN/.NcA K!Fp~T&xB3 ٺLr4 endstream endobj 19981 0 obj << /Annots 19983 0 R /BleedBox [0 0 612 792] /Contents [19989 0 R 19985 0 R 19986 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28739 19987 0 R >> >> /Type /Page >> endobj 19982 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19983 0 obj [19982 0 R 19984 0 R 19988 0 R] endobj 19984 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 310.625 118.9685 321.625] /Subtype /Link /Type /Annot >> endobj 19985 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19986 0 obj << /Length 19 >> stream q /Iabc28739 Do Q endstream endobj 19987 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28740 20690 0 R /Gabc28741 20697 0 R >> /Font << /Fabc28742 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19989 0 obj << /Filter /FlateDecode /Length 3238 >> stream x[Ko8W<|Sn=2`=e7Y,jvwH,Uů"EoRK^jiZz'$E߿'FO_ڦхh;CB[=hShb=IC⬼*4W۶~O75vw2YzNWWEjԬFAF7<E+u RfүsT>CiMJW@@<20#><-b*Rk#id؈CL69c%U4^p=܉ONϫ/z`Z9J,C?_2U=˼~EtAix> ˏ At087Y3L_t'J.`X,0s2;.Tx$'%#ٻ#oA%  2^Q#|̼,V=ZVA%Βɺ0/˺.~0.bKN>&]{@| kgÒWc!V+zyU`\ 7#ux++݊]ކeVyb?p b<`Xςaג@*&"DKj ~I# h1b8-0{g:fi&jJ,a[4"B'bO8TTmMU>ZyT^lbꦪ^O՟T k> Rtb1>cƔ)S4mLߘc=Mq[bRJW(yNޅ5Bf0<|Q蘐z?2ֽ!lY!C9e0 @y9\mJ`Rá.Bd^:U!R.b$sy$ 5gdb^oəŮ ""n HTҳiz+ܱ_KAzod'Ϣz\ۀɋiBbt24Ҙ)ngFFbeS%W?[m‰m`> 4/XD4{H'bPChYH?c$e+Jrz93%-F%˥0_vQ4C< D<*]o Y5tQ Cbž ;UgA/ kmV7#NAMtB`F1f.N.pfB3@uaW2NMʯcgzX/`,/t:%N,l.Hڔ|t>tqKc7lq.~k$n iJe 5S}$ @8rf;=(i끟+9XN)tkA Ɉԣ#J,}%:_9YzLn 2_h~Iϯ@ߌQ+xIXVQ|WtM7aGxR AW T]#0,^k[Fz%>}dxzw8@4X)"xԷ"hNR}QWg+]6;[sBIQXTrE9/\ܘR!PĪ6YKܸ 8;E[($!~WʹRaI"zKm@ [9FY˂RR(l/=%TBj0 \j 悛u։[FM pK-1idxؤu-X0<%%▭}`|T,S: N bq3 AU`k{~6䢭eZjSt;!ZYu6-\]b6(y+gf]P{m+Td2w 07E{Gp٢lu=n(ncK- ѮPSuPP!@->^j׫wC-{~Ņ^&bW؂-nB*I,`v<]B6b13030`;mɴ+Hf H#m1:$^E&[7`dgay{[B_9 2\|&VL[R>sX}%OҶ@lWn^f鴒+c=`r8qn̍pQZ<зlִH&hjU3؀Զ%g_kyNm'$|&jtJlWcCzk7BYm LoPͧ]MZgt h `;9-(<yO-t&霴 -`n})[>2_,&Dխy0~nk*.oH7OPc5#7UO8GpY b@.;3qVGcũr-NyU^Cyūf? ;e <2M*dqzVYa=hɧK]cR0̹5RL!x(޲Ukxiy1̛cg/+b7Q:i$ N~y.>K锆 ňN (9C՘p3Y3D/d"n%gR'o3sOYTJҨ'0T]C!II燐v5p>G%Mw~+~DW6)n>bk).~]9ҏxk>s tNZY3g8sܜoN٪I؜s尢So.fѴ/6z\~0]{ʘ{$m}*(x_ 3n=m9aaZ6%୾NXns!,+"69NsHs*Y1 q6!c> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28758 19996 0 R >> >> /Type /Page >> endobj 19991 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19992 0 obj [19991 0 R 19993 0 R 19997 0 R] endobj 19993 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 287.325 118.9685 298.325] /Subtype /Link /Type /Annot >> endobj 19994 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19995 0 obj << /Length 19 >> stream q /Iabc28758 Do Q endstream endobj 19996 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28759 20690 0 R /Gabc28760 20697 0 R >> /Font << /Fabc28761 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy45ۏ"bH&} d_ EXBC Ȱyp endstream endobj 19997 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1855) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19998 0 obj << /Filter /FlateDecode /Length 3992 >> stream xɎ694 K!2^e~H\9 ^m]LG~Z*7%$#?;5TOߟ4Z>nn V>_;9wܹC ;trǺ?ʧg5SMF~^v_RKHjjtZagD\[G2+eS>Aº+ FW ]=VC߅0.ǝ mrDNZ]q #A*)a2a;%i)<8;PƖf~S84/X#o ` &5yŅv'Qj mwwETF9%.   <(h'QNVda3yLByH#p\>F0B#ܧ?f@b/M<"WHq{ J)7J E<݇%'hٓ<9^f62Y̋C)ˑ` /i.ZG"H{6pvFuóZ*kt]e=6}vI )! `?GdN;Swx߻7xOmRt s@ELHi'<mIQeǃJV9i:[|,nrglwy(7X#vH&%zu+ ^bv8&4sR\-4Eȃ[2>EErt6TZ?iT˶gMOdm6\$iY :5ܰf͢-P\t܆ A{;X~[niN]'㰞^]NnPtضe\;1DBҼ[\}[!j)Z| EmͼE]aM^@ QnV}[ Qw37noZD!YYCު@zQ 73N#0mqwŻM{%nzӾun@Ȱ9 v81E`Wzυ6s>i$~EěF*LwfKj{--kԲҸxGvVe@RAhj=}^ ųo¼ՁG,6}IRw,•6E!(45ٗR^kq'C.Ҫ^ֱVDbJ:\TzrG!|K\ʤt$s؁R O96a٪SUt`wnRe9DҺ:G%x' h,+P~'N>vCRfO?1=b4Ig5 Η" d|`=:0K #,{ŗscHagq\8p!X| [ku9^v2lF,uN:M+'J+e1~C.lU슟 ¢7ڧ،2O SsdUV.굷*ac>zgfOeۀ?ʵJ5|aR;FsH ]ld\\xrS)N69 `-xO^וjWrrLu +.x&ŐMR)DêyOHs+ƝIoD"^[R#Xsl!?sRV&`1kf4$WWP>Gpb]{`ץun䏌L1Z쎕W@ 0mQf f v ^are+ƣ95TbmY /F YF}L4 ՀPr24>0,d@Bq5,( e]ԩQee3@ց@пUNNqIZF:/WZc^D|v!ysv;.|I={.?|ᆨ0{TrN&9|#|. 73o'茻Q3kj@k ̰6W$;Ȩw9.#D6ry7=x&^x:U7 hySp}R=ϟ9L 'S a@MM9q=6ц;%0l&X;GC K"MT*[1.F9/`k@9Dt9vj 0WBs4!bǖbZ3Vg,ݭȷ|@7OK%kT T \Fe'򇍲"9 ]J8k"$΁~:l)ur}_+^_cX|~_}pd<**GDG` "A?~S vugc`sVךiD\ՠO/JQyCLu`ζ콋HO[I Iew endstream endobj 19999 0 obj << /Annots [20000 0 R 20004 0 R] /BleedBox [0 0 612 792] /Contents [20005 0 R 20001 0 R 20002 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28777 20003 0 R >> >> /Type /Page >> endobj 20000 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20001 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20002 0 obj << /Length 19 >> stream q /Iabc28777 Do Q endstream endobj 20003 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28778 20690 0 R /Gabc28779 20697 0 R >> /Font << /Fabc28780 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`-ӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{wn. endstream endobj 20004 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1856) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20005 0 obj << /Filter /FlateDecode /Length 4500 >> stream x\K#9rWـhP@Tum|X]Y`A 3l7T3H SoWf֮ͻ-i.n_w׿GmJM+BvR)}^m_jEt12W<xkw;+t F͋@l>g DaxJ㨔yJh\i^lF"OM w rW.:h_6OEVĮZ0cVymCG_Rv}3t;5fѴEF^džl3q#6m5VK Me⦶I8v#ɥt"?1ƨ*pTB$3NAY9HQg |ӌP<kf ge4u-ٔgpF_ڬH0ef0eKם% q"x?2gUͪS)UI|UPIhYh|X54E R}W9f<9nKe2 aXL䴓X&:r\<wh',ϫ@l} 8V`$Dj9.%KQ>Km7:ew%UUsRD@Q:6P$[<-]rI:VOޑQoo4q9ãxW`.52 Ss:DH'F{BH vcU Db,LPjZ"3Jnx!MNfs|'r=;^B )G:ƀUڃ$AjmP@li¹Oz ؜?muY"nbꎛQ- 5PS>hg!G< ZB5f!Gҏx0j0Z^yF'rZ#M9њ:rGY}!OZ.ìhu3T *nQF4|twުd NE)#ͭb;i@ê!C{9tk }0[mc`iL_BZ*P;~UF̓7v^ W16[>μ0ΐQ?U.NC ὎zjɮnOvղo~cpLqڻ1%pv9|ہP@ipK%k6-yj;Is:URlZK첝.Ό1_VyYHOqzЄVl-x̋b⠁mAZ?3R<#{"qPgG lEᒿW|qT}^P~<#~H&i7%iE\ -xYq58'0/*;= 5Uʞ\뭻 j>}q+4Z]D.J̪(RG99T+-.x&׆o9 77GZ{DMz]0cѲ>WuRD4{<Mse*x V-qvY[57Ql+5|L8O^; .2yAtN\3+V5b#>i[ZU̜ HV_; +q!9kqB~dB^4].]jXŒ ZBinEq(slI^;Ȳt5?bUunru=]H㮛Hs &yԇN뮁я3ԢTXuG;ؙcoL|H:jV+^Fyvt 6XQA~1Bl~KM./aG`#JznK'yY&rƘK,Uȶ55Ktq\po(Ǜ{G)'7^L+'EgeuwѺ7fV%杠߄9C. R"[KCZJLσZQu]6)#bՃ Rz='' ɽ[e_-qܒg^4$v:e78P[}juy'[?w{5 1&v \-0=o U=}f[m+ვO삫ă4Ο\V&k=~'%zO++ֽ)7[ґAwL]lL%f~Oϋí߲Jȣn`ÃwzW'^3CE&E3sj817@FpuEɨeƺz5-!>뼌/XGnN{S;1e_$O6ey 5xP|q!Gs=72{e:J&zaWj8Sɋ%`֢ ܑf0붸#V)X \W^x@Ek浱\}7zAmmV4V #vqYd!yDpDA{J|}o:v Xd4PQq^90UwH' ED[m20Q?nmCNu'- iov;;'Ttb?s:_[)6v!h!g9z>1K1M;8$ry_u}f8h֕UKwQ+@goLx-hEٗ}(*˟o..YU]$5gi4tlt=PBmԵT9GǢK ;]֓ JUfQľ: TWsAMFUϾY(M;%깜|+wX&e>l\)|3tnLKՎsAxFq[g󂝍ޕ Q9lw6TL&XK]*.7\cUe2ơebrC;Godw~q̥U*oD 38E!W8:![0x}>&O 3Wbc!wKZHLQ<0+?Cmr+81\ڕqܘMxEDvqKXXg1_nL < > |"}~Hg;|~KD~թ(Mׁ:4 YW4DXVR endstream endobj 20006 0 obj << /Annots 20008 0 R /BleedBox [0 0 612 792] /Contents [20014 0 R 20010 0 R 20011 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28796 20012 0 R >> >> /Type /Page >> endobj 20007 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20008 0 obj [20007 0 R 20009 0 R 20013 0 R] endobj 20009 0 obj << /A << /D (unique_131) /S /GoTo >> /Border [0 0 0] /Contents (read_edif) /M (D:20210608081201-08'00') /Rect [104.1732 532.6 148.8992 543.6] /Subtype /Link /Type /Annot >> endobj 20010 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20011 0 obj << /Length 19 >> stream q /Iabc28796 Do Q endstream endobj 20012 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28797 20690 0 R /Gabc28798 20697 0 R >> /Font << /Fabc28799 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7SthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 20013 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1857) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20014 0 obj << /Filter /FlateDecode /Length 1797 >> stream xڽXn$7 +tP0 !ɓ h_HJ۳AlT(O$ERTiҼ~|ңuue:Q;֐A;kj.!ӝZƈ xCy*(>п6'zvNWՆwL'0:ah~݃D_>Z[ P+IxqÝ,,`sh{:W]&/1g_n}kP|1 a0S-4ʵXreH+E;2|þ{Z^'LSfߵ:i0T𯙨ز>c Y곶0|rXXb&e~O^n2*nC֚:U/AaYoH-d=xh^GHg}CQgkRap0mGAF}[d q.NM9 b}|KVKPbi|5H_+J{Cuf(x+._?}S[P)Eh*=픷w c+LmL9osH3I/I$,v\'NG ~Ȝo Jy*򔧂)g<^'wNhNulUQL$TwBO"JAПա"R"gHa!\Y9 zw(F4O5aQdM؀IʬUú(gp' Oewr^H ".z1 5O]ÁiEUgL7رRP4IGT5E]4E񅎱@ CR dd : K A %_oW7/JvUʹWGĝ1c2ޯAGA;`xj͇t}@E D:kiqTqSR1N\ wX R+:f}Gce};Zm9c2<{QHVڔו4k@qq1habG<,8$}0  x~o•r1N(릃yͰ@˾xrtݎyuۋmX^vn;eoLl.ӿS endstream endobj 20015 0 obj << /Annots 20017 0 R /BleedBox [0 0 612 792] /Contents [20023 0 R 20019 0 R 20020 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28815 20021 0 R >> >> /Type /Page >> endobj 20016 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20017 0 obj [20016 0 R 20018 0 R 20022 0 R] endobj 20018 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 296.525 137.278 307.525] /Subtype /Link /Type /Annot >> endobj 20019 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20020 0 obj << /Length 19 >> stream q /Iabc28815 Do Q endstream endobj 20021 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28816 20690 0 R /Gabc28817 20697 0 R >> /Font << /Fabc28818 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'^s#UR,Wm'@eݝCr\vhwe™ph#bwoQ endstream endobj 20022 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1858) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20023 0 obj << /Filter /FlateDecode /Length 3858 >> stream x\K$7WlȴRBUem=w, 3QUYӮ#C/B&T7#NF~y%OKdҘYxrhkuct!ZMA)(͗7wyGAoGmjޡ+TrQq(/fʭo.?,_>oW`ʵoY1L ԨFOA_~F',4~;+;F̔ƨ|LYx^` 6ЗFEܶu(r> $:q_n,M`mm͡%wt 撏zUt4cՀ_c2Mp!C :NHw{ }߮Ԑ5 :IFTQ[ޫsXQZBD7#A3>,%6Ъ f#3n`1 Hmq7^Fn,Hyx_ya@r<hnl|g犁,{5gf cLvh YWV:'M%qv`%$*·(0GKo:$n T_' $7{|2pyK3 ZH&x [b8V MiW%75&Zy2ޤE&aY7hTT!L},ːΩq;qtdᾔ#W.  a۩2*\VjR9]}h-ձ*XY0!QfIus:['f2jV K##LDf[JKQ%crIYKKBo9Yu#R\*V5@o69=BGC*k_Ok^bդ,Xf2KQ%"ҭLKȸ}63j6PDm@֐|d-$]u8vg Qjv9n ܍LQƸVx[R^@`C0b b jy&Z|ڿ}rbVZP*bV_w2!-K̈́`~<}Є,>r/#rG#re/+rG+rm[>l)d =Nr%23@Lm |hjCfѓy5A̎[ZYSoz7>.<ێ*{VfAĈ {)[ј++K]] 5DA+-9OaOM/qsckdI%FؚV7́m[F;U {L7_j2g+"0a@> Yg *D=Ђ!A[!`N- l-e|[`zɃDdj)9[/RcySx)C&q2a/0<=ABhMCy5x\ɝeMv;Xe6O188E@16΄!!m3j%6}GXfC{uIS̹^a?SΞ7ΣQPt^J 5^(rº^,b#f§c͗-T44)ZGP Arى2'u)9Y) c, -mwaw } jKC):Nȫ:lV >Y 1=_': +. 6рa+QwYep" %zhS7KS߶I*v7Lː\Ɛgo"T5L+ iIoY"!.>lU,Ri$PMz.6 Ϧ9Qaai>0w|3225)?סΪ%1sU( X p-xkh0>S F/'bigQMϽv ~SuSԳ[깗t}-Pl䊽3!|)Ў:}7REpյoWzLu#Ƿl>{Uzj78) {4piFL%M" &>;9Yh E(oQaV6n7i'Ox<ѯ4 CKB:߈T 'kڜnc  t{r̄FFp |CWLjۥH0!_%H-rWؠ | BZi?:d%u mŚsyd^y:Eԙi= 7;išԘ(x1L?6hP%U0F 0%bi7$MNb8ѦPqP]WIa prG-:DG f D30{0(S0_:X@.$bf5-e$mU˖=Y>q<%:=:{[Y'(UZXtgcg,SYϯ_P]t&YSU~J_]waJmK8>y krρ`#^Iӵ|[*ir'sXq\~ _r;%"/ Eـ.ۮwMyT.ԃ-:z U{m+sHMJ|S>8rс:۲+^i0 .\Or endstream endobj 20024 0 obj << /Annots [20025 0 R 20029 0 R] /BleedBox [0 0 612 792] /Contents [20030 0 R 20026 0 R 20027 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28834 20028 0 R >> >> /Type /Page >> endobj 20025 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20026 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20027 0 obj << /Length 19 >> stream q /Iabc28834 Do Q endstream endobj 20028 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28835 20690 0 R /Gabc28836 20697 0 R >> /Font << /Fabc28837 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+"%;x77 f߇]&`j[i8-y-xvw7dth۬_{9u ]J* ,䪍(?WG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~uQF䴫3^JمQQ@;b)G?+QO T>Twl@+pצb/mk: S[${JLh*dKRG"WrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?Xf endstream endobj 20029 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1859) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20030 0 obj << /Filter /FlateDecode /Length 3972 >> stream xˊ$ydjj5 >ƞ5fưswZł2'Fs$NRGшShWM(@f9Z/M*^k<t'~SڎY0*;DL˥yjk[n! lpUX]Pz0"G,YG)TՊH[ِ+\GJޓ+}`B"%$C" i#ad#Q,hgޗA]bX$f0չL XejaaAXKHÔ, .q[=#1>0}!(T*( @:\.le2L (IeuUn@P %I pUc3+ᴁ z.Gn~{'5)k,E aK{S0K߬8oػ2>U)m5x Jⱙ27$['lʁXuLlbRjVC\@ת6s&6-"øJȭ)rk e6.eq͑ VTI3"16: 1,4ҏh;!Gh\mqPڂU+t!kH՞l]fwrVưr5"N&NP"(\s|r|AJl9>ۻꪪR`pŵ],ތ-^ڐl&9_#x4 &.[tnrùf3 ,͹:pGH)j~36)gMRWl-HJ@QR"m).2:4"C>B* tBcL PPi!`e[hM8Ϭ}İWdNxzVc?`U@©M=)WKHMTQzс.gL![ uu qRgi1"??й採)\tb^uh ZeX{'Z@ [QV CO j Ņup9}b{W=I:G.KP}4" ϣN-KSj¾JeʱjYPwe0hMl1[~(e$▒YkΫКcx&pQugq-s\=PfVV`L-cM f=hB(+ʷfÖv64 Kr2Pg#Dɉ`a jz*xYUBq_IY5='Zcȁ.xU\*R|ȽMC{!l$V^v_jaNǪ5q$V핫&[n[k^3\kYmcM>L.E Gynf_2Y*Ch;CUt҆ Ed n1-k/eʔ9Yictꅟ( iaz*W2Ɣp='Ɓ\vg;ysZ_%,k>tygZ4҉,TlA.ؼ_5 0>+vpQII?_Zi/Rl\vvI[=dh޳8u" w^:斣MgWecy{Ne,w WK{alk#X.|aOrbbh[,EY睛eE+Ҷ翨)\Eh%M ïqKq!O\QJ($1;W bړ?7r-A~#[Ŵ4֠ٓz0;#~JNe\NO(y86x\&٪ *sHk%޳qeŞ6eF|Ë9,p'NW^Մu9l74&Dp3pZ笏c6Ĺ]hó:sm-K ygl!z 9ڽ >Xb1wg$eņz p[`Ϻr9z Oܼ,DK+~w!;Bn@Ej.0/yݧ-mw,azdo\!uo{>ƣ5Y,=Ðpų8?&5M}Z/ hc6aԅL덏7 K_,]!3I3H. h1V,eHEig6?(~'Vqi}Atw:bX(2XG&Iy۶bn֧3OH"ZL dZ) ^}Ta>ʲn|϶~&*l@!_ Nת1y C% ݔO€чx!ZUߎXP>S`$F(ߔ^j TW*$,}_@O6!C<Xi49X. m*s0#bF^yM3Z9On/gF g-8WPaMGQug G:ږ&& V~j2u*[ͫpLίAi! \l P2C@vVⰺ_ 2ߌxf_]*gw98s,ة?qecº%+ؔ:ܺ[݄><>yGijחTm%"Т(.<&^SWggG]Sr!cG"mUxnq \mT<%7vUfw"5e,v F05l_p-(hxyXv Jefj|&J7:!`֨ @Zaa=-\*.mkװ4OD(I}27x VǬ5T< BχRo6Y_b+Yt[s7,Z+Ked[7wn`^Pǐ.n7>{'151悠<6GaMZzp> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28853 20043 0 R >> >> /Type /Page >> endobj 20032 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20033 0 obj [20032 0 R 20034 0 R 20035 0 R 20036 0 R 20037 0 R 20038 0 R 20039 0 R 20040 0 R 20044 0 R] endobj 20034 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 610.4 174.7052 621.4] /Subtype /Link /Type /Annot >> endobj 20035 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 594.2 200.7917 605.2] /Subtype /Link /Type /Annot >> endobj 20036 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 578 159.8332 589] /Subtype /Link /Type /Annot >> endobj 20037 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [104.1732 561.8 185.9197 572.8] /Subtype /Link /Type /Annot >> endobj 20038 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 545.6 155.9997 556.6] /Subtype /Link /Type /Annot >> endobj 20039 0 obj << /A << /D (unique_320) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 529.4 198.5697 540.4] /Subtype /Link /Type /Annot >> endobj 20040 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 513.1999 177.7742 524.1999] /Subtype /Link /Type /Annot >> endobj 20041 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20042 0 obj << /Length 19 >> stream q /Iabc28853 Do Q endstream endobj 20043 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28854 20690 0 R /Gabc28855 20697 0 R >> /Font << /Fabc28856 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nĉ}{@ VBqǮ@{̴RS;߼q77 fڿc}Lh < SXA<vuXU>1W^NT"E\1xt~)T-LFV#Ј(`tAeRhL_%+Iѫ+O"D/!a1- XۣfiW!g3$م1Q@;a)_OM٧j gVȃ;6S)J9ߵiK[ZNߖj?ɞӡ'<ʡ|72$֑ȅ5\:*48יq|mau3jl?7‹!Yפ`O6OaePBN%9 /seܟ9LĒH:N )U$PSY@wP&]@Ssh8J&[z7}^ endstream endobj 20044 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1860) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20045 0 obj << /Filter /FlateDecode /Length 1640 >> stream xڭXKo7W`7|s , Л =9uB._ ˕$rkcŝ'_j jrqE71=eO11/;c\6IΘ ntG}΄Od2񢌋rwNdrh`؄9$h-ZNFdl$kͦ^ %~3VW[I(C!#e : h؍nh rrrӖ+չƋlWߍX[r un0wh^ qtmzC!'IkzӈvvGRBVlYf 8K7֏lqK\O/(~[D0~V+DμmpcR){oZVFcNF5je Jrs?lVZFΤrBYYPy;uL,S(t_,0fIqneD_MtQeY IM3B2CQt*W9PS>H׆ŅV3ri| qVԩJS4lv/%IIlT+ >ڒ5 5)Fv)_#$nTЈfrޣoH ⶻe\=}I|)_HyXu!eUdp8zyѿYƱ*DzzsVG4.`Hycv%9qg}=<Okں$ X6p\5JS| yC~~Hx< s꧙v!jtKv9PZm}h1Hݗ{ Q (g:-d [a @ %4H_ endstream endobj 20046 0 obj << /Annots 20048 0 R /BleedBox [0 0 612 792] /Contents [20056 0 R 20052 0 R 20053 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28872 20054 0 R >> >> /Type /Page >> endobj 20047 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20048 0 obj [20047 0 R 20049 0 R 20050 0 R 20051 0 R 20055 0 R] endobj 20049 0 obj << /A << /D (unique_56_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20210608081201-08'00') /Rect [90 268.125 111.626 279.125] /Subtype /Link /Type /Annot >> endobj 20050 0 obj << /A << /D (unique_56_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20210608081201-08'00') /Rect [116.939 268.125 158.4255 279.125] /Subtype /Link /Type /Annot >> endobj 20051 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [163.7385 268.125 192.707 279.125] /Subtype /Link /Type /Annot >> endobj 20052 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20053 0 obj << /Length 19 >> stream q /Iabc28872 Do Q endstream endobj 20054 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28873 20690 0 R /Gabc28874 20697 0 R >> /Font << /Fabc28875 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7jЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20056 0 obj << /Filter /FlateDecode /Length 4394 >> stream xɎd@hhۀocC8vL_ᢅoNFO{(Hjߴȳ_u˳:],fWu/S){YΘp[lor+NcנRyZ^; D%{wY} Nфb3NfJs"3o}s0'vI;&culb#u1 J1 xi@B\?)+Xu}l$\ rm=3ddHO$.{U̜w\2tBSJ΃l~NՌ^}W4hB~Jkk5]ҿC]~%c PQ͝F HH2zO<;69BvkAwy\PSȭ!G/Ae ~a7l :p`$@ϧT*m*{;D@ȧmIC/~^AAi]q#QB"%:PWRe5}R,H+c +B.q )Wҡeօ б̧[|o4.CY\GD@RVD[+3V *.0Zn*>'P7?)VjCyy`-C`".vS3O&O˜ds]hgCEǭp\=۵uƱ&fM| Ι Woˌ&ǖivF+byL(2{䦸Ӕqz@UE<-Lk""VUU;o?Zaap[zo{ 70TW1TPu3C Ua5 ?<п ^ 6񶎘!%}.kւjhNaA>y=r@%TA;+3Xm_.WUj+I}u8VۜΎF)* ,} Qe*.Q4M\rd$ }G& kF25~ǧ?$jNOa.ߤ-%63\DEX:}Pns rGua) sx%+R'608T x~O~½Ͻ͏F^q#TיQ)̜9<#\ "']'ƪcN~ҙk-¨d6m5Sw%/F{~FQ?N;px9nwpwrмy])`&ifh2"]$?AtMo_BոK>XHCqFUa-_l6U> R'W7bCnS̴vsY+lǰa3;2/Vn]&kŸ߼PقpXRNwbϡJڃPǪ$Mкό^imC,CkDмBߤScbj[J&xZzlK*ToYaȆ<;ͣxRJPu&?O@pt\G` oD:f`Yh׻ I@9b߯ЕEfgb'|Q3̌Ƀf=J4T j4GU.<ǎX$rKZ_S/x !e?G N^0L}.s7ZJPjF+?sRB M,,nP1RSΌ4 _>Z+#&SmVEEvWݙk NV$B*w1S7ݹ}``kyTtygd/NDTJ_˓HI-]tbЂKl,T2GR{$HvH$7r%1: {0%UEMAܰ$n#Ni+Pܲ(W8Si{z)ly X3En@kGY,EvͼA6M*ضvwF)ߧ;[IAUh$o`g bQ* DF\;@8nyBrCVaok>k^ev>$ΜJ=Yqb۔vp鰁Wqu#m{ E#Fwgo"v ] zUqӖ}4b$ҩo v +]:2giqYa;3S\WinwbC~_\h|~9jR(_#i !Rc}!rR]ŷ-ntm!-Wgj[ !&mqIpܽ 8v;KJWW|扂hxmE<,nS~qN. %6fwbF$!1 ȻgA=S1;i:SOO2MAf؜*0-Ck]KLTvF5!6z2ah*eKN"΄E4$kdKrQ`OLxn(>x& kOn̄Q.sKLk.#bT)KUikJS-(!NpQqP{\V)a4SuLaPkB/I`yV)OI&6kJ-T7鄊bI='`0 黢SLnKE<ՒV7~Jeyw%qde>n;Kɹ.|xj;!W^ضjC5LBJSȫ^kӦM4L)s#jND|=JͰU"n1b]dlUnpP,|**zy6<&DҍHnZuv٩8nMޙy<Ӑ]^W΂^-K 8g0b)į(eCb 2]!"Eƚ8fX4i_Wh5Io3ZLsKu\0zkBږ]asM`Yч' BOew#daZ5: ^@ISX(>s^e &Ma8.ZHrm(̍Gza%%B-[[?pmʨ&#{\%Mctk.MVNkF- ٽUjj[B$$ n-X ܽ`2ĝU.kds~<77 Z$L,SN!p mҊ\ x޴ +/,AA&w~Ypq%&W \|qu܁ fN{6 "qJtae6O1W[͘K y乖Tgla{Qz>AI|13Ra5X eNzNSƥHpZkWx@ɑ3|LATi"8ͣLί<>3!p p1!ɜ` @n a^,(ƒ+"#o.L^9Jp ,WIɜq˔H?`W(,nC/z!5o`-`)/mz#~Aq5xMU_lmrGh0tnpMm@&p7u:,]^t&Mzġxh/QX*ajYXԯ&vJgJ|!J{+@}> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28891 20064 0 R >> >> /Type /Page >> endobj 20058 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20059 0 obj [20058 0 R 20060 0 R 20061 0 R 20065 0 R] endobj 20060 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 111.977 194.4502 122.977] /Subtype /Link /Type /Annot >> endobj 20061 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 95.7771 207.7107 106.7771] /Subtype /Link /Type /Annot >> endobj 20062 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20063 0 obj << /Length 19 >> stream q /Iabc28891 Do Q endstream endobj 20064 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28892 20690 0 R /Gabc28893 20697 0 R >> /Font << /Fabc28894 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x77 fc}Lh < ӄ9pZ [n`Uth۬_{9u ]J* orP柫=0G3cX-LFV#Pl!2Rh,M ?$WJ2%ī+OSr&A!K2?:Y#rU (I(~R1fubG ON٧j {Vȃ;6Tr8knT-=%MW4yrCod%# yk)pm(48יq|mau3jl?7‹C2Ϩ`O6OaePD%9 /s9p!f \0% F1'#8F$!īXN>;2!3ϡ*h#bwok endstream endobj 20065 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1862) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20066 0 obj << /Filter /FlateDecode /Length 4031 >> stream x[K#ـF@n!iu^SьIvX,V} [*P(N$rz:x^A*eV2hx*vT83')8ǣ__xZ~/Ze@l QtNg^iNFOO_oU t4BBnʨzF $V8F$Q'~qQ.:h2^]ug"f<'PY`rjg3`62_4n R}Wp8ANe rk5}33!akG{#JLfd4^IJi*5.x9)1_[X Id@IJ_H.\/Br>+R!+(?=* J^SH4\#2$ :+ Vod=: 痳쪄Q좍v\xf/@gANCL#^g(0ڋfݸOmAkI `!q1h2k~Z2,hn(l~[p/ my Mzy2=ְs`N#8T;܉ LfXfT%/FlehhRtR.ch _Mφ+ZϹM{Z[i԰F&e~XԤv%j6Yi@K'zTPA^K&Q4@fJrm}s0`֔$:776F.$2=2@6P4LNg"Ө{석< ;<ߐo௄aagx/A|m%ڡVM{+s=~KfSY&UY,.jʍ߂2mԇ.ssLDtl}k\dfgs@bK9Q0~m97q]-DɉeGc.g2Jt&$}0F؃սo-._ ! !lk*QMF&d=(InF9V]֜;^s%@'qS1R>#/:`}.ގۛ;C!'` ;x(\!&2{4M@ oI 43*lզK ׹WEoAF7!N2.eA669JlRqzF˾N/WE%EC4U3S\D՝(8jj-|o!zl{GaSTu롭Q\?9.P%}BH֌枺b$UV>i4J+{KUT.5y}[MiAe*C]iQ 9Q3jྕ sga@0o,rfe纇eof\V]x^ߋ5-zRmg 5۽UͥKsIk:8b_x^RqH'пeRƟ-.+!75y^_ V5X-pD%|/?p /v{k6.C#+` Ga7cooBqFA_/;LM*Vw^?z#Ө:^;v=C+jW /1# VlǨqќ2ywvA9w$H\+,X]OzSڬ 5_Yn5q6f|giKMo({_ ɠ9x ce7Z ;HC! :J q^,ж1ebpR+@ 8"Ɔ_ڠ*/īҾ/`Ȼ_5r#,iRW 2$ ruۜ/[Ü旙y湕x_J&-Уv}Kcz>|ŷ-<>+b#_ZzdU5vc oBy,2cVAI5{޵2ñ,WeWDnwDkƿmxAėe p0v0E֔;/ Ln܊[0EƾY6J$wY6}Kt70ݡ4'5=惒+Ξ.K؊gboq , .tKۤԔ3=o_IT0nT@lYq>Fes圈|m# QֈKƳwZmÐ>?s ѫ `1/SkLlNL+}#V,.P>:r??|`?<s t>=/眥tZϑ4phfz\Il6e%Ut=1jڣxWh /o]*~~''pฐW7ռR> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28910 20075 0 R >> >> /Type /Page >> endobj 20068 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20069 0 obj [20068 0 R 20070 0 R 20071 0 R 20072 0 R 20076 0 R] endobj 20070 0 obj << /A << /D (unique_56_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20210608081201-08'00') /Rect [90 377.525 111.626 388.525] /Subtype /Link /Type /Annot >> endobj 20071 0 obj << /A << /D (unique_56_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20210608081201-08'00') /Rect [116.939 377.525 158.4255 388.525] /Subtype /Link /Type /Annot >> endobj 20072 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [163.7385 377.525 192.707 388.525] /Subtype /Link /Type /Annot >> endobj 20073 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20074 0 obj << /Length 19 >> stream q /Iabc28910 Do Q endstream endobj 20075 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28911 20690 0 R /Gabc28912 20697 0 R >> /Font << /Fabc28913 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&aE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪ? l?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 20076 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1863) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20077 0 obj << /Filter /FlateDecode /Length 3912 >> stream xڵn,ί賁is_A4#͎ cK~?qdDz^7dX;b ?|b/oK3KK6إ۟e/,/S_-/o˯wZ`5揭/|hl{l*j)8yd9.Aϐ>^[ç yw]myqyzQ_H]^}1/-ƒ^3.Ӛ.?+n13W\\,I[%'B=jmsm+Qf H-/äȉyǓJέ&EB(*[|S/"ݞ?k0Z6eg`'PҀ w_LF|fwq?ӽJ- Lܟ"$hL j1ZwnPP $}@S~ؽmִ`??a@h,y-%G#ȝ6  ?ZmGK ~Oh9x>yw:x\x|jM1@Vb^K$̖D}Q{aM 8\-8UtAB4+p]&[3E͖ 7J{$5$}_.5 ;5[R F/'k'}L4 KHsodؽ"C4OgAi^qqQE! 8)q!?sg+ L8B `$DiNϖVD|bBR^ӂVF̣XA039̓5$Q #zˎ.~_X\K ߒ-{şXpG7Zh 8(3$Y Lym v#sZE󺯰E}cgRJWx(;;D\1:@^ 5g9O ;ȓQ:L SM[]QC ' \eL1,rr+;nF~xt70~02u0̂B6p[`m #%wc:=Ts {z8W_(ME8Yv)%/!W*\͔+ stw;C-z[JbNpKOOqFy=p7ff!}[ MM+Dc0(aLnq2Vh$y^v"w-xGyP{s8M"ĝ xBl=j^9[ l0p-Xw(t^:MYp07@Ǜnt:ڍ `xJ,^ax]ռ|֎@"` ] /#c >5rk5a)ʎ:J{6ywzpPa[eؼ |]i4lD_JWf8 0O2b1b3?~vAuyzy8kB ĕfcO86;ؕ:L.NrAE'oyGGox#:kD5X]~bxMC_Pӄ?T:UZ& E$_W<ͪiwErW(ыSZA>eP!ZW h0ra{zoTQ3ێRIVTCVS~ _pY2?咐[ΰ4/D} Du1A YktUc˥Tm]b+YTUyX xm|[edW7wn7k僢![o|7{1O꧹O:դag;&8'ӌ~I\K&\%g7`L[$e=AȍS*|վ JJ^Nf%28ٱwC8/U}Xz')'L`#P f=?#ɠ7b nJ\ֿ{ưV@ 򜋊T/RJ \mKqi՛J2f-2wQx߾ endstream endobj 20078 0 obj << /Annots 20080 0 R /BleedBox [0 0 612 792] /Contents [20088 0 R 20084 0 R 20085 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28929 20086 0 R >> >> /Type /Page >> endobj 20079 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20080 0 obj [20079 0 R 20081 0 R 20082 0 R 20083 0 R 20087 0 R] endobj 20081 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 553.6 194.4502 564.6] /Subtype /Link /Type /Annot >> endobj 20082 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 537.4 207.7107 548.4] /Subtype /Link /Type /Annot >> endobj 20083 0 obj << /A << /D (unique_151) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20210608081201-08'00') /Rect [104.1732 521.1999 195.1102 532.1999] /Subtype /Link /Type /Annot >> endobj 20084 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20085 0 obj << /Length 19 >> stream q /Iabc28929 Do Q endstream endobj 20086 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28930 20690 0 R /Gabc28931 20697 0 R >> /Font << /Fabc28932 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&{!(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>r endstream endobj 20087 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1864) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20088 0 obj << /Filter /FlateDecode /Length 1801 >> stream xXI#7WϗO/cjc~zտum]| /$/׿_2֤8O_vօf{ph@32"Bϣ2gy?ZBYĕhhb"~peRTPMR/^rڴW7`"p"Kdn悁W7P $..VckC,6r Iƙ"vz⬮d^U9OIx?S05K(RDPٍԶWEHYg ћO&tŔ(F"z*Ln}Fu7~*3ӈ"gHz[30np'+v[uWY?-pH[6|;|`+ICf |% 1-A:R薝c'Z7Cd 0M-R,5wT(2hZSMQWqL.<^~ ;,t+"G;vwX`yWsVsagVy3tWuw t cdA{9B^qvᥡ+ԣE>X + bg{5HڵbMء^TlN`^jkQw"*疷 86p4RbLQ{Q굖6Jo`FRcMS#x院 ڶ r-}W5z)P,Rk(yJsҔmƹ^m"S՜!ǽ[ю* >cz94 VNg~Yz ct2v PVr|, 8$^Vxz"CՋFp(kEixU[(qc*2S4MD2)gion0UnB<[,˂<us,]u4SC3Q(/(/x1T(~((DM(1pL\׸54a Ss[,ռT4GE86;G H>p,YU6wt{r"굴e=7n;; d\`pJ8*ފuBw;I8"Ʒ0It,< ;H^oOp6vu` pgkPq-e½/'xH?4hO֋xK*sqOz 6fC [Sa}P6t9N,7y,[Q +24$~\+~t>oH_J17B:_$86pO!b1Lǭ> endstream endobj 20089 0 obj << /Annots 20091 0 R /BleedBox [0 0 612 792] /Contents [20097 0 R 20093 0 R 20094 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28948 20095 0 R >> >> /Type /Page >> endobj 20090 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20091 0 obj [20090 0 R 20092 0 R 20096 0 R] endobj 20092 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 358.325 137.278 369.325] /Subtype /Link /Type /Annot >> endobj 20093 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20094 0 obj << /Length 19 >> stream q /Iabc28948 Do Q endstream endobj 20095 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28949 20690 0 R /Gabc28950 20697 0 R >> /Font << /Fabc28951 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p1 ЎYzrv"eYHUC.$G7]Nx41r$t/E0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20097 0 obj << /Filter /FlateDecode /Length 3927 >> stream xڽˎί賁iM6MA ]ήXbbO_'7YsŸܔTvY/s4|wӧ?!%OOMM'o)x}w.sנȨþ|ϯYgdx#Qn'hE"O~2%ǃ?dT .nn oG]ܚw!˒=3'02^`Bf^%cp :o$h/@-Q'ߗ "`NX^ ,_{X H:`U" JuQ5wUKfDS@ơ䄥khLY`k-v!U'Fֺ~ɼtBB⁌t5,;ݽ2[V o5^4U3B4jY +OZ s̱ie`z;d+aK&]b^n݅fgz!ر*]]nbVXM^=־֧ϐiӚ7swR6C+%<\tRn2si,,{)9؎<⣂' SsUT̍k#t}ՃGfٳz>V#VO?j6jݬ6k6Ymy eĸ$9jCVɏS > %//U %W4jhثh#Ry uy71`x,Pʳgzf3gn .E.Rl 6ߙVE2qn2XZf~RYЁsF{M*<_ثmtTc0NKPB~ǽT,_Uk9FmQ礙ySrpn~|JA6)ev7\ų<.죟y>)`8n'DtY>4)xwqA°+l Tx"nF#k#ؒk_C`zC@%v=Lo=5y"&Kip_F;jݮձ;Ⱦ5]\K|)F=?H8{BKV±;c뽮qX׈79ӑUJl7>= TDn q%D#=eFJGzVj偳s$Ҫ7l;s)j;Քs>]sϟc-e1yu*6Pule[kI,nr߆ 7*-e,R Pv'ܵf)WâK*C0ypCzzP3o6v 8xe AT Ցޫ(Q+عP0̛#זĖo62(@z|\tz\]Ib=SKY4kǝ\;*b'jDi>y5w B̋_,&9R4Ja &cώӗ̾}?H2FMy6:͙j nwe\81\_!oOo@gYy-@+=5 a4{}" ӂ b=0,TH{;/ָ9:4 &oibL3QzTf_LHd ܆{.6a.ycauX=u0Z?˲ŒT7d-$k, mZ+Ot8ujnWzAUozAko|Cex(3,?Q]ti*K3?Z7ZSKk !#_gD-G +Kr$CeD\K2'A#LKEZLfUdԸ$+S3qj_/\(ژ(z{ V(ni{ey!*i=mƗ ۣbQYotHhR|C, s+>r_܄8\2TV\x JLqv罛 ML%|,9G9Gq]iO{lUwGWѸ%,ܮQ`ƚ-A>n#y nv!>m++ؤ+x$33>Ɯio3 SG 42啪E??_}uso}loW(CLMt) I>_$&zAw2"?H_wy\WrFQuElk2Hheޥ- endstream endobj 20098 0 obj << /Annots 20100 0 R /BleedBox [0 0 612 792] /Contents [20114 0 R 20110 0 R 20111 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28967 20112 0 R >> >> /Type /Page >> endobj 20099 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20100 0 obj [20099 0 R 20101 0 R 20102 0 R 20103 0 R 20104 0 R 20105 0 R 20106 0 R 20107 0 R 20108 0 R 20109 0 R 20113 0 R] endobj 20101 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 349.4423 199.3617 360.4423] /Subtype /Link /Type /Annot >> endobj 20102 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 333.2423 208.8492 344.2423] /Subtype /Link /Type /Annot >> endobj 20103 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 317.0423 189.4397 328.0423] /Subtype /Link /Type /Annot >> endobj 20104 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 300.8423 198.9272 311.8423] /Subtype /Link /Type /Annot >> endobj 20105 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 284.6423 185.6062 295.6423] /Subtype /Link /Type /Annot >> endobj 20106 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 268.4423 195.0937 279.4423] /Subtype /Link /Type /Annot >> endobj 20107 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 252.2422 205.3787 263.2422] /Subtype /Link /Type /Annot >> endobj 20108 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 236.0422 190.4132 247.0422] /Subtype /Link /Type /Annot >> endobj 20109 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 219.8422 207.3807 230.8422] /Subtype /Link /Type /Annot >> endobj 20110 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20111 0 obj << /Length 19 >> stream q /Iabc28967 Do Q endstream endobj 20112 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28968 20690 0 R /Gabc28969 20697 0 R >> /Font << /Fabc28970 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ŲC;fũc8I 6-$.p' J( Znf  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20114 0 obj << /Filter /FlateDecode /Length 3020 >> stream xڭɎίـFL999xOm\V{@#Q$Wwm oyq7]Y._:܊F~[}7ӯ?mt"|ԯ((g1a9Yvxbkye`-<)(J‰]<]CP9'3kCގy I{-_}QmӣkGȋImK~=~}+W -"~]LzTGXZ!;s pT{zEX9{kL b%6! ^%T'v TIA& VdZ&Y`?,^GSݱM\9)R=p3a6=$)Qb'r=:Hy^cJC&aPjmӎD ;&zT|)r6 [ae*#e OzuEVƮ}+/]j1PT[?T>ChBwDX*`Rө%-msN'~\B-RLXOa`pN&E1ԪlX+ 믱ÃBShy53)ȨidreɟURVzҋNe]މ!X A[G~najgW=ΛU .)e [;K ִ%QeP@*||yUߵo)~+6]`߹[I]t 0t[O ًnI%P}5ہuч|ǐr3J}/*I_:TF ԍ epwyz8wzYi2& {EMޫ_(cía;YGǪt4序~]8΃K^ǽ{p% D$Lӟ!"1BB&Gxݮw%E‹?# 9IrM7ϧDQle 2Q0+5fZy2TJ$nU;=˫vP%gTj B}^>C=W9(&g,v/[Wg#R3VAIyO9C>Š'>|{w~|atf1O9%BVp>BΔ/R3dNX3Jc(6fkƙ!"<Ņt{,Voں@ 'WHv!C_~>[m48Qac6<\S|"^mן Wv.יZ(=J w h5CpiAo:yI8{ [2Tw;Շðe63_Do#_ Ꟃ69d5'he'n\ZLJ x t[b~ڡOĸߜo^ m-p?U'ܝ+")opE`9A*Ƃ7/.ͽ0xBX~LP>+s"T]Ɇʃ4N77:nun+Xȶ?hYP0 endstream endobj 20115 0 obj << /Annots 20117 0 R /BleedBox [0 0 612 792] /Contents [20123 0 R 20119 0 R 20120 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28986 20121 0 R >> >> /Type /Page >> endobj 20116 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20117 0 obj [20116 0 R 20118 0 R 20122 0 R] endobj 20118 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 358.325 137.278 369.325] /Subtype /Link /Type /Annot >> endobj 20119 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20120 0 obj << /Length 19 >> stream q /Iabc28986 Do Q endstream endobj 20121 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28987 20690 0 R /Gabc28988 20697 0 R >> /Font << /Fabc28989 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*|:c֯޽:H%_rP査=0G3cX-LFB(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bI*H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20123 0 obj << /Filter /FlateDecode /Length 4015 >> stream xڵ[KoW輀| n۽f3fvK~ŗn=̴%RTՃE5}̤ߌT Vi/u<|5?W_M_ij ?ֺT򽢻Gckݩ+Tx@ώ4S*._+;e ڷ#WWMiT:%E;\Y~EBQtQtqV?uI:(z?y7)h-\a)yWI,?s .O_˥}AC Dp{P'x4ݺhH {0(w^} >D_dY҄eogU=—uM+j@Wj`$9g_3B崉mޚF ~I->GzLtxm9 07h 2dqd>YPp ;% ֙%4Ý1dѺ6D X'aRu ΀pdž3<@%s#r=Z`X"GY-5Arȼ ٸU6ы'U^᷄b0-uj!Lf[7UŮ6v ;G). jU״AI~5ǣ1㿮8,Ai*  ,VPxR<ώ8#Y"_,wl;Gllmk3ME(u&DAS^,WK1Hf Q^#l}>t1tk|ba(:gȩpR1@JO4ȎK6[o'CIomLcG(xS < EvD!oD;31R)vM@uD(EouS~hWu;}S`pw6 8#|&nOR'AEb4VPhQAΤ[$Q'%^h$7we5{|0^+?J@50^$e쯸V,?]RX#W˄tx+ u $WZv0\@@.dkzXΩ@Wꋄ5}e2ъp+UܳC&!YMr `?CIZ(dSHچґ`TjBKK#V~I;Cm@ ~ ڤְ\[ Vކf)u&WCefL^…5V42J4pud+an&UBbJ] MJ*NAUUUjnCo җ{a^i_{7&e:4xpJrEGJ_rq{mpj @ {hGfWn)lGGQ٣ڵ#܃JQ;Ƹ6xR@`=ZP|7j}P#:{VnUoZݮշCީoBn".>!1q2cVgX: hH$~nc}ʣiYBG%~YC |J~ڀ3E]+> j< "u @aqm` [sTYDU41o0V5&ÎFWV }Mrnr6Ab]]-ȲYٶ;)էL2%e]ʤ%&(I,»s۹MF'IVG9>O(%q gWmpYy2q>0cg$eXVv׶$PM~ a髉@]Z,ĖM 0}zHt#b^YU0fUR^se,ꅿa&QK 8kdkzAk7mk+@.?&%Ǧ O"Ƨ Y?/ s^BwQDRQB7뺀4+Ef AQr? 2ڎI*NèWTԂH@PʓVv[zV&2:$T'&yK/{vT:1t0 "oG2ԏL2Zg0>)FKrfdFvX6po\7Q3e=?7F7-D9(zrC|Ƕ)yqbLl>o=;EefjF5A$9zǟ;VL V#&V|\xc"S`XcB0b8S2;rq;T1B]b)'wL d; ˧WcͥyV+hgsnXn Eq 83(Fy2-[U523W7U$R:\~s߁ֻQ|6wHq>vbﰓh*2Qs>ѯ,ұW M+3Ub= &3 vaW-Ly4nʮFAeK!C2v3ށJ > {Qt> WC\7cq48Z4^E7Ir⡱%BHWFzm z,JX]B7^Ahqãx 5ë2LLDb흫$>hbWJsyuU}쎰NgZL: qw6pG]|aV DE9Xr뫹u:]غz[:=-*p#߮y^]\=&=Ǥ[1L0"}ǸwǞ"uiq|T5 ՛?xS[ h'g`xvrxFc>|\gm'Q#v]:0 ]d\Uu%x2' W8' tSOt.0-1 3'4q8ۥ⒟On!FOzٛJ^z?Y1U9a~h΀VнBDA34Ɯ%)-6?i2xԇMVD:,rL.7iќC9\9n'>0O`[wuuEZG΅c>,D|SW;CGT4m+EԢ`&ݻnɖi(2R-3h"@ f6wp?`9}7+@˛u%. #B|I XQue⁼Y_8a,WnmGՃ*P>^kC*>`&Z27xa8L/.FIԔoRgML'\h:M!#Xy4thz˽"b>!wɅYeYOp.)s1 qjؿX8\J/R**n1g*|!+p A!m<_-ekGZ;%ZSZwtXnE$^: TWjbJz_rU=&X} Y \xrjCeme[׉7ݮ؛AQÐB,mQLI}3~I@s~i p6iuk.g]Kxc0kQ(:Æ,p0@?)f{y=o>\ t*]ݧ/3)4݉ O!`ԾA#ϔzFΏ{&OgC'\X85 Rh0'f%AXw_GMþ7LCu}QO7PQx]u%P؎[YzPgW d,3\<'BE+S endstream endobj 20124 0 obj << /Annots 20126 0 R /BleedBox [0 0 612 792] /Contents [20140 0 R 20136 0 R 20137 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29005 20138 0 R >> >> /Type /Page >> endobj 20125 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20126 0 obj [20125 0 R 20127 0 R 20128 0 R 20129 0 R 20130 0 R 20131 0 R 20132 0 R 20133 0 R 20134 0 R 20135 0 R 20139 0 R] endobj 20127 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20210608081201-08'00') /Rect [104.1732 373.4462 199.3617 384.4462] /Subtype /Link /Type /Annot >> endobj 20128 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 357.2462 208.8492 368.2462] /Subtype /Link /Type /Annot >> endobj 20129 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 341.0461 195.1267 352.0461] /Subtype /Link /Type /Annot >> endobj 20130 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20210608081201-08'00') /Rect [104.1732 324.8461 189.4397 335.8461] /Subtype /Link /Type /Annot >> endobj 20131 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20210608081201-08'00') /Rect [104.1732 308.6461 198.9272 319.6461] /Subtype /Link /Type /Annot >> endobj 20132 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 292.4461 214.8662 303.4461] /Subtype /Link /Type /Annot >> endobj 20133 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 276.2461 195.0937 287.2461] /Subtype /Link /Type /Annot >> endobj 20134 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 260.0461 199.9007 271.0461] /Subtype /Link /Type /Annot >> endobj 20135 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20210608081201-08'00') /Rect [104.1732 243.8461 216.8682 254.8461] /Subtype /Link /Type /Annot >> endobj 20136 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20137 0 obj << /Length 19 >> stream q /Iabc29005 Do Q endstream endobj 20138 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29006 20690 0 R /Gabc29007 20697 0 R >> /Font << /Fabc29008 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 20139 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1868) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20140 0 obj << /Filter /FlateDecode /Length 2802 >> stream xڭj$9й!/rq6̭>z쁪KEk83tU)ozRo1*뜖ɤqJÓ᝖O_^Vj˧ם!)+G l`J>uFi{YʲFm%hѰAd{ ar~T*:rb Osn3PTd hOaxTʟ0DecXnGpF-ÕW2%"osy>2e1eh݃H>OT ~=y=mEK5ht5a%ѕAMrS9Dv'4\$ $9#I>?XH +UL$bM };ly fQ4>dSX=QawG_B?Knax~1vW:(J6tX! B@@md~T{[b®1SUk2ÂwTyFL$k^ZF'ᢽjs=%G+ I#I͎BewםdU/|j㏅wEtdz1R0|u4?lIsQ+}N q-6$J@#ĉnjd7iܕ Frs@Mc4=5nq)DiTI` b+NI Uma:dMG&銫I k4T!?{VT]Mo5oU ֡QacB8&9ž " .2h״T]im)"mU>qO]c+}b?Uc'y&8TZdW d(茮!gAmErGc-0a7SԶ9L 1) KiTBxSn-@s^jx'zWa5Tu8(R-wo5pS&ÞzMl&ݡ‡Hiqj+)|Y8 (nM$=`VbI&>aloPrf5ނ/id9o8oۊkqsTs1c= IPN{4;OVhg'%Cy|(P֜fPM =e!0R"9 _c֬ .]1f=_1hHi:hl`* ט$ PS%=Lšc0g!4b #-6Vw!K# ݍ_П˽+>d0N]PG?DP Q(>Lao ZrGhFOJmû5[cf˯M"Ahmt IKҥݺQ#;92b#BnIiktİ9'$&])Qs9V6?.HN _?.pvh8㯋x7>c/P35B.0VM '\._.!,˯ D[<5WNg\4B: e _X]xڬEq=7ʗ[pVۈP7Ђ@5$*:\US>['Uj]ܔ:S .0#FWm p`5~gho613,ňZC^фS0y32= xΏ#M'F2%΃.)^vV|SF.`=.t%U"x%;闞 /T_#|ZԐJŸ~L}(b"fk2ePۀȍ'!x'poԏri_ Լxq XBi1.R`X?|1>WBIi*41`hĶoO9b^9@GX~pr7I<1"T3\'1z}jYV?4]"#~ZK*8X'uNmџ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29024 20147 0 R >> >> /Type /Page >> endobj 20142 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20143 0 obj [20142 0 R 20144 0 R 20148 0 R] endobj 20144 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 425.025 137.278 436.025] /Subtype /Link /Type /Annot >> endobj 20145 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20146 0 obj << /Length 19 >> stream q /Iabc29024 Do Q endstream endobj 20147 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29025 20690 0 R /Gabc29026 20697 0 R >> /Font << /Fabc29027 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20149 0 obj << /Filter /FlateDecode /Length 4436 >> stream xڭ7FEdxWKpDwe 㿖_n13'\XKI%/IDg㙯 B;<*+ϵ@q0C;¿]Z8E&8G˗!rJ@[(2:0;S .iΑۈq~\"|…΅τK3S8zSB N1ZQAUʀTK_sqmִ`[ ˀ, -cN/UVlz/ĞQQۍil/U@<"VSyާsFw ϫw7Æ^`%py`$4>;?"UYA3Cf\FCȌvTӅZ4`~k;NbOQ g}NA:hװxّV7C22 462$H]NɬL 0KehUEcՉA{}qlaiBͳCSÒl=862tif|d,9ゾۂnO}'_AWxrU_ΥgiFC`!ߵC $_L踫e#ۄMbجwUx |%.9ѣP"䟂dpPĺ53 rc|I?Čk+ 3 Vݢ 9I{TaS.u3u5Fh5ӔD$R!am&ȱlY[NY㢖Ƽn^mMOB \j3UjʁDsR0nYRM"y`^>c8kFU nrgYM"6Aqh 8hE-z+^]݁!e8$Y)v3Qm7<\`nPC]^CJ] }<9+a:XMTY=+cBPw=H``C3EOI*BT ڲ.UabcGm1ռP cU, 4\xf6*bukdT)z S;Sk8s;Vz_5~UY)Fxbm9l\x`7 &Ec䮝 s9a~Wvn:ԅs:=J9 㒼&5ur l6_p n^f1uCO-Gu\wo6"nP%LkW2YǸFЩ|U/U>ܧۅ``A󱝤 MSCq=,{sݺCҏoBٟ`yz[ͨS]V䁩uWՌç6 ,Z^$kUtXh{``MŴY 6@n'/r|vu?(*o9NU/Ә$bcUn=Oe.y.>5 ŭ)`3c$:cM)iЌ|TW}ȎilG B9LXTm{5D2s,`0)pc&.J8 'UVЊ@sr@][ׁ\wqc܌|B^m_><]3`=w{av%KԩX1LH[,V@EmZl:ba8,]f˰ i+3h!D_J_̐u]}bu'R 2F+P>uu78L% ; 🯨.FI4oNțX䘐SSr!##·"0^ܞ% )qGe6Ǚؿ` u"׃:U.p} "d*T. endstream endobj 20150 0 obj << /Annots [20151 0 R 20155 0 R] /BleedBox [0 0 612 792] /Contents [20156 0 R 20152 0 R 20153 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29043 20154 0 R >> >> /Type /Page >> endobj 20151 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20152 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20153 0 obj << /Length 19 >> stream q /Iabc29043 Do Q endstream endobj 20154 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29044 20690 0 R /Gabc29045 20697 0 R >> /Font << /Fabc29046 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=@dQHLn2Wp:3ϳ-nYMn#^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO]@ U8Ρ\j'|۾{w* endstream endobj 20155 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1870) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20156 0 obj << /Filter /FlateDecode /Length 4067 >> stream x\I[xg|} ɡ ;?d;onjuQ I/>-Z]K~Eߖ\s{x㓔*=/pcy+PLEht~z$)~^ O'>&3ʣ/*Z'8ZFgJmI\n &"t WME*x-o:Mw^2pڀ)*n d({& PYzu@\KdT`|XD]] ;vR^~WG-R8iGLGiЫ ZZnhI?bgQsZ=UU7ÃQOG4:\:Ks4O9|tn#gE3[S8(?F()2^,,v▃k Vb"mNLXRw \v?X :yn &XW˴h74N`\4X8oY Cl ^ >pX I&ɛ1Ѫ%ci (׫#['ق\r8iJ0S3N82h#sI~|SgijNZS֢r-YSBۤcAvgANt+SZ[KUۚbK^8' H0=BV@t&]e4Gf|-VcSZbH1R%\ "vKB!Gu_v. jtx]GUp%UO+0AIN]>v'ͻsbh(3ϥeK5.Q]ըQTA^r.y^%N P&zZN?{}?x "+:$zVfMښ*`Զ ^]lxJՃ4;ӷh?з-'}a#S(61dU}NRོ1i,zWv9]iU$*ܟ5Ƞ'U3 5,8غ};%چwUtb~SiفO+d2LtQ!*?)8@5y^s'Ž칌9{bU+Td-Uڹxbż sC_8tSԐ N]nNq)񊫉b6J՛ͩ.h/hЪ TZ3ɽFOxݳΎqs6%cVfEmbO^jPs|9VJ8g+>q֣YxfCFF?A҉kqCCAC0PÎRXNHiŵȔ bʧa5UE+5d͒BosKטͺ@} e ~ ZĠoVUwV)Yފ'wp5lt Eod]Q}AAa螴f2q*b,qol' 9MGTQbLϕ{ig3b=Ak=C2]KW*G=;/Ԯ3K`wXD|Qm__6C}qkM1;r'㡫K׈;]e_gN&< Yо?J,xE?[~ß-WV!̓owq2#H@ ,v_b<&2k91`H&anKM[uJrH$%#_n}ooJ5$w ٵ銫H]޹c+h`ߟà}[J?'nwlG JOA<S񣃘W1<⫝Aلu0 )_<&m+-8QvI 8 }_8$(fqQ^md4t[(&lQ-06@np?RE:#1*|8k3k{u) ]Ɨ@}ɯ1My!>3ͤ#ڽn)Q>2i?JV:1 Й 7Q-e_<)@)t̙C9$9,O+!<)6GyWGR^93ZMe3]2ylw896)n l^oef=: E{H^

    bԋA{IYf,au~\łԙ$WIGMf2w9vx .8LŤ$[-!3Ү5UƎ,c$ +w+c3'xWG׳;r{Tb'iKdXE?]TLGP  ]_x9RY@Qրn{7NGGgr%C`ڜl?l = r[~pMb&Msdq-sYT&2lf [g( endstream endobj 20157 0 obj << /Annots 20159 0 R /BleedBox [0 0 612 792] /Contents [20174 0 R 20170 0 R 20171 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29062 20172 0 R >> >> /Type /Page >> endobj 20158 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20159 0 obj [20158 0 R 20160 0 R 20161 0 R 20162 0 R 20163 0 R 20164 0 R 20165 0 R 20166 0 R 20167 0 R 20168 0 R 20169 0 R 20173 0 R] endobj 20160 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 391.8 204.6032 402.8] /Subtype /Link /Type /Annot >> endobj 20161 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 375.6 197.7282 386.6] /Subtype /Link /Type /Annot >> endobj 20162 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 359.4 190.1767 370.4] /Subtype /Link /Type /Annot >> endobj 20163 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 343.2 187.6852 354.2] /Subtype /Link /Type /Annot >> endobj 20164 0 obj << /A << /D (unique_234) /S /GoTo >> /Border [0 0 0] /Contents (execute_hw_svf) /M (D:20210608081201-08'00') /Rect [104.1732 326.9999 181.1182 337.9999] /Subtype /Link /Type /Annot >> endobj 20165 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20210608081201-08'00') /Rect [104.1732 310.7999 192.6352 321.7999] /Subtype /Link /Type /Annot >> endobj 20166 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 294.5999 182.0037 305.5999] /Subtype /Link /Type /Annot >> endobj 20167 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 278.3999 208.0022 289.3999] /Subtype /Link /Type /Annot >> endobj 20168 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 262.1999 205.2137 273.1999] /Subtype /Link /Type /Annot >> endobj 20169 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 245.9999 165.4707 256.9999] /Subtype /Link /Type /Annot >> endobj 20170 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20171 0 obj << /Length 19 >> stream q /Iabc29062 Do Q endstream endobj 20172 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29063 20690 0 R /Gabc29064 20697 0 R >> /Font << /Fabc29065 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1^ endstream endobj 20173 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1871) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20174 0 obj << /Filter /FlateDecode /Length 2760 >> stream xZGo$W@^1aYc! dժ #FMW*V>Ȗgd2W;?4F.*!W<_~# v2}H!3Oވ y7Tht vZ'f Ric۴Hu\ۍ<$MW"MT)͍$(.{sdFFeI$h oٷPLD *0$wnduZKw*/ʺt#N,) y`i0-3B˝-CF( йG`߆L|'2٘fêC2-nv}HF7DO0? ~ X-%Cb1 kd,1^41aKͫ1 -xx!ei!LhDZ<2Kھоs=2lV~[.a޾X|͊Z9ll /Z9nmfTj_BW.\ J }ؤ{`uT=9U=yzq?O[y6Bvnt@r %}׾ѮE[޷SvcltV%5y7u-[qhm/]wF $vs]yS붛{Me6Fقogj)R MCfq@gzJy .rc1ii?kVٜb-%Hd5Ik>ٙG]x |@9p8:1T;LTŻ\I*5g![[M|m<^g-:TnG d20iG6#"|Q_STi'ΡyodV|yKimOn~޽n]R|ԨVo5P'7E EfTǓhWC֍Zz]3Um$ x?7 Te21| CV!zs CSb *-'+yF*gY&eN9iS`f 3zrI3D^@nMR0̹x͹yqμ|\K3E,͘fwjAY;m/O{~ƙ!M8 %4*bhz[k+zg!LPehTLqXJ4[HbrL9U,E=&|bKwe4kNoF,^+%#TYDׯWөꫜAYYfm3@Y.Gg5IUVE.Ofhdi~YTT*FK= ki] 4I+ l2S3m" @nJڀF `,a\?D `D|&bP.1QTuS}͉ͥ3GJ 6=N=4$sKQ3QQ=S≐s 4Sx8C4Sri@^.ii-t#{;{(Ç198;tek9e+=ƔKmmgFU6pSsy[7vV¬7ɏ]%fB CYJjLpMv/}\R}Yi`֐뀿Lί (⨞SqE^p;0 Ս?[tnO[d};Wu8v1xGv2KhdGʗ;"MZ8QZLg0' ОwYr<# wT߶ \ɵ- 5x|aG1ZðA}A׀7Ge~Vؼ5+Fo]WEa--cCqTq=3I7$ "; k< endstream endobj 20175 0 obj << /Annots 20177 0 R /BleedBox [0 0 612 792] /Contents [20183 0 R 20179 0 R 20180 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29081 20181 0 R >> >> /Type /Page >> endobj 20176 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20177 0 obj [20176 0 R 20178 0 R 20182 0 R] endobj 20178 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 363.325 124.1605 374.325] /Subtype /Link /Type /Annot >> endobj 20179 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20180 0 obj << /Length 19 >> stream q /Iabc29081 Do Q endstream endobj 20181 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29082 20690 0 R /Gabc29083 20697 0 R >> /Font << /Fabc29084 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20183 0 obj << /Filter /FlateDecode /Length 4254 >> stream xڽˎyL͉99 OIQ3=8]R(IRWm'/Y. :ԇ%{0&>=3S<ʣu< (A~џI}%|ѓ_t4a>X kEfI+o}_gk/VNav^5>.$MLp`p1/pC۟94^<*[e6a~W1&Ƣd_+4/BĈL03M_bQ1NJH qϏ"%5:0 FUmPMu);gA@ (rЈ+F-&8 aBLb{it~ tLczr,&xKJ΃fAހh?Of-\jR]6O/],hYT]$%k 0 XR k>P9@ Lx\$L@ r@>6Si% p(K=^"!0`[^xg!/ч?j` ^铷 ~,]QS"1bݲ 7PS-5̾o?=7!2?\v3l4_37Cw{o[1?1nJA5"R3{3~d3t( ~[e9jvt :wEw:'2{PCAUiAPWO'*f뷋 Cmk z2P7& G۹\fGMz~Ϟ1~[sǝ!v Pu`I5z]):rY3nY4'u7GsWf'ɺGmLa%wYޱD϶ @x, ׆MO#"7)x(C]㥰8a Ȳ0i@Z >[ FzS,,)GS30ʒ _X%.ǠG@ڄ# J= $Ѐ亸upo5Tijp$|V]?77Աr쎑|uwjԾ];^p+aC* 6@KkgC+}W6 hw|&E1E˜}O(4i~,l/M)WxS$d)f{qSqYxB4h?@Y֨0\Tr3؅LW677_[?Ļ-H9ϐQrpi =M^׽(WW x`Jap܃N%x xBްz(yaV=ZZ VCl28U\uq)=0[_>8ؾș{2PPVStPl&J]<,M8uAkv `;Lm=ت)ow(z`DpR"t*5ňq/ Kq cEl}m 8fAu>./+XКmoȽwK_%ںzn0bӯ)5cv _Eʅ~S.tr{ޗ [lhn +v. 2X20CX\nUx0'Q@C!XD/\(j?5c-?~N]c|k0T@"uo!E,s~TiV=8I XWVda(-iVtPuP/o06 4\n5JS2PO%hM5l-a r_)wv MKR@TܡفibiDuV4cVA Sodi9 Y=yq!|7 \G}xcaMz;׽s7.a"$q)yϪyxPG[6nj/!XնST`HƯu\]0;VV9΃_u]V cB{`Q z汲 ;0S,f{6ykPǞ KƢú6 Tp; jyZ;rm1W{ݘK+yȷQF^zMXX{1L8I`:V=YaܚTyӒ$E:ԿvIJ:&jک/1D|Fo A 17bC@ƌ @Nn y'Q(V`El`+3?̈̾)ްmzQqum#y331qRG7 +۠0z7OW&I[1E Xfc==~FuMMéYdaBNv1]gExcVF5N4Io'F!G_vॷpNAvK<35>'!  SŤ]j?mְLWVq#o̜M'hMFsL布/\|M5&´ 9_ܘ8\TԦ 腘{t9(sq3(@},Cش"lE+ endstream endobj 20184 0 obj << /Annots 20186 0 R /BleedBox [0 0 612 792] /Contents [20192 0 R 20188 0 R 20189 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29100 20190 0 R >> >> /Type /Page >> endobj 20185 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20186 0 obj [20185 0 R 20187 0 R 20191 0 R] endobj 20187 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 359.1462 187.8502 370.1462] /Subtype /Link /Type /Annot >> endobj 20188 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20189 0 obj << /Length 19 >> stream q /Iabc29100 Do Q endstream endobj 20190 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29101 20690 0 R /Gabc29102 20697 0 R >> /Font << /Fabc29103 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ  endstream endobj 20191 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1873) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20192 0 obj << /Filter /FlateDecode /Length 2867 >> stream xڭZK7W@ @Qy1uK֋l%ghfWUrunsVǠ)CN竅gV?Yi1kkh~~1sƜ/_KM^9c,ɲd5ҿhYx= ioj}2&] 9b{P \C^Rv$4 0)s0uJA|wgy'0A':a3/EÕW$" @sW}frѺ*u#Vd۳( ռxA;XMM.0Х5bDmc'"S3@ Ɔ \SBu.#̼Ge=kP0J݉Tɞi]DTA^~N\S)ӷ%-QiXB+HnDب {Qmf1 (2*ȫC{aHo+[ 35#{=KeZ;HdȺ)?*Wx/z 8A 4QpLvN\$3Td7k6: j_"x8V8Isg WZ@)aIp=uA%\G ׄsCİ~q~GtAx#w;39s"Zb x]7quZȏ%n-(@tϑdmjfK#!S/y$]0tE*2dұYylC5=7hmoH(B뷡z1wX4k5 aз8&9^*Z\]`6 ~ѯMLBES n[[Qv֦ \z!Yd|,t*{g Kszċ+~O`v__Xb[ܽ:m*f>jwJT$YL+!Y{ ڂN=DTm_Ri[/J]62ai \>e{0Nb}X{n)6D[5@a6useL B/\% 74%@ŌTO2.9g @8L< 6FW!3}l_9EX8oWi`NRqrkkR}=ƒ nOcFd2P A O`,<(l>m'= |clq㝫nIelJϥ[@J7޳OEʶlwtkw6 T=uҏ^Q{H2Xk!+j(Z x/6wMNf`B_|j'֣ *›8C~F5}crxKU7\=F 5ۧ!=p eZy L6oIDtUï#U'C.w#l WT=QPqkzAL5{@^ TIF>:mS1jR Ǽ_ݱFXnyR(X5V X09ե{/q9Gˡk'ze?,Xe}X!uz_WiO+to5DzI}l? OߛRi>@z~/o0{녻_p߀cNiAI `gB %,"O3rY!iG虖":~ ]6AJd] xvxZ L_*V50 xL.H\ Ź~Jc^AX/`@CI+"Ċnġ3VrnGj+ydWojQ&}8:7mX7o{x`:yɧ8-pYc)vS{۩u(!x I? !^wRxwI<ڑ~,e"\[BfOQeQ Z X}>"H6; ֔9Xnnf:Oo3H֙u1nFvrw+kȓa=19)2igy=UFOe+Yݐm)_E??{Y&7ǮY~uTh (ⳤc O$so"Vd:U<ɣDQD^i ‘Q Bǣai#zM3 >tb:Ռ_dLT!> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29119 20199 0 R >> >> /Type /Page >> endobj 20194 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20195 0 obj [20194 0 R 20196 0 R 20200 0 R] endobj 20196 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 115.1249 118.9685 126.1249] /Subtype /Link /Type /Annot >> endobj 20197 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20198 0 obj << /Length 19 >> stream q /Iabc29119 Do Q endstream endobj 20199 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29120 20690 0 R /Gabc29121 20697 0 R >> /Font << /Fabc29122 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo f endstream endobj 20200 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1874) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20201 0 obj << /Filter /FlateDecode /Length 4200 >> stream x\K6W 4 [d'E3KR/ʖ=XL:.EHJ-I-#L;9k$)/qx?4Z~MJG9|厅 m~Ͷ뵝?U|#)Q7镫?t:*~R2-5*mˤ#xf⨭4*e 2(+5RZuWc"m: 炃vSg2%"4X& 0=?JX1ӔwnE('s3`b9z7Y<JsP2N6 .F?< h 5.kL.@R+=e(|SVcV,h]k3Pڀ'P (O5j Mb F&4һ]w0;{cGcux?O/B} p/R_sOGMqԁ~anʠsCe+ykj̸"\RSVsn2,u钧m0pϖ(哀5:G*>@vAXGK MT)9u8jt(4 ҽ9xy'lzt=Fp=^}rS;,A֌ `?)LiS?$Gi: ܟ## bVHHѢh#Vf{}ZX"KāM12Ĩle+o Æ!HV%5mi P22-.UCq*ULoCNnC#7vRet6r@@6}=)ʭgz;|,h+O"B!Bދ1D>"݈;w#B Bދq6Dp%?V迳"R^ D;j*P)eX l^(/[eB 8qsm0Z}8jt܈ Ѳ8XRqܢ}`8e}%鵊jfO\Yo͚q9h>1E-s5VtsbhSxISw^9D%U[dlplyA~y7E`]RةR)EEGŦz>Z_, `O~h"XHC8J( T\cB(RQ2@b.R6VDKCY\h&DK g՟xeWOIf6ḾRRmh)^A}1wCvۜ19_,wsİ9]kwFuKpu-IVP9Gֶ˻%_6 @N6HEL|׽Z()G~T.Z0aCGѿ\r!Ҧ 6pAhNgҙ+ĔPcyu[L]qYŬ9[;k:0]3TT>?ڥ*9nr3$NB+ ʼn,1F,,$8%NsåOso<Ề^Uǝ'W>v~(<"%0鶸"p+ׯZlw1nVC֓Ф($ٽ=gʁ։s' aqhyrլlys<괭)I\׮YzU>*Iݴ?v3w֤|\89FsM69:ihK\gޮyYem3ݮ\G0 H*§g[ʱ@j{-O9j,2V".Dͼ13g3a}N[$>2U3YOb9L뒩GiQ3uu;lqϱ+WLYəm`tb|b>'*)6qBBgJRR+woN 6|hiql1w R|c`/[OiUktct ?fy'&eEl\w6~,ښP5wmbrã=QPW@Z8k9lbi,}Ӆ=6ɸjró؆k!v_m^vqMW.{Ԏ9l<]I'D~i me[:b9Xv꧝GP#Q ;s6ijSe|37.[0{*ԱvH#"U(0W"&z1X#5?PzGC4?Aȫ-m:Q"bqkʕ‹٤=PV6vH*f*+42b27E%16==M< e0.>Uv|m͑mrfe+J\/ oZU;[\->=uK9VQۛ; wWc.;ba">-ODxz7 o5[ڽiv^fH4j[~J`sRmCڛ |č__"B3qi".!?!iEAZ09 ^.`1O B( XjFܢ0 v%+Vw> wqU"ja&.gze~9~lb2]/~mB:N.c% ?؀zߤCXVSr PtdmM*R[B(c5jzRaFmmaQX@WesPz6;a ~h+Υ}:*.j9Fx^!|֣0qvynY>O>p5x֐TփC,Zt)Oc Q<6o*nW`avMc^D;"]wD7@WѰp0pBD`s/݈FݢcH6nB'k&uk *t$vdJ~UJw(upUۀ8uCh*?#u=4Y]0Hp,=)NdU cK*XTE 6a6>J?G ޤ=*ob6ۆIB C5$Qe8dW'ѐĈ‘:z%K)s-Vs3d ,LY e>{>3S QZP^UO֔z}3%: t`E6"̽=QԤL:~e@f*#pQ# ;קtlA> ? .orz90B35?6`e]C!b\ahs;,.xq4\psNYeh>&?T[ endstream endobj 20202 0 obj << /Annots [20203 0 R 20207 0 R] /BleedBox [0 0 612 792] /Contents [20208 0 R 20204 0 R 20205 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29138 20206 0 R >> >> /Type /Page >> endobj 20203 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20204 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20205 0 obj << /Length 19 >> stream q /Iabc29138 Do Q endstream endobj 20206 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29139 20690 0 R /Gabc29140 20697 0 R >> /Font << /Fabc29141 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(=ADqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 20207 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1875) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20208 0 obj << /Filter /FlateDecode /Length 4064 >> stream xˎ$s`{rrq!R̮c1ۭ*EM/Z$\S˦I|Y|R˗ۣƯREug)unR:|^_5'dRu3?!X_P<-pI-]ñRӧ隇9gmϛP&B38Wdw}Z6P< V8iF=-ܠ5d'Lp5- >Pe}mӢ8.@t yI}`o,Rv5=>S6Tl5wxXIKHqBr@9nyHWxs b˴Trt^L}N<-7k>n(JrG~HW+Wk}=e 3\*&WeޗSyA~t+rίL/oM7if ;!Q~fGB6 > UG)Uw ⸉<79m]%3ZCp Wsx/Z*|!_uEzz9 Bo\X|UL/p};ePp3 M·"uLlw1jM^f^Ķ:'#0qKԲ-Vtc,ij!:"TKIdBuhύޗ]>ŴX, [m5k5vhՅc 眘 52c=sT F)fdQR/Qj+Re!C[/~t[Rq>q|wsz;Ѡ1Q.ߓB` LԂcFkjդOnVc 3>#t^+o\p ̖5|g;*[nUaU4ݘ^Ok+f{YLZ\]ځ:KwuAz]d=)M(\? 4;cJbY9e{؉/-iRW{2SS)<&&S,>Zx|\KcsD9\|(!r]w y ֏Ĩsr^S%bvc( =y3EUSʑSXo`vM`Iu,8XP4.x\<\.b=*F U.;E&[^>}x-RSAcЅzXߞwm:d_{u@<޺U*ѽb=•8@?Tp³mWu֒og/ |&0FSRYCSgck^ъu-vQhڝхG^}xNj;%}>BK>.m9L6>&/ˣ29b5` s51wYoZu_)SY38h&[+D};n.sEsE|ds*槖;~!W[(|-%bwo Vg:A)&M@k _YC yo[+R|x;0sw4\\+nՓ+q;]Εv迋&6.=s &Q2, Ԧp C* &c$6[ԣYb&zWZ@ܵ18Q}\6HGpͣ%Ӧ'ީV$pWVEZ/*O QcQFZ++hio3eAq/ A lgnhMm&Rɼ%H4on"S}}>]ij5hƮY1Գi^1/w֡(.{&G*M;L3NšI;qm@! 9Z2M,!c'O,cTgDώGr/tVNLj=k̪7]rҏ:N;9GUh}//Vc*O~=wu] a;Gݔ{ge v) ΅R/yfz ^%]P~U-<_O/YCNo40`'WNصU~)T[2h:skHר#$f2l˯?ğF[]xIYU,oKXu@_0 kbCUA V( )=ØsbD~idTOB@ SpӺUkvq7PaD쏪r8zƗu@%ؤ[ntPY7`]Dη_i#U3$pF\0(M!$s@Nx$HA)(F \vĒ72#Ү5A&l#pE0N6%z;fJع~$fO;JE\Q,>.|;'>*|mK+X X"rEZ/Ek5}9k*? ꀻ?:2?@.pH_W0J)~lwjpH%omg@ĵ݄)#LU/d4\iGi۶wJye|%J;G F%GɝZdZ_U"+e[{Fmbt:F :K|3<Ȅ6uv?oE4A0JG[[1Ή$ 06&ە,4kD?>hy̥F;9t} "Bohb} |Y Tkojlw60QxMe3XXc w:MF'|}_nVK/9;=Ku^GsK  q.$#`^*H@ՎJm PY^/ /7L#|_|?u5hcY?'pz1{/=@e )?sז%^5g+È7[3AY}gciymX~3%۵ Φ! 0Let endstream endobj 20209 0 obj << /Annots [20210 0 R 20214 0 R] /BleedBox [0 0 612 792] /Contents [20215 0 R 20211 0 R 20212 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29157 20213 0 R >> >> /Type /Page >> endobj 20210 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20211 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20212 0 obj << /Length 19 >> stream q /Iabc29157 Do Q endstream endobj 20213 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29158 20690 0 R /Gabc29159 20697 0 R >> /Font << /Fabc29160 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`#5thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XLf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w@ endstream endobj 20214 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1876) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20215 0 obj << /Filter /FlateDecode /Length 2168 >> stream xڥXɊIW!\/$R)9 suӔT="ԒF"+7gGi^|}uu8eۯ?ܪvV?GCKob\%?@2I <`]hZϿÎ |2*fzU歷D`wg^X|Jeaݪq6R eX` Gjxҍ``GGgRp#uxz9O Pap6єZBVDk%څ*9szx#ʠ] KC3- .Bju#1)t2*ޤZZw:4# nGiI yLVMj#wȚ`~=[x(erTwpvjݿJ>vTU4[Lsy2 xXmXS[1ld3p=QZl9LH$ a4S{J%%1q-ia]*r˭ HKߺ]RDamήBOc뚠Qb51V] wӉ}ܰTB?=`=vi yTp{A#b. ;̹0NmL AYBOuM}qZaZAQC3U7TusR΢f[ pZ1em$-Jb40`B/!xPuS(*OJ.A!Ὡ}*GU@*_7ʶgF?!=e2\4)R8^**(!SAWѧ]\9lZPٓ4ꢣSX26]>gc.z&.yɁtZ{ 1C5`߰YS?N{Wg︄('7ˈ ր.oQ=2ʢWH-&xJMB& A? ; _mF5Y''bv;??jB46#B6 ] Ep|*2ɶVD?~Ggۋog8 HpiK ݧ'qr43EKAOr^@Ѿp' -41bY k~CbfC06OJ ;Z{) &6~ +IC6/EL)-J6{HP}vҒEsL5id͛X2W\1f}qMK )U;o(/hW!e[08[I+"LMt}>qW X}n3 x<vM3Z(ޥ~>:3Q: OjiB20*< 8x.s^ʗO{C1m,[vl+icAk^]LTF0gAśX6⊉CPCl `%17l7@5Y x44]xl6 )mG,CHq|t=R?|oO zwcP"8B;E i5me眜KKk]!QT+^Qtg,X]W,4"Km6\:E52E`08B8,ɘx*#TѰNbԵ$iB+6G C:A?иIږ!PD2~K2#:w3v0c;3IMlLЋi2je6Eabun0oˉD82\RCTvARx U@LmL%MkEbӸDԼds}+\w}JBxB{s,${oEk*')b*f Ci}Qw+|lpy- 8c?G{/ )04P^)@C,9z{]D7y_WEHQE1U ~IGN\e* J6aC1" 0t浸L)頖N'mNA QuW=^I7Cčή24_:?yQ4 endstream endobj 20216 0 obj << /Annots 20218 0 R /BleedBox [0 0 612 792] /Contents [20225 0 R 20221 0 R 20222 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29176 20223 0 R >> >> /Type /Page >> endobj 20217 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20218 0 obj [20217 0 R 20219 0 R 20220 0 R 20224 0 R] endobj 20219 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 183.6249 118.9685 194.6249] /Subtype /Link /Type /Annot >> endobj 20220 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [124.2815 183.6249 156.9295 194.6249] /Subtype /Link /Type /Annot >> endobj 20221 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20222 0 obj << /Length 19 >> stream q /Iabc29176 Do Q endstream endobj 20223 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29177 20690 0 R /Gabc29178 20697 0 R >> /Font << /Fabc29179 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-ZݛXX endstream endobj 20224 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1877) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20225 0 obj << /Filter /FlateDecode /Length 4491 >> stream xˎ$@~{ 99mbN%zV>qXI%Q*o\r_yIn A;;~? Wo~ }}%ɖ's0_aIA=OakѨo_cmyr/gz=([aڃ̿~o?g.&R{U2:)JR\Υ8ya(t(xV䣡&ُgi1Ib[_AgS5"$wH`Xvo/, ͷ.}& y=g,-fWd|y>D"3No!7a2*6S;7Gpj7jv#xN(Jf5`?q vE:s7BH57xm֬˲DX-s|$wȠD h=őZu#tV;m_\ohHfoi#MeoZLzr7Ntk;//ZqNkBMQV[펝7,"/隐C=ao' v:!DJ13H#2pыn/ P]![Pܤo:auHer^})rH?IuZoa$' yVKyM4#+ξƈ4osa0cV֊Ka~+V bM0F[4u_9 LU+jݠCtS-&R࿔c_T™06FpԀZ%2Wf\V/y(QŲ-Z,۲X~Ų-[,۰XŲgXC;~bb+٬hP*~w 51I$db4 Ả)m q2zMKL<-_(l| %W&N4 sn `V R6N-#α.lBK5j&)U%P1b Q]-:|d 䗮 =U fx-T3)fu(R2[%^4e 'ɑ"5YJ\vt1𾨅%KT\&j8+:s R珍:w=r'KsäJ0#T.Eddz¬VOqlm}[4YSvFGjc *U=1 &5{0g{YT{lmqmȮl(vwfЄ]z&kC W6[(^Ǻ ˹6J|b3:itLzW:I٠̆7^XNZB4.,XRN .49K] }/-5Mo[m] y)y5/Holo:x{CkUh6G,ntvc9s .L5nCvT9t{Xܟx1v y*wE;_hu2` qV֖˿UT~o9ڼS|1/z:+^5VW`zO!Dr_8^{P5R_'@(wK0|tG{LpI"$a6ED+L0]: .޹F&rk0j@1+ ̨ZvsYslN?eY_M Y!U. zݒdOpYTTHҗȿsU%:r"+W,NaƙI: C2K/IY.X.)b㡑GCg[J+Icr (֬R?0{.Vi}*d*WF荛f\7qIW`1zk-ҝM,Ls 7XT:2l.]`YdJOf) DF;Btq|C3cGyq6$T ؑ9/ᎀ6qļ}E5?Cl(GD>?'G`kսw0(_.Xvf2Fkf QwHV}j$@i5{GӃdqXy'zk'kgeaE. 'lvQ^a"8dC,7rc}A.˾$zy]=hCqm{!nvm_9kO=Ló{ fNfW;Ͷ}HiÝLWzT'N]S谉-.8]96QYT\ɕ*#ƽ}z&w+90]#|pk!P7vROdn۶ Σwkɞ*  *j55b(Yvmtʃm2Mh'v~[ܸymt꽭D߻<4GLA{jj9jɇw,xV0EW*ȔFK+5;i[eR$J3T~d}2p?6] Qme I'((!z\S8dK9˹0, h)B̩j~lʝY`(`fɦ/уG~!{4gORQk/4Ҩz(shWU51ݖhɑ=՛*]ru]ëm0mt22`*Q8PPb\8k1}&.e;ND;T|8GE#d)KS2HP>lJ[ ; jRx3 ;M [рFnN'cH3Sv9APS򭵎 %^d*F[h'!P},;-#?*3DRf"\}k &K4n3k=Vwvmٲ,FvO Sv2q4u%yceeI-²R?V)8"ߛtPzQd^^}l*Xж| a ?ي Jϗ&- /-lqpžq=y-eB>2Vxo;6w jYeae1IʞIt]-D@>]9^V7 jF-KCns֜ݜg̳%S-.J=q &.#w'lڕꑦ+m"@ȧ&SeLv@-azH8Sk$E$&UaҵSP wN:RGn 6݀%s=ϐ70(<.$BgF61.!Ј豂\rKެ4ب`CEBc_Rk4u7MqK"3tMUֿC4{(8/xEn@S1QMn#rgFB}O FlR d*t#<H{8d6sĩL@5RGS*tvH)ST{#lm^c,#COʽ~rI,\VjK$Br )56έ[b0uY-VR*rHO}IVdX{ 0DJ%I<VνndBrQwj2ͶT{ƅ/ylhM}Ǧ, }vLx,}D8u"Q-"Q\/$.K.װ ḃi,vu^|8}cco3~ϖ0ջ'0MayJq&wE>j>W~_P]6/ ߟk6̵s2p{ rvL뗊SI0z>G V {uN>z \T]>d+ גtIZD*-?&(?5j endstream endobj 20226 0 obj << /Annots [20227 0 R 20231 0 R] /BleedBox [0 0 612 792] /Contents [20232 0 R 20228 0 R 20229 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29195 20230 0 R >> >> /Type /Page >> endobj 20227 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20228 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20229 0 obj << /Length 19 >> stream q /Iabc29195 Do Q endstream endobj 20230 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29196 20690 0 R /Gabc29197 20697 0 R >> /Font << /Fabc29198 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20232 0 obj << /Filter /FlateDecode /Length 5018 >> stream xUkkacy\ =,F k|_xgMxG;>ѽ~0&f߼;Hn5.aIcEq&Biau5y-)hiK/Ҡ!FȄ5tA dV"ٜE-2Åg^q"3po/20+,FHBݺ۰e!'}dɯDByTEH1L:YqI,jqx"Df",3a을+\%OW#{ڬW\Sk7ڡJ4l'?· `U:K(~BĂ֐G:Ak1d#sLl;lcgqߛ&dQ"!#~q iu~;F4[6uLL@8Ps4`tX/G~ZCpMMtsUY.|yu%sy(ؠ#J1ŗi|'oLҾyh,̷F'DH2->5d4DN%yZhBY4A*_Q)kNYۭDy~W !̹$բl۱N w+.M5 T5}C5XU XAr_hyH0,.09eGaIp]2@0UozقRLS'*hj=T39x &nK X&VNн,9mq-@nM8 d_Eih 1dR5wy4P"ve?! {z.M#8jͳ 1N91Cdvu #2sCɟjعvhW _Krz Q8XA`$)PPZW9%۲opNΧՇFfZ~D+I (A1ف?MH1ћ`%$b{5^ρglvf Aj!Cě5w:ǭuIQX=DBQӭW͘2̄'9q]o7Dx}\ɭhcmr>qŲJ@+^z}~dW@wLje M{ShE ]ܦ6h3!5*|;.(O؇(i칖6%~QMuw|5z6Y1KH)Dzl5c_Lb\ fĚQLqp; 2N(,0A!,4[d'PѲA`j((shaN^z;(tbX $oEܥZ~_S] 03#Ags+*-O &x50Fs15B8 ROWVh(6k9]|P*'Ni5<{27m7]iQ2ܗn_ُqkFzO(/ 5AMUɹMOH~m ձC do0W! [DZgkUΗ 2k; ^5z/E 9B\xvv| O>>asi,hRNܭ IUGJ+%wT[V:wkUAR]Xj 6 R]El/JnlᏚ|u/7M|4\hAt'Vt~q ϸj X/*|GTVsVQn?~7qwRfa+qy:kTbX~2StJ9M!S7Һ*ӑ_.5QY[Y;r1޼w$%O%!`dz֮>M@@{{AĤE|xti/G²ܜ|p=95ӎU NL[+l}W`0Ρ&BdH! !!hWjhŵA@2 :-U':8;]aCg;KD k8jKEW:!2.`E6ot@!/ F!4/1])z[B5pGE^V$T{.e{X)k Ci .(lǒƉZ>z}pg^<|97<03Pj4#,0M'[I\\__{Fd2mk, 0[V"bzŰܴ"A߰ ^nNq Ì{P<(n0X^B" y.p7|gg$Z:mxQHB"~#_}B?s~r.]ƚ?>T.u˲<̻sThX>G\Y9i:'!}(FraUdˡӝh\&a:TY :*!5d!@ |P3湩{Q!/1s\Dz  X1,1DiWA^1ljԏ _GKii[ O$|`r(n ¿xh"uRO\R#\n*/m V(,u:{3d'y 7T{yPrZTwl!@v9"P9mB;@f!2SZA*hEdF޺\;a/wc> fO owu-x@=U a@IYJ#Ox**S 8CP#QnR!ɬ>v:JaYфQ~xd Hi옜55L!|;§>*|C]l^X4! W*H7^eͩ c= X@ Wr!#Vn v 䬉+Oqh8ɣ<*DkxX /c/c֛xyXv J235^Rm\$i=ưz-EZ. m扰`_[O}㭏}bݛɨ5:f٦AχRo6Y.KM,:&#՗"n`h^ы!Yy}>+qMH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29214 20237 0 R >> >> /Type /Page >> endobj 20234 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20235 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20236 0 obj << /Length 19 >> stream q /Iabc29214 Do Q endstream endobj 20237 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29215 20690 0 R /Gabc29216 20697 0 R >> /Font << /Fabc29217 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 20238 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1879) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20239 0 obj << /Filter /FlateDecode /Length 4802 >> stream xڽ\K$7rWyJ tuM/my1 ]E0̪LM%d07EωR돥%%Q˿3_\WbtXx&fVS}^~h쵾%>T'@[mԚ`5'~\x`eMƖ㖿cɠk0ŜUw”Kuًcy\sӴOk ã#`9:ݥk_އ ct5Ֆ7U1z.Nę BѮglݙ70vԁf~q)v4۪P „g->#SÄpH<{'=Sd_/96\[vD7 4D߲:l΃J4c jO UrPL䩀Uzwq dJ6곗媸d?%V%wOE,cv9>nϖ6$[CÚYc+4sG26o\#ZD<X'5=lYFΫ%1jϽQ&,ICjO*AD"N6Z =u&9h|w~u.k~CWFx)h;ȴNcX7ƕ5Alg֯ auFkZJZNb"q$8-^ߔaKL#K S-C,%H|!kB0zگ~ή.8fg"J, Wql[srP/>$/= nGn80o9ʅlm_{gg';`p4f`PC=b:Q{TYZy_,B8 |T{9')qP xT-8veؠvQe1&s Bi wu&tqŴoE{ ce7o̙R[M aikU6Tag1)$&Ų215zF dhCqpY1߾90I~&M v*"- =Q x¦>'] ^tE"4}C)1rY:p.Ҵש3b:ΒHRElCSG1ǕQ':!UiѩWp" F=IWEF9ҊOv pLS@Ȑ˔6~+iaǝ[5Zqcwݬm-̶ ڥj+/pC6{uz;ClHRwuG:Qyr]2CGÚ-\>m?#Ҋm# @>w6jGp9ޚs=%mo9P9};J{x .n.M7M{|ҡ8{&~RbtȦt3%vWz88&_Z̊ʓiU NY+,((::8M6.&+.#ҨI /&b^UZit)}HWQL*Mtl~DHO %ʽbp;2 ?(-V/ \XTZ6bڙ bZД|5ӯ $NZOn5{S4 1Qj٩_c7wuxU7o $(TݳCqkolf%g}_aݩsB'Db=93;^ zSjȚCpoi^R s)N_Rr,3PXS =psxmCUfv!/#*˱xlFY *0#0޾yqU5p'չHSqƊ@I3* nw-٧yx$GOZ)w{8S(I(aI2sLbώ֜a$۠?8?Ʀnvm\\R7ZJAYC;FQ6#6JMrƧ) oSD/%%PyR< )X]AKjOFuh_Uo~̐M}v;ɇF~uSDnX/,T҈ZԻ5⿴6em*/t |m\ $)w@TRAM\W`S_+5a|x7!oww km+e|v*Q:Xp;4B_V]@%Dc[>d'uةwMR5k pu?(k5).2-i\I+ CDž5\-v5ЊA5Z)2uI+\1_> |#|:JOT܄>@0.v@y&&9ԩЂo+mSm:fގ+i]`Cl+M0T^fEi8dКxlr67Rc2~>dW/ pUC(s(ܣ̈\AU}^N:qvg=j:1A Zfs8mK]*qw;]-W_`Se TM*aTL_K,ϚSgd&:e\-؄GG&<_k-y^F0S+zԨKI^zf3SHkMo+'A˯AY^~pOkInTq3OێQIV+SjѡOʹꗴ\rK-,]"(i6mca=֎Ÿ5fٗχhx/[ MHR~n9>V+2ƣ0yV<00G OG`~๲Ůp~"Y㜊+ʧ|L$Zʫ.׸ߎB  F -(Ij%ԲsQ#Gcx=c88%{ ;힀e/ 3+p~y#~1\(y~9ӾImef!L G=dSD{a OCkװY\ I¢SJ'g/g v:pnh2sv}*#oHFG@&%)?ݪT endstream endobj 20240 0 obj << /Annots 20242 0 R /BleedBox [0 0 612 792] /Contents [20257 0 R 20253 0 R 20254 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29233 20255 0 R >> >> /Type /Page >> endobj 20241 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20242 0 obj [20241 0 R 20243 0 R 20244 0 R 20245 0 R 20246 0 R 20247 0 R 20248 0 R 20249 0 R 20250 0 R 20251 0 R 20252 0 R 20256 0 R] endobj 20243 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 555.8038 164.1782 566.8038] /Subtype /Link /Type /Annot >> endobj 20244 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 539.6038 217.8802 550.6038] /Subtype /Link /Type /Annot >> endobj 20245 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (report_exceptions) /M (D:20210608081201-08'00') /Rect [104.1732 523.4038 191.0952 534.4038] /Subtype /Link /Type /Annot >> endobj 20246 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 507.2038 169.4802 518.2038] /Subtype /Link /Type /Annot >> endobj 20247 0 obj << /A << /D (unique_624) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20210608081201-08'00') /Rect [104.1732 491.0038 186.4697 502.0038] /Subtype /Link /Type /Annot >> endobj 20248 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 474.8038 172.9177 485.8038] /Subtype /Link /Type /Annot >> endobj 20249 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20210608081201-08'00') /Rect [104.1732 458.6038 179.4682 469.6038] /Subtype /Link /Type /Annot >> endobj 20250 0 obj << /A << /D (unique_640) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20210608081201-08'00') /Rect [104.1732 442.4038 199.4387 453.4038] /Subtype /Link /Type /Annot >> endobj 20251 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20210608081201-08'00') /Rect [104.1732 426.2037 187.0032 437.2037] /Subtype /Link /Type /Annot >> endobj 20252 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20210608081201-08'00') /Rect [104.1732 410.0037 150.9012 421.0037] /Subtype /Link /Type /Annot >> endobj 20253 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20254 0 obj << /Length 19 >> stream q /Iabc29233 Do Q endstream endobj 20255 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29234 20690 0 R /Gabc29235 20697 0 R >> /Font << /Fabc29236 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ƢжYzr"eYHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#/ d_ ECC 0yW!ӘV;!JϡmтGŶ1` endstream endobj 20256 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1880) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20257 0 obj << /Filter /FlateDecode /Length 1926 >> stream xYKk$7WhEo xv 90/JVkiwT!$i߉^z}{)QOj>~\lE5&}|?]YNW ;>~6 ˕u>Y{=ڽF}Cۘe^;}] ]5s-=,'2<:2PF߈%4r)\ۭEpY ,VSED[+l)!o8_IW"hof~F Xɍ7ƛM`m%^F@3pS`SHP!uI55gN$oWdrFU?⨖8,*pLԎkkjL^9 JDM9_oz& ӒfjPȴ\r 47ǡ?|>>?oRZe#8:v ytG> 4o5_!!ɱ-˙X+4!NҸ/؅bt|^H|PBs9f v޴8 bOz E!^XW7@emSp{U"+I{8%IV˨ 5Je($Ѹ2ăIި\ۨxi)-x3Mɝ\6֭ҮFoKBm}; wCÀm5 [ $RgA}3\/]^-/Q_˲x%^ ^zb۪%޼CɛQ/㹑ljua㑾 0.V-0^vK0&3=Nm6Ί}C/syV]2iIW@ΦY* O|> INև(x$UD?PSJM-1PX9$ov]/4qG#¼]%Sm gVٖE:`)ꤝ7N}xXbG׌7 !ъE'.S wX<\=E(|^o/\v^>+ y_w/ˌx? *i>Q!F|X ޓs&}W+dcZF8Yv̗al0bNojkQ,E[OGɘHE2E ]4\^Fm@oUx)R@QSGiЖ67Y?m euۄ቗Z[V;R:.YrєusE=&)i[.ef~LUcwGn%юgUyOZ3JBۜ .I-g@I>FQCg%Ժf.t-}M cNV%¹MPFM9w楦{^z"u\|$fy2x/ﷲ(Bߟ:Ʈ$]74R+2KQ*QɋiBِ+ʼn`bѥ<.i[3P@^V0),lRIC_^ALyဍ\mɚۆF:;EU ϥt"KHI(P3Xw÷2rc8׏Q\Dv| w|zp0'ey<ۻ〈a,25>=K]xiSZW8A*W-Nvwsw04UxOioߌ3'5z#c 8X /VCm7u;n㾸]eO |c̹?smbA.7ΡB a PK/: endstream endobj 20258 0 obj << /Annots 20260 0 R /BleedBox [0 0 612 792] /Contents [20268 0 R 20264 0 R 20265 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29252 20266 0 R >> >> /Type /Page >> endobj 20259 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20260 0 obj [20259 0 R 20261 0 R 20262 0 R 20263 0 R 20267 0 R] endobj 20261 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 227.125 122.505 238.125] /Subtype /Link /Type /Annot >> endobj 20262 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 227.125 161.9785 238.125] /Subtype /Link /Type /Annot >> endobj 20263 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [167.2915 227.125 200.4895 238.125] /Subtype /Link /Type /Annot >> endobj 20264 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20265 0 obj << /Length 19 >> stream q /Iabc29252 Do Q endstream endobj 20266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29253 20690 0 R /Gabc29254 20697 0 R >> /Font << /Fabc29255 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 20267 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1881) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20268 0 obj << /Filter /FlateDecode /Length 3699 >> stream x\Ko$ W<@U 0p mvClf0sI[K"ETp-x__yIn I~~g/oGÕ_MYq^/B_;̡|Tz:5O$w˯ Aʋ/ Sod O?@mUh=\ܜoy?G$4=ڭBs^ vhV }TP{Oῧx:zZ M9*׶C^k@2O@e6az(ʱ;FpRQ ()!q=>E!4BVI'cpyR?&SEr fV'y@,.`dPw˿Xg|FRs,+M&YXnQ  TU:p+tfD|H@Ytj@A#m[♺(W=tDe:} 7~z"c2$d]zfٛ[Mp *A'Q(2:P= p`ꍊkQ0" B%Z- A0 \k!$P1+!fD76 ;Di#-w)ԺQ:r'OLg?=nhv|u0VgKIfM܋xPɺ5,r0Rh0\Ep6cqzզXRs05h pI>Ƽ$ MI]bӧ*kt E1^ 9Z-jb44H'sJ="!FHcV%iˌqL5UrSy<.szHfC2}L2Cߥ^TiRUnPyNd1vb1NZ-;c1~;,/EBQ)Z0[Vi0wǬ7J,,t0 "  o K"1" l:lx 2G=Yt'te TL$9BTL1Vլ&%&ol=L.i#0 ^ %I}ze`H#A쏾z}=v}dzbf>vc_ kvx_壁:A'm ܱ!ʣ@u<\W'pPSIe 1ࡊzpI#-3<$=5~Hu!am`RR7@TĝDL,ex$aMP|,8 mF ˲ufuOYm7}89rkKg!ڂywO6Mȣ I َ,9cҺC'Qސ}Uޱ~ N&^_[_F& ԇYtt3ͼ%wVuu3 pQÇi&и.MJM(\/Loax82a-ɎST22οP&o kg2?߱0~On:M!"K@[w(TD|w+/GoUOA1Jfq~䶡wǚNqfdqshq({FdEzoعńԄm~l$"Sr&6ذ8-3ujΎw4:f󗵮i`T6RK=^'ReMeTu fn%$\Nܯe#3݆H?6Z5t$*xs5E5tscjJ/,G;#džq\`;Luo;4A5>%?[j;*_8O7.# )XB:+Tݨ30Q+xl>UdX:0@$\qwפ"hNRD$+2kiDxz \`ڕ^@U QtTUmTO{( ^7̓H>knஸNw3?W~\y}î]dB .ە(v\)psJEVAZ'yi EmDլ3AR7:>"emsJ2Ԭmf*j(XCրuѕ#F4j[\)Pضĩ8:I^4S͍ kؔvxf~iGq?Ǫy>VʱZO;|r({87 j#x*DE]aeL"Ļ|BBXBÚr.&1dK9ow1L^JY×M@Q*&F&%o9S+~~pzYVWhMn6 xNo 8OV*wubv:7RlK"ቻ~f,tu83+ >\~q5FGf͈]jD޹t{9;՜߬y˼S;D;zBY^G .Y9OcWZy0}/JE`=cQO2,GqX6?D汵bxC~$(:^cwt=M{>D Xagᶨ)R:aF1ScJ:ǐlD5cLL4V +P?4Va[Oߎ-V)YO`LR<FII罹NeFX[pz^" KuFTu-",ƶȪFJ"G浤}WT0r& 1:-b5gc-u5X% )bݘɴzYog)+kAZsYJ}4'JR4wCgJozk|X]wU)φAH usx* %~Q:ᛖ\gt4f sxtb򢾠ԥc&U{Jejm*砌zxu<9tb/_izp ?Mmx6&pecF]:(9H.zƮhX2Wv<́0MtY篎3dhhP0Z o endstream endobj 20269 0 obj << /Annots 20271 0 R /BleedBox [0 0 612 792] /Contents [20277 0 R 20273 0 R 20274 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29271 20275 0 R >> >> /Type /Page >> endobj 20270 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20271 0 obj [20270 0 R 20272 0 R 20276 0 R] endobj 20272 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 410.825 114.9865 421.825] /Subtype /Link /Type /Annot >> endobj 20273 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20274 0 obj << /Length 19 >> stream q /Iabc29271 Do Q endstream endobj 20275 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29272 20690 0 R /Gabc29273 20697 0 R >> /Font << /Fabc29274 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>d endstream endobj 20276 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1882) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20277 0 obj << /Filter /FlateDecode /Length 5074 >> stream xڵÐ};6.T<5$`,\j1Iÿu03?e M~Nߧ<ɚcScrߴwl5 ,)z;{P5ة(o mlJͬ(n_>e #OOM/^bI/iMfWeΊooO75?]\O,IT[&%'Bٝ4|E؝P6w 0"2Y`jN%gF"3C2wAL #NYb4?zxB-]ѧ'3KlTN^*/,@?p6|d O\~'-)23Rg` i[Ii1Ǯy7PWDʙ#A]B])6xpWQSoPb>nbuoClRlMv@3TWhziKX\q%O31ď5ߓV50^p[0 2LZ*4[|v*F#`KSC1OZ};Ưǣ1㿡8d JJ $yob<:ZRdi4#EםYZg>ohyjQw&HME!n69l=I28cd1d, }kuA0J|dqa # CAܬA`>yfVdHXq%\\`̌X{J/DH=-=HPI[nBSRlzjoTf,蝚VT匁t/,TtԾ O7j^oݥXV2^Kc%*#җ\eܛڵ_U=hfW n-l:ʟ0ΑĆ~\Uxbް( 4՞XX=}!?j;XnYnYީoZݬoC|CO %[ѱ04)%xz %IcTmP`3 u7jz,+> j<*":@1ځ&FXE0[ 8LUȥѰüգrvx=vdSXL.ȒgVܲ,؞qNwa;,ē)xPD>yUmv ueX8Ar19:βKeO==iDN^_;\#[ Ky3!bY:;^\*&{Ej,S?D"ye+iE h 7l(pٖxLykQ\fb= XTqY&mw %M3lN2 [[uo8vfXBO`FMQS;{rn\ nEm̷Neq.ʒ.+oQ6f#!X|?Jw%=ݩ2laӾa :gC&]c 6gY $<-sJP/@A}9fT`JI? J= 55qL,(;@k~8ؒ!jHCCmHdS2:]1 |!,Y۾~9t踄U,!Ơ&UAsƳRXi~`f!>C%$')8kowLTxn\}@R;AiV7˒q0MhAZ8X jJ-mECv.hózNT.Dgu&T4 V njf!.Zc[짌fTMm(u..1Z٪iYDU` PjKԬ@]ċ;>tzcrl S .Ȯk&Z ;G4zԦ Ot7O֚ϵ ,.Ĝ)U|*1`>p3!.6񥕕mFvw<t`|ꆧa09Ƞ?l) to-d'XhnwdG瘌5eN DcQc c5Tl X)Йt`椑HN;ܦp&yqo2[]6%\Cmo 3?Peރu[,YlhԘvdmkm3ȫ!`i3鍡^26A:wH?> 4PFv^pz?FS9j C^㲍h-<ȷS<<c4AgoGt6ӳz~vY*奍ZaȗݒnYT3fWgR[+\fa/隂6ʣRB@x~qZ7}brt|V;@aеl- OkCTg]◃f$9؇g5LF a~)Q+ #K6^,:lq-0}ZD=xā( 1>R6.(Cu͒` 2y`MM̊/ŇTB DJADVɤbJD#j>zLxિQ%rs9ʔ쒜<,,$?,: ` jb g=O0b3V4D "ݩjDr;'ϣXR-q{8\EU %vv@܋R.8Nցbu݂*tp#F>JAyhފe 8H1Ul |/^#> A&&Gyr 1J*\(jk5;IvaXꙍ|s(X`#?&Kj ?*ȜGck d!N)ʫ0R[<:>qww2d*] !9u(4ܵDՀN㔫L:$?oҮv$2@ 'dPt|W'Y׹`/ar+Dž$:kk;7=M0a 5(e2Mb D_C,xaˍD %[!Iӈ]}Ă!=GIi.+o $o !n 6ԑQ0݆|'w*tx#kKA8&tav0HW$kR旹 ͜EU:!XҀ\(ȗuSM|}'dYJxV%szkqaO E\1b_*f!пs$R=.]K/Rb%jK3SS]𸮔i<}<30rƥ#cޱEk{ga,u4&k]Y.ukK.6E&dZRI8^d|SjsT#$h &?권Po Dj6} BoSqe8}ۮƃҘ\@3 k/ߦnmrs`/\~`k'{>H*s!,C3q00RhG{}FHğRs < A.v1?_ d iY;Tu~ψ~oښjF[N!{P5i}> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29290 20287 0 R >> >> /Type /Page >> endobj 20279 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20280 0 obj [20279 0 R 20281 0 R 20282 0 R 20283 0 R 20284 0 R 20288 0 R] endobj 20281 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (iphys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 127.8118 186.9152 138.8118] /Subtype /Link /Type /Annot >> endobj 20282 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 111.6118 184.2752 122.6118] /Subtype /Link /Type /Annot >> endobj 20283 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 95.4119 193.7132 106.4119] /Subtype /Link /Type /Annot >> endobj 20284 0 obj << /A << /D (unique_594) /S /GoTo >> /Border [0 0 0] /Contents (report_phys_opt) /M (D:20210608081201-08'00') /Rect [104.1732 79.2119 182.9827 90.2119] /Subtype /Link /Type /Annot >> endobj 20285 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20286 0 obj << /Length 19 >> stream q /Iabc29290 Do Q endstream endobj 20287 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29291 20690 0 R /Gabc29292 20697 0 R >> /Font << /Fabc29293 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[3 FS5Ovue| fpYax} -Xw%b A<vuOջ]qWs_RƐ _.p' f2j[&!#(H!`a9 }' #Y~eJW3WHcJ^Ā0dDPFӮBxg(&FEO5HA?hxfxwBD>.PkPݳ(EGܱYZ)^v4Lm)1m:ɓ#,J[㫤̵RLs9ϳ-nYKGFx?$_l˜!E_@9?ۜ1po.)U|B5_}tw%BJJ‰pT[ݛD endstream endobj 20288 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1883) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20289 0 obj << /Filter /FlateDecode /Length 4361 >> stream xnίsp_AFcx!K.^F],^Ś?Y4%|9dS~~^~cϿW]\Y_W@mą|.I ðEx94Թqbfn✫jpG <0[\(:PVΞ+B:V)D*oƖn@2NiI1:id!]N@j|,7j>J+aeKz㐺! Y$?Ȳ)2u eYdĈUՅghkCcNPrg"߆nu0jh[<2eAodbBL֦0bq^y]yi,#X5ߗ?nwb"8|l = *Ԙ&lk&$饯H!0cv~M1@i8+8@l`qNI)[.}2z]p5?8(|Sc ހ+uνK^sWx'/6)zd@F'ERBB.)lmi*Su0GX"AZ6¶ۦ/p eLMBx¿$2S1Y-U0` eʀY8L9DB{ؔ~&Tуi8oHbbHE#QJ ĆtkO7A8Ksw)?,"J!5#d#8< #X 02lb`q} ;| 6cte5{-} a͸,X|'8Fp0 FzbXgy*>/X5xĜݗ9Zw0-s/ -i}hh̭9W>WD,(6 Ԥt#-l,\7-ۯңkix}Rޗ_,~Y!?slOrtۡ1kHp{OpPs2 ja/~m'O ^ɢ!oɅl*MVrOY]cfNIl-cDu4E&%doUZJ7.\3 QbZҍ'(&}j! A e1 y%c4xE8W3 ޥȥ3[OS 8E'N.< 7zĴKOշ,b[ק5]`{YT&,c wQD5$ԥ,١jC >v5Bs\cMxBh,ޗ72&}If-;Q[DazJuh÷2ņ@~䪿Bs'P?,v7ڠ22-TRj4FW-Q4jÒSoR\gMdb6g؞uޚ~hTE;3f(]zOT)U4%tX\w":QE>nr4y*bw [>a}v&/Ds"OGhYayt.ރhkt^ÖmsX,qGJ ˯d%'04#g.d_FޭA+-Qso*HG躅2Ju>6H w"SzN<s|yӘ97!knW8PB ;Sݝ*evD"MΙnf3|>VdߙmWk{z_FB*o8is:4ѥUƗ \gl+Q.-v-`-ޖ3"P@NN%Jq[KhPzEo? lP`t(~E`bi.P2w%y$ɿqZS,K@w)EuL#Tmpӥ4g[7l/OҾK:~tGۺq.IxsNmnKݣ; qoYaS8 4;m47ED?-+fg\ߗ_~k?I:Rb`!fE'<ۂ6 R( m'HB/@B5?bB$"E=.`WަzJs&Tf,g 8%[f EA 7TkhS1{fmhmN!?sq:"L;S*X^Ks6j:=,Gs9&oi[6N;o"(DWs4Z`XEyzO )`06֮U08nMi Qfv4!Am]6]mOϊ5q;h򺌗S}~MxXag.Ws)PWs* @=/ѫZr'ns&Q)jn% yE~)-pWwBw (~KhS?ܲr/V5QZ&grtǕZp!h] #Va ̽}wJM㘮?ռ ᎖)[~QhĒʄ4kN\FMHO PcvxDO\@}fSq\<W5f>B،vr$FAK!Wf9rHR,ˏT%%uLÎ뚰,4 W iz 9o6 `4@lYqb?2w<.cORoe[1/ "6%T49԰U¾FutFT+ Qjwt䯎i?h~f`h/. 0/:c"M G3(XPA}hv H*b Souĸ>zm";Y 5NKٮ;B ^LjH𓬤(yzHQd:M*zNPjrS*Մ{onqЎ#֌<; >R %J:ys`y&Uu}?'\nC1{^}} ;zICޛ햀瘻AB9 c4 OhW|Rں[m6=/ u> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29309 20296 0 R >> >> /Type /Page >> endobj 20291 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20292 0 obj [20291 0 R 20293 0 R 20297 0 R] endobj 20293 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 301.525 118.9685 312.525] /Subtype /Link /Type /Annot >> endobj 20294 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20295 0 obj << /Length 19 >> stream q /Iabc29309 Do Q endstream endobj 20296 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29310 20690 0 R /Gabc29311 20697 0 R >> /Font << /Fabc29312 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20298 0 obj << /Filter /FlateDecode /Length 4411 >> stream xn6ί9>E0pM2{l Lz%msK&=_bUdi4>?OLO`?N~fk?%5;iBy.ֺAۃUwۺ_Ժoӏ'V˘e ڷ#OOMP㤗5'hҋvExZW3ӟyq’su($֫'Aٝ4bVqne2A:иypj&`J%&AOOh찞y]2sf޸{Aϫ>j T i=?L~'Ys>ۼuN0hD٠`N4Yd >`x{m8aٮ~{|LO@`6Xp5>#TGl'@R;mV X.KJ$IPq>+`rZFe@fu& $2||< Ny:ypѸ8,u+mloFP'dOL>;{" blr`Y ʠ)q~%̭sC-H:S\/l'@..X/qQQ5:=,K[*Ԭ{5%تyYtZC]@Λ43Ȧ]9gP` ֙XPAUSΠ[ M;Igmvh\Z߳cT,h!k lmƊyWc@'YSW@m|Tv1շy>NQRcW,HDkڿ)WPtřBiJUEgbcC.xzہΰ4]!@-C~iL6iElEj6v1Pag` T*aA׫Z =o7H. ֏>g\wnπdM.2e$<ˍ^wg7;#m:NH@D?~0m%CB 3s 8CTd y=wu+Lɒ*Budnjb% 3ݰOCVw-r)'dEpE>>6 ,ʉF{Vdߣ2ln4PC*zZVմŢXkcuXS6JJ2(e_ofY୦L [v=3E)mIP.ݳ:*lی|%Q۠g63Dg(=s<lG&YC< VTV1͘ȷaeV :`[RPFNja*.3N&7Z 6]]͜W3slrx҂gH-t񑤊*݂֪q]̨XdI*{ b "D0D0i8x 9F!'M / JNn1P菳0vI]43VcKhiWIT<%r *c 9ފUԂE`\\#0ϙ*cAB. = .mc>݌y|@^mހ<*ۼ䋰@W; aX9'/sChl@-| eX1&5K t踖VWlRCGwatcB5U8&?3! pAiy5WG}.)Sf3~G[!)1MD8UiרcRԪ@\xXX4CKh CCy (zP | §^+|mIEX XF ͹Q\T+s3̥HSб2L(ȩ- X-zq8 4%Yp.q F05_p-|cWJ_ k-{ J23>Zuhg֓骨㇔\rK0&0JʟkMmb݊LF>0: 2/؊Ne) ; =%f>R _*dqĸRYd@RulM+Rck[ eo'>~S.{3Bkbv8,nu NbtW"|I/MKmϛ/\/An9Oj8xEK!4[<.m~C6o$' l֧$)з> ~yOy7rIb~A V&wX.c. 1'Dl}AQow.P1o9 3@`IǸ>x(r=+A!s=1L endstream endobj 20299 0 obj << /Annots 20301 0 R /BleedBox [0 0 612 792] /Contents [20307 0 R 20303 0 R 20304 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29328 20305 0 R >> >> /Type /Page >> endobj 20300 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20301 0 obj [20300 0 R 20302 0 R 20306 0 R] endobj 20302 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (write_bmm) /M (D:20210608081201-08'00') /Rect [104.1732 199.6616 158.4857 210.6616] /Subtype /Link /Type /Annot >> endobj 20303 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20304 0 obj << /Length 19 >> stream q /Iabc29328 Do Q endstream endobj 20305 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29329 20690 0 R /Gabc29330 20697 0 R >> /Font << /Fabc29331 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9" o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20307 0 obj << /Filter /FlateDecode /Length 3786 >> stream xڭ[Io\賁y}H@n`D *uO-e8d$a4o嫥[O'/dw2iӫJ RpU-^yMzVʸ_ޓ Jg4A$x^#\Mf e ӽ qVփ|cd-!>Hl4×NayzEؐ~G`\*k0[DӰ,|'wV"V+/!. F8M!Kca11qy(7 M޼QY*<">dAl!MIޓI*q)*!6s[;P1B\`ճL,|kU)${ j! FYC6և`&TA5YmZ%edr ^G0 /_< J(+U0Hk4gXܓV_( ˀT2,^9wQ}:F)P}bJQC6ƾax>BfC#>^ŧ+ gƙg&k&)`6kl+<'C504u/: 6ח0TJPeeM;ovB[U[&(:͵[- RKC`05EgLw|_;Ik=4|ު/ \*XCXX&?,#Z1K!;5e> c }b`ZVklN"\ȻW1ƈnϸ]Ra.vW"]ɶ${d+fV TlqZ) UȻ ! еw"wf`TPuvΞcl0=[m..&h*K%O?3.j ']sH^ > +R{Q%յ_֞tp񂒎4ƣ]'_'ĘI4XF!Ux6'+%%Qxt@ dbB9ߤ[.QIj5䛌Sb@}_w):bn Π1/DCe]Gus.˻9Ő5Sj]ܔ:s Q>M-cFK|÷->e @s0c%n-OL6 mMEBu/ûvIir,MG+H~+PDN~ Y\dK:4kFLLO2H!$'WY_\ 6}o_~5[ R˓a0)2۩Y-UKV#'KXI_мtLf@A|zM$JHGX7 Uy"0[ld\~.G2XM\MRmdNV2NT)\nuSa#N5lqGoet5QTflwT#_]Ǵ̇zgjl$_̴OY]Y_o'.o!Q (4lSre =ǑMgF60fyVKkj oheX[~*$;/=@TLO)ÎCV*W~똁"Fd3u\|rąrsnB^[Pᖎ :sMs)EU{Gg3X>T4v>/;L}pn<=ãO|5ڭS]wY}[r5/d CV\zvUdV ?X?Ƥ endstream endobj 20308 0 obj << /Annots 20310 0 R /BleedBox [0 0 612 792] /Contents [20318 0 R 20314 0 R 20315 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29347 20316 0 R >> >> /Type /Page >> endobj 20309 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20310 0 obj [20309 0 R 20311 0 R 20312 0 R 20313 0 R 20317 0 R] endobj 20311 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 439.225 124.1605 450.225] /Subtype /Link /Type /Annot >> endobj 20312 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 439.225 162.6715 450.225] /Subtype /Link /Type /Annot >> endobj 20313 0 obj << /A << /D (unique_56_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20210608081201-08'00') /Rect [167.9845 439.225 248.774 450.225] /Subtype /Link /Type /Annot >> endobj 20314 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20315 0 obj << /Length 19 >> stream q /Iabc29347 Do Q endstream endobj 20316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29348 20690 0 R /Gabc29349 20697 0 R >> /Font << /Fabc29350 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`hЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵ CZNNj~ۣfiW!g3ɅQQMwĘ d?R4 ~UW<7!fZ5Y}'ܱY M^RuTkQ>U}IRG"e*)sm.\gy45ۏ"bH&|gyO6OaePD9% 32 +?ɒ F0t)Ԩ\u#U4櫶|ݝCR<J/_'ŶG* endstream endobj 20317 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1886) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20318 0 obj << /Filter /FlateDecode /Length 3915 >> stream xڵn$ί賁iю,C&vHv/ԋIvMffE|b/K3KK6إodz_Y>1Nu~M>&|~]uxz\V[oRˏNƶnz JwܝϷ˧+v/F?X2|ҫv%xZSY~I S-f+Sʚtd@g3lxh2Oxg}gyt@Y'$Fxfmӣ"jDe: 06 \G^ƒ`}o͚l|g浔5Ʈ>@m, H ?ZmpS޾ad{_yQ°jX.R`qڧD{0sO+y!Zk}^}+lc}G ( kd \<=VvU073#3?1cA&@H퇿54ܧ1۾^'P]@:?;ohCSSW5Zmࣇ7~,hcubEo7kYUjhr;Z4EH ;řfKзI7=]1fKwfKZQ"y(mDr,}2wBٳHgq- *͆澑cSk/tb#fmh\wsBIr^m?־JDO,cV?M#f'׺W!B?`L-)Lj-?-?R0 C M^! S(e ,CKŶ%iPiRWk@ ]Lr8jSKF(DS@I=P9! w=Ls51]v[<:GF60<ދYL-5PK(ȬJ+6DLjbp^M2bV\FW(F}͈`8nIWz`قN^v KT?ߍiUMp^w7R3(zfb؄Y_HjIDG})QF3.;-;*elVu*}˕}dnEC_ l,j6@G~q' s0r өh4n^7d [Φ7M5ez[8և&,4牿5l{瞉aJ8kHk )=YP0jqtBVrбnxtx 70~02uk̂B6p[`m #%wc::C2nz3r*F1mp\B4/!݈8 ^7EPl>I (#i[җZ5i@mۖ + \=S20ۘU:xZ- ĩJL{&yL;Jk3ۭi,LEۖⴜ{g&w,S؏sSN_˺1Q[Y蝴$+6ޮU l)ss eF,\-׬\&-ws Y& BTCA\*:z)s'Xz1=10Wcㆯ9!m[ +;hbN]آ>kgrdSoReZNX'bX>5 \:lLri*nF"R$""Bv|Ea +e[ʧz' Ki4H4D>\ðY-iymσny!Oۻ%CKwKpLl}M8chm3άQ5oHG.!`;rU)fݫ085x3 6QAyrffp- ٸ-.-5 -g4{; Sӏj wZc0[HYن"r͑sejT>Cۥ.8 \&VV3U02&ᙍhg'5֦*^ L%]j$.U DdVuDlj:uiT?X5AO5b_&'HC d'{xp`T/MmP\X(ƤrImv~kA8j B*-1gV i殌+s\t&ɽ䮶V ;xۊ#* 5NQ6n2+k qmjOՄ8 <ˠm͞Vp_U&q\|k9I~o`d:Mg 7I@X6o\4V}lW(+({t={Uƪ!l* TW4w\5Y+~o>E+t竮:_>T݁C.л| W Lξ6ll6|Rf6ث%Cr"ɱhجG X~$u ]P\1g4@y7TII|4>ic=N0TV "6C"m\9G`S]sCu&* dt1-0xUއr=Khҁ抨]$jF>e͇qp5֍&KWk3=ҳV4NyLg y3#zǒ!85dJKV[ߢ,ռWV_ؔ o1`H5HnYvW[ ϣ􀻺Iuƶ*,t}>rW>u( ^j %n:֎kª'\g(l+M&d4WAMn͖a.eoifn}.6(]#A>8LpEQ,y8oyy'hɗ=jQpPqe1an v#Q6yLw_;§+|}KY X&*%B0Gx+|Aq1M/r}FfbG* *\-ȅ"\ɯ+& hf4˻"`}ѫÕxJk8ȧ<*D\kX F05l_p/\GR[/*ja1T*B_thS '̘@W0p??咐[ΰ4/?1J_ZO辰M^꘵f[JTi>\Me+ EbKlT*lH&MvY+ ) ~f/^ً~Q̅X~!Cv"ˤT\YRz] M` ^EmNZmԷ|[PW#5{帗VDcO;8o#`  ($g*8i}"g]x>/&Ѵ13iO01}VCQw aHńkp ‘A"&*9Tx9}w?_/T9 V.d ?{zgWKk*ɐ̆' B.j endstream endobj 20319 0 obj << /Annots 20321 0 R /BleedBox [0 0 612 792] /Contents [20329 0 R 20325 0 R 20326 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29366 20327 0 R >> >> /Type /Page >> endobj 20320 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20321 0 obj [20320 0 R 20322 0 R 20323 0 R 20324 0 R 20328 0 R] endobj 20322 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20210608081201-08'00') /Rect [104.1732 440 223.4462 451] /Subtype /Link /Type /Annot >> endobj 20323 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 423.8 187.9602 434.8] /Subtype /Link /Type /Annot >> endobj 20324 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 407.6 200.4232 418.6] /Subtype /Link /Type /Annot >> endobj 20325 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20326 0 obj << /Length 19 >> stream q /Iabc29366 Do Q endstream endobj 20327 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29367 20690 0 R /Gabc29368 20697 0 R >> /Font << /Fabc29369 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7IVthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1ol@Tj92;$ o5"]zP.47ucV(H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20329 0 obj << /Filter /FlateDecode /Length 2500 >> stream xZKo#7W;| $ aw'A`/0odd[8@dߴ'u:],v7u|?^V?|mK}ښ~x1hL6xS  2@¹~7 {=; :A9@s9r1c/;ĉNڕ;L8" uZng'kr >EsO5 <=5@ a3λMHE͋ PH"0\DzZ9@*6kdkkBb~w6RTePiI_7QVHgY#my$$\Vm<a`XM1 rևlƍG ^0MԉLc6F'6e=~5Xv'g B>ɏxXk"KY+xcE&4 oEp}KÍ'Eؔ8"^4 fl+Cd>[^ONXcg4ʷ9 :v/@p݅rI`^ e[O5w1?ҢGQ<.'9֩LV嶴VX8tȥvԐV]D(J2 oF`UH^O`b<_$6$T;Mi,S]: ;[@ g x78 mpWIr' װoRs몟k٤ŸF}CY K(C6䫜4ľw8Kqr5BT 8,=#G)~ӕ&*m`F|J[ 7WR;R&+ eܦQptmEy yJgKoAuBDߺrTW C z߸p/.b}9Ύ u#6ϊό1YK!ړZ&6`qkVirg u~yt Pbޤ ^wACȠiҊH1&*zͣ)"W{+j ^xe:M3i^0woӄs=Rϸ0!'̙88fnz饝c>R~LuS)! !/t!^~,i8Wk!r7%ϒ`jE.0Cmpc)\V!^K "7ߊpg{ وf A)}7z ̦u6TirĸiݒB(-ɍ4=K:O80PXgVxҭ1Pq*E䵌 #By[Of-ZDK™)&87&y&:A5yfdj_>wskZɾ=ꩭ1nM$^QG}!3Eױr2NrB/ľr(r4 !Wl|KĆl7 6Vbߙ)- Ec7*Am7T_V3@F:2%eWۛw'zɓ7X{\@I3"1J73ߎSl(#?]Imߐ~Zj=>M x0|`̼<{R?H/ZZ'i8C+mY;4"U9^N  GxO!/ESd-_^Cr > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29385 20337 0 R >> >> /Type /Page >> endobj 20331 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20332 0 obj [20331 0 R 20333 0 R 20334 0 R 20338 0 R] endobj 20333 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [90 94.325 151.237 105.325] /Subtype /Link /Type /Annot >> endobj 20334 0 obj << /A << /D (unique_56_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20210608081201-08'00') /Rect [156.55 94.325 195.6385 105.325] /Subtype /Link /Type /Annot >> endobj 20335 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20336 0 obj << /Length 19 >> stream q /Iabc29385 Do Q endstream endobj 20337 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29386 20690 0 R /Gabc29387 20697 0 R >> /Font << /Fabc29388 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1ol@Tj92;$ o5"]zP.47ucV(H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20339 0 obj << /Filter /FlateDecode /Length 4582 >> stream x\K8W@x $Gs0Sv/ T_/I([pDFa/dO"g#}ȜwrҘߟWF˯ _??ߔwy0 |~r7]Y֮]IǺ|ƧR֑Xq+]7AmF.rA__@ߤ<+5(FA˿Uf5.HaQ@R5RlvG3 M,.Mfg|C뿘ftd7s"q)@2!SP_`1(x{ژ7B1ګkFex,`@o6+I64UAPMHZ2DP[fkHLd{*,桠(dS9Bn SB]:_ \zX%% .@ l6F0t9bTcEtl3v~zNOo&q7w# F4]º\ll|Jh8eyרթ0{N h\FT PŎ9|ucQYXqF˹4@F[(1z@Ѩ1dE ^/KS >! <,v5ai7og!]eLUx4V~ c@L#tvPqt <J$X+1+b[vP ^1ء(Rc;*^D D5γ1*p\qtxDFr)u=B*SlrP!!T2#Uy1-͓r> 8r4DT&ZfvweCe)2['xcX>/n2Sfn>"\R9l؇W<狶<[~ihmy/ !dkcpM!7 ga^U^?_<_!Wůx .~cw+_8~]+W<_ǒo9>7B4.ŕK+!ʙqr cBC L|N, F|eR/ )=qB[]#B)r09k@C̚oٻ1L@3TQ)4Xyێw{eOPz¸^#jyC2@e@`B1YBUP'^7dăhdO$LÇ 9mu78]M$+d+365t{qƂ\a85:yٽuv>]GD n5ʟ ȱࡱw?g4c"+Fx?q|L`kKOZV3QsWn +x7:2̂ .Oe&PerD29lDϯ,||m6[e xN({rzSs;OF='j={B~5PKUɾKCkX/ح9>D٪a;sI-ʢW+z[yja|,ϨQ'44A =H = u%%/\]F4LL7ܵpmw}djgj'F𲵞;;ލ=ȳG ~*x=t(syʔ'ծȴ+szXNS[.u!\N4$8~LK ScHĂIX3m#=hG;6wy>:ݸՈ[~"UZ[ЭcݍeSY0uon&aq g4AL9o;M Bn^؅ JsgH$\?ϸ׬Ւp6}ʽiH,z,V'V}C3t+XpiEi@(b'>9nYjFj,mWrģ %!-Voa9RDw8sy{`k}QN4u_n. !L F9W<-Jj>f3UM āDdAadC4H>WbFA|K"EE߁M0of|?(p1YYtT*!PDV?.[hW4^bFa*opN`r?~#-@Er>FYʢRD{jEm -DH0#}R`.Aoh2QTnzFMRti- uV"]&>RU(,W Į?SG4k' }%O83nDU+ƍUx2)ԶY5ڽƨ2kTYc*9lpsb^,FGƹHkVlYLu\ |,)/T;]B:mvήZ6d-,VjƊg;eGU͈&~„R%ZE5KLGՂqδDr sj7BՌwϒp`g}S`նx&^ ׋s# G= )\| =O#pi/.@qLXr{p{%Uxl-^/ u꾳1׸K%D/tS + %5_vnY|觃ۮeѷxE0؏? ..xn8Z2eSGWgGb=BYFBZ5-SFh݆D,zaܟk?sQm9p1OuJ0kU[Pw< J,=7`]SefjF\X6 ]ۊ*PdXQ^ൻyt_/o0)+0Ox٥j:H'ݟfdnC)x``~"]+x 0~r_$f)t-[ۉЮ+('YQ7B0NFɐcb;qN>%h  SqfRMp0B:/ &8?٨pS :x(^Up[+m8"Fo QlLc#IV[* ~i6q=*F}Zr[rN^,OK)&(- }GqY>MÞDs k aF-cL0⮴|~ !D4w`abšvLc^m"r;b{ 0A!1P;)=g._A6![ʶw35SэfUm`;4}5E*ݦ.O0@)UiqxNE|RGm3h,ѳ")9sY:R7N*->r5)IUI*af㙜8`> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29404 20344 0 R >> >> /Type /Page >> endobj 20341 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20342 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20343 0 obj << /Length 19 >> stream q /Iabc29404 Do Q endstream endobj 20344 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29405 20690 0 R /Gabc29406 20697 0 R >> /Font << /Fabc29407 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"k 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w1b endstream endobj 20345 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1889) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20346 0 obj << /Filter /FlateDecode /Length 5787 >> stream xn>܌/ \:aeJ?}G =EWz-h(3?ge0jYvzmBLmC}V>=nܾL(rVN0xj18>ܤLˆO Ǘz #\kޟ@G.e6\e5?#! bDm QI&vphFm!:t:T~eLPZܷcrb'7Noӹau1kGL+I#2Ё;[h;"Z k0}s T́[ſJ40j?0M[X2'N(<D7˙Qy&6>0];rf𴟾=%܀‚'0ʷ; ! V)†*|Uoٰ 55hڭ6?6St҉@}^}ȐW.4v+Y]*$6/F}n4Rg o AFN`Jה"pӁe> ތ(xAeӞ@#͍ \Ӽ߶_v^NA㧱&oē 0gK; m3^@E#.ax.6Ͼ"S&?m[E@1 6  !nx=auӧz_G3&3Z=Ū.5YUa|x0!4sJk 4tfQ(O潮JxyH`Ǩ[`J01r;ߗpy $kea=B"eufA[, ?CFuuv raA$$xVі M3V1%Ld2M|B"@lڸb(If/mNzwO$Fg}ZfA.sqpap+؊Qz\j:S86::~qmZ@H3}YUaA 0BF 5Ӌk$&/Woꡇ$ W˹3Q׌- Q-6c8wh˃ӤN53X0k2:GU/!ƇYQAEյP%ҔiT.J?8GH,Ɏ\IAwnz7zqHĠi1pq cKqL)dW i P瘟l,)% Aľ/d+,2Hf Qc\sj\.D^I>C>}]b/ ^.oV *uI#BRBn0;/ǦG)hҁ|ћ펊Sj&جj\n' AƐ0S* -aLTz\\(U]8ɭ7)רqGJ̿ƣ}W%Q*IVFwг3@'f3x>vW_K\&l6@.%hmJN>u$-GvgUgyWpW{p⣼vcJ=o=#!X̔`ӇQV^O睴d,YkPCܵ?eO#! h9NIڔdqWd y!L^U1L}+!ON>* 5an3ﱿHԘ1p)aaDbTK5v7ʴѢ>ZunQ3Y9 4^e b^Ć] K܎!OrQɀΕxrhCUܒ]/5IQ'ULsClQ>KS4RAtKoqT0m0^~Vy"XjZjmzl`G'cJOoVDEi\؇=٣`YܧVBLAN}M֔չ&)In9UM5v5?-5y. dZ+{uU3 !V]U*e̞'M\mVO\(/aOZf:VŮ\U u`[Y"7e_aUʀ׆TLJ*]Y V`Gyg]Na͙1ZrdP4WOc8EwaOcS\'ڣiKVBäS`RKڬ2fG1*}l&=)k 82\o {W'tޡoe֬8w-%*bikղ.),5R[I%VM'TjW5ΓZO`zQJs2{]tl451b)ORZ5AZOI[}E-ԫ ؅Z:xS5NKGZC '$5e]7Dl,^s!Xn9/ 2#-+h߄7[2rT5|t%X2DX.h ve+ckx5 .[m]{ӉuRR\ٝ,7`::#aXu #N{8p$At$oT/*Y +V ?FZ>bC|Wzx놀R:`y χGz,>5C>*b<n8ijU-~;\{z€ 6~E΅ʇ6\c"©bd eD^6 3F1>-7^I2K $-0pRQ(,%"ARv8 MalRl F !"v(P8kXrL[e^qjXRsGXH.\Rq`Dq9\h}äC/ XMF-h`L#"A[(E.tFN De~*WGI 4J׵xFa;8NdQޅcwGvR\!1>zia y7m V TdJSA˞=u^Zj[r)7Z% _ Ɠ8pKnfJ":40d6Bw'c S3$ݔJO{ oL!9ʮ{[ ݯ{$Ǿuؗa+J-^Kw5%6& W?/[gt| 6[~d&⎘@{zaoW`x O_n,n,X7Xo,oqciLko0 ga|>`ERr w8֫S@+&`mPwimQW\*Џ=~\dvzzS*cf;tLFZ ER; q/w5ݿ;cUBVGP8# N-^߾c-5]zYm*, aR3-2hfYlcL+P+m0W=ū}}l=] u&ZX'&XKY\˶{+lD]Jgfm81}2O/1cZI@ҎO$t&[zpbzk%;%6_vpHunY-yhķC|7TJ E]`A+pMgMS-_NOHf:V 52=jDWD]0 4bIQ,?JF UxKI^y\[o*LöX♩JOoC# ˯*k|pFF7a2Ɛ~m=>Fyto'+k6BaxΏ-{Ssފԭ `4ڹ[-$_pLv=^qVHt/&EohsiEESAS6Eù.M}9ᇀ_A/*1Gx_Wv庲 Xo,Z[]F_ߣw919d0 fETPQXv1O|3}ş_0  "skX_s{Qѯk*)ps+fUkgxaf,,P !=szn\ƮjN^"ޮr'*_q #,\=j^ endstream endobj 20347 0 obj << /Annots [20348 0 R 20352 0 R] /BleedBox [0 0 612 792] /Contents [20353 0 R 20349 0 R 20350 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29423 20351 0 R >> >> /Type /Page >> endobj 20348 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20349 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20350 0 obj << /Length 19 >> stream q /Iabc29423 Do Q endstream endobj 20351 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29424 20690 0 R /Gabc29425 20697 0 R >> /Font << /Fabc29426 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 20352 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1890) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20353 0 obj << /Filter /FlateDecode /Length 4114 >> stream x\K$Wyz?)6eOc5\ IzuϚJʐ/Bʙ~̤Rӗ_vLO%MSOz3pLᅩg]vsazI~~FßI7m}7 ý })uz7ڀ9e g>N3r2'&d <`^hKy:¸ƴg퓇8%RQ^J_Nj16p:#pgOȅz-[?;!1.X…ěrtq"JLzJc3w`]%n9hb[<.spN4f1 |s9TnN EUkFXh9PJߖS,BbsqiT:Nxu *5i:7 "EYRK=hQU!7[~Q<_!6c&:u)nͷ>ieCۨoڒS[QYfWTkvHZL|q x̵PS`pU.BձԜb_ɋ- BLӜ]:*h(ȼE &DS > zL$9ds_L1NeT) *n hORHbd^ Mґ5"TSSm56ێ꿛)ryn %rVK % fka_:6ՀWQͫ%h^·3T056=}MZm1IhWnɑ6onn)rMã1o$ 73dS%2c ӻ|fkl fKaf(K-U&m|;26Tvľ>,ة~`p0 1f:m\LirP\j׆6#/l7M̾~'(Îw>Y> [i^S,BKaR$.ڵ 1X^l*ZE3ba&Vt؃:Ozr_/GF1nsPSc! =q{půscr,R ԺMۀ>tQ: e6F>Qn_;[bj%"%8Tʻy24`2 r}}EUc Ap72X'_U.QV뇌l52ax̀v}i`hp̴.!P,YyYnX\K& ~%VP$8 c*\PهG0hz[v{cyl? /,z|Mc"inwVey䬞y}7,1 uƵc司 7V>fS\f=Bh(B~Dws"iDo%]'줶NxsrV2dYi|!ĞW o}c-gY;B ]s2Y=mnwKo%5xK?mchc#бiN?&`Nú ryW- &&Z<@  6SEC;@ `I!~S]47T;& dx f Z'%R社B9ژNi P]ʐq36[hNZ0GDZE@3WۙOw\_L^'?}`sWW^g EZG i0[+ғA5xJH{;}0ې*&(TMqFz;xVunBT1Yg@Ta{A\U`9}zQRֳ$ x"ڐ!&[]QBbʺYԵ1-]÷z>\RPGo,x=ha89XdhZ/e5uRR5O¿6N(Ys^t3kzoErg 7|7ꐵq[L<48[gqiLM/dt\[uY,;%_S;b'PP.i:)_i=gM$ >(3> (|-FS}^m5}R9^_xq$R2O'nw1cHA܋/l?~TKet]!vX#l hSq-K  ;!ks?vV+q}V89< #a(M}g4cu/<}É}|_zE<}9W s$^#`:횁??XXWGv+M ~O~Ooˋ2Dfd~'p{AAb2> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29442 20369 0 R >> >> /Type /Page >> endobj 20355 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20356 0 obj [20355 0 R 20357 0 R 20358 0 R 20359 0 R 20360 0 R 20361 0 R 20362 0 R 20363 0 R 20364 0 R 20365 0 R 20366 0 R 20370 0 R] endobj 20357 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 263.25 146.4737 274.25] /Subtype /Link /Type /Annot >> endobj 20358 0 obj << /A << /D (unique_511) /S /GoTo >> /Border [0 0 0] /Contents (archive_project) /M (D:20210608081201-08'00') /Rect [104.1732 247.05 177.6532 258.05] /Subtype /Link /Type /Annot >> endobj 20359 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20210608081201-08'00') /Rect [104.1732 230.85 167.7037 241.85] /Subtype /Link /Type /Annot >> endobj 20360 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 214.6499 173.0772 225.6499] /Subtype /Link /Type /Annot >> endobj 20361 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 198.45 178.0272 209.4499] /Subtype /Link /Type /Annot >> endobj 20362 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 182.25 144.0317 193.25] /Subtype /Link /Type /Annot >> endobj 20363 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 166.05 166.3397 177.05] /Subtype /Link /Type /Annot >> endobj 20364 0 obj << /A << /D (unique_540) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20210608081201-08'00') /Rect [104.1732 149.8501 167.3957 160.8501] /Subtype /Link /Type /Annot >> endobj 20365 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 133.6502 180.9312 144.6502] /Subtype /Link /Type /Annot >> endobj 20366 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 117.4502 165.4707 128.4502] /Subtype /Link /Type /Annot >> endobj 20367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20368 0 obj << /Length 19 >> stream q /Iabc29442 Do Q endstream endobj 20369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29443 20690 0 R /Gabc29444 20697 0 R >> /Font << /Fabc29445 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM>f endstream endobj 20370 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1891) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20371 0 obj << /Filter /FlateDecode /Length 3449 >> stream xnί90|?AF#- !ɉ7XHSU,6ɞilFl{&bU5[GoXM>#`Wpn-4qVPahU{۽vⰘ)HE~俥*yz (Q(kS/=d܋5!/7yp@VA0*6* ]v{8+*+J+Ȕ΂`tp!MIeSc 9Dwû ȿ$%?`px4̶AH=`jQ/B* y4O(ܗ1_#doAeӇà_mimmaʋ_䟟a/GPVɿ݁ \'Z[s/MdF6C/?A@ =<40URdcT1It1k Qj\OQǠ>qw֌0h't4a;%A(g*S8 Xvm1A.PGN઼F XPdhKT6O'< 0o=>0>'a:@]q Ӟ@ﺴO,(R8g;\sd4QArCc]f4^IJ,^]5Xπ&gq]Ǽnj^kDoT.Rpa !SCVZ[.(GDm&X<xz`T.yTfJ"-`*,* /Ʋ,DjJmyN 96D?ݷ?"Z肀}?z=W[DQ hF˰[lIZ|v\q1l50/ѧ~^؎`W9}Sݙ 1 9v%]29ܛƹ˗/C?.L{>C.ĉb-B|,L3f;1X0aB9\J=nvxDY{ NRbZZBb`HLM|.y>O*޿?PЫߦGBȕC5?RWy` aJ=69.Jp)gL U#5\,ۼ Y0v/\. 鹯 \_{nXگ,5>;]KG9b1ݡ`tǬF(bprdE6}fa(G9i,Xf/j 섦,4 y$A=ފ4iH`ѸH,.<8Uk+Y:H, ],C8#4XGd֢U1w^1;6ueM}KtD<ķǷO0Yjܜŭ~-_` %m zW *IFp3ZN 9WܘʽWܔ[l>_+_T[ %(I=Y^\.ҧ Huoe5o?ۏ$ YiΠn_}?Fc5L{UMWՀʗ9@:)Lϫw=/̥o/'EbN]7/t:knjrkdJa3wm \/#L^yw,!bى',_M]iW'XK㷳R;& R' /:-% dJ/{QM~GW5K+fʽ*UJ=rFz6bW?& 1b}.)fR&A\}z^9:ψ?`DZ8&~ndm3mSŚuxDBR5!|<ľi~dBn%b1+ dC6+363Hj +#ÐN;<*,UscFg` _'B4Qчrme4Tgq-)^)ר)a` <YU8n2l%%N6Ђ@UVح$:K8\DX/o +E]X{hnA)'ZlǭqO;UOs;*:lTh]"/M >h^N m]qU_b(hɑ|06,&p*w2 5b8"H:51iKBO=VY+@UG'T6YKmNFZsNسebT-,U 8Ӻ&*ih%~~o ̀VTZ3HT N755Oe%O^ x&`[o:6([<4kyсɨz%r+C3M,%LO$)< _p) Z7 B?{k;bݛ!V 0RsAe9 2<ƖKSӶ#ИLHtUba]Uo.)ni6ɭE5nPm9d` {!YJjDԑu#[!/#0yß>9%zNx͇ C^\!7Wk r >>?D^P~J|$,s3\{pN;|8-A <r!n<\SoEas%РbުszXRڽ0&8Ԑl^2f׃9v৉ Um?X™?kS endstream endobj 20372 0 obj << /Annots 20374 0 R /BleedBox [0 0 612 792] /Contents [20382 0 R 20378 0 R 20379 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29461 20380 0 R >> >> /Type /Page >> endobj 20373 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20374 0 obj [20373 0 R 20375 0 R 20376 0 R 20377 0 R 20381 0 R] endobj 20375 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 311.425 118.9685 322.425] /Subtype /Link /Type /Annot >> endobj 20376 0 obj << /A << /D (unique_56_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20210608081201-08'00') /Rect [124.2815 311.425 172.3515 322.425] /Subtype /Link /Type /Annot >> endobj 20377 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [177.6645 311.425 210.3125 322.425] /Subtype /Link /Type /Annot >> endobj 20378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20379 0 obj << /Length 19 >> stream q /Iabc29461 Do Q endstream endobj 20380 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29462 20690 0 R /Gabc29463 20697 0 R >> /Font << /Fabc29464 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9 " o=kDN{r=C XtlP[QS)hG_;QOj3Rȃ+6+1C+p|ֻ^k: -?=%CO4i.$RG"erk|Z-48יq|mau3ͪ5ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"KvPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20382 0 obj << /Filter /FlateDecode /Length 4400 >> stream x\Io$W@ȀocC$vHf.y׮VwKvN,{ ^-fwR fi:~Y~|5˗ί/_j| ?ּT򽢧Gc[_ \'O{lPcRiM_o˗O_78e ڷ#5Cϋ^^3.5[4/?)Gh.ccm[¶& v_?-W~1` nhAk_Τ#t`+zz>!e>/fM !o?A[ހ# p ﴱ g#~C7VHOq:j>>RX&Zb +n[mҟʭ[=``KB+ؾGn줬tgyi5PۿSexȍC \o`:V)ჼ/#L9éNY?>VyR#.mWccDxC?\sTdV]'xVTW5)DUbTÄ)Ϙ'l{IESnkﴝ)Wv]n@9,+?8^RZ7~5rjZf-^&S]f-՜P4گ2Uߕփn~q K럫Ĺֵ/CaBpWpEm|(šӂ鯶PY 1uϡCrZD:P63R9nI9ˡ~PvxP=cRrC_ʆW탑'2 h]2pƠ3l}/u-,o2pİ{߻oc꩷+<囆CDBa&Ԅy˻k,[p7.Y xYx肂Nq >^ ћQ}E/ ԕ?-#Q>uބz"~!|zy_%?i8g%`S?E |smᘅS`ze8[]n./IFv P$^ -k`u^ax"@3ǰB%A# pjVG2"'s+xr5bX7kp.9xvXM ^:iDY+>3Y]~6I"[xk-";orĩǎo(^7nyY#y^SRco=Z/?-HPt*.8^i3i;kyQ|i&.~DPy ^S+} +k6=YF[C&fLr fPȦjڎ.sH{LAŬ,\$$:b%/?K˄ٷSeT("5{=h-ݱ VV2Q,N`>23e2$.T`-MC,#nD -]{KqقMf SV,*4*ɪ8;YR+WȂzfukt~!uGRNj^׽qЈnRVC wT,"TE]]AȬ[ Y E\]q%1W-#c@qOfjWevMј' {q-:S=kKjgk%)ԽldZ(B\kKj'sȯ+;7@ꫡVP/Zzy GcޣobޣVU뷱VPYO'd^",\\}HW]Շ{\C/,pHMn &E6Y"p@ zeZ8I^YOO RȢQ0La5Їc&w6fmP-E5ԛ)X*|| XBn;xX[QAu,.g5?^CSڜ,\9\3xnqɯalĝ[su{wϋ[9h9Nm;ld,iŧ CwZXa֝t6UhXW̤=WmE9D'Ɯz"2 4wxOqTˇx\!.G;tZ|O[XAnw7l+;ltyy- ނqأ^i *M8kv||xCnY}(jEve EH)#0 4\6M$7 v`ԉ{SmLJf2XPlC? Ѥ *,DlȨ_eЉ]ɻf3kZٷ>$y;,hrڂ_+pΞ6Τ΄|/q)6rz'c3fύ63eN.4am%mdݓ\.Qr^yqYLO KSUg"d. ŗ:f(0/0fw0ϥtǻzuYZGv}>G{E-+Jt5 &kh+VAɁi>WǵV׭2mc8_rGeM䦎3tyARi"pȉax0ʜ>Px\q'a5/NbF?3P@]x o4CSjW-2ˠwN;C ʧnU֥ h.ݝ]_Q]d(K+?J1BHBWKBGG>ɏnnܝZ/䘴!sVn6FW~pdb8_EF{NA==]!ڝr9VΰSǝpe` 7xgA?j> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29480 20387 0 R >> >> /Type /Page >> endobj 20384 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20385 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20386 0 obj << /Length 19 >> stream q /Iabc29480 Do Q endstream endobj 20387 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29481 20690 0 R /Gabc29482 20697 0 R >> /Font << /Fabc29483 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶKj endstream endobj 20388 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1893) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20389 0 obj << /Filter /FlateDecode /Length 4187 >> stream xɊ$ȳd  ]US4`i1#BRtπ Jʐb_9MnG}krf / 6)ڣq!&no߶e Bx%70}y,0Vv/ yN]z۴˦i coK|{-sӵ}c6$Lsaӽ2L0}L}<`-{+c0l0i,sD#ki:vHwYaL"sIGU<{'|4^D r-+0?|qiE0q-dH'Qt&Q8y\'CYF$/ğ=O`Vڻ2҅s8o00~0 )T!#,фtzU@tr,-D KnENHFc(i!NVҰٶx,[|YdsӼνQB\9xR¤C9Z)H)EA* ! %7You 8͠*r6M>6W\7dc6;E,BdNBD>΢2<7 sq5 .v t Q؇dH+w.x%ODu9I:9*%[<*{ B>PI;9}dN jUoX+nĘ'l`!};jEk?X+ 1f)S@*ŸNWxS!.?`gJGC!Q"nim飃v(q dfέtL?I݁_=/-!Y_ޙ)m{ G0͕8X^@E_}~XbށpuȠAk LɉҒ ^a%)5F%&2:iW(^RLL1)>gL) `Fkzm5 >)V! !mK$y) J.Vk:ws}Bhg&5 lipz08 EӃ({= O)^p 2T/K`cv<'9TǛr )5d6.MݞQ x50^қ0zaM&ۀ/P$՜ε<͘}zUV21ӎlU2\ZIV Gc*J j*.ms w:0fl}`v qr]np>[]ޔD$`;2Nb@*d'lU*f3,e\-_XXh.P3\֩|hZ2JOJl%r*D%҄e'@ l;eϷ!UUod).oqgc &'jP,C {9$JQR|lsރ #r$1/qB>]E5 ^0}R 5N stN=ZX屝cǁb;Rl[ߡ>9 Q#q-AFHr| fy9ӬnOeZ&"Fr2Qݖ_Z9-{9]3 {тRC2xRV/}XⰟjqhl8bׇr2Jg Iqi~ilH**'Zj=P~"KCjEVe:^ jx1ggLYéH2}Rs脍:C[!C*@-rQVWj1(()hn# PvuGKat:N>xݎk˛쾊ezCX=fJ==N%7A ޡ,'#&0JTuv 6 [TCC>:f'yJ%ʕ^hE.?(֫N7mw{vU7ءOΥte7n5k@phq={fosU~FX<ê꫱@V >Dvs=,c@ꊘCM r컯 QW# S,ǻ 7+ɁC5#u1׉\5V2,7oPfݴuHD>jR4Rՠ&1'Fl͛>4Kt{gwv 1MX G=aBTqwl%۰OCM\`|k-uC< 7tPY̓J:}gBj&9{D 84ev'q~YJo1) g!+7Ү5ށ5o:I #@\pK֬vl8b+@KÆATm}TJKX mѿW/ijP$1Ri6}yK4ZO!/cY7j;úP*3i߆.gTT&hHAD4x|,k&mdci]ioF=|ͺKpMsIc–г'Miz#o0* 1ӛQM^I }uU˓19dtyoweXs 6g~gؼG<{>.نx!T3-wEPjH0Fҍ /bm|+||VK~EMbY 8=X,EDQ wOZ?LBxI螩 #D-C¢,>5H01-h$]\sB2dr)`m`a.jg| endstream endobj 20390 0 obj << /Annots 20392 0 R /BleedBox [0 0 612 792] /Contents [20400 0 R 20396 0 R 20397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29499 20398 0 R >> >> /Type /Page >> endobj 20391 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20392 0 obj [20391 0 R 20393 0 R 20394 0 R 20395 0 R 20399 0 R] endobj 20393 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 405.1923 202.7277 416.1923] /Subtype /Link /Type /Annot >> endobj 20394 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 388.9923 208.5962 399.9923] /Subtype /Link /Type /Annot >> endobj 20395 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 372.7923 217.3192 383.7923] /Subtype /Link /Type /Annot >> endobj 20396 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20397 0 obj << /Length 19 >> stream q /Iabc29499 Do Q endstream endobj 20398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29500 20690 0 R /Gabc29501 20697 0 R >> /Font << /Fabc29502 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7i thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛn endstream endobj 20399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1894) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20400 0 obj << /Filter /FlateDecode /Length 2719 >> stream xZKW@3| Fh CI`1^sٿzni4Orv7dXU-C;m2{]>SaAs25dcmΚKZ_Mkc+.{; IM %O:x@xk3L.@{wؿ. |W釿,bfkK LtViqv[>a B0eSl 8' f"3#dp0pBJ+iᜦ~v{ιdj/X=uC=}E=5ap)taM&""&1H4_a?J&4Q.[׈X"s?ښ9&rc1Icg/dS_wv38{wOՀ _ =u>£~6I.O}8=o@OE+<?I:Z8X$vfA8[hqqHa)|dp i|:$NULX7O.XAIGRSEBϻ%V)nDo'K~oMZm09W v#iqcb*{xwW3yN w5j`w.ׅ C,ݽ-9iJ(N6lX2KvɃ* ce_o1쭄 KpJȴĀ)zQX"u#:GedRP+ɣB}8T X+PۘțalNd׌Ub5A_KykrxED4.W}e#Ip{Yʽ~$;8A%2oN?}֛R0vX[C@eH'PB2~]5EΘD󈟌G9t Zo4`z`S0#ϞĄ9,p>uO r~*r$T1L WlP9V_boMQa`G.EmSlCkNTkPaU P6߱mH^rQ7;"*6?spy endstream endobj 20401 0 obj << /Annots 20403 0 R /BleedBox [0 0 612 792] /Contents [20409 0 R 20405 0 R 20406 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29518 20407 0 R >> >> /Type /Page >> endobj 20402 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20403 0 obj [20402 0 R 20404 0 R 20408 0 R] endobj 20404 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 296.525 118.9685 307.525] /Subtype /Link /Type /Annot >> endobj 20405 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20406 0 obj << /Length 19 >> stream q /Iabc29518 Do Q endstream endobj 20407 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29519 20690 0 R /Gabc29520 20697 0 R >> /Font << /Fabc29521 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 20408 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1895) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20409 0 obj << /Filter /FlateDecode /Length 3984 >> stream x\KWl@ `0^ ##f^SVw{79UUX,RE/RK&lۇuZz'*E)?˿4Z~c|պ9!}P?=(νңmОҝƻNX疔5s?g|^~U߿ ?I56Ga JqR/q~9$}JOJET82 oC%PY^1+֗W]`*N&!kH'"p}~.c5g5^OiA((lo xe3qO}z~PCG)A:u! LY!a, D.֧@ձH{K9i#dK{wEYI[y"J܁aEYHx3"VvCqR\ c#n*NeZFy^,|*B1(.| N~+}cw>W]q o,K#Ǝ!"Cy* x#MYSq-W_\E(U7_D7t~?k"J+U_+L5{p9;F!i6Y#B>!E.Еb@:3F͎̉~_VL'5:pzek^M[>PFpgW2OɣHbٔ+WRjCZlOUSLqx^ x xxxxy bo(Nj48m{3zY;D)8őrHNHtRK㐾,e:/C79JDGxo]ÄtSwυZ2g23\:dHB}U3E.KCRu`R —:R:::Y/+ 7wM{m { ѿ]H#8`FlꋣMCθu\t49Z_!sYxc c/ )ꥌ㶢=嫲`tZ&KuF}MAӞ9 ]#j^؞ o6ց 0 n'*QEZvZ^:y$^JbÎIXxUe-h~.w15F;6o{b_e>Y.A%&$zwQ` 8n%nh_' $)Ӯ<p-+O{ &IƂץ %Ar⢘;W%DO"P'YրYG|a܄OnR-J@1 R.A@zggᆖ#7W Zĵ$ZrtTl(&uY{% Bybe眣Z,N,*%+ G W*ZQFFD{J/Y%c򴪐8edݔ&>JNFU4T&Ulf&v}x+KPBq ٞ h}n?A7hyStLTײ`ZxN2J*:©])EȌV7@F}lu~.z@f ǁb>a#C^99c,Vp*@mcMyt_u tֹ 8z4Ywd`SUVd{nd*PD~nAl׮w-68 lq]_[`{,"˾ ײ/-%ثX-"ы\[EDV:X0{F% ч:+)ze*auLeA@79:1հλmA,*mX^.+ A\u( `Sˇ i`j5ԭBMkk;,,$[ ߧYgL *u: ũl{[q9d`#椚5/SRu486\θD 8w|9~@(2NRNӄ0Ac֤Iн@/PҐWD _mT{NDD37Z J 1P\H9gJ5&8k\U{ۆs)ɳ$BYQZ@*݉`Rf٨Sg[nDʜ}͡C)G( U4?˜N&w$(r$;Y:!}W :VW,gE"L77̘i䆜Цؖ\=ДK_5ܭj(;—A['fg1g0ό9 7X~!a'|Af5XL ]U.BW{1>J9luɀuYntPcr0ְlKKݯ T|v1{բ0,=0 ` B6]v)xv+Z<7gX̱#D*&\ nZOͬka+|ߠ Fz ~iy-omK.N%%/ks+5..҂:K ( T%ܦlH@<22Ľ+Q%Sn0GLг'wۦ1hӿ֛0޵s2L{awOH^UGX",v#~A|A7 1ZJ],;A&ֈAc#c^=yK$:=ش:Y[J|4=Y.bOrW-4dgw3lby$^>nz`vY q%U4$T-Wc;?]N7.I_ʞWp"$^G[Wz’aP!OEi||+ |Դ~>>ï^|_y$S,閫ϏĊ$`Nƕ] ,Dt.ȻkКYunncTty=ѽzζ)km7 l2T ,@tb (/ endstream endobj 20410 0 obj << /Annots [20411 0 R 20415 0 R] /BleedBox [0 0 612 792] /Contents [20416 0 R 20412 0 R 20413 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29537 20414 0 R >> >> /Type /Page >> endobj 20411 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20412 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20413 0 obj << /Length 19 >> stream q /Iabc29537 Do Q endstream endobj 20414 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29538 20690 0 R /Gabc29539 20697 0 R >> /Font << /Fabc29540 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}I>\u$R&o2VK2ufg[XL[//d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$x2X4@wP"]@ U8Ρ\j'-:A/}M endstream endobj 20415 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1896) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20416 0 obj << /Filter /FlateDecode /Length 4082 >> stream x[K#Wـ0HZ99Mbl?Udf4YAG_=H--zQ1ҞŻ%i|ʿo򧫆wzyeA*eW~yzT:$Ng8F |w( MTx~Jt>>_J=@ۜI (eR|3~>-_~YFmKgݫhOO"gr"`AsQ.:謟-/f˺(2iqg0<'amOChJ)ьH>69ኢBLE;q2d`cIwR>4JvNv=جeN砃 Ӣn0\؋hLsOK:dcݡI8UvOvǪZHDưe$+D2 {jcR%#%UKUmEwH+vhXu!E8_obA5(jJU(qTÕsEWƟ8 U30TF)JY" JX@% 9;| hx7TҸ0Å\ɍдPyGё@+Qg^hrh$SYAҤC}'II5c!>^; GP[ bE]Ler i  wq`+p4RǬL/C~i<9i"V *Y, wT \߁xdFr@ӂ |+r0@H;֞L,r|A0yc9763/[ ]آ-S4쎻^F9#I?4lطpq mxS44c}Y.vHيst(~k(5`ZZlom-XZ<|sPsqz3{b{% Y u Ux(4Y:2S(doBpkPdPD@5+ )@c j2\V/6|88dgB䴃22fV&!GlA<3(|GOl{ln`*dDBZ6&&.j8:E%SvCM<8mpI4D}}u^Zm/ /.;z%Ƭ0D8gˆJĚ%wB x+n g\L \Q}(qc*A&l?PTOQ1wP`v]S5(TcH gL:Xp=AɗTU좷X%AB ^qǑpG?bH#|W[!k6:*z S%U \VK+@IM̚AgW̫Q7LƖc +\bY8|L- a9Ȥ=WHJHG6铞`S.;?RE*z.C{n=d@ZƎMy:9^Jų`%{ض^&s͛VK̦LP#Vșҧ*o<e~M?}8Sh.4d)MG6k7#WiKh&_&/NHðs f7\Mg %V+!k(b+?*ZVpR=,1"+)^Sk#B8de9Qf'q<*+hL%n&-OGaW=gPҤۅH /W\k{:J1VI5Wx?l+lRHY$+o?giӺ]|mbw2-8? ZnXg%kEa- fUAi*;=[N)a h` A]=%r[vЬN*(cy{V]h\mWNQ*_fw6X,0tVNH~{]upy?<|קI+rv5y͘BKyn1rHk%[yd4i $I':nߕ$0W?p&V*#X޲&[?)7xmHAbq+z)mnjr)DZ-e{xlޞgm2uFs{9ܼqv6O]~zp;N7a ˛2r\-?"_,YI cR؛+KP}W[|`6><{%b@lWIX~d,W[}{x_X /Oj`ߨd=QI4L O2w^yOd6mVj'PrdUdRDi"b `d 0 ASEhsu ܤ\l)_O"^/ Rvq\n.r9IHd |dQi*vZo-u&(T8Uױ`&l$s]ηu#2*MQ7es=Lr\:VuX}_M.x7~7X? /[$BƳ!;I>LbF,=ufɠuKmtj[D>ʷ|70^c!Z],U/hz\d]V/LHDW. +VрBFZ WܺwQ&K6{87꒵qWʌOxh3Zm@dT 5ިU7*J3m߸D+kx|XX`~J7_?e#ƬRu!kz1j,LG'o f_Ѓ(C9[6苍R>kxmu[*;&,1Z&&7t&tѳwaJp;1O=:siǮQl3L8lsɹ2V3hx _vY 5)1#0@戉 “K ߽ʏu4޳@}t.>\<븲+}#ohcβ r@33^+tu@ ɫ _ +g2|}>_OC 5cW^N|2ں { f ڵw&T߹.0J(7:Y D{KsU\A|@zc-:Pg ne(39V颕 endstream endobj 20417 0 obj << /Annots 20419 0 R /BleedBox [0 0 612 792] /Contents [20426 0 R 20422 0 R 20423 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29556 20424 0 R >> >> /Type /Page >> endobj 20418 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20419 0 obj [20418 0 R 20420 0 R 20421 0 R 20425 0 R] endobj 20420 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (read_schematic) /M (D:20210608081201-08'00') /Rect [104.1732 596.1 178.9402 607.1] /Subtype /Link /Type /Annot >> endobj 20421 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_layout) /M (D:20210608081201-08'00') /Rect [104.1732 579.9 181.4922 590.9] /Subtype /Link /Type /Annot >> endobj 20422 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20423 0 obj << /Length 19 >> stream q /Iabc29556 Do Q endstream endobj 20424 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29557 20690 0 R /Gabc29558 20697 0 R >> /Font << /Fabc29559 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛe` endstream endobj 20425 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1897) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20426 0 obj << /Filter /FlateDecode /Length 1697 >> stream xڭXK7вXia!&fދ~Y'6٥G]R=*Z}VVӋ}VŠ.ãzZAD~}0ƅhc bB}7w0NMS_ їd'r])(SArqt3Zwo'Dz49i\w٩ǿƸ&3Gڝ$0xqw5ݩ `3Y ZpBlڻE밂׮fEd'ފ*t 58]*& c&cG头;$Z?( ;b)30ϱ|[X_w#|ei]h;0%(;@9Q!Fg/9aV [!{]"\-[PH7=ߎ93Hr\[šu vH`17 sb\,>xa5ͮ&M@FgTb~QM8ǞZY 6{B]5dM f'FJ-#fQPa;=(ڊ4>qnˈ!,mt]ejI$)Ƹ#đZ=3E7rmrM_#t]ܭL\hML1J q!KZ]!MaaSsҚp$nwT7|vKViH3 \}ۉ:҈cjrb\0nO˥5Mî`{:Іħ|9I@2plf_ E5>=x^PoOn^ӗ9]8sÅnOoxrk] 'Li4~ѱ <=`6,7Q߉~y6_3> KտmsJwK9qj)t pe 6/G 7}n!v(GC ]L/ endstream endobj 20427 0 obj << /Annots 20429 0 R /BleedBox [0 0 612 792] /Contents [20437 0 R 20433 0 R 20434 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29575 20435 0 R >> >> /Type /Page >> endobj 20428 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20429 0 obj [20428 0 R 20430 0 R 20431 0 R 20432 0 R 20436 0 R] endobj 20430 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 273.825 118.9685 284.825] /Subtype /Link /Type /Annot >> endobj 20431 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [124.2815 273.825 175.2335 284.825] /Subtype /Link /Type /Annot >> endobj 20432 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [180.5465 273.825 213.1945 284.825] /Subtype /Link /Type /Annot >> endobj 20433 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20434 0 obj << /Length 19 >> stream q /Iabc29575 Do Q endstream endobj 20435 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29576 20690 0 R /Gabc29577 20697 0 R >> /Font << /Fabc29578 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMk endstream endobj 20436 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1898) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20437 0 obj << /Filter /FlateDecode /Length 4432 >> stream x<Ɋ$9w} ZA@xxzC߲;Mrj2.-"0PdzI&]igE-x I/ߖRgJҿo_Nvjk-XmדyY;Wk .> {U>ik/|&~mHԪݨ|p,[SGrFWW*f+j5jť-HWI:s>%<7Y$xO{埆g;>/apsE:?GR? _NlM?P=snɕf@l "%)mܒ)@pq3m)#P! IgpcHl^eǺM IE A͠l%kᘩڬ p61̒@8V , w 9en:oC͢‚e(˯+S`}r43e `fJF,I* b9'xET88a/bE9Jgoh'K"7ˉQ}_""4#XϰkFˇ#Lo.LڴBq!nABY|"k'#ud7P+uU~H2jUTqN*Z@QKS/lte3`2 NrCPh +z3w&@l42^uS22LÐ z* 4zWUٻ+mp| |6E_6Ed ;Q]SA潋UF " d^K aK`Q᷹b=QlNV6f3uV 2{ERD״kyI%vL]sf(Y=B7+fHZ?Bܬ^%3q?R'>M= }]qW  ˒&HJ` k :tȴ W]Baj<̤G\g*&'+sOǝMT\wH0o9-,ohwOJ8ڋ/Ѕ&tY7фڰQ(2hpCt^BQR,rQfĜ,g #!tOHS/֞9v,ӤxTH-b6}6WЍlLb.)^r1#0écHd}TW,>,0*2ж 7q0bw}ِgCDl&Ɏ-CӶG+Opj[[ͭzu.Qg.~yxiW{j#2d|h$ cmbz1fӵj}͛t9ͼGVj^y^A-!}b}%3.|nޚop}f_sTkI\ /MCyUr:?u^8qgֵ8vdt#ZnE=vo7!xqLc[?:cI>͗džit9(XͪU.,Hζz6f]p&ttG17.Pf~qQcqp_t>ռg5$| 7><2ԑ45TvS(E+u vb;+߳ᶂ`׻q?8f[ibu]t2I߅qwN5޿vݨ+VfY8ܔHBǯf.t镂7h?@07:B#:K]~|[gqt?G@(x7D"PNX$Krg-ol +x\  QJJ9r dfPS{qY#4溃6iU( (pR+UEzd:*TV)&%u=dGBUde\^,Rf+f)/ k |h0VXiɨaLWe^?0[.ViN*VB53q[=y7j T'74@&Y_Ow=Υ; M˂mh*XT:򲖋lvmX̹7htr aRZv5pLJA˸6xCމu^a+^%lqU{-n {yPⲰo.R(މ[Ժwv*1wmO-(&dm=d'9CDgKG7.xey \|7 ~ܟ [Y,|.p pQ {-bļbwlr3?1fζn; M]^\oRU#b_-a-Eu=e.A5_6,V׍s[ zRTحvЩvuzհvs>jp{ 7K1rTmLiƼͯ~1;QDo] &-cMC9rZ%u sFp:ԢaswhVrR;*^44O7RD>Nk-g%t=Rn7˝UR<ݹӝo>^N ϟs8_1i3CX#cGgIN|Ph0”벩JUZv$ ]vrya)QBkC(Wso1Qz^M߉$ᨇq%z) D?\&V#9ej` j)8O.8~T9{@d]Ea 6l$J4M4?]r6Tg,0bTܹ# 1U;mF"^[;/B\~8'-;؄;o's(t.tV|IfLMB\PtȨ/ҸU0q7S*!jC$3@8|i ǩ# 0 h< 3E0-ҿ1]Qc󕧦 !S F?C;ib_k}-&=qp:{QeÒjٝH^{`5[ rqa k~XXSEj5M|i#73䦽u2*Dm LHkOkD1 ̕;f}Mع$f 3Shz܏rģX*>^aZ2P0le;?\T&]SSwYK=q< kC+s !@cG~CoE&V{šEYp-WX3LQؿ /+_J?r3ر]RL$DpM l%LeGX["ךG#ܻxAF։(ŊJ|"sdB+=ϬB&,Jn~TeHqN4Vd@rtjͶV {ylٜ{i| n$:I!vD;ո>Q87y}f#4X)xrrBtI 3Κ܎&E80%πa޽!Ã62@~s8KBs +g5Śq}A` &^ .N͢,nyBLCK9Zigc-Pq߫sr]6NP.SXopQfzY"C* <P!kG)8 endstream endobj 20438 0 obj << /Annots 20440 0 R /BleedBox [0 0 612 792] /Contents [20446 0 R 20442 0 R 20443 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29594 20444 0 R >> >> /Type /Page >> endobj 20439 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20440 0 obj [20439 0 R 20441 0 R 20445 0 R] endobj 20441 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 186.2654 166.7302 197.2654] /Subtype /Link /Type /Annot >> endobj 20442 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20443 0 obj << /Length 19 >> stream q /Iabc29594 Do Q endstream endobj 20444 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29595 20690 0 R /Gabc29596 20697 0 R >> /Font << /Fabc29597 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMrd endstream endobj 20445 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1899) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20446 0 obj << /Filter /FlateDecode /Length 3694 >> stream xڭ[Io#Wȷ 3o=Y|"-A<}_{Ge\2Ge:%T,1WBn 9!4fEcYйL;mI6+Se¼ZwmX5#9>'wddb#qNw/`m"y9N"̀RO'i=}*+w'DYrjexA:p?9 y}@ƉҩaXPh b 5*@80ۂ_+[=eSw_ >XԜTª}9S[|{y}P7A?[*gZ(]jh3Lҙ(슳 I#{Rb)XkxRρ O2~I=y"x!b|Y H3; ]Ko!†6uϠ@kjW2b#֓82%\W{{Ldce~ʠpvph$Sm *Fl,4be&dr}k VDgY (ʵ歴qdYhv)x{zء$Yy<: Ͳ9w񉛤n 4|M=eId/QiZOeDɄ tolO#ΞӖ^i<ccc3l@bl!5Yep®ހogDmiE00pjUrG_dp,OX^7u } _Ct+f٘8Iy[)9 d% 2g勖>VaZ٤={PQ {yJ3Z8,}=}^EƍOrO=lFc*u S' РL=E-FQ˟*jW>aШH=weo"3U+j8QŹq2g+/oPS^z$sL)zfGV#&QzR}ݹآWӚb:3j-^I1>]f2~qڸ(,K&lSf!eNqW#M\6UwB>"sx[;;ޮ&@=- sP4^y^W0j UږjjFk`yfw-$}\/%b꩔w$%T1 89=89pv]cDT6hkE+p^`c<*0IتZiХ8bO[#'TbuJ/ ~cshpށȠX;n[yuwYw'\h5Rrkbڊ`2 m`xyY<,GS8Tr4U#}ҟ6#rt. ݔ|KVK(;ZLݠM G>TKO`O6;PU@/hH|b^`{wqS-G*4VV_qwn 0ax B끉h#zUA-n2K2LcYb^WDnwE[ AW1 P;EF~Y&A_S4bbz"AJ5Gwe73bL7O]=wџuxjTew)] FI !3NdbFH#RkAc]W%,Gj$W//] + 8gG&* aTey ``d\~.7 =WTZ6 ōCyL-JO9yD@zX?R?XrP_"{ LlM/`@,b_S\]r\U/B}0=v>/> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29613 20454 0 R >> >> /Type /Page >> endobj 20448 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20449 0 obj [20448 0 R 20450 0 R 20451 0 R 20455 0 R] endobj 20450 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 121.925 118.9685 132.925] /Subtype /Link /Type /Annot >> endobj 20451 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [124.2815 121.925 175.2335 132.925] /Subtype /Link /Type /Annot >> endobj 20452 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20453 0 obj << /Length 19 >> stream q /Iabc29613 Do Q endstream endobj 20454 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29614 20690 0 R /Gabc29615 20697 0 R >> /Font << /Fabc29616 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7%RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>h endstream endobj 20455 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1900) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20456 0 obj << /Filter /FlateDecode /Length 4409 >> stream x\K$ Wl*z X 03m 7 䴎;֗GwL!=JEQ'z7/q6˛yZz''Y]>˿\4Z~}պ1&I)$;w.yMOڔs w)O]yP?*rFUѿ j ԨFA˿(/4~?%X{X?_~Y0T4J \=ΐoC=P6p$0<^0I碃<$2\ 5z&az@4֔Y @ͼ U9#RQ_8I5 I|7kgx .KVz.?)!KZT/yfMl' 0|V L _mݗg/+aPf5N*v'gNb~<%HHpJT!T'`$ؽ團~M`}! 9^ih6^R_P*ڃKyPR%(08Ӏ\~Ȯ\ ,K%Uq8z1+A8^yz{+Wޅ^qz}7+CC/5Ɂj! ?0O/ƀM3`dopK*Ma@OSW4ή2=Cly̏E X咍*8S)cƸ\@fZ 1ܔ^JVhvy<7ܐ f ]|9(ZA_ws#GzK cDCdA$^cr焧)z*hFd DͼjJ}= >ÃZuph@!!LYKBT@WAPqiFr{˙j`˧ Cy@aqtrJwp@(H@Ulu_zvvI?r`h?z} P.,+Obfe0deI6 |.iB1N(c[.CY $bHҋO"x 6ի,=I5-Ftɞ0xFG`6 ӯWs*_*VL1%IA8U cjAx':ď#hJ6il؎ 1ۼn?pG(tŀǀ|X0wE"T>qjb&K&paA,s81qBҨ lKt}uŸ҆U >.Vzxb&eg\ɛߊgE9HuCɇ#>06}]Kh#kC\NԄF[4{T.{Ky+O]~h;f\?kV\~H}m|^IO-ﰢaL܄x9_XFGyuCnBu)Nx'^aISv5+\u3:LP3CSA]ݒܸiGu!)gйb=4OknIx^I 䂊F8Ýق6xw lz CQրr yF/8Ⱥ띴6&YJ<ylny[X\uQqбN5fJ< M~3Ͻ6q3QZzKug1̵.(oZ7V'9=cZ-T=91L>zzf`٤+(5Cq'o oQ`W :1>»(0GK~6G$oPtW~?q *x=pj<}Ͱ]T$M"W-S-*% Ign eI;N8h0vR\B LT?`ESKnhnN/bFa pN8N4r/?[| z2EJHIR|sWo%iFZaʲ,͜jao2˨IYAT)]{FekJMQM:IYi]&8YSۺU(5Yp ġOWn:u\|3AA_k'}-捵tJTղHtiJfMfne l"kQEd ٵ($ nN,Fm#džbOVMFFhm˸x[R^u{F7Kގa沒vSsGq-lySMUda8)Zawer om%ly-a-['lq¾O#@#A^Y!w6dG▬!#l`o [| طm{} "U-?6 R|d]ܼ%p(Y##[*pq)k}|Gtttt[+Bb"as"5l):#kE::[:avձ!a < R7jY&OƧp endstream endobj 20457 0 obj << /Annots [20458 0 R 20462 0 R] /BleedBox [0 0 612 792] /Contents [20463 0 R 20459 0 R 20460 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29632 20461 0 R >> >> /Type /Page >> endobj 20458 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20459 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20460 0 obj << /Length 19 >> stream q /Iabc29632 Do Q endstream endobj 20461 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29633 20690 0 R /Gabc29634 20697 0 R >> /Font << /Fabc29635 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wD endstream endobj 20462 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1901) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20463 0 obj << /Filter /FlateDecode /Length 4098 >> stream xˎ#ί9F3Cni;0?f5:,E߹;W4/wG$/~\)sjp%Eۓ:Hi7|6 :_`"3~aRx9s ")6Xpy1gN@_:%'wm%eiL4$2F>X5qk3gViDTaۀio?=euZ Ŭg>0Df8t[9B\7Y1=&ض(4u 9Yae¤i0G)-A !+T>QSQ@ݔyrgF 鈽euXB}TP|3|+5}y : ~+-{ώHbᖊh #F dzJ:lsŘҘx#l`6E_6 }Z{۾4n!y8(g$l?9g[Otl;q)g5ϓN{HIb;_;}szsGfPTH}Nߕyqiռ^ A*~g-* > l,\[bEgvGda@o!lӃ&X>+ m&%b0:tcVWۮr)0<ҌM2#^oqz曭VKNzkck.f1;s#!P Ȝ메9_A*K}SfWzJ0B%= sR =e:@ }*|; Rd榳Vp5r%UG?8NYcRBc^&64&!QA(,.(TLٟۼr H!E}]oZGa]=ݬLt^`CzvV솳 uo#ܸUaeL8&NwJ,,[.cŲy0LAJ n)T"|1W k">ޟͮ z(rٯ L~\f;monzkֻ2܎9me+oQ/ ` m-eqNDD#6]D{*]J؀L0}֯H_௓S3J5/|ܱnށrOf8;`\f!%uR:N:fԣuo!-`ڐ9[B)nBm"m+e *{_ ~$> :'[fȢ;YZ; ƞY4X>{k~&yXfyL~Hʍaj1ZגN`O14[9:4һP6jjTyuf:VC{y1eHp}ϨUwU9ӣ)ī˺#R,.]9ͪ}XK56gK$g!-68pȾ;;_{9[{+&F/fDOiXoQYkU:;mN 1N 1;S;c;Lr4HDP:z,qʲeZ!,٤D֡D:ۏ jV]LXTnSb-p"¾0|h.3㚣0 |&) u(Srlه5u*I=w֧}CLV/%FUv?t!̾R6rU~ i_οUtqX9죔ov<ڷaBڰ-ᘢ֡k{YjnCΚiAeVJq?-w õ`i eˇiyўAhL;]&rBKĔKed x\WQeFܷ0 Smw:jsV˴DalAwڧUZElsЖA(:we&آXdN=ZO.ǽx$AG.y6l;17?щMzn Sr;FtG\^j?MlU5UdG;0H ^qq=ϻJB؋igQ} <7KR%|C.i:x SŽk> k^SE?oo CAso&Vi SOz<+2jM?N7欹p+eJ"خiݙ`nwKq{炢7d1Nq09koZPT3&Dt;S#gDR&`Hىf,5^]mroy^RP$;la_+R H4QES6|x롮I įx '}MުK!]b ]Zjҭ#22M;2C@7x"@ bֺu݈Xmv:&uE@uT gQ5Vlzr:);.?Q |5Ne?=^H~K::>#]zq_XyU GN/+vo/jߐܦO7֐19ǿ?~0HnBF4nArѤw& 1#g efPF_ho | w(e Vg2dxrhȜ3T4#NxD]yKr9cƼ> f'Od;-TN@ k {4&i%>B4I)c5 _f| `/I 3[DI|ITtMFW(͘Rt '-lH02oFqVX<1 0Ȉ{MvVhwX'1XcAkțw΍WlEh`u_wzp}BUFR G42I\Z/E$k58{*τu@O(Y' ?t%$ͳ7#`>zL(&O"=MX2 9T%&JW*2vd{WWĩD:0\=]F s+_ٝaɴvw_2͑m<]"ݰuW zY1JgxW&KVk3) %Skxxrđk&mem]yoF]p3m94>R2RN•]g(Tp[q &6$vz"?GA”ttfbb^F3̯A ks3Kug2h<ƾ,>s zelއ,L2YH%/|!/WX6|?'o|*",W|=8CЃ5Y EY<<ӿזW=U`$M@2T,geNM݊kyG ,CKrdr-)[e/P!%6Ra.Z3R endstream endobj 20464 0 obj << /Annots [20465 0 R 20469 0 R] /BleedBox [0 0 612 792] /Contents [20470 0 R 20466 0 R 20467 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29651 20468 0 R >> >> /Type /Page >> endobj 20465 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20466 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20467 0 obj << /Length 19 >> stream q /Iabc29651 Do Q endstream endobj 20468 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29652 20690 0 R /Gabc29653 20697 0 R >> /Font << /Fabc29654 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwoKl endstream endobj 20469 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1902) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20470 0 obj << /Filter /FlateDecode /Length 4329 >> stream xˎ9@9zK tWOr[`& IQ%3H*2EM,+mvR%%│˟ 3ן.Z5|MZ:_l|y&z3}p_^a|<莁縆l4g?B#OdZ X'wYo#a5}0<-_Eu" O=- .Vpͽ>-x Nmpָ͛WRV-v6\Un`e;:nmyDվo|V$lN*ؿ>)΅ J`U'^.a-IW`WP"*xxcB(\rkܬ {~ [4B'Nt)lA^%3=Oh& Du>e^@d dL´ |SV OEllrAcp݈N #P@8f61%UquaDP0QѶ5G{$E 9^M*m!@ Pl4NQP˓jrE 83|e{U>;%G"@luރڔb5m;;n&A%ua@T^{G SԽỈ+b IdO"5ٕ9 l'Q2ƭֺ?J`aaDf'ui2.R?BU ol; ɂu"q*,LP>~%e) ;Np Q^^M ?s}D2{(Ut`mi}R$m XWU J;q 8t:8P*{ʵۋn5 ?{B]5D*s7_hx=tAkG|m79N6GAdX4 /khe G/O䇀(m~%8Aߝl*WȲr)L/{/^h`~[!4vΓƧmTY$y6C)ʐs0&Z25sIkPRh 9W)C̖x0J!nCUP DA`bfYMW,SɈUfZRSBs},` 1È~ZݔDFdhywFPmғj]fg+h2`1Ii o!7 dOu4M Ub8bM?]W"bz.:2mg#[3"Pu }'3j"B ϶Jޫ渮`ɩ2 IqBz;R5kxU1E 5'20S&ӎHrKuurQ73ܗ&&yܥ,I +`hyfvF~BNګG4kEX]3я(* s]n)@qȆ ' *X^~BTdfq)eG#uZr=42I*;;W uИHgK&s>a7|0ɘKkjٻ2v>KZ5"ֲ(QǜcCC% !q^UнM\ =n;ya!!ޡ)1>El݀\}#} }X/?&=$2Q+w?.d]`ݔ%;kQ.>TF!1ō;s- |)b=Xaw 4ǁ va[u>y5E ߝTӯF졷5ݷ9&8chB)iO=Fm'HId?Z+R`4ƈi^ ȥp1\ 79i,>\F"\='tٶ+C~bBZuiGfTY@5d*ک&kwLS h_χzݶ0T:0 vƌYWj` m(~i,N[(tIAla8wnj0Ɓa?*gNUbO;.>E. Wwt2tĩH%e+ַJY$~ũ %4&3! ."&<@0Ձ Ƴ'xnj2ԸT׊ޭ% c "kkO #ʺZr Uil@P&*ۆd)+깚ebAV5JXBIY[;u6@-`= J5EPvM BFv ys>^ckcc*R⨬ڑ]뿦F4xT=ʫst?ߚ k@{yޝ<{ڬh\U{NYSP.,xBueK;4oXZAqYգ2cE2XY1>~9Z֊A}CSo]XyVs 1vIM1I(L USmPRVK=0~XJ26RS vEp 䌉T7b*1 $AVzKq6$C}O^Ku}9mhb]5y;¡&BkujR;{RXUޕ~cݍڱ,ZdLiutqUA8ͨh^PrdTGjH w]K!z LEDdn ޸4G=K9Ƥ|1%sh,yxnwT(W]f[;(G`f؋ط*kt&sw@Q˷JWGoy5Y<<]2|3ejͧAprmx5הvu#ukEov19yk>~6xk^TGGHwT I\KEI 7ݢesߩcz-򼲭V-ZYhuIєkw(r!fQtklrs/ԘIx}qL}'5n5T1=|ض͕`c>VƗewR鲶;RNƐK(rp7g*.t5gCBp"5&oQ̯Ȕx;o܉X}x/ɕӘj"0|USX*UN^Ћ/oV.k!f \?Łق4k`'ao;7ejٕ7dyanG,ު]7a)|5~X딗.~S %6at 9]B`hhE:,v]n:j0_>|& \r?bx ӇZ x.aTD "zY,O[@4!YAMijnͶ.p˿Ffw+pq teƦ= 'Ta=W8(eNߍTm~b+Y}+/71." 6.ۍ+ROD?)9eet&]HZA#&mqNV:Q8z*ͲAh5>KCuنuƹ1,fTa2A<f]>w;^m}_yEU<}`Syy4rW,CH0o <`<yw{(H0AE)< 5È`"^b"n?s~ƭ 6: ]6/ o׃8)XIvD c endstream endobj 20471 0 obj << /Annots 20473 0 R /BleedBox [0 0 612 792] /Contents [20480 0 R 20476 0 R 20477 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29670 20478 0 R >> >> /Type /Page >> endobj 20472 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20473 0 obj [20472 0 R 20474 0 R 20475 0 R 20479 0 R] endobj 20474 0 obj << /A << /D (unique_157) /S /GoTo >> /Border [0 0 0] /Contents (write_sdf) /M (D:20210608081201-08'00') /Rect [104.1732 399.3461 149.0092 410.3461] /Subtype /Link /Type /Annot >> endobj 20475 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20210608081201-08'00') /Rect [104.1732 383.1461 154.8007 394.1461] /Subtype /Link /Type /Annot >> endobj 20476 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20477 0 obj << /Length 19 >> stream q /Iabc29670 Do Q endstream endobj 20478 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29671 20690 0 R /Gabc29672 20697 0 R >> /Font << /Fabc29673 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[aVK2 ufg[XLۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}Q endstream endobj 20479 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1903) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20480 0 obj << /Filter /FlateDecode /Length 2661 >> stream xZKoW@+|?^ ɛ`Y`?_U"V=;Y"EֻV_QtKŪ/ʆ41s⬵SF9&;m:3gl3BZ(#ޣ1Q#54;C(ovaKnuWl)Ն&fQC\ȆlSYl퍍rD0q`DHDQYǭSB+]%2ܬW m1O#MIúpzj5u77~vf4Bjе) -#LzטKv\ؠs)5E5E)%—#ٔqZlrC~{S} _q)iݸ~&4K 8XqYEpk*:SfR Lؖ $,KdpM(eg]ve㦅s'W|]c,BPij{?#<t)'C-T[2\ fKQ{>2붯 p%Sw2@Ù k擇rGukӹzuϤkaa\A.KG*yf6z BXtDZjPd!X&~Q| Dgy.%#o"x&vrGuCQcH{olt T*[YgHhQ7e@f:%wxBS ID2 *0fo{-~2gl8Dʕ2'"mO arQqN/es{S*a#" 2=l˹sn[ŞJ`l#̦Y5,Umо}' ׏sucV^>|HgRPH"??HTMTkG-plׇB_iƁc^q``k,!.ԛ6a8elĮ?ZܮFKxɀLN}V")j;$2 ύJhkNfZ "W`%OA8 }f훺ГeU0+.Ķ\^aJOy#:~Z)OYǩ{"uZG:խ Fy]PG$; &lw#&:ê}?n^6&ԯxovێcDƹth#cuootchX^qNWxC1> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29689 20488 0 R >> >> /Type /Page >> endobj 20482 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20483 0 obj [20482 0 R 20484 0 R 20485 0 R 20489 0 R] endobj 20484 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 160.325 118.9685 171.325] /Subtype /Link /Type /Annot >> endobj 20485 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [124.2815 160.325 175.2335 171.325] /Subtype /Link /Type /Annot >> endobj 20486 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20487 0 obj << /Length 19 >> stream q /Iabc29689 Do Q endstream endobj 20488 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29690 20690 0 R /Gabc29691 20697 0 R >> /Font << /Fabc29692 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RݑBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20490 0 obj << /Filter /FlateDecode /Length 4249 >> stream xˎ$@UV  0=m!i;v/[]]=`]bI$ER$*K.߄?>(gE"Hп?~g/gÕkj3{ߞ/|Rl~2<3z:JUކPj3;̾ޤWn2 ]XïIL$/Sﵶs>xn]!!*ZC,k u]c_3O;!3 Nj_ $&bg&SACuBI d@avNiг Zm>+cJq?~q)9?o?dJ`5: }Rg* ZH1@z3@"^y|e!,#\4t NPNo[,B韛@ߝB|pj7k9b?Hph^ F6y!&Y-!,` ]> m:-["'SDULm"["ZaS/:"?g1nG#D \!ļ+Gh"^ zEoRY,2nQ%ouvnFBm:n4ٶтHKdQQ/+uFh4F܍DzqYsݒȤ! 3Od1qMq%rMBE{Э3_$DJ$yZa1XJ~f)ҺNH?kQht ;%dX"3MzAݧ/0&/Y[P~ RYs&b7/1m!? ,Ccl{tTZKE!ր;š Ҵ v8t(WH$4 MСӑi䲬vڀ+VBnrlac/gxye*Ub[k_l˾G십}5⏰Q/6Z십}I'AQ(Oک.[zYuԆ5ͧ9X; N':%F`ZʧXNXi04gst+K*0ji8t ӿUQ{j#^z116A47KK#yGX6K~hsLEeuMdK:{!hDzU-3GAW܀I$ flЏ mC]CRe)Ԩ2F:[9G&=#zmx[bE %2~?8T cb0DK2 ];i@ aFdi%|lD]!c ieqcώ֧|1сǴMWhy KK8P~2WLs7&qP+]`h~+eLZf(7fr5GS>ӰɆYBC7H눴v𵅡Gםܕy5Ӵٮ6r]yv(R˩468aT/;l݄Gy+=yhw;{>`cwr?.׃S]z83ڽ[7x_H`H qq<]IJ/'j}nj}}p}fij^l9[:^`%W|ܿfG˺`q\d8&ȉ`;?SMںZ㭣h&TWF -%浘.xyn߯a`gPc}хcuK19OZM})RͩotZY9#=mFkH3ͺB^2A35mc޶7Vs53v񞽣wĺq\[p՞-]YB'o ox2s++| !?T&3}?r@ ѱB:I WpS g2t \H,\q"pNTDHID VϨ}4F7E#$k8Yj0d3W%b~6ʲB!]!V Y\ St,R*U $Kz*J5 Uƃ%iR0 ٳ6.,$e9j8cR42\ :q]˥4VjbQ0&/A %+-e4pj_W@S2+_̌:ߝzSXu{i{kِ6W`1ťt;"jEtiJn-frvYԮm,2"2})2:n.Y#G}]c'g+զf#\ W wl2.F̃oM"hf5 6ͮu͚KanHIE w 5Ha6%l~-av'lv۲}NNdXk%^Y!w>dC얬!۲ճ!l^6a!5}azn~=ƶ[e<¶;qOJHأbd {\l#1qqzm^G!޳g{m͛ k<߭J7XRWb5&f= W}|fXu \[`SZm킠토XDX$Zv&aiPN6KITdh[6Ke*٨0l6 0f̤Kԫf}vA|/u^jeV:XR[iKUuJ<"J!`\-sp:_;"n 5QHB@)QT'kNe9l~°Rޕ6Ua, U{+'0O`ܺ;|p`7PGHŞ5kH+v/ڬKOz]`0XPBJOW:jaerk^a {xpn2Ž.3o{ ng1W?;i @c$vRb,$&`BBL{0d~EǑ TV,I\ƴe)LPSy5X >ǽ(`Bjpq6rg뜳Kn[ldXK4RBv`w4U4DM bB$5ɔKm~ a8ck8}'4E܀XTaҴ" 3vL+ :݁dRy*A@&0rI#VuQ@) 0׈ܒ7k!ck[X>;0>v.e/FU-pV6k:. L`5UZ %Κ<~8xEj@!xL7꺜3FJI)D0WKYpV6r1%6"sĩd5bGt,D _HR xaSҟx>IܩTKDB>_ $ZۈJ8EDX֔*gXHH3l%kb}l3Q_ck[[Fj4ي\F}ԍL"{9g=0hm޹—46Dp-'> 7Ҝ Dth&49M#z!1}i|#ɕo[CPTN8H' IuN/7t4D*A,ޥc }sqi{Amc]kx=5]Stp>_po:sr|Imp%!&'|YnE45/щ?~Ӳ~tAkeB=eM2^zNv@g8|=kuWLQLP'0jGw endstream endobj 20491 0 obj << /Annots [20492 0 R 20496 0 R] /BleedBox [0 0 612 792] /Contents [20497 0 R 20493 0 R 20494 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29708 20495 0 R >> >> /Type /Page >> endobj 20492 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20493 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20494 0 obj << /Length 19 >> stream q /Iabc29708 Do Q endstream endobj 20495 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29709 20690 0 R /Gabc29710 20697 0 R >> /Font << /Fabc29711 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`S ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20497 0 obj << /Filter /FlateDecode /Length 4637 >> stream x<Ɋ,{}ERyj;Å^4^v~`9Ȳ"+3Ig"7 jV͚-H<%_zrK nݾϋʼn'\ 8! tI - 8oi" > w>W cDBtNJv%$^mVHuc`c XujiNFrŰmMZeZ9xihد}/x@5:?{ k7h+#%w Hi;~»EҢUByA3&7鞺A_ۄ<(-&L@_@<<"G e7r"pu#<;R^| ԭC*CӤ*SY&Xj^P^pe~dU/u13l`'CL1b4kasJGP‚ohea*H)2LA{bY:+Nt+/ ^s U( X%r/uhM!kZkb ɬL[`m^*ۄ)Ly>aeO2{̶3[NB#&e6!B5Gw ?41fio^Շhѹ#6 UI3"H Mx C,ѪO wldx uFn\l# K;ʺ$ѐyװ[S\ Kͳz팵9z7;nw3.);KƆ=-X~ mgi@Lt:`>`Gҽ:ҹ۳:=ҹC;M[yBu:@ūsU} UMfhu֞/gJn!@g'gDz',{:+Oo/VXơʂ(@gy8&>a[[ {&ĝNAUn[ fVOqd3e7 6Cq(/KbR .Q#\%(~oS$ 1udHc]ԉwA][U~ ]5047EYBª,l]/F;Qq92ӧd1ݏZx-foMⲔ5^U߶ױ]sHKUB|t(/VۅD7K[K:wޞѕ7cJJ"0Ǹ[;J)!z4:r$!\w nM%oZ8KyPZ/=?J ?#l$4'!?{Y^})T| tL7Q{{%(ei=4ù42kז%t·F%R*~Â01$IT>-)̡vjP*<ºe2߽!AclT4 CCXbrmދi'T!qޚuDbvB\G(EQ_fZ>V`Kn}`u*oh I>k}R5**˚Tn,Rf`vPܲc4"XBs|]\k&5gb}ُw'T@xMWBs+=Ibckh |W;=JǍRQP56|>i,zS`]\>ە$6#ѻjru}p]}m'$e =KNu$gIp'}Av[-c4tn}{B5yPju 5Zo{fV陙>b }.e&%7Al0vv֭Xc6KY89*&wW^|VWv/(ZrϮ}\fw`% Y2uGM[ۮiKY,J5׻%YD+ܕ;2}k?f*G=;_qRF}R/i5"ϔ\ɊJĴ#0nkA}cmsH,X$%! N\F5ʑvzŮY=(\#~p:=6qjש?y'O :ުvflm8? 5e/jʼV~אόhP@FIa|ßQZQwIbbׇgFPLUo,dˣvGZHyȿUr:!9 X3 Y[^30wv>5WJp@,:FAѽG}M/?r sfuMtǽN Id:Y8 <;wݎ]z88GQߠ@G W,,LJ/!e|Wnxd >߷>̯?9 syoD+oXyһ+YQNͤ]NH|b׎Wv_ k tpC'jxU+gl՛{, U4p ҍm=Ie:~l+cei 3z!Ш= e0Q`csW^y|X<}a.ѭzZm{b5LHe'2Lӡ&VOH鲶D+U>JI Z\vn,>U`]TɴMp҄ I}b% %F@ L3ĿIO]vp3IvM-iL[[m`u0ݴ|d=8ž|c2_Rhe&EwdODǥy$Ww)0/pE@&֘PB'n]rALXWמzJpH=HSKzꮦU\2h2 r2*t#2: ݶ-X3wT"lZ8@Oʶͦ|}Fw>Vj%D+#Y>^ϔ;~1MGC^&Ʋ֩T>ARU %Rt-ogYjbTF;2,ft߳l,'wMsIbz[J&}bG 1olbK 8߀ӛn76 _k_R;p^G` O)TJoӡ7FCocl5Chme54ѽ9mpg|@5#jK(L;Ũ7 ;|>hO#|_SʛWIX?cdkb+(0{[kF\AstT˛2TlU_9]י~&^e%2:&Z/1Gޮv֥ᲠT68HRVl endstream endobj 20498 0 obj << /Annots 20500 0 R /BleedBox [0 0 612 792] /Contents [20506 0 R 20502 0 R 20503 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29727 20504 0 R >> >> /Type /Page >> endobj 20499 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20500 0 obj [20499 0 R 20501 0 R 20505 0 R] endobj 20501 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20210608081201-08'00') /Rect [104.1732 216.7539 166.7302 227.7539] /Subtype /Link /Type /Annot >> endobj 20502 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20503 0 obj << /Length 19 >> stream q /Iabc29727 Do Q endstream endobj 20504 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29728 20690 0 R /Gabc29729 20697 0 R >> /Font << /Fabc29730 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫lЎYzrq"i,䪍A 査=0'31H[&##((BBq˜Ti, $WJ2'ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 ~UW<7!fZ5Y}'ܱYI M^RuTkQ>U}ɖDV*YZ yp3eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH0I NЋm߽?T2 endstream endobj 20505 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1906) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20506 0 obj << /Filter /FlateDecode /Length 3423 >> stream xڽZK#Wl@3@niu^S%͌a7Y,ꖿK-K\M{Zz'[x~jx׶ֆE)+ZQ鐔z>+┺plN?@fv]`<* yZ%j+qH{uF|+\#,G/J+.@\t0Y?_]]\Z)D)v}n| 0)OgJk85'^UXMk_$UWd^fNB#o`*3 7m=Wm*Uc̲>5@ ȗ +`i\6OyNs>k8Q .,bܾ1g$ZKgaTK`28(v$`Y 7`crsq3OF¾3> ˾u#T ĴU^&B(4Amp0^C譨s"[[f=qґͺ6OQa#AYrL1ڑdU9q,ȪScw}5duNZ%a.MKkmɟ&_e78<p3Zz tmg)A@w@N1^#rBԢT\ ~n,KvB>Y WΥ2I~ͱO bm&+hyc܍-(ףFA 6Ü.q{Ob砗~3=No1 U McEc-JNڮ]Jy3y/l [PaAlNtzJG$k_Q^}󞴗d hY8` åE6 Ɠ o;ɠ7$%۸ d>a{m]Lr`Ye'$s@;dDW%nb(n}zDJ6:vy9~RrP P%r?%ЖVg(^wњu0FkֲDzQ!Y ]$Giq s Fq*ȏWetx]mX|ao.Q^c>%/:&wMna 0ȴfJP] >):cX6.̴N6Va'*t\BKiܒ ܍fGV'nA:};cw_3ekm)kTaeY9 0V amfW_(R yt.Z̀k%1x<۠ e ;M sFjօ v,{sXMfIP8nDLa4`͞ˎ^K_a#-b=1Cީ:׺~aI*б!bx,g2ز~qNOyD98g/ l[t<{MZ~g .X}1\;60vr(Fu)̲u+Kt<:B5o3nԳ U"o j%1ucg vq*/6 7:(+-.-QM@5:n´/Z^: wzSu;4aK Nk+kvu{+ 9\okq7^,?(Hm{܈0NA@f;K85 6gIPDQ@Q(9?odcH^7+| K!ҷ:ZyP;Htiw^ Yղ^8uuvgÊytL'雦\ :J4$^K[JgIG?oZۅ\c^\G{%R\lIu`_[%qzWo"hR߆YBy 3Eҽ:2x@ }V#XA)Õaw|uS~~x3G x|#?,Q.! tY<`ϛwJ2K!ƴpCyB~εO98tv-&ziY#6^^>D{3 L=$qc׿:#LJpOŘh 6ppLJw~ppyhɦ8,?L7䳡6 3mpZE/Z$ô8&-yEvO$DN~ Y\dKei?ȭ %iA8|O4B;IntZ6D4; Q˓9$)-w,U*R糕̠qƞq Ǒ< 4/oT6/ǡӼ5DUh禡 (fI{$HDϕX#Է, ۀg%9j"U':d69TsTmc[a*#Z&4BFl1goygj/6v1#-!F/֨q^݆HlF7G3|eMúIP&s8 MYn3 - .%΃|\R\m)۩Og)03Ii rR2̀3RN~ ]S\J_+xؠR1|ṾӴ0b󾖍c@5ܿSy( \$sw<G|ng#<{@h=#i(( pM|r`<)( b+'|5gHY0)W<h 8AOj-_#ST=gy_\UX s8|VfZ@{bd̹u(";CbZ6]t" endstream endobj 20507 0 obj << /Annots 20509 0 R /BleedBox [0 0 612 792] /Contents [20516 0 R 20512 0 R 20513 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29746 20514 0 R >> >> /Type /Page >> endobj 20508 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20509 0 obj [20508 0 R 20510 0 R 20511 0 R 20515 0 R] endobj 20510 0 obj << /A << /D (unique_56_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20210608081201-08'00') /Rect [90 354.025 124.21 365.025] /Subtype /Link /Type /Annot >> endobj 20511 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [129.523 354.025 162.028 365.025] /Subtype /Link /Type /Annot >> endobj 20512 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20513 0 obj << /Length 19 >> stream q /Iabc29746 Do Q endstream endobj 20514 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29747 20690 0 R /Gabc29748 20697 0 R >> /Font << /Fabc29749 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMk endstream endobj 20515 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1907) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20516 0 obj << /Filter /FlateDecode /Length 4362 >> stream xnίyp'0I~r@ANNf S ^"+-uMkg,J~FjwGZ&klJӿ~/w/_~:/o/Od5_Z]juIۋkNe#'Wh@OV~AbS?$Z%'3.Ӛ% +]`V?3O43O\P â1^R:Zxe+]{9߱/ k#<u:i߭'t2gnO tS$MD\O"A-t .,)<*&`Lo_cghX|w%AfkAr%կ0q3|πHtG 7g 3[Y77ڪލL\~}%NL+3x%_ g\vʢ~T`n<4;Ë ӋtU!l`qHפ(Y1V,Kal;erV/\3g6뼄vBODSӦƀUZUl Sn]wګQ%]DE MqۑN{KPܐ+WeZc / l?7G?+׹r EMU5Z4/C 9ˤ@Ozu`yQ9 k` Lnq%1x0ZML\cf.Z#QrWԡ:+r\+ ] W,\0C E"Gns**)Cqe(%{wLˏON gsE8Oƽa_ń~./%8q`Om;+:3),01UniThqYzcq1d {;8,ߧUlë|FoJ|v #f+Vѳ&HNG`GcwKWRp7 "./1'|Kkq<]S$~ u6hs_o@u@[,CCdi(CkrdYTS9;DV0I+ ~J@4 ީoO?@tT0YU@*pZ0UI+'ޭ@F4U,ZV9nz1-=PzU^9,ZW&TY-!aE9JB&JF WF-J/DV[TZ>`MhtZw7RS3qK1$ qkx?uQiߨ{ciݥ,X&O[U{BKmrjᢲmYvd:ZXu>i>a#Ws\ܝJ1@qk𶆼bug|WvVwlq٣`=}U9^Nc.LTs3FC:{a U s$^*~\BT4^-a҇Pp̸QĨAwQDŶ2G)3:v@CQC0Z**Ms.[ k- ]mUA4ZA^kf8=~%`v9;|WvN?mپM8VK)Qˇ iS~=N@D3[! L-(X5Po`q%L6&&ZP9x:𲶤.a.SMp{:kԩ<2]Bᵧ^8uš51_53}75e+ y5ѭ&2XM|eҺ05ꀥش񴪕rT!m!AKʃ w(W=yUtym)`cѢxJnN,xpFǪiڵ+n]§p`A={O)+L 0&U#&sYT 8Yr;3(i}\μ'ݍ hPb^bt+L~;%c֪=+5񇦉SC-3q]3* t-+-VwQ32ӓbvc,`f#bh.Bl :gyb7oZf9Nyԣ9Vh=n=+{M,52O*j lR+az\\}ŪGYV%>Īfl(5k,—+ִ m\9Y@ 7FdPjyc'!JƤmyʀhAyel \lP"eM~ 7B8-  3@`Hʠ%v޼3h5- iiyǤ7FvudЫ@|qe=mzV>QX̓_|dt4oSfaAB=ZeտNST]1g9[N{^8,~-x%ؠޛ2>l]81%ۦ.q{RA':hP;ժ.-UAj?^Qj6El`5D'~ wbw[3(Z {yQ*eUv)KOU;WQ#)501U=c1^yjj#.<Ĕcˆ﹄>lG7f}p N50uxG8\ D5HReG1 H=f[EcmgU*l>Ryzљץ9MkmџK7sݎ7!4 "%w|H6,-RRyk6nm]1w4kȂT)c`*JAZ'x7wx`3 63ѝ`)5D~>d ZmG,DfAh0D2 sn/13BhMI @Eu::H+ |b.|>!/w ϭ􀻸uCXGtO}vR5N Gd?@TJhF-EW>yCģI>`.Z2C̍t,g+)$kjR/ZgJOoĄq}ȎrLĩEp¼NG)T]ΰ4d.o)m5ŷGK^Du0A Y+l(9tK.h[ˢb·&B .N*lH.ALvQUoqfO ؋~?r:]q.3" K.[&  nG$x/%veuSRIK\(^/;W*s#d "+WR,d3h\6t|9O}>O*rKVP.}{>d\uIKriB]k7 ѷ~kPũB^"?.w&MVnrI႞uvu[Y+'d4^_tW; endstream endobj 20517 0 obj << /Annots 20519 0 R /BleedBox [0 0 612 792] /Contents [20534 0 R 20530 0 R 20531 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29765 20532 0 R >> >> /Type /Page >> endobj 20518 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20519 0 obj [20518 0 R 20520 0 R 20521 0 R 20522 0 R 20523 0 R 20524 0 R 20525 0 R 20526 0 R 20527 0 R 20528 0 R 20529 0 R 20533 0 R] endobj 20520 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [104.1732 337.7423 171.2677 348.7423] /Subtype /Link /Type /Annot >> endobj 20521 0 obj << /A << /D (unique_432) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 321.5423 176.0252 332.5423] /Subtype /Link /Type /Annot >> endobj 20522 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_cdc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 305.3423 192.7342 316.3423] /Subtype /Link /Type /Annot >> endobj 20523 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 289.1423 191.3372 300.1423] /Subtype /Link /Type /Annot >> endobj 20524 0 obj << /A << /D (unique_405) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20210608081201-08'00') /Rect [104.1732 272.9423 239.8747 283.9423] /Subtype /Link /Type /Annot >> endobj 20525 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 256.7422 161.3127 267.7422] /Subtype /Link /Type /Annot >> endobj 20526 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 240.5422 155.7467 251.5422] /Subtype /Link /Type /Annot >> endobj 20527 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 224.3422 154.3497 235.3422] /Subtype /Link /Type /Annot >> endobj 20528 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20210608081201-08'00') /Rect [104.1732 208.1422 202.8872 219.1422] /Subtype /Link /Type /Annot >> endobj 20529 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 191.9423 175.9042 202.9422] /Subtype /Link /Type /Annot >> endobj 20530 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20531 0 obj << /Length 19 >> stream q /Iabc29765 Do Q endstream endobj 20532 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29766 20690 0 R /Gabc29767 20697 0 R >> /Font << /Fabc29768 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӬЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20534 0 obj << /Filter /FlateDecode /Length 2863 >> stream xZY9~ׯ@>(7/ 0Sz`8tf},cVI)B_\J˯RKlb6cZz'!E.g |ڰ*eVW^>})R0S+sJ=O` P;gvl˴P5j+qH{F NT8s'境z/~Ovuq ^(R- XX=~2Q)} ; ؝qL+|툎qj^p̉gH[4v.Y iljmĂ8s/n&&h@i:@hfx5]EUg6ZNZMQZV*lmV*꒱E,~rTc4#:P_39zEdvE1Mf,WR-6Yڮ6ڨo7^"οi8u?ۺz`jyf?+d: G̥ۚƼlzr]996I\{8cBIqxmۏv/**TDyH[~դ4& ~L슷XrO;ZX0 nPDQxJ)XmdcJ?:^Ivs9unrmN5J;"ܫ&pହfI|f56~]w0>ǐ$ G~I~«5GS=Z,(M˴i?F>}#t738J hndLW(HDePJl^cstqU$,'J(f, )zF7>K0 LʜrTqk{*0?"bB\#`17[.I$xf*>*aoC($&L='Mp->16''SnػztR &Ő9Qs4oAC17Q32x.ȾɢÊbWYl].E (iH+w5HڲMr 580;݄bfpMoGΜrI(&f.7=g٢F||LFt*lڮq߱VB8Ӑ$rlxA?_Rt+ K xI"]c$5ub7rP]B'˲*&Os;cg7_(2ūV Sh %HIuEjjW}!J(NH@W7҂H5$*ڻMSnr..9o|$>1/0ϳ+u*G.4_VӃF|^/w~;T8vܵ+֫d(Fn ֫EI{2k2LcY/1+"wDK)}/7D.a>Bm11LLmD.V{hwEeLtոL`ݎ Z<f\ߋ#ozNr( FI %3N8r bFH#RgAkZW%Gj$Wg.ʀxKӼ5DUi禮* ($sk'TGDD,FxóW:RĽjDKa#N5{%8 a^Joh(R*;S$%@#~(}:Ohzq4̴ok?oGK_eu" 8?:gm+SޓLسqꚺtf &<ĹVKk N܊o܈rBG? ]I F+)էi>.+?:1E)f) 5]~5Ot{W|=bR]V]X+bUF9xm|,ex?_|Χh2K7&MJSjͤ!y n9(H#1p <=Kk!1ߟ?؃s"*1g~|Xv}sMaҨRT~P\%U!~ >lU!1*B. endstream endobj 20535 0 obj << /Annots 20537 0 R /BleedBox [0 0 612 792] /Contents [20544 0 R 20540 0 R 20541 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29784 20542 0 R >> >> /Type /Page >> endobj 20536 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20537 0 obj [20536 0 R 20538 0 R 20539 0 R 20543 0 R] endobj 20538 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [90 145.9249 122.648 156.9249] /Subtype /Link /Type /Annot >> endobj 20539 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [127.961 145.9249 156.9295 156.9249] /Subtype /Link /Type /Annot >> endobj 20540 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20541 0 obj << /Length 19 >> stream q /Iabc29784 Do Q endstream endobj 20542 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29785 20690 0 R /Gabc29786 20697 0 R >> /Font << /Fabc29787 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMx endstream endobj 20543 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1909) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20544 0 obj << /Filter /FlateDecode /Length 4660 >> stream x=ˎ8w~ X7%@Y`n5S{ŢjƋI-;X,Pvڤ` >z9Aÿ~~ *MC9Ώ䆯?}: WȿԥR7(u2<=]|mWhCv>#5oC=yGzθ  ̲.it-HAhz;jh͋. ywː>3yYyؖ_|~W镟E|޸ =A&hFge/s٘F80L_EX 8Pղ9v6LGp/~yN#@ΰ"'Ԋ5%%jOV™yĬs0PLsoCzY-42k5 $TQ$^pP#9ϰWAUc9L=*PV9>>?v+Xq?e&048 7'QPz z鴉Vcq@@Yd]ѧ `[Op>CڽX.8Mc2sNbg&"?67(kkF=`a@f1S"EI-.р&u0[|DTa7 r]ɉ?Ē*k6}G5C,Xa~XE&2q=1ŻzBh Jϴg4>dɎH lF,&;Ua۽҉Hބ.1B7{Z*r>nGǷ읈 )Ȣ'm =ʳ7BaH847aqd14D XGIҨ|\)Zxârq󈽲;b차 w6 @Ԥ$,𘝋@aZP41 >4/iⴘ'70iYl!j8N&$chҁ8t|hb 0O<08=:=OL4kV0-i7dQe}/~_d#DF.SR4/?K<EZMxFA`bb`lNH hsg'q /Ivx{~w{mZ< !=RIibлe'-,\ >HjWRwe1 cϑ'!PFT"{)νwcW?HK%|0*J~ SOl;Y0(ٝ!㚛,Tê[" icm@lSe5E$X Rd.İl)PjCv+N^ uZVYvZ0Z$/*.*{a3#6PKiskM#n$r"9Èvb>%s-Aw:e&vwo*E2][ٱ)c)ͳk60"X? qjgqaq"m͞>-ףR'*5KE/Zxގdos$/-j$/戯fj oB%=؜Bx۷ zϲ.MROeg2RlBu2n5,"1~~_}us J].wqƊg+GhzN\8r=IНS+g? @(?FJ kc&x|Na3 SR(|[E|FS2blAn|G$E3_}0+sWn%*~LZTNɐJ8C&JMC,# յ\zKR qԓV -)-i-"4CEqj_;URS UuR R؂v g?i61zRFJ]սwƖ $JY-dpZ["U*}YEN(Y:,s[Q1@v~>|t a\mZ6uKs;qic y6 ~kf VWݺ Mf7HQ^ɕw2[5@V̾+-f2[bp?f1[̾~>yi4!O!"O"MjQn 9B|v/#'h=5`znީ]fwvjnKDf\>G0=.b2A/.-Ț٫sZ0w@j#zLw$' Ykz tE=kl٭3HVv[' ^X{,.Q*iCaԽg9WĸnVv? ia% \zxSG[ؐ9ЏiP+{ % ][&ƙsjyg.`mGWͫ,sJxP`hdݻ,шeˋEVGoA""֕|}}cbu!x`loqc(X"m`t\v4qr &U S 1r%>_f"<ͷDx7k.{m,0cS72ŕ6E]zyzIzn.\=\=6WOʕ'-xXEmyFܗ]q"^Y ?6ͭ3LMsҵk"͘tbr^]eٴY I\{^@i뽒 #/t@3y iD\7i)}hP$7yƍOL?͌&Jys'eCZOuZSb_EY63ιzC)&N83@R M :0P@>b5vG5a K>{%ݘD<ܛsHxɱhc'U/V -x0qCxOhN:NA#Ȏ 0(x{t qA+H ;.7`LYPKRb1 J\tʥDŽ{ce ߶Rĝ?B/y}̤y׍e >'wXfn}.(՘#AIXeM 00$ !Dng]רFbcF ԕfmM8eI:=jGޭGW{v)x-hDWU6)GpV&YSS7quFęf2 L[jyQ6' u3jzoED1+(pGˬxW8LQjؿ`_xKߥUTnǐ$8UP^/0(?3Mjv1Kn)[{}GWv-"*|PMRV Jhy-T ϥ+6 9"7 .UV \F1ۅfrP0<7:yD_ԗ6EwzjL&x 2SLPW'8` \m{2rZz*]^vYf\Jur>g xCl:_10`cbx x>s-U"GW:߲ܾ5gW'y  _ 9Y `\Ms˅异:zV" &*2񡹽kq9+uvN̲Ħ3" B.Z4:m endstream endobj 20545 0 obj << /Annots [20546 0 R 20550 0 R] /BleedBox [0 0 612 792] /Contents [20551 0 R 20547 0 R 20548 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29803 20549 0 R >> >> /Type /Page >> endobj 20546 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20547 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20548 0 obj << /Length 19 >> stream q /Iabc29803 Do Q endstream endobj 20549 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29804 20690 0 R /Gabc29805 20697 0 R >> /Font << /Fabc29806 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 20550 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1910) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20551 0 obj << /Filter /FlateDecode /Length 5681 >> stream x<Ɏ$;nw}E } Tb`nӀOe \GDf-YRDPIQ\~b6ϭͻ-i6^?^3 %~s't!M,C~_aM .ˌM sVJo9Kee;h<|g}~A],$4d@t } 7dڴtx H@>)CT^x? y1;56y;mSw4,ѤO֠ im{(R _ IWcZ|т^K8܂}H\`qԏefgi¾P@~6FKXNE߯* g)oK[ABiv^h`l,@RiQZqUZah=ݘJzPHׅUu6q~H?"Beėfw0&Vp3wp¬*dŵ* UzR^o">j`nWᵦA M)Y:aEYaGSJq(3A!.*+IQ9Ym>ujnL%.vu`u >渶3οi{ <&Q{|X6)YQ-t$2,0mT1 @.Rg&\ 5A<486yε^ VFk iNL:E>31>Dىړmjt)O8-t'tðKZ ^3pH;#elԕnڍ'vq';~ٸ' w45>J Kcx_Qhxq-&P < 0с49F4:KMkqHk R/ PΗ/PĥKtr6`) 6g@D0sr0"g@菖6Pn~2'U1e#; BZFEab{0ֱx !&U4#! =#Yb_tCtB&@[4`Ơhэ]%"Ca/P0gfz%XW$𱰧j=e8ֻR/Ub1,w<;EYgiT0J:0R(JÁC"k н cveLe _=aCX,ޥ@0JaSQ&OiWc=<ޚm| T H7e .dzoni:frjy "E#2`!#e1 f'^AT$MbXXLРhP*PQ+ :Pд dI {QYMU?KMgr71! : 1Jmѝo11l0xWg+Qr`B9=WZO̦03 ]w-i88rT.1ٚ  Zի"8X+{(fR o>=0aŢqh=wԖhkcQB̨ .jRxtv0 +K/_m 2@#:ڵmePZCzl2:M,W% "hHQ fiw:ӫQԫo )A]d <"18mʹ!# Zam|!AʮFppqdרlxqWxM6̔ D=!T xpG㔆< !\H zɚH;[Qol OF k3)'̐zjVj.&B ɣCAEѷeVZYq7K~ |Dސ?J3*Ȓ#ԑkXhfhVʴ.%@) mɧuΘݪ#ҳif 8p0ۙ:ΚvW4 G?h߿ r{,;?>f)8',-OZ^r 95VpPSlٿ)ߖuKTmunHhsRc2yw׈FLW:c&\2YO6{{n 8AkP bNuP9,?X6$v{IکzڝF،)ipT JmYtMhA>NN-< WXC@-03_U^OyƛQ$\&|cgii4V?Ve\m`M7'oDV@ NC ):374'Q:Ӡ>O/ەA}KscR)Q;K|4LT:ƙ*!HY;a}| E; zC(+'ԀIut),t/cSR6C,=fXEmVJ;HP6)~ؤ'g%p;,YKկTCD7[&g-`xx?uA|HW꿦=X)~ŬH`Œ_bAua.-[{1,7^.Wey_{?@KÑQk}·>Q*̋ץV(Bf ҟ7{+k?.O'/bfcE =oAREVD04xHn\ʥ<^*DkX\ /~…QZpy7QbhuZ eJxΏ-{S2SфT),%sG[1΋>UTFi6.ۍt~ׇD M dWc@S^*XI,PI9n[˙y7SXW^U&Gߦd0S8*N)램Ƈ|,­|1s4^}:x)`%6ī z#Y xBT6^I3O<+z}} !}tzO~O,ߦLTh?_K{M`ޣJx`E9tpL?^S]saTt*?h[\"`+M/ Gq5,RI. cmK endstream endobj 20552 0 obj << /Annots [20553 0 R 20557 0 R] /BleedBox [0 0 612 792] /Contents [20558 0 R 20554 0 R 20555 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29822 20556 0 R >> >> /Type /Page >> endobj 20553 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20554 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20555 0 obj << /Length 19 >> stream q /Iabc29822 Do Q endstream endobj 20556 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29823 20690 0 R /Gabc29824 20697 0 R >> /Font << /Fabc29825 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKn endstream endobj 20557 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1911) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20558 0 obj << /Filter /FlateDecode /Length 4074 >> stream x[I#ׯ1Z@n!i;zx.y WZ,bC*[ͬ~Ο[tzp5/?w]TZht_Y>k _6{UO9㘓qɌS:MO3e䢒q4j pl `NO ^G,Jh\ObbT ̋qf`iNG&H$^gN<&?<6l,2"˦q 8$Ӝ4ދ3o=G8|OGoȓB"t#>PD'V9;YiU`M^%Xl 8mJ}]uMOk69%-L%ƹ [aY,p5t_CZc4`r9::|ȉ.r(}3us2OS˹|_ph>`{fp1_f5c]-X$j/}{P-ϵc79V6vf"J9sOx˦83V^Yy*uvQYm=xl/Lⷄݡ:fU6HSӮEs*MvB޸Z|h] w4`? f'7:D܏=f=.SN0"J[]_57s먃!:BִPi aԗZVg5E66*h]MfeԄ$R!hmb,^[)[Uj8[? 8F坈dh7~b9%"!C0if;#2 7v+j<8{rZx jt݄ư<ÁcE=׌ ;V`!J ~}ơtӰg^JVR9 1u HY[`W&&GIwI> הR5f)&d;\@VJa H"EJxkX{x"X;X{n]6pA3Ь_`sմ^52!n17q-v4Di5K/){qI}Ő©jj`ZJW#R*@# m+'EmsOAYKLA ֯]P3&A"0 l Pxk],u݌1 yIW 2ksm56Z\jo@rE4Ɔw̵FE4>9ZReF!FћK&Ǚ@U[7z5-a<["d~T+fLmzdܩ?2-@QL قW5 ⲡzc2Q"}0KgE^j;C`0Qm75*!7>~Zyx@P/焾y6-2]ݖlD((a"TxN)$uF:&|kkV׾ Jxi -cxN8NAr=hZNE7ˆi@K3vA}PfDjQ gl_7VKܮ[$p0vN q?Ȕfr]%)gٹl!M@JJ:%_dS :McRnZ4sRHN5lu[*kley39-Dj1^RAڮz_䜧u/vC5ʦf9aߗG<oiH+jQ,vv\nDĮKM%,zT,66KoGJR=FO= ȳLq_u+ ożK2Y&Zw"65/7AʑU+Vt^fYk6bõ*\u#Q/f_2ZcvSNw{$qo& oT;6c[tskmPeQϑTO]j 8<)w쵑^n/SJ"@ עH{Ljk%VpK֯$jӢ,804jٞKI4M"`\n)=w]pgz̉>htIv6)5)R.&'-yDwϡ([XE0[ٌ Yyv>2F2p8o֩~ .m^)LV%S8 q < D%8[;i(i-RaϢ ͞-!!ڒnwµ7S4o 62h"'WZ6>`IHy7\UG1Ksw),˘U#w؛;c큎&Fن<5AxJoo6eytw7E[&nMHTցSxսti?jEX Oops dƋ7Oϳsbq{&_6DWK1u>sAq7Lel|֚u}Zs`%aL#!56N@D-(󃼗S"8\3qz6.JC7+Gۑ|^`ωꚀŮ+q!-"517]Aw2 c  Ȥ7ȴQrZ^,xWAHg B7*@:fI(:yCϞ 0QLKF.])?]Ec ^ ϧ,Zh 2j~Z,Uu6V_lB?R4 m΃K4DAvM$aMΧ-ÜK+槑(-xJT&-v}>(k%Qz^r6L'|&J|ȔuT}0PP&&m%ӦLcPbx1DTLWXy!3&rB x!tgDV{E|b9}3 6ɨ3|Iz`n!w&1cA+fN8մ~^y(n`Mo>o|7*U \"s[/ŔۤkZ=Sބm* *]]^<{$і?n-ҍ/OD,'Z9_i<%_ʣ:"%23G\ Z2_+^DY,;*23]|&I':Waʷа_ʥ"rm횖0w2S'.oK$^'>Ls.T AByRdyYlgafGk[Y).L}l AF 5nM@=x/>GI5zs)bsFƄڤa4 t40HQ!^X()+<7*MᦛS[UGm2x02ogns~?G}§|e=Z&U8*%3`( 8"g`S> |Sʷ-Z8.>''zq;֮1(8e=< sؙ '~JTCGGuN]0mt<}Alr+ KQD*gX YeD endstream endobj 20559 0 obj << /Annots 20561 0 R /BleedBox [0 0 612 792] /Contents [20567 0 R 20563 0 R 20564 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29841 20565 0 R >> >> /Type /Page >> endobj 20560 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20561 0 obj [20560 0 R 20562 0 R 20566 0 R] endobj 20562 0 obj << /A << /D (unique_139) /S /GoTo >> /Border [0 0 0] /Contents (read_xdc) /M (D:20210608081201-08'00') /Rect [104.1732 606.1 147.1062 617.1] /Subtype /Link /Type /Annot >> endobj 20563 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20564 0 obj << /Length 19 >> stream q /Iabc29841 Do Q endstream endobj 20565 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29842 20690 0 R /Gabc29843 20697 0 R >> /Font << /Fabc29844 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20567 0 obj << /Filter /FlateDecode /Length 1576 >> stream xڭWɊ$7W<JASPK׀oc |0>cL2r6d)CxI)WHMm 뼄lj̹C-1OH 9=%4kQQxv >9R'?{Ӷ8ʃyMS-蓫P!+M4"`-|eb@L`TKakYC2TC N- #u}Yy-7;([j qLU:|f7(m-6 l53*T;5YRKaV.e[yW-DDGS\Rbm:\֋`ڀLޞ"Eb z`l *ѱ>Aȇo#795&6x[>k%fۻXQp}̔mkо[^:bxlʑ/*c"o*g@J5ךRgI%X,h04pkh0$8ˮ\۴tUi\Sl*gRfq3k2pV|3 $M a0gg.6nq֋Hw}rX :΅ Zu#n`&%F|y4]Yv.yjjҚۚWceh"| >o4#PLݲ&o.k"}էb݃ntũ4qU9|x px!.mUr4')?U>\騇mA<.q1VcǺG=s6Gۓ4.[NK %s[(p>yvj4IOZImi'as<Р^2vC]>hz=X'L;*XsQ/εz &pLM7t{Ɏ.hB]ZLG endstream endobj 20568 0 obj << /Annots 20570 0 R /BleedBox [0 0 612 792] /Contents [20576 0 R 20572 0 R 20573 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29860 20574 0 R >> >> /Type /Page >> endobj 20569 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20570 0 obj [20569 0 R 20571 0 R 20575 0 R] endobj 20571 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 373.125 140.952 384.125] /Subtype /Link /Type /Annot >> endobj 20572 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20573 0 obj << /Length 19 >> stream q /Iabc29860 Do Q endstream endobj 20574 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29861 20690 0 R /Gabc29862 20697 0 R >> /Font << /Fabc29863 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x~?va,6}{`B V&́2hނ׮nwwfOջ]p@Bsut;_h` j*"$TT Mw1)GJIx5s剢xy"ZĀ0r2dv"`IP_g_5kDN 9]%iO#Ƭ.PBl(Y}ɾ 5Ժ@AuC5ypf R{m-־0ZOĴ⩔L$u$r!o?%e:3ϳ-nYKGFxaH&5l)lȱ2a%xp4!b2g,9/\09U1" ^o/rvX9 )evP(*3h8J}-ZݛXr endstream endobj 20575 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1913) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20576 0 obj << /Filter /FlateDecode /Length 2910 >> stream xڵˎί@w&ؙ1l99ɖ`7t^|HըYEX/?k -ț_uY.QN.A;?|搲^? bms+ZgZ冀<"nڸةlBy\ZqESE?PiM +OC'@͚]f5 Ogd?++4+{֧v֧O1f:nk6*l$c٘mOb[#;Ӄx|m@E8K䟸Xd#%|z#pc#9>hGny;҆KH W\@,V + ]Q 5}Ԗ_J:TAS_2; B_fbН֔4;NG, J ~G ? F%LѴ{ͺʁzg/ ̓34l%1L8Xyh@Cɑ "Z\אk9>/s鉹=;ߖĮgQwbj݂&'$ND=[p23s83V3аr9ʼnV'3CΰCxB"]pcױkݸՑa`xqF,W[1NQF=n9Bx@q@Y#dZݱ e{߲ uc7 4ИC C Sڔ #,Ht1337m >0VL̂lmy qmamB9US(O' Ysĕ^u]wC,|tM˳PmF;Q?ZHR9젖Кdtix;Әc|I.BEWgl_ـ.k] ^kpO 8d3m rAd8T3-.BA aoOf5i1l׷\7IEE[/ P jf8cuZnWKXHykwFBHO~m[{ߍMO{Osg:3{I4Q%s$W5zgEtFݡFU|vu'A^zg[Q,Cai:uSrUgnU{~gFPB n|å?k|C^aFS~8`tVWH2 bBMC %EƂOߓ 9q1XY$lWv &4LWQH6=;fdB1T_'*y .q3B%+URzGˁ4U)ڐa{yثY-5h "Ȭ+n[IY`pYm> Y-%c%=ZR(ui#^p[-J5JH\2+{@|7WT-zf2$x}B߃\tO7ڝne>4x@N`5RVӦ\ʜ_^e 7J?W|Mq)3UpRV,;#U'תTluoU@7i_iMuc@`TYsfwky JEvO/0[-`f'OJk*iW6q( ӱZr}#Ix^< jdsQzKfDF]lˆ1?3|ixvrvCZY8φ@ )9 a80irt1<0g㻁Zσv3-ÌcKc:cA$^"܌X9ci;:ua9 !F"/nW~ͱ%)f?)-+bmy1ǡ/u#U!x$ifJXc?Ȇ?}S_-ټEK6TF4 jc.//_BY񪆿+;Ė;*w]|{.W*]rʽW{SEƞ 馥Wٍ;F> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29879 20581 0 R >> >> /Type /Page >> endobj 20578 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20579 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20580 0 obj << /Length 19 >> stream q /Iabc29879 Do Q endstream endobj 20581 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29880 20690 0 R /Gabc29881 20697 0 R >> /Font << /Fabc29882 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}^ endstream endobj 20582 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1914) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20583 0 obj << /Filter /FlateDecode /Length 4330 >> stream x\KWle)1m!i;f/*%u7ݓĀDQUŪUŗ7.;_y*>J, l˯ 8wOB )W.]c./ˏ FN7n)2_4#1-_%''O?0i&?Are+vi&}Å; NBh/"\ dgߟ]WH=BNoiz g%4}qGFDxo 1@L&I-}}gOquD\*Sj0uʝA} @F񰚆KP"( L|m*484*x[xB x^X?o&.<{)$R}'-~R8GP Mt*Z* zj['.z0I'R@#(hgD ϝ YaG例!Q O."kb?#1tHU{/4N+xi%PS; YQIhjPZ=GZXbh%jN ?w2q 6$JP"̀3chq0"POrY,*0MS%uEpK'Pä ò0ug~#SG9bt8)a;{^. N3!zn\kdiz9l1LwGDLWpeW2ḝWA@/ uS#L>U%3R}@GL9.*A<)U3e,SU=/ eHqot0>ryB{A30"(QM"9k)z|MTk_$c =HH~d(5|M`-xή*WNfpM 쵾s& YuU~H 5 &R0n9Jtn-QTt832`0%r86H c6˒^esh|P Tn65g8S0c%k㬡P SjwOӼ$i58}mfL49MgLL6O l fjIi1A;3ZfH7ض`(U(cV)lV Ū0WkL SխEz9#V}\N8er֔+VPbWʱKVKiIJK]ZNe?讗C!GCGCۀ2ee| X6(PnoC2WW}RPQZZ=7kD8lA\5"rд2%֜DLi\/ |1K^8alw!\!q > s.Gg׃*2 ~lpցè ™X?.ֻ0ZU0TJ*k@bµN0 }4D3TSPː>@)5^8هr\5XE. p Dqtm1e|ԠJ(9bQ2[:lpQrn %+ Cn@v)*ضXM]Uf0B2ВT~v(<+@D3GYD Ys420J=RWT\n.4\/"Vt+:LJF$c LTđAyhK9RgO;=򎙦'm:%v6qekO&+r_ڱ:ax9 xk-GѿY c>A$GaV:@!sBjC<-OoJ>utngyZTԋB`7WEV 1MȰ,u:`>snlnxS$K2fl)5Ӧp4Oގ'K|coOs}g,3@@=cwye,r/V^2.DzƆ嘇scO}Myc+̦Fɻ" :remXxd1C?XwNv:?(['KlҏN'hid}PMk+ϰXM ? *}3 āD`N'+^pu'6N3 ZX\ea$"HN\D$W\$ ( r z/JXF`Q;9LkѬ.`(f$U^*'~UR hJjJ`*onBtcME,ut7Y6JRf-*$K߶tV%*[IW&/]f fڰp xmDs-5bπ!2Qr[K}ܸA (XRmNiJQT,0c]GcG/4k]cS AB_.}5ꍥv&X 56n,\Q%V&2qEvm*jpsJe4'Fn?g"NJsC'fժf)XmRZ!8WxSumЩWЩ\U5[Y]AT5aO@voUuEgxY/UVUS5j~UZ$R|HmTRw}|َ,Qe5w.{̓Td^q~ؓT(Sos޳RٓTDfg1?a!|͟[>:\&z\ 73bXygZr#r)='bV"L9S8 >upYW8mζTLPC&P[OLoϔ'lBenj蔣XDpX4GAJYA3f%L_E@ܒ7KֶwlЂUԡ+np7gom_iRk2AA+ jZ;6\~EXL_.&Wyl.> ! ccX \b =1h^7eGcYMp("ib‘2lKZ[ +clg"gJ*Oͯ82=SZx}TwW%#:\jwDa.H<1cYuW$˷([i_nYfVǦ$&,>5h<ܼeƑ,+M -eK]lg!فQEm̭|}$yk9gyTӜocοg,_#h-(M: Nuλ; ]4=<Ѻr!)MW>qt G{-'8,u9#/x ߸K[9tx1}‡y+(' G 'j"Y97Qo`pr^~;O`|6*ۗ a/al8PQu"\m#b/MeME endstream endobj 20584 0 obj << /Annots 20586 0 R /BleedBox [0 0 612 792] /Contents [20592 0 R 20588 0 R 20589 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29898 20590 0 R >> >> /Type /Page >> endobj 20585 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20586 0 obj [20585 0 R 20587 0 R 20591 0 R] endobj 20587 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 119.125 140.952 130.125] /Subtype /Link /Type /Annot >> endobj 20588 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20589 0 obj << /Length 19 >> stream q /Iabc29898 Do Q endstream endobj 20590 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29899 20690 0 R /Gabc29900 20697 0 R >> /Font << /Fabc29901 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4՚Gp#?$_l˜!E_@9?ɜ F0P|sLѨ\U$x2X4/s(RҥC ( 'C9Pma#bwo* endstream endobj 20591 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1915) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20592 0 obj << /Filter /FlateDecode /Length 4529 >> stream x]K WItUwf!i 0{'%.Wٽl=Ue"?Lo8w;[DU7 _fՊ^Hc?Yy%SC?*auRp{^RsQ7n %ZO4զZay__*+bae5z7/Q"\y/\7eݪ&1T9X_29f]?L|7vjvJ M!.ԫp|5+JԦެgj\Wm<՗BB60ެ4Փ+D,K K(f8UD=d?ROC]Ÿ*>ϚfīsZ:0pݍ(Oa$\+)qxaƣ%AGu/E&ɼ1u_B;(ѷsb5.-?_C}T 㠀߯>,o(|B{jz߻>, CϡA#6(}b>0hyob#xuiM.>Y7"v9(hJwN^6 J'f:+@s@xH[tTYz=N D 2B0Zc9c<:$O9ots90UУTXt2Iav)ԛlc{7rsUS9pϑW-8bFĻr/8CM`[\=n\_7]zE/cݳ%6:l/gMK/&T^ȉ(xH+: AI>#S@Z| :ї´IPk8n2r{qH (u dtJ2$T̒?7r<8RTɋ0e SޞlMN*}c[]:bdzNe5-ychGh ւ&7,jmSp8p&b^l.<--JafhH(h㟈+fsTz:ň[y }zWGRֺL֥"˘0f. 輨G"GS+ʛ+ ;_꯵C}|r^wsS V0E zټ氆(y1L0,fKa[{f4dψ݆|g-h,py)^6{N _6oP8^HD&lw3-tb%+ p2P}{{R>5}rəNǕ>sFgڂ6.h[{8q3 (d[PNl(+ڼ=*odc} ع;U׏aTF|<6E;R+Jeۛ5M1j}I؎Ѷ.fSzx4^۾ِ{\PLq9)1Zjl,n:čҮ.SͧBP{W-_Si @}=}Un>mJRhʞ4Y/?{.2__qH1{'uLgaҖ;='6KyM",ᣢBH߻5Bo{ ֙ǥZg|uqHRx!)Oϟ[)[eƳElƥ|l㹄G8xmE"KN<^OGKәz/Ϯ4ɞBߢHޠ1]oa !?-[~~@w,;2 D$K8Zu1v2uyc8wpWJ"ay}{hl83U<~v~@=mv%/JC6|SbΟNHKj~ԄԌ6P~QcA!4r< r)dj>PeRjjx,kgwyi1"-NXwEY 4Ya4c(L Ŋ ,Q G*k LX{jA׹FJ.*BkNJcoڨJHJ\3FZPXu~o.W}9Q䎹tNx2*t\hPufJ[/k9|[a 2ЙEܞhpc dZr$= iRdi1 USr%,Y]ΡaΦ\:dYBq.IW{C^Q"?Cl+75E5[uيKT8MJe#)k(euelvr^"͚V|.v-n)n\7?8> Wt"Qan f"{IlE7.vSlfG`7ۅ ?VRw( Iϻ% o,n*vH(7q%p [Unxw uwyX͎XPW{ۯ,slW0زlen9gpvڒl;k˭NsT{VQG%Qo:w14W]2vD ďKzfVfak,-)(Avn9daƢe۬a"9FVe5~l%Q(M;ې'7_-{vV2 ,&LoB\Hwaeoaci*Y;ob,MR <~;Lâӽ<n32 5[`RIQdUj-[ebڒ5l,\.{ ?QB2gdŇN :|AKBH^R 78~,H+SFÕ WKVݩ3CoLt!pMݯpbe:pUvrt<{0H4JWM(ԸhKL Al0uY 3vDLQ4F@]vlP\LfI3GZeyڱL\؞{96sN̳}+NxgR܂Y82w{i*01 ?.7&-3vУEn-^`PN[\ xpK{E|!(=m?kOVHsG9"o`~f9yIz0=R0 uwv rH "A#yAeB$5c7!ZΦ kg\ǡuUjJs(y;ĩ5-Heآgآu@|3}}5⿜bw:QE@j<<00OW|E;z*~wPB} t[)\e(Vӱ~H/Ğû/l`8cEv2>*_e endstream endobj 20593 0 obj << /Annots [20594 0 R 20598 0 R] /BleedBox [0 0 612 792] /Contents [20599 0 R 20595 0 R 20596 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29917 20597 0 R >> >> /Type /Page >> endobj 20594 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20595 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20596 0 obj << /Length 19 >> stream q /Iabc29917 Do Q endstream endobj 20597 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29918 20690 0 R /Gabc29919 20697 0 R >> /Font << /Fabc29920 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lMZ endstream endobj 20598 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1916) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20599 0 obj << /Filter /FlateDecode /Length 4752 >> stream x\I#Wـ@($6@>>` )UUwt܂` ~& B_rNmnQ)I~||RۗGߥ4{˯g)uF)o8W6 [G/IhۗoEZeKE‰oۗǴڕүRޡ+zN-h{V"/ r7 t 6_|.,KYLLJI*n:>GSzfo/M{HA |[n313]_6cGҳgdZ $sF9dz籯KhL'2awLvC].s#xc9wPSO.yksΕjWֿl֟G~@#: ;k'Lϊ M݅$03xfSY1rgͥrDC*Ib.U)OGdoT?:&ʝMq0k9N9B2*ԢVm<(D#%(;`@xq$VQIݧTeNt7h Xٍ&dՄ'0)TӞ\t K_6QgbdV_eA]@!BF*Fhr=I֚fYMidR ٣ J 71؇ w#)RޠA`|в}ܓ44v [t74~6ɲQmmd M=HP Sy[r+V BBć!ҝclA{enԧՑuq`)N>ur0@F\# w(#U آFF΄C%h(gb(-+lO-=0Iuh8t5x)1&*unyU~=skH NT܉r-5&7m7+$.hD9u/C椨0Zf`*S; K9X=5&ȴrXb#w sX()yzǡHA0vl5⃘}ms-DsGԦrH--A' s$Z-߀s?n ]o㓬^ Ôu`@YK ƽ f仒%rΓdI%QVMG9ѻN ӽe458UmJPtKrA~~g]{S,6a֠g(a3[M8fH#mHO9iVs4;|N $E낐kn+euZebPiLߟ*GK`8K) \[c'k<}: y9khREC,Wzm-ue߻k7C@8Ldq4c*E`YyO yA@^XWDUq[ܻUJg~b|׼]9}4sV_W|61N|J.i]bKkΊ)x4@gSEmߗM /j=%k]r43jZU qYߑ7̿Ja}EGByuoϋl݇hsfVlNE.,cO|ˬevݛb]9j \BDş֥rҊyQ}80!òj[yq`eujxVwHkMawB6{VAZ W:;sU`ٱJ`jwbU!!*F*ԉR`阉|1m>9n+j¨ &GD|,amƭ?. Fa-\TM?Kіx!"rL^'V|DZ68>M4wM12U(U3&[Ls i+njYeǠ{ꃉn@y\ӎBꊘK݋*^NR>Y"`R)3uՖ0Vnϙǜ\ݐzM UZ!bhKp ҔWa#:xx+%e ;B[*3NQ H5i>]伫K 8sk ;@Sbx[T(Dś,Xp> %6[PX٣]bus7vk-Ҕ8a8JnNvq'hgZ insŻv:!ߣۏ zj\.&}TKNm^a=|"{Nn& 'f~'UpAY#vVFve^䮽u:76`|%P"VnI7=97-۷-:o?_)YA=q ׷҂H;Z]h%ᖇÜdǻx*m Ǵ@'=iB8uOv┰o3/CC!Rzw>Aveh/Ok>ʴ $1vfv0YMi^,oTtMAG>O9il: "YL BbFڹ6v"*=gD7buuYqx$:5F^&Q,&RWU֥ hC \"sЋM[|EuQ|ƚnͣ58s:`Oȅ$ZcvIY-XWnz'O"]\q2`_P7>tn{W-WT"]}P8P )+nsL`q#--Jz=#[t;D1:ag6 J3=#ڡ]*>J<|Ɲsu5l#H~nl*{ ƿワl4w";}5#˕Y rǐLwdự z{/VdUkR̙f'[g1O4XYwmٻL~{~ǖwKx{..ڇ%Z[sE}t3>.نx!0ԳٱhڙE{i} /ܯy#/w |_S_[s'oE;|4^ObG]fQ$OZ?ضt\st/]UccN MEx&E&/"~I9ԹH 40.Z, endstream endobj 20600 0 obj << /Annots [20601 0 R 20605 0 R] /BleedBox [0 0 612 792] /Contents [20606 0 R 20602 0 R 20603 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29936 20604 0 R >> >> /Type /Page >> endobj 20601 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20602 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20603 0 obj << /Length 19 >> stream q /Iabc29936 Do Q endstream endobj 20604 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29937 20690 0 R /Gabc29938 20697 0 R >> /Font << /Fabc29939 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}rh endstream endobj 20605 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1917) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20606 0 obj << /Filter /FlateDecode /Length 4391 >> stream x<ˎ#w~E  (I6|0|굽0F_AfJ=3=j%3`0Mo +f}{znKo)ow oc R)i%ogCR8^|>)u*uJ\+Ra|ؼl] [iVgdvы(qgXܫh//B+0#U΀J@nEo/.$. њh-]K^D羌JL‡**eD^tfsp- ac}31ovRhSwӨ)-( Q}k'C pF̑q-F_*x K#0JE#BPJR=)#O9sС JzkљWad4{eV ocfEr!>:,}[*]0bGVVŕwnXO,#4v]n>>:ɽƂ?[m #L:VyDBb}ej!&oQ~8`.pd* Ib3 5UPaRx5nl'@yL+ yr|kj|YA8*rۓuWzB{{4n)8P7Ϥ5vMAj$X`\V-n7﨟tIUYe2\'m]lTUxr@2 ۆuMyyޡKQRnh<o[̓vIx|+ JU bY ;,lu6s:;UN&$ў t_4ه%XK,K?=7Go4~(I ^#Qc߄{#{\ AMf>o"q)Hpd0?x/žg!XuLU5~%r8Cxy~?@ŤK byWFj6L`n#>nӴ0y3.kavG?=?vcA'mQGªXdo(ƻQb{{x1is5VtK;; >.<&HHTT < V"f6` 몥FF˳T`BTmtwFnΔMdꪞظ/ko+nRں3SnȉYYr2]=b r!v;zLʪqʄ.2Pe,߈wh= f$yu>N[h_RJi8 4_?aD%THAɡT(kFFw f[.a/'*@}@AL{B]Kfg *O ׿_1dUnZ qQNb1'iPmsgd /L >h{ 6e SxFZx.kq<SLmcIExUU{ \NHۦOO/#111iBK *2-5՘i ֪Ш+!^"J*!Kc0V>t2MaˌudV zi+St _M,OIp]mn:"屼9Dh'Cզ1ɳ$Fw=nw$/9:w Ɩ)]^,y2M"y?XE<8-P,>~sQs Me [G@FL5lb*d#4iu/uku[3pGY8VZ2ʕ!x`U 6B7N*T bVc.韖OÙ]ID)75/Bqjr'_ݟ|;WIxm1p^iua}Leʶrsh-UB\w*NiEM>0J,re h)}Ԍ6ۈe6m-^O G<,scvgV- kFcR NF%.֨Jmhu~ pJ~pۺgBjߦ~SJ}(-h\V`꒎Y+r?mXv Gq5GΘ-L6^đ Ϳu"]`9>즒.8~.h~#u| kzQ=A͍v%مIFV~ڮ8duDVl^DϒvKi:[~~z=c7w#MՍj߳,Vmj7 jOV8R fuz{N]T-x@3:naZ3"?sb/%본^Km,OybCAzּ֖8k'*0Ү 7;)+P3??cW TX^4}ml a&[Ӏv\w%hZ} Dyzu2Xjd|+F3XWpPX&z*ͅ|gT?bFZh/KYnuCi9 y"}-poR`e~ (|StTxi!=;60beiB b,Mb&=[, tu6Jje_e#]2"pF8`P0؃.v'LQ@ i̐bEdgqԺ`/[m{?wpC_@L|qa>Q:^ްz%"4E__ɧަW˩)vOי𷉸Sc2!cG"mB@[R/i߯Mb(*aRu?"\#{o(f T7{sZEk4HW KQ뀝m1R#]gDզ' endstream endobj 20607 0 obj << /Annots 20609 0 R /BleedBox [0 0 612 792] /Contents [20615 0 R 20611 0 R 20612 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29955 20613 0 R >> >> /Type /Page >> endobj 20608 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20609 0 obj [20608 0 R 20610 0 R 20614 0 R] endobj 20610 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 190.7432 608.2] /Subtype /Link /Type /Annot >> endobj 20611 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20612 0 obj << /Length 19 >> stream q /Iabc29955 Do Q endstream endobj 20613 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29956 20690 0 R /Gabc29957 20697 0 R >> /Font << /Fabc29958 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwox endstream endobj 20614 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1918) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20615 0 obj << /Filter /FlateDecode /Length 1519 >> stream xڭXn[7+pY!ݥEѕS(&3}rIpM#U;m4s:E] YESb6hgM%}~WkZ<;}PAu(xoj(gǝnhlpG{i1O6;]1VPG`S_!b,- (]6eҒ;S} >>aNϿ",. <HwmPcԈmY]a7eM4ka|Li7N<#)>5- u4pV_5-&GMei_:4.뗿c2XgԪlNՀQ%\>j/۝u+upx)jGvf*kwUa) eHmH-= 'd%ѿ㐥+iS_cld؄c/໏>>xƹa?3x+aO+NӘ1oD+.yڷ9V+>F?n( ]4.W![{wXsm6mu:kpٸ4/>)%_Yk#&1[Cz*֤isL5tVfX2e|mlK,Ⳏ%jh낾h$"=aD.ֵcvJv3bd2216+BMkw{8pkٕ޽mpwƌ58Шgx=53Βf,] YIuHqjղ5q =F,݌H?AzN? \`ރ6yKgZ,_ki \C"}5b 2ŗ#UY*&k!p'>.jYHJ P-4&)ly#L?jƗƭU%юwU}$z9fj'cLcuK j` n g9҅#R%g8 VE'owq^0H1 Tlk_lk;NFvs29$:?T]RX¾w endstream endobj 20616 0 obj << /Annots [20619 0 R 20620 0 R 20621 0 R 20622 0 R 20623 0 R 20631 0 R] /BleedBox [0 0 612 792] /Contents [20632 0 R 20624 0 R 20666 0 R 20617 0 R 20666 0 R 20625 0 R 20666 0 R 20627 0 R 20629 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc186 20618 0 R /Iabc21491 20626 0 R /Iabc23902 20628 0 R /Iabc29974 20630 0 R >> >> /Type /Page >> endobj 20617 0 obj << /Length 17 >> stream q /Iabc186 Do Q endstream endobj 20618 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc198 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 20619 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Contents (Xilinx Support) /M (D:20210608081201-08'00') /Rect [504.4525 475.15 531.0835 486.15] /Subtype /Link /Type /Annot >> endobj 20620 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Contents (Support) /M (D:20210608081201-08'00') /Rect [90 460.85 128.5055 471.85] /Subtype /Link /Type /Annot >> endobj 20621 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=design+hubs) >> /Border [0 0 0] /Contents (Design Hubs) /M (D:20210608081201-08'00') /Rect [250.8472 196.3038 312.3757 207.3039] /Subtype /Link /Type /Annot >> endobj 20622 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?t=docnav) >> /Border [0 0 0] /Contents (Documentation Navigator) /M (D:20210608081201-08'00') /Rect [299.845 174.2039 413.995 184.2039] /Subtype /Link /Type /Annot >> endobj 20623 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20625 0 obj << /Length 19 >> stream q /Iabc21491 Do Q endstream endobj 20626 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21492 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 20627 0 obj << /Length 19 >> stream q /Iabc23902 Do Q endstream endobj 20628 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23903 20690 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 20629 0 obj << /Length 19 >> stream q /Iabc29974 Do Q endstream endobj 20630 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29975 20690 0 R /Gabc29976 20697 0 R >> /Font << /Fabc29977 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`3ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMl endstream endobj 20631 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1919) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20632 0 obj << /Filter /FlateDecode /Length 2934 >> stream xڥZIoW0PUv['rI``m\RU{`E{dIV;-W_u{gu X|e?]vF|S3XmcU?1 -3\gx>c˟@iWaP;dd/ֿG}ɬ,>UxQI﹍?>wлSMO흏Q1#νZcp\l8wr_~-K"uQPgW t Y.Z-B'$5ڦ] u+Άt]׭XAɺk%_WtQSwj9ihN;nڥh7B͒j'o}5Yk`?g:]K@00n@wCe"R;@+;Z_>K|T)8&67u0SO.Q6"Tc(. ZR2Qg Wĕә)è>8XVԛs^VݼK%!&!m~=FB߸'>k ~6%&:FH8zHy6,! @xrjp -nӲڗVūZBC=!=@hr!MIJOwu9f;Tj f5CmdZc1V˫qDye? ;/LϮ:W]k!Xx2y;e.h}fkK%(%ľ_z](vKaT^ZQѠ; n96P5\M\| j6H[,DRX$zf m yB0be$ íEpj! ̀Ntwޜ7q[E38ny#"nu׎̀?`r#VWNʶPDg8|܉cZ0jtPDpN :WH:ҥH71 &ۚU)BF%mDէiNKz"6k#_#޻ YWժ ۘː շkO`AP~i\b6uu^gl,k')Ě9ÀfBE'S/iB/uF)D[[u\!oy~TE|B9D΢HwV%J3J-..Uz(SJܢ&n. ab=N)P¦) Ji Jd1'OX e8jͰGp>B(=B?OAذuR@-TP dsw&N `fTV˽f!4wjͼL/.mfboI,8A1lm :z{D׳I lRSqBW5םH2hN#v~$ ڼocț*nedpSJO^*8꼷e [\&`u fPh^lԇK~^o.%7k-kzۯN5&2 1`vma-L\ܓ?$8]hdQx@jmK],P&[VX/9$iXuuC+:SVKaY<>qyC  l|;sd -[0Efʡu:t2(.492LB*駷X1gv:݊ݘK *R8 F{V >Bny.CY?F(ʳ_T]asȿM?9?0:_M`Ȱ:T_5s"ШUc"vc (dFSųX\2( ۜbi[~jTؕ`)Me7Eާ g#49Fny10&sÜW̫-'Qf]:s 4!䜛L]T Aabʽ8XGBf;iC1m*v 1v-4d6KYoGf4Dn#A dP rpKq!(K,VPE-f쀧,wvPc7j ܕGB8uK z]@mG KǝOGo0r0-ṕ[XyMlW~8wVfQCskdQT+ܚᅬxxsXXf&oыf_ Rz!-GSFYIa)rM#E5De 2ƣow1yV408ƫvjj2沦Pnl7[Dd\r^ mN!cөsm~;?]Yw`(Q]?7DqS^ۊjPUh zD܁5Qb*l9]p= 2X~ݏpe;I4bIW4I"Xou|\µҤX; B> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29993 20650 0 R >> >> /Type /Page >> endobj 20634 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=training;d=courses/ultrafast-design-methodology.html) >> /Border [0 0 0] /Contents (UltraFast Design Methodology Training Course) /M (D:20210608081201-08'00') /Rect [104.1732 582.35 329.1287 593.35] /Subtype /Link /Type /Annot >> endobj 20635 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=training;d=courses/designing-with-ultrascale-ultrascale-pl\ us.html) >> /Border [0 0 0] /Contents (Designing with UltraScale and UltraScale+ Architectures Training Course) /M (D:20210608081201-08'00') /Rect [104.1732 561.05 451.9217 572.05] /Subtype /Link /Type /Annot >> endobj 20636 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=training;d=courses/designing-fpgas-vivado-design-suite-1.h\ tml) >> /Border [0 0 0] /Contents (Designing FPGAs Using the Vivado Design Suite Training Course) /M (D:20210608081201-08'00') /Rect [104.1732 539.75 413.8507 550.75] /Subtype /Link /Type /Annot >> endobj 20637 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/using-the-non-project-batch-flow.html) >> /Border [0 0 0] /Contents (Vivado Design Suite QuickTake Video: Using the Non-Project Batch Flow) /M (D:20210608081201-08'00') /Rect [104.1732 518.45 454.0612 529.45] /Subtype /Link /Type /Annot >> endobj 20638 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/tcl-scripts-constraint-files-in-vivado.ht\ ml) >> /Border [0 0 0] /Contents (Vivado Design Suite QuickTake Video: Using Tcl Scripts as Constraint Files in Vivado) /M (D:20210608081201-08'00') /Rect [104.1732 497.15 506.9602 508.15] /Subtype /Link /Type /Annot >> endobj 20639 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug892-vivado-design-flows-overview.pdf) >> /Border [0 0 0] /Contents (UG892) /M (D:20210608081201-08'00') /Rect [364.4057 445.2 399.6717 456.2] /Subtype /Link /Type /Annot >> endobj 20640 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug893-vivado-ide.pdf) >> /Border [0 0 0] /Contents (UG893) /M (D:20210608081201-08'00') /Rect [355.1107 425 390.3767 436] /Subtype /Link /Type /Annot >> endobj 20641 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug894-vivado-tcl-scripting.pdf) >> /Border [0 0 0] /Contents (UG894) /M (D:20210608081201-08'00') /Rect [341.5972 404.8 376.8632 415.8] /Subtype /Link /Type /Annot >> endobj 20642 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug903-vivado-using-constraints.pdf) >> /Border [0 0 0] /Contents (UG903) /M (D:20210608081201-08'00') /Rect [338.8802 384.6 374.1462 395.6] /Subtype /Link /Type /Annot >> endobj 20643 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.1;d=ug912-vivado-properties.pdf) >> /Border [0 0 0] /Contents (UG912) /M (D:20210608081201-08'00') /Rect [326.1642 364.4 361.4302 375.4] /Subtype /Link /Type /Annot >> endobj 20644 0 obj << /A << /S /URI /URI (http://www.tcl.tk) >> /Border [0 0 0] /Contents (http://www.tcl.tk) /M (D:20210608081201-08'00') /Rect [90 273.85 172.148 284.85] /Subtype /Link /Type /Annot >> endobj 20645 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/tutorial/tcltutorial.html) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/tutorial/tcltutorial.html) /M (D:20210608081201-08'00') /Rect [90 225.25 345.0185 236.25] /Subtype /Link /Type /Annot >> endobj 20646 0 obj << /A << /S /URI /URI (http://www.synopsys.com/Community/Interoperability/Pages/TapinSDC.aspx) >> /Border [0 0 0] /Contents (http://www.synopsys.com/Community/Interoperability/Pages/TapinSDC.aspx) /M (D:20210608081201-08'00') /Rect [90 119.8498 463.604 130.8498] /Subtype /Link /Type /Annot >> endobj 20647 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20648 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20649 0 obj << /Length 19 >> stream q /Iabc29993 Do Q endstream endobj 20650 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29994 20690 0 R /Gabc29995 20697 0 R >> /Font << /Fabc29996 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7&o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 20651 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1920) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20652 0 obj << /Filter /FlateDecode /Length 3186 >> stream xˎܸί9@|47'nx/ԓ30Il%Rdެ*>r W}ަٮWszγ ޾O%G|t.{楾,Ӄ:#F_=ܿן˫F4 `lrs{߿ nZ 䢏?o0l?+OȓRrvI P ~O# !adM4=XQfGXϮ2Ygq?B(#cňؕL>B X+ 3=;j\[O#LcC)N*I@ ]Gxбy*[r70JcZdNo+ `4||S&T)P IOaGQU=!e;*"Y7:%,ILOk=ɢ{z$zEB2`X`,٣˃}~|.R^؇n!y.<sZ ӣ]/Gf`ԋRf2q:[~,Nci:/)kYq7B{Q`_(ߢQg!^t !xjWuP ´8(RgyzƻT{j_NY*M+VA<pPp$wz_o?|% ;m9\n4? eT6G菠^=7}]F["&,x}suѱdz2ʾCV潲wY٫Ƈ,%*f 3.lg6[#&? M ԝ\҃3iYB8.`f7k^`Em^ў)CR"$_8j*S1VF2RdQ 13ɐ UGE)(C@W! GtO)PD?/a;6[O-;]iN)MJ4ߓRGJ&HjZ$Eݭ)ʧ3+? ˘+~ӓ.Ҥi {[7]:fXi]PF ӼC4w ~X>Af#l;ͅu*|yHHՙ AS*ǽQbmnJQd 0\%Zɡ lׯfC#/[q-> ]YZ\jwjkЅ2-%|wx`<P@}s ~9\ D\P >ri4j$ӓL>qUʪ\U ^D]]# Dn0#}\miI&gA-ioZkTHr_fP#{<K]I H<VU7+iZd$$zk\+m"]VdSh';wEY ` Kɴ؈2]-5SX9XQcG*}r&}*4(ڳњ>Gs^ɪ|-CmRUKjCRvOEsM]9p9ihL=<.ʁRʊ4txYWa(KU$U6eڕL?̲;Nk4a4PPardI1eA8rsnDU솠fr򦟜'X.unY7" ER:W'[?'~ֲw6ZYC{rARX?9+jDMï32@HjТJ!dZK*Lو(yn+ ۈ GtR M*.I`8|Jmѩw+.nD[Ȓ. ۰*9ԓF"RNVw>4KNMy|Ҝky#TEiX˽CԴ&. ͠^Q}<~z^=R_XC++#Qsw_(7[@мuP@v@ϛ =&>XˍEpB=p`/ى MvcŻ: ;[\zΧ#9ѐ񒿫w=6x NپVrK QP[.(tA(S!8t3t]dX˫[K4[.2w;<9t| JF0ɭ1Aݔ/ר$sZ#ǣ0u0!)6]J(3tLޡcy* Hyiܰo6O!f!g/.8)hCӴ'yr0*s{7=yE~y:M3e*Wa-@ÜBTcX,|> ["bf$S856l+0`ytsD)JR$7܂|!LsfM1ݻ2 w.cp4c:z ɈF@g&_g=Ta2vn3DʹFGJ%OvHi&Oj4#^Iiʨs߳fT#4Mw#K z$T//ݤ4 L7db覦 cyJ<#xUZ(m ō|Z`"U F0앀m{z֡kڢiF$+S q/G@k)|gC0C[s!x0r]˶Ǽ9-,[b10s-;1*9f!cHM018$nU $zSmɭ*؉iu[(`SmiBR$x$AT@#|ިZeneeM}%UBxP\! 8c% tU wnw^CV,%^Lrm5 [8ay2ٹk6+4@.N'?}>/3O\~_l1ɛ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30012 20660 0 R >> >> /Type /Page >> endobj 20654 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (https://www.xilinx.com/legal.htm#tos) /M (D:20210608081201-08'00') /Rect [501.257 434.6501 535.995 445.6501] /Subtype /Link /Type /Annot >> endobj 20655 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (www.xilinx.com/legal.htm#tos) /M (D:20210608081201-08'00') /Rect [90 420.3501 236.388 431.3501] /Subtype /Link /Type /Annot >> endobj 20656 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (https://www.xilinx.com/legal.htm#tos) /M (D:20210608081201-08'00') /Rect [154.427 363.1502 335.553 374.1502] /Subtype /Link /Type /Annot >> endobj 20657 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20658 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20659 0 obj << /Length 19 >> stream q /Iabc30012 Do Q endstream endobj 20660 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30013 20690 0 R /Gabc30014 20697 0 R >> /Font << /Fabc30015 20741 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20662 0 obj << /Filter /FlateDecode /Length 4737 >> stream x<Ɏ,9n8- HPYYi`nm?çgF$EJT,UY0܍|QMl ~柿2f␡t1?uIkL~Wc/~k_!L%]j\&i5k!gwwq h{=q@=%5nqpm_o$)s0ka{oC? K[fL|ogK?a_lGPd}7_A8ظNf4%:46 Oݔ^A'(g31<|pSD~¬ 2V>>۞|Wȱ -)LUz3+zsZsniÕHjkl W'`+ B *\|!0N\Bn'ʒAzFz'q3 X* i/%jb3L.v]<,aE'QE" W" Ij@$"=?JkSz!|MƜLHru,Wd@be8 k\wN[]+;40sM]6!Z%2&M iB7]lxSDMAgU#w_bJ%ϤyѢ!g>iuC #m7A8Z-b7Λe(HeE2@\ .S!#%8fsǕ˼z!=TP+s@p_h:ؾ؛<2LG h ۾3~Fg~q 85 A4pmYgB4_$& lx6)̖JF3-#ƚ_\F6[|H˖{!<|1=J]_kU6%{"@д _x2nfe﯊iJQ6@%݌L;Xu|h&-~b[3π'J U,6fU'jw%~\l]P|$Em8ju\)%^ Nt0&p_R )ӊC9aIܱѠ 'V8x!N'!4$2Xv#*'[qwTZ[THUyu"#>=љjjS3/)IԬnPV%&~1<\`"";cӠ0MlIK\w;ooXOҔ-Ҿ!Ʋ'FK?lN=EC 艥iʁ˖3C 6j`cHajL9B@V?asDC_.0jw~ 6Tf莉s5NiҒnPWü_B|BҼOb;=k0*9Je5ݰ,6F3>f}fy: xv_|#AzM0;n\xCS%dx$6TYz%BGXޔ*ls5 IS*R.5,ۣSUHV[vҎ;0^q 5徔ɶO`]tg߳cŷ_'" Qۭ]JX-kRj"et콯3i)nwx}iWE*a%,uAX(3^/,Q>M!.o[RI~oI I5GfRGu f fA.'߫DUiavoiܹԩZJbæu'[J-6dUƴ =4[Fl?Jg㍚wDB,oN zv.b^'[=/ŶM DWaĸ,)RLߝL9%s5nCYfTjژg%Ʋ>fbTTX6 @z[1+Ɋ91?3tMU+ 0IԾ1?a,iE=c.9sͱT=HfE::>v DE+æ:OZ6)Qm)j..D ڮGhK"BY<wXҥ_8=aŠHUTK3poZt6qLTs1#g+/I& F Vg\OHh!:Q1v1%l<[畅\6z/W`*iWoFNQfO,<#aTÒygHZ!m[}~:}L~ՓX>Dfр.ө⽟am q.5SM2Sg3Z3 EIA11ܭcH)M"zps2 !@cXӂZ#/P鍩+ƃWtpnEwKx,?Nwȶ)n3M7| '6۰5+n'urawIzO;ܽIސ;yyMVl\l 4,Ʌf|B&K2̯ؖٴ=<< K  0/W4)cu^ Ou .(P>ͿCNTkz" ]s6-9|c>?> ?m)p>zŶ,Q4P ӥ` ކR:. ]܆hRaَ/A*l2 a~ɮ®TΏ?+!mgC6x@.nYSUO`SQ,-#Ǻ W`!P <5#ƫ|I(8Ժ!j۠AoB*_'Il'hKD-E??Q],*E,τ]B/@'kxX?C^DV=JuJK֋ UfE ZnhTS[ ҂^}U%tˬȎA+OTcBV)zT |bvs#,S'F䉏>yvD aRWvٖr<@r o ʧ~9}& 0`G׋&7&NjULF镘֮ۍO`HA݋?yo=ALˤWsYc׿ ǂs*?~cgwǺ|:ȱzD%ǐút||v ?AԜ2?%n8zRe`p9Y"o#bCݖ/X yZ22eoƚ >G_1w%\O=&ёtȺzPPz3Nxm\$M {,ѽU$dH"P5.e):&޳\ZaV kay5EZ oT$ aj,tq/ZReM endstream endobj 20663 0 obj << /BaseFont /BTFZIP+NotoSans /DescendantFonts [20671 0 R] /Encoding /Identity-H /Name /F2 /Subtype /Type0 /ToUnicode 20672 0 R /Type /Font >> endobj 20664 0 obj << /BaseFont /BTFZIP+Lato-Regular /DescendantFonts [20668 0 R] /Encoding /Identity-H /Name /F3 /Subtype /Type0 /ToUnicode 20669 0 R /Type /Font >> endobj 20665 0 obj << /BaseFont /BTFZIP+NotoSans-Bold /DescendantFonts [20676 0 R] /Encoding /Identity-H /Name /F4 /Subtype /Type0 /ToUnicode 20677 0 R /Type /Font >> endobj 20666 0 obj << /Length 44 >> stream BX q 1 1 1 rg 0 712 612 58 re f Q EX endstream endobj 20667 0 obj << /Filter /FlateDecode /Length 434 >> stream x=Id1D%@JfӥZU?!O2$]beȷʶ=5]#S|!6\%th,K ngDpQY,bVug$L4c*}̘&3b$HHZ!GcE"ƺhsg>et#wkC\ǯ=U}!rH 2^^l*ۢfud{*os6y8y3ThɃ߸ (kvA9캖R{FGB E4Z^Йm`BwCc%n$fzt՚JYus3I%o|na^"Q>3z킿4Jq٨JYL:G}KեrX 4G7(x꧆(9d?O:X endstream endobj 20668 0 obj << /BaseFont /BTFZIP+Lato-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20670 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [256 677] 6 [647 668] 9 [761] 11 [578] 13 [566 731 764] 17 [280] 19 [423 663 514] 24 [929 764] 27 [801] 30 [601] 32 [801 627 543] 36 [591] 38 [736] 40 [677 1036 649 624 602 497] 48 [560 478] 55 [560] 59 [528] 61 [351] 93 [520] 95 [558] 98 [240] 102 [240] 104 [508] 108 [823 558] 111 [567] 114 [561] 116 [560 364 433] 124 [359] 134 [558] 136 [516 786 498 516 452] 170 [400] 308 [269] 311 [227 262 250 236 750] 317 [214 366] 319 [366] 326 [452 251] 329 [452 372 580] 333 [459 580] 336 337 267 338 339 306 340 341 301 342 [425] 347 348 580 349 [204 371 712 837 832] 355 [832 751] 362 [580] 370 [580] 399 406 580 435 [580] 439 [580] 442 443 580 453 [626] 491 [663] 1140 [236 580] 1142 [580 802]] >> endobj 20669 0 obj << /Filter /FlateDecode /Length 613 >> stream xUˮ0E|:HlvR 1CH%D! {[ K+y54~,ͯyj*Zo+,(/ R!B1XgB8rcY@Řn̝osf LgO endstream endobj 20670 0 obj << /Ascent 987 /AvgWidth 554 /CIDSet 20682 0 R /CapHeight 987 /Descent -213 /Flags 4 /FontBBox [-547 -269 1343 1079] /FontFile2 20681 0 R /FontName /BTFZIP+Lato-Regular /FontWeight 400 /ItalicAngle 0 /MaxWidth 1889 /StemV 0 /Type /FontDescriptor >> endobj 20671 0 obj << /BaseFont /BTFZIP+NotoSans /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20673 0 R /Subtype /CIDFontType2 /Type /Font /W [7 [572] 9 [732] 36 [639 650 632 730 556 519 728 741 339 273 619 524 907 760 781 605 781 622 549 556 731 600 930 586 566 572] 68 [561 615 480 615 564 344 615 618 258] 77 [258 534 258 935 618 605 615] 84 [615 413 479 361 618 508 786 529 510 470] 2413 [260] 3052 [383 502 653 828 326 354] 3058 [354 607 551 250 340 268 429 551] 3066 3074 551 3075 3076 294 3077 3079 551 3081 [356] 3083 [356] 3085 [411 391 540 376 551]] >> endobj 20672 0 obj << /Filter /FlateDecode /Length 304 >> stream xUMo0 7G)-}hH#Di!aqnW?02_B8y'ЏjQM t۲a<5K юL ǫ1?8^!*Kqpu潛B} B{չt mE侲8.u8xӠ. r$1dleաaR*l2psx/㈚McVNSY,uI’jhYrQ+6>ufT^QDܡ=VWkx6> endobj 20674 0 obj << /Filter /FlateDecode /Length 15400 /Length1 38582 >> stream x| XTe}aG@CE&BPBdLY5S3P R3uq4%u333Zmv{ھ\|Zeμ>gP}y }J &ˉH3>u³vGajڃcu;NemjͼB{Z\5?EHл[;}J8T_BZ4 %5jg߿㾜Ϛ;m qB Ξh^X<>o3H MWsp Idb.pQ,QeA%A>&d82{ϸη:N"l5")VHw6)X_j_%#[7VuaJwE@0lU߉ WD7 PD֓d)FɧdMd;.Vgv@VXFwd|{ ǎ)wwnNܙ#G vg!i׷wrRb/gOGB5l 2YJڽ*+&#r8sSf̭ڧؽ R3/79xUvoȔvU^7ztzzR}8Ζpڽ:q\9e;=vz,M(n\1n9ks#l5ԯ/o e0#)zܹFʖNsT{ƕd9~}G{Üŧ*>}cZ3ZR2+Nz1~7"ǙΧ{:s)lւu n,Iri`;ί/ul( BN{n}UNY?$~^M1EК8oZ\UK[-.F+$kg:Cm}n@,$lgb,*ԯv9r]>gML;@ܩ)PŞxUʞ,<:._^GW;s 5S˧ꘂfo8aH𾌋3^9 ¨`?lH߄]qX )bpb6O3JL`R4()qអk.gTRJ:yά6-s_·üQ^Dm}75Wr+l{EO6= ֖S_^]M^8˧{AB}>F6SR^0Y0nbPNJ4<ˠ|iO-d_YqI^5# ?^R~@¨,^x^y 5;aǽ?xB o3("hmfm$x"iO܁ڌZr'LYlcjd{53E`QIn'T۷6FR+*\I\_FX xS6ƔVLKJJNE$% 把#`!Ԋg"O^y/WЭw<\RY]Tz􉃶 8v;]{׾'y|!UKJ{OID0I>/F-h%.RNDbO%%oa=*xp.%OһwB?KB,O40dg`$L!1TKFm-Gۆ IDJeNĶ ؼkPtdBu&+guwC55<3dZV?e_כ'5朵4'qc&U^>EP?٪~f{(YM(ɇaQ;Z2CŰp$ 3<.YHm.%B 8!:D'uQ*&+Qn:$ %ܩNl̍wakB-ͅE.p};`4ZݺKxki5cgzdwnNHLû[t3~M k`h!at ZpFDW'iϫ]-4l_z&{<(7#/ǚzx77gŢ:&7ׯ\r,_ȧpHr[p1&6DJ! OHd3AOa&AQбD r ً+TXyo^|o>z=cl[a<剐Io5L"ARPE fzj p؉ g.OTP׫3봔>BY]!7OFek?``RLTa!J ϟzBN` <#4Co]eW^`Z-JCqoP+,wCw|\w \LV*aKE[ ; e-|b_]kytGFa(=H KmG͎gĠt7St_{Ev k߉ϕDiҲ_({Nz@uN6JLLCB P#b3t>iFlRD*svA+*uC$0[|aLnmؚ0ĩ| wwjM2&`yGhgI"S44G!&L]޻W0[,=է9Ʉ%CI;L6ƒD9ĞH,.7&*;[]quIVkSc Ia2LHY aBQŎ2b),Gm;(P?7<,V8wJ]#VlVz2ۃe!!{:",CQ,aMe%4"L F D0><W#uFGIXd51#=EÚÌj, zt-_\9Ʌ|sDfPui+l4ҕ$@#rֿ=яF!dtd\cg}149K@lcvgm! (ؠ=3%Ā -*FFi p<$I"tgdK_LP*x a~xLu/_iLsZM`AFn5 Rr>+^`vU ѿi :\X[%\ #|y|-&_zA  ӎظ0/lM5U5pu蘿*|/ǪP4R&PR^%c1eDRvI|VUV7ڳIn8C4ϓi!F!q3K ao{<~wdfoO 2dCy|W|Pd!x~0[\m*G1"(p>]7o?PP~~Q>X_nNnil4L9N6"=Kp,!D 7GmsXYSVȥSԕK0 i%t[#lmm:k$D(dpjQ)b ؄rvݓdV34bI1Btc uR቏1ޜRQY7bcvbEq^|Wf:-S/PhJ^Q};c?cH!2&]1E 1g,X"K˛ 9h=5zTHVJ{iMQϨΜ?Yw{};X^ Ғ`DBHxP1.:L:b vb`v!{?~/l6ߺ|eEy Xryo<1N&n#ZQnqP[j cz[pl?(=͛oczD+?9?yn\g|31(%2,#(aHK5g6$4= Jn3J!ª^Y?9{~c-';SوB--=qV26t3ՍL08)YV@%.ۻ,Zև}o^c+G-/45ucVo_{G,p d$$j6GV{($n1Kj]Q]!jʘC#[˛IG]TE l]{lr NZ[&NQѡf(32 ŴRW|җjcyRXYa 9+ -X3ĸM!4LMWT''H&JwUGȉ4A!_NƼU:V_o6fC\ua~>;BXÂc|&J}+X`h/rRnw؏^}Pl1,DZ7~kYRP{KzFcS}HLuℑ' 3}c5!_)'~^bl0(A$ 1XF-#t^ӏjN8sJ]E1D(=r8?U{Xn󝸠#wN7BB[⹁?8u(WF AB5 '|ekqR{Z=Siۥk&9iIi=6J<.1/짞d_DHq-u \i|wY03\6n':#iH"%r`7h>?s9Oޭ~.OcGO 9r(mmOdji:a5MNU3<yIC=H2F2#6ɺ tl+(g sQg}I9zyck=3zn~3o؂yIjW;DܢE//ZTjР5e'87so//\<* + +5HpYK Mu3JdCaE"*E!JːtBbsx#P1rsܱrfzjsG;i Z(veUTwjQ9aU/?dk1!C,4슎XyWg7~h&cWm}lʥrh#ɑ2 L0>+=|Y^]8hwPQTG'3Րi"{bF#HPҕJu^QÒǢLoبD[hpi]xXr+>G\ې>dGW.j!D(ᚓxXM/Bvas6?5Ȑw†8WPm V)b=B^9AyЪ M Siuʾ^bF[dk<8$L=oAkz5sY9 9Ǝ/|_i4h6 _k50qDe]CdNx;.,nH:-&βx MW0.˧PF!y L!^nG+ ߷gfYgsjzO{𮪭U(P?oK=|Řa3c/?n nٴyzΨWz'0.3L!6^ģ}~ *&=6OuU=\ڷW֤3_ȟT1gi F/*Qj;֨)Oί18#~Ԑ C Q\ʔaNOc% R)W!<}DddNyj {^<:V]@MO>v%+igi$fHQY:bY^cǗ[pxN㞇{weffDML.%g+gq_ãLֽ^1[4 KPg"t-*-+\7sV;{ΏJ7WEOMpL|zV1Ě1f[f ycMiyɎiY$#̟AR8kƢ^ źeg s H-0jU(&Ѓy Z&ͻ6\:ɝ$ܐ!^jd19YQ(+!fITH+4?wn;NH9x`D1w,LuߦڼܙyGd?F-uPa$[hYr'IjԤEUFO5aeyBgu>dIM-vȭeJ[T"Z*\bjb@esg*Sm!?^#k 5S?ݽK+lf">_Jx}sts@|s7$9iɉY`uEa;\ҹ O.7->_߼u\6#4F Z-n9c5/;ԍ0xKy2Ԉcm&ffq)iHFggd:fY;Ԅ\# uYZhb96CP.>E9A:#Z߇8MގH1rgџ?{Cp26憎U=,'&X=99a>[<{Ic3QabTF^2fyEڊtEZ1)٩QS ԁH4䙒1r)sx'օZNuCJ奶-q9'ЗB/);}**9bQ% 93gΉM͹`EE]9brIC._B*51=7o5<$CHG؝Xk;5~W'kkqxtz6=e G_`1~o|}2= INÄ*0kd fUr qI dTlzjH10B%%xV#$mAFh$ X&kxDɮIa Y(- SQ.q>!'fꯒC@rEN(V ,UZXKj쵁 ;I#P\oJi).&F" 쐽0U vs]4}Cg2]t&@nLX @_rδ;;:e{eDwm)K.c &?N=quPj| !h<\fi)ll> l>/tN-a7LlT^MĶ6wda|Na7nKo:Qn/ٿKs[c{{ |6#rsf{j8i hv:n\;]e]J_;  :&im<1c%L\8''y/`#'LFl\:[B_})8-}g6.sd.{.^}c6S\&9uf2X)[BH2c,xdc/M M0Hy i<5A6RK21fIT2v#/VrXU.Z=]b~&aE[CP63mB:|+! !^ 8) lWJHCPf%79Ǥ.z-mCcJ*q2[ N=7@S__<ǫ!FP6*аIg3< ,A# %鿵Whs%t Nw-67 l$kf@ wV9VҀ݌@&; iKHP2)c26ĊbbM^: yL3ܟ$EܟX4Lf?`5Fui|%7e5X7f"|𵝰pcv8Jo&cqT!$*&̛| 3b3+F,ig3 4nRs|. `S$].&$['OHLG6'o%ש2# d;1 l" 3&xr΄lS ݮhqż65u|$_;~!S2%ϋjIGuݰi#Y=gqCcM>@퐅q 2$)X Sg@ ^>"ہ a]#"=(!G GkZ}ԥ#_G>MbdE$ERNva|aoЁjPjT}.iЏoZOjW5h`c4n7_kVݲu ːT%/4`ׇzkisG?k}akL@ggLQ_[f" !碟WJ{.3#=_5NG :W{_9j1q~E{]Ёڻ +fvr2yJrf2,lJOO1b d!6Г\\W?dՈWt'=[ɕ[-;e X?m<N3Z1&xj&}ŕniO ܯ^[5І[ ZY`td;EsZg5uTKo+)K*ݖ}#j4rikz0k)ƞS_q*{y$j |\0]嶞|edMx \#;%B͝){x7GM>!&7;'8sTʄM33F?; tp_C@Α lP<-.Α@]`L^c=k( R&t g@w\a@ 3...;p|%my5p 2lm،AsVz,~B3_v L"9dA>UBZ?\WA"*!uD!PS\ь:`yhg4T8G?*>|R 3g[ hOV`s3e:"^F;A  q>:tyCs6zWNNt^ _#? lT ''Y *7C۷"u;إ 9~VvFoc US8e1 S75I?;-D@=f<ڙo[݄䅿 !XП< d6! 8%xַ=d*G<7y# l/oKMA','H@bP@~YFAJDOI;@'8F-I5hm : V[_AP2+,5/ߟo aLh?jɳ_-#^}lyQgzk`QyꇦsuGtkvagJok;Vz2Uh5W:Ti pF[WC[Wbw툇5O !d>/Ҿ˿uOE>MdA!+R0Rۭ|>u[!ojG^}hM?頣?D:)1DkGI`n3NRL[x!_0F28/z/0ԀD[o{#M?7u.P%XZ;װZ4:~ @Wuͱ$.y.fzCu;)>fKz^L}F 4EWrU'WZ`tlfgI3{B g8w|Zi-L7:^GE> y@m8@юq$Qm5l nV߈~2 gYnkۃiJ/ XF"a'ɘu`& oh?9h QE a 5g0!m"T("|(0xؓG8l q1_֌!rB""~d+!G ({l(D |`L ڱ q5> ]BЅ.t ]BЅ.t ]BЅ.t ]BЅ.t ]BЅ.t ]B=^~ %@ ! %>Z fLcnB1[=!\'M{!%sF>}A bRB%D fb# K RCnrN'ti|iK,M{&fNg0zKˋʽ҄R{\FhIiiQIPBK[q<`,+][/WȥEKGSTX*STPP@ 2 ㋊KbZT:4}xJuBRpA|ƒ Jx`ЖO h+џ0{Fl¬I 3gH :1򒄼ǓJ9;8H=Tb6,p1S'MS+ S*\ Uw$L*qRV+qѮR+4MvU҉gU֑A˽U=<j\r\{&Y RR*@JJʂS؟ł߯5'Ohy Rb*Nf7 endstream endobj 20675 0 obj << /Filter /FlateDecode /Length 31 >> stream xkt``31 endstream endobj 20676 0 obj << /BaseFont /BTFZIP+NotoSans-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20678 0 R /Subtype /CIDFontType2 /Type /Font /W [36 [690 672 637 740 560 549 724 765 389 331 664 565 943 813 796 628 796 660 551 579 756 650 967 667] 68 [604 633 514 633 591 387 633 657 305] 77 [305 620 305 982 657 619 633] 84 [633 454 497 434 657 569 856 578 569 488] 2413 [260] 3066 [290] 3068 [285 472 551] 3071 3072 551 3076 [551] 3080 [324] 3090 [411]] >> endobj 20677 0 obj << /Filter /FlateDecode /Length 280 >> stream xUMn0b0B[ YJHXGM/@Z*2fI"yo7<=Z9`vgt+--b!A*6 Uyy'*7ggWkػh#j) C^;֍4 䁳u8NPUZg9vE^|w6<!@MPc> endobj 20679 0 obj << /Filter /FlateDecode /Length 13197 /Length1 35755 >> stream x|{xTչZ6dfɍ!d!0be Bg!&(B r XjT"0PJ#cDj=ʡԪTQDK)B$=~ϓ_޽^{wfPB "z״u<;-G.vg3&ݳ`%J0{[VwBHjyٵ7!h=a]EP`CnyO2ΝM~ ?`Cb Yw/Zش8@p`ޢ_-'d=nQp(o}0M;N FAIA<x;* w[;vblnB>xCl6")Nb#cpD~j4c _!۟VNH?_#&+$#Ճjr&|Y _ I,Xyȟ9(]ESo*P3LJ~MB|'"W#*%.f+'Wh>+9G'%r1*(V6;OTtG n;frG }2%;v[ll2YJCfbHLw; g{'zg 잘\?aš{;" &쐻2;&GϺ=ZOgOjw!c^w ^wuo_ɯ&7\1nCַLt<;~y`lWAEq_&Cabg׆J&Npywa8wðq&z'=XxQf偐.uM?4o̮&pBNoAp+k!"V({"/f;5?? sxX-ںPZWkniD׻sb2`b?7LzŌA$AEhDgq4/s 8<+_ :ʇNq#`2؟`b6$ Hq2eg9Hv8J(l -fAP7= B =+TZ m$Yhg&9-9m!nO7](۩}k}s;ة4NSNMn#$!HD[-g|nr꙳f6ffell" '˄!!11)y=7|dΈ^Uݭ 6TO83LoiI$N1&#&%;HC6 s gxCcD$bЅ[w'}ڲ Ԥ;b WgbeV!A~gDL AYbR: ډ:|ny:O:Aj o!u=^ĊZ_YBlFJT(`B8W4E+TgFQLPA\:̚t:qfcV&a`:A$'w\_ ._ M?XA *ԪƔM6QQqWY3g>zrz^=)v|FTlgv g;1BxlLv%0B-lpD((һ+P@aD0g>&~Ky=zχܳ'.=ra?(I21QZcHbG#Aj#oF:HGi댂(P'4+\WSwt0K;ˇ: c9o0곜<_2fe"ZI׬A|/KJ,'בI088 Px,*k/)#4\ы'5NDEH`m3,DwPRZP#?U|t\uuWd[q6BH,UXjEIn*ا.\_Tݮ\Ť0}TACֱ,Sfkb"I\Zxq kÝ<+}'#Ȳoc؄DA$ cpzG#F{UNZЅth5kf%T}upp ;#* r`;D!(RCDhy=mZOv<'_+4l4I*S.ZDS"n2_X~vU!ődo"bCϨ!>CTλvKI={t ]ߕO+9*QϨ_K4AT[P W;Rr&I!~D$LMlIBCa Gu{'7v^OZOk&r EOUҢM.rKDp&Kl%o"؉yk% YuGnUprU@k7?qK5ķB}1Wݥ>/PXqR>t潕':V ØWHB $VuP8ԯ!$n6F"nv fPȓ150V!g DV)x+IR߬[0t5kJN6.@i?|嵿`dɝYM_W4r߆,+7y1 [\H?PdkhqQBĈ;KH@.UR T/|a,HFG36ەWp*LEXjMSNsd.?F=Ľ+_r3X}Bc$+$qZMz\*Sm^Nh|0g2&z盃fUpc~{jlK}-(Xģ գ8P@br>~lQ<f޻^wqERw3͊S2񙝗7l|/ {R1!^d̠l'6s # P+/5;.^ l)}0!S.۲ײ@&Sje2/UD <\67͋o ]/t~X J}xĻ Itc/Y /\^6O};ϡu__=%9B\?2!řh,ωENg +CcȬ`ݐP4D[ xGJzrlez7$$xxMdξHT/oӔ>ƎlSzH^)ԧ^cOrAZm*.QҠ$mS ",&X3jpJI76-:߭wr;ҧp*կԓv9dFQ\־V\סRSTuJ $tu(&'2yS\t03豻HuЕhs \]X-Y?#©#Ƒ6_|^IX?g&ӽys'zИB#uﮝ˕jHj6r܎ 6j45$)Ό8if0ήgt5'-̂K=ypI:`K{v\M__^cr E>K2PnĦʶY s :͚٩= Fg ܼsi;86w]גjr牁׍8%hLJNID Ȟ07h'&ᙺj1eɉlYpIy;ZęP)_WKexp/,Kr.Qf`6FYxP蹉jFHu=$*vPXCqvLV;[$~J@(>Ћ7d?-1fņ쀼>-s-0Kd.&푴^=9%Փ3Cv-oM{Buٲ=:.I{GImވO'՟DY?u|i[Y,~߲~|wwg=*JWif0ngmDD(䰄G7/h~OOhx=ߜD:Zi/ԯZ<2pcL{MD15;D-گ5g`QƊhfōϑH"DdLCa"̚X'(1P@3칳w|E/0j0Go=5ީ_;͂#.e\r3EU[IhɏF엚L[vT7dIg{ g{Y$hm/J<$"{-#h/ {+NM9r?zFSS޽yã==A#k 8rһ|n9ftΌ85W1Vfgmq5AM&3j>7qu_ÑYQʲm/YZ聝SG8>}G^\+.\IP3nO{e e.,oR\>71pcl$YM0RjG>'Ǔ%no5E,P:9VuФX'#،l_mDu'Zj۟hY}gI}!~B3{[%toо}{C]o7![oC}# ;Gy #oWӔ TaLMAzHG#xLrU e.G|glC/}#:&Wί>>gҭN~W^W{U: a:}>vpzD, }XyB<'^rm?E[E?X[)3ˁ\;7>"0,r"m&Cɇɗ Z8zf|L("f2tXBb6X@֟ƈ@|(!N^J)d|I@- KmSp\դͰ)+jWtZgZzd*V}OpBa*&$ԇv,""Kd 0!Lv-B#,4KWvYKy.^]FlԊt8̮ŏIAҤzzR=7Px|"dKw {&Uqxer4b-F2r.%LtG,g.7Xɭ: \ׁa(ta-LbjyUvD=Vk"LLgrܯC%hh@?7> 0ӞouV(l/\LFc3:[( 翱5CA ?4jnS'U ӹF1#'MkWȴ'5 K bA:i/oܐg܏2>"XDEYrXu~bӝt<6rX^~lH );¯X'-L'/'{67)_z62㮠#8 )Bq,P&c]KcC{#)az9ldm,>H׈bC' t@Q[(')賌C[ #T*q}$|R9aeLQil!zvf *%%d奤B<9T+[жE{v./]YqؘY)h,0n'm~cm.>wq#hY G92|2,%̏v Q{,0)z^yilUF>WY>i6C\ų7`up 5 mD1K9N ^!dZ%x:Qh}4 ")[a3,גtyJNw_NټOYn{KKA2ܛ$Ӱ(OaoaGY\ri/30(!)⳰ɤ81:ʆ?2nuWMp<iN7H ߔWxp3ڭD ycUz*B%G*XRB{8)(Vu2f\ϵ᳼neu9 9FjOalukXzh"0YٵZ@%r[ k/ky x# l 7R19LJ3 sray `CC!PZe7~΂?NMh>>5:O̷֡o QiSXo^ \u4aVG8R\XU:FJOIQzXlFȄ =K !_$ίljSK#GՀ'+F ."Ě|cܷ,9d1zV:o`Zu?h#X) _`-1;7ت}G;Z8CڔiW񳄬(l&[,= Z->BK~rOuf+<2Y-akd9 %fg;F+lq=2[y r2={A!acD3P)dJ2#=y=z^ 'vU*ļ0jBڵ@Cx:2CEE0Ԓ9}  O7ThBi@ew:Ϭ})OV X%ؿݢkA Zf6WVݲy 0RuJ8{ 0[ UcF뺚ͩ.}ZUP[_]ձM#5ukߦg?>#J>: tw^+ֺGVu*]o_ ($SG ,>2\_%UJVx0?3:c,bK/@ʀ__Β^ _:3d/ =-E-(i JIW@0́j{zBgsf'<(ִ<"别*cU$/> OBR&wK$R2HudxyENאnxӖt  6VYBE&0*sP IFģx/!6,O'$֒`) C؀[FxdD{j#{T=>s)V I_&U@x[Įwx$ .$Eh$qqX} 3F𮰝 w`< {*B{2ߗX?u9N6D^a,A# 9}^.^ %. @xF@Fva+v&J`c,o3^/ܻ1r͞#'5Q[E;KqO G-g'ω7vID="79;Xϒ7unz|31[ZUl)Lsz\lHmX|۠N ">ثSԙ;"덠\B?{9 i Ƥ[ vƼM,w_z0 [)|:6_~ẟ0۸H(jaR;(S^+d1Y𭸊~QDۑ[ wQ 8Zs~}ܛx#nPz5 @-<:*hSo136(|! J^Ng#;ȹ^ |-;F/K}yY?}▔  Dg Tr;ehK'Cΐ FPOço~z=.vj-(= qe> mz{#'K}2NC99đd~3YS~_;)sY3d%9<c.D'e`gw) MBMWMڄNQI"zZ-oОgg2D?3A8ZǯO8 ()}F`@"wI@ }Y4dO1TB3@OFuHSga,+ v!gn3?;Clc;,N8Ⱦ~T߷| dv ؋}-ӟd6f؃X/Q锡y4GG)0(B;QήSX{(T}u+ey@~hϏh1WdcGߓJȵJĎ@;ERG^hgtSO܀(ER]53yrѩ]/EJ4D$Z:Y|4zD5~Z IVFAVWEa QxXF19<{gv%5rjaexϱ3v.ne>rF"N#iwa1P9J1AcN/ 6ܿo4-蛅gρ]?":YJagvn(,lKIr7{ ?EPR!&[D|L̞/ 7a_$S_FƎ 6%Lǚ9:>ź&ke}L:u Ixﴰu)Lj0ġ>E$ ~ ~I8'^1Hy a/%bo):c|C=w]}CW\_/J˿y2/z2'^Gje2_8MۑPdr8hkSH*ؙ-¸ml2IځvUݑXdc0Y_OO}e)2@#6fIaR*p+пbeԿ?3 Gxt$uwY<2J.c+Vu~ծ_ m=\Ԓ"" +^o)Ȃ}ehm؋Vd AԂq,Xbqc1+Lmx|q/c q"29GHLm%MB&I3S;Iaы^E/zы^E/zы^E/zы^E/zы^E/zы^ 𤎷 qIb !}tGm$@ 2RN%";IbMzN,&"?\ב:rҪ**@( ]ZYW _V bQ9M+C+.\z'S(WBKhqI@-/W(fJiqEZ1+U.ebjiiE[7pQ+~On*|bEZ?jo_?ᴯӇKnO{XM[ܔI虦MB/€1f]f\IOfd~U endstream endobj 20680 0 obj << /Filter /FlateDecode /Length 31 >> stream xk```a MhPn endstream endobj 20681 0 obj << /Filter /FlateDecode /Length 21353 /Length1 49465 >> stream xܼw`\7ek p/]oӭ-n\?OxHDBmTF%q)(r'6A<;Z;gcBfOcmʿ ;H'OgKGGtac!,a}*n`}P?nQ8[3T}( uG= >9Wu-iǁ=~=hkԹOJkwd9c/,;Fuu z{.@{}vJ}> i`ȟ&es=q`uXՊ>>O:z},?q=ĺ\ Y~]Z# ~>É:}"WtcX=Ew]=egRޜ=~u]IɬQU]~nՈ~1#˯8z{} ^"R9֓ $ɽLP;DH"vM"CTyk^~O mCai@':iw9},sCYpX]{Wo`WpeU߈n"$8A^8iYOsw aޗ7 eMΞ.DYj ƺ=':?3BtkO?S+fww`hk`zk3.<>ka$A|ΜKL B.pONRJ2{ 5tZG_Թ o~tD%ᤶ@HUL{d̙Y( J+Jh 1"+c='T{NY9ae焖G.gHMYL9˟!~CDИN$Jhde2hd424242 4qTJk#u:i:>&oV? !LJ]̌}!D)˟;9=8!Ҩ!6霹vQ$[F>/.i)460ft) P19rCs as/B/A̸:B|j0q79 ;J*U='ҁpPYpXJ7a % U2Va8c8u,c8pXrӈ *+3V`8b82pXeʰ_>vBmTFm$Ob_1]Hj u_9]HcRK57]H[C*eSZ O~ͰO)Yݤy[Kxr<'Tਰ> 7dQ#DUJ5L4Cy&SdZ+#.Y4W \%\0RWjJPTJ \IbR,|SVߞ]zazBVqK0bJr K1aUִx)>͚[|~ׄˬ)&"3m[{YRAfZvyZAe5z|הn%>_],z# yK=Ʈ&QH=%mrp 6󈪆h !PSAZdR><Ry'N3)-o묺(:4MNs;7ۜېY<ğc-tY8)EQu#{kr :ZAJ|$Ly"ᚐA'[lS^q1 K3J[(Iuۣ&Z$Q3'p07|M-5pL, \VR,<sNyr_=ϫ2GDv_7ܵMמ^]mi֯ 7tu?K2& +ĐDoȕ(<Ŕ g3YEgR Kg`TfsRoy!pğ8q*0^x.Ҿ+>{ixlݻ'7O,dz& AFO@EEW0uSb2j9J!^8oh'~zxx +גto z0: 8ֵhY*6FO\ĩs|@|+}asɋsawV(;1‘B(dc$NO [bhdʬ~ksd^9y])8%+L`$R3i*0/Vbs 1i+0j,#QJ۲om9* Tg]M +B`Ϡ6] `Fyi.g\+IBg'*%Ml̊cUe4e7 4 %U \ʁ 6zTc 783zJF*}UEŦoNј̩l/MtxpG>1ʑJi诨*MM/^geˑ- 0n)օ`V,,B^9݁ܳa:˶DX*hY+9p¹eѦWm[ᆉsQ1\Xi-T|(9NM'~t׸M|X,{Ad^g󵎘ǹp\繸,S,Ex/IjO\HȁS$=d"-b~ ˅_YNg[" eV[/g{w BQf!bA$Ȓ^ldŠCތ/@JsƏ ->NuEhtq00dC%E1'~L)+ܐ5ϕP0q-nXZWWiͪO"cBb~}FZdNwpCGOl3R6T-)/Lw%~$ųȗ\dWocsa7%_,.%}cYpy@֔NNb;T"O1V#BCͻW߹tO޵CE@Ir? Ow,~s[憾 rA@J{uX ׬ 5ym x&FCj7kR\i.iP̷%ȓ/6MD1yskjFw5,=d꫷زjFS4t@AbJ&<5sWmz E%0l%#h[KG\HTo']6n̜7h4nD0)IR2B򝣌=4JߺWj\Srr]. K W?B^+ዃ{3: }^n﹫.ua5$lg/QP|*Ul"{2RрWi5L*EgN>&*3-{ٷvd= jv7޵3to]P7ИcqkV7߶/NH1Ͽ׬{y[͈?2'19d'+#sy &3M洸^LF! W#d<& 'dMc)5Σ䅛p.Y\ӫQ{zwwԗ \^zvsqAŝ퐚榅 76WKNsLJ#uҐJdp8s  7ޝSthٸ )<)ϤCˢ[kٝcjzY>̘վ _g҇EyRȕj0L5j)==kG]`D߄vC_~~L,8zY״(C>.K=F)-?/GK?%ÛZpOCs=)E IaAs,a_,3m<Ӌ9U]וO9E}tGFk9և ~8ȀWA bȍŇ6|qȍUdYۋB y# !z|-)#e%.&c&sjX8*It 353JVƧ'X4Z0{o{2o Qe4[e4j3󮻚`f 9pIނ9hWʬ&}X9,*:!4eD Ɖ^u=iħQtaL|XP:D v2EpCR}$,l4,4 stZH4_YHA=Ž(i'm,0kVU\MH6"5C.af]3qިʋKOuE9{A.e ELSҦ,TnTH\d9kL.ê0=*Ig"7Ȭ˙VZ$"q&${ :~ss>'#O^WWSVHZjbFԒ#QRihe1R *7aK%Ҋ_mZ?)t"c] 64-ܞq:cKRСEIWflj6XUu4wݜCPܜ|Y c*:("3&6V:ڎKM,[;BZ{kv,4 5IԕYsr2"25m_0&7YEҼnᤃ6΀̮$ier$]=֮o)~câ#K&ݲfŠpd^:&D9ʵJz@j1<㆔n["ut›D ̓S!.t_Grbc,W= rY,. %[>n]loi-~ǎH$gw/+SIvIL"Msh(9mDWQQdQyڶ,_~cH\'yc`SNyWjZXLnBQon{퉑zn3,pPpJ<{kz]j2$-0eıeD6@c33zL -%[UTBNSpOSb  |6Nݔ rE$;BiߦR$6|^5gmX2߱si5<핮SVԎ4l((.Xu W&VVڎmM$1Őf)oų&{S^.z'R6].[AqHDf{2~<`Sq޲=&P15%H"A\ΎrsN&*j3)ۊgҙnYȀKKڼ q@%j #]VINח2P(;9Kq{i.Xi)㍢bxQIxLS8)`l0jV:tPL lyOk5L ,*Dyege%'%ưShbV9 SK&5:wZ2{@Ez "s(ջܔ eQ^YM9׊{XʤIqJ IRcB;. gdzEf3-WY-̀RδtN)2S S'/dm=r\^RL?1 2g"s ͢I US=\C|(y PNԶ:;eV?^ }`]׮%'׎"f {B_Б%u;\\}ȆPm\=q0 aM 8Z[ݍ.W;%M' 9Jعfڹi粲™Te%,^ž f+2 ].X[(4j /=nw"5F#Z[hz3-|boFvN34rkdg43h:ehfopbX6&7Qsa"`yp%Ze;-z҂C Z奤͚(#Gl,F8p$w_w$ג@: &}C`c+o%U|*Ϭ{5x.aWj8!;1"&1#uV'U8iCqN5 !k` x^uBK|\9J'(} pe8} 8kAY-aJKftb՘ٙ@lӼ"vS܈>^Jˑ7s$kgaELDuJ$47Ӝ -YUpʊ2[&֭$f_pi.^[;^HZß0:/ >}NXwq;Z)PIsCqў*?Ǟx>ryƦPGzFs\"5ʥ4Qf#%.mYʥij田ôŕ$Ɋ3&37sYe'; G[7qUY ;*&}JHgLΦRVP9sҧ7ڭk:x*[_zѧ}f`߯5QE٦ jNr\FvJ*s/ ǂV8{t\ASd2_N4m}P66h̦K+ϓqQ5=y"%6?9\Ip5&gwcIlӇ =b.Ѯ!Cy V1o;o);ZLt)II @bņ#ob--sf#}cˍ 4[6z\6[BH7@;x,ٖ)am4 :;_(ȝYHot7x GV.I/k7nHuvG%˙dҷET_%"}_ :K楐LZhIɡ&!bx,..4VDX/ GzK‚*^WX&$I{ \*L.}ްTە*XhQ|tLOcUӾQ /w֭m*?UG?y2>9qlGSNyECG}{Wcub^Cv~gYB8~5%[Y"7P({V ֻ5ܻxwNhm%cVMjliv5孭O ,eٺwM ۸m3 jUh,-4T-P5̭( K4+}˒32ShhwT5(KMQRK*}ZH/$4\VP[X]o:` zR{َ>xjxʟ[Ա=To,:F_h[WkvcgH_װ`%IMDbsѥ~raX TOmA6vR76Vm3׵4v4/*_(|`8*V|dFOv{ufJ.!+0Ni\D-k43:jt`遰 '#NskD':?)c21̗ T*ܺ-;^Ꮂldb~k̖UE nea{qx/tn9x_O,|}=顯0o ?Ff۲5n_a x?G8<}r=ptkWVV֣?Ogw]~!&K=MT3_DԠxո*ܠc2xv*CFVU*m/jG1-!"ɾemZPrIYToEa p2>K_Z_;2˫"6 iŔw-3g/'\6ژ xʽ.M >sNJ}Kd 6Wy}}ώ׸pAw^er$owD'?KKT\?n娰ZJ7ݹTnv.ɫYYTّq-qQ[P7On~}M{VZkXs"^֚w*qyi"ww*9=w~qZVʾeǷ56ǿ6мHQKv,<8 hNaQEmBLC#,a; egia5*N0N٩GE <[V˟P&x2RSNyM)L/jd%cA%EbPɔjZ`vI\Z Xڬ?h)jNǨ4X*~UoQi^y_L=)./4/80K. |͝HFml# IeפW.OD4oCܰ::}}o|d}%%}\^½R/FfITlO^huSDpm l{GoT-bLX_/T~0$ BOom )wGٲ^/&~uǥv[x z =!ƙUupwYagm$^wl&%Yka!7{id0n^r7mmKB8RXd>VR'x8%KekO)͚꼜xKjT<*2ORXyʿMgW>ZF+*F[5θ8gn'w7xݦ-U^5p-WlZ[Xrp~poJmO~^}y=)P?1~*XA U$Z38qz9z[\8ЁȞZȹ[񞡀p|47\DZu{vpE0/~Ztn[.=Wv{srnwm^wns}moa[.['5P}monnKej*bc+jkzbbzΰ"} q_KjƖ/YYIiu(0ss({gj˧g'؃AְBuIDWdp~wkv`?JV+?!105zG$7ڟJϿ8GN8 9Wu<⧿T'_R2wql"*q E9#a+5q4Y!~vs GV$Z} 1TST5d͵_8'>J5 @o%MI#Ex q3aj"B?9̿HHAըv"ݸDT%@S$GLrwDo#9v'9J/$GW>Tx/|\Ex #HDW9,|L"F[0>d_[T3 l7fOQ~gV:R@RdrEҹ_lq%^%OHdԓ u#{5hM"N]b$Oʹ7LV2ƥ Drp& qs$"]Aj3Wz2ⷁ} =NpK$ZȒ}DsLAxxMDЭcJ p5`}O _$GwPOT -dz!$ /Z2_OUdpKa>6 ]|d(#p=\'8'VBѤs$ =DbNWAVApoU5iyW@ANzQ'ur'ϱ:G:􌪀o'5i V P Y~P-#Z~83O}m]]⍤GuYEC ?;oJIU\@: yIVO~"3WϺQοG:OFFxpVb2&NؘNalxoiIP~J%8`*Xh4݀E@m#ՀՀSyS)ٳ4:5d@Ï'À{CJӀo+8~U 2Q q5iMl:Q吟S\P<)̕aV-Wbq:TWH>:Y"f̀gc1v ʽHJd5CxH8A,w8w#$dzB{ `낧vf,HκlGї< j C&G'fE1D >] l ]s9Ǖc!K:=o!d+^z38HDZWIc {U _XOJZR(zI:dî.&Џ 3냗/~Vve#˙]U }{\mrX,y 6 /2vR(A`+O cS@-R$E*C  ]݀!\b Y3+Hz48|mb9bo"qRϯ#Iii ~(jП/ׯN#xA0⌥!{<2ـB X|?H5h{!a{W[8KBƗ Ę{54C\_q0&{%.Gc~F('-?ݯʝbT$y<[DV%d - { @;o@ xc$$bO~1b*Ĭ"ECBZ.ijzRčbd 2g*1KH)~3~L@R;bW_:H/{]1piCEW25t݁k burwHM!7헓\3.i-Gos7 FIFNj1G+b F2 X4P?@| _eytYC~ýC p3]O { x/c14i tT]p)>:ĊG 'w@`TA* @C"ж[zW6Qǽmz$<1KYCX`뽰qe:9nVBl;g K:+W%$N&?/.b!Y-$aȡme .bd縷 m?#~z!`WEp/B>? Dү!%l%E3!VBJAb1 9ߏ0GM%:ba' r)So&i{Wğ6M{9r7gu Y1FmóR-܆I g|U)o<x ``APX X"5\%Wr,Vg92n%י+9[kJɉJ>qg>YFۚi6O{6P6MF=;-Th\<=#J8jxg92t  *3a0!p=;Ke Ane|bRޘC!]Ftou7/EJ'@ +؜#E^=<^A`9Ӏ;(W HGWӝ"oyN); Aw2$sNwԡwO7Ȱ u^L'?iXX?\K4w? (1]( O[G4m etڊ._^; _~d[NY'>|_ W@ Y93Mà ^J|4Cpu*yk4J9 zب} m/ e פ5;u]ˀ"x4?L1`)Sy .2xBGN :ċ] }c,bkL/pNtă^к:[󾜜f2|V7>YBV卄JBO )Uϡw.C9B|}XݍDLAhG!Sm 8eV dwn)WVwde~s?QY-/3#^2jXoQ(hV8<*?qx?U9F-ӹK/;cgFc[@ns2E}jY5ѧeRCe|I-KP>áGrgl>\$jW}[["z3od.Iaע}(FF/y5ɨ}DeS.FZ|7c8ۘJQ~ # ɕ+8 xmH$/!>sD *$pOW!1:/ec8?R_8|Hw"Ke+qHc| YqΈϵ3;Bc[$dh)K*׍I&K]_ (zdP ,yrvIT,|ZLSQBJଓWs(c8Rp <ׯ2Q!F1ab=iB)@>#( +kVCg䣧3 Ӯ#9gN~0Ne2G){4:/G|.%i MQy>!6]nYU FB)Lۢ8ЊINDN+SWC5(q;qo u)& ygک ۮݰ'U}pnڠ}ۋ?\Lƥ}N(cWumޮ7~2+Yۻ$M'g݊7%OI4a(ekqvS xi6\rqȭ'mʋh8aNe~Ǵ-|q(~[v!E{c܌ `݊M4+K'*Yݻ)Wz-``;qj.pʰKθ; T 0 0 Q%޿N0 60 0 0 0.=QaaUg'S;rȦJZB+oPI=/Z]U͖ianTSL̽,#gt2Q|<\L&º!^$8չ9$]fuk/e*H_`i9ͪ_T\_%tn G - q)N Wg8I]lS94/m endstream endobj 20682 0 obj << /Filter /FlateDecode /Length 63 >> stream xs՟8bz8~000(0eo(%, a*~!$  endstream endobj 20683 0 obj [226 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 0 479 525 423 525 498 0 0 0 0 0 455 0 0 525] endobj 20684 0 obj << /Differences [32 /space 70 /F 83 /S 97 /a /b /c /d /e 107 /k 110 /n] /Type /Encoding >> endobj 20685 0 obj << /Filter /FlateDecode /Length 438 >> stream xESKrC1g&w}vJ■Kx`@;2Ee\Kj|22Jblq1_ 10#&0%˜-:h2#>!. E E٠COوoP)FHg>"vO0twT6SI# D:LSF- 9йjCjIpS :lrj"+Zb@!:!$(2OA3q=NL"g/sTc eMb(h5縘9U_=& pw vO~A8' ąk(86ʴp5-׫av endstream endobj 20686 0 obj << /Filter /FlateDecode /Length 568 >> stream x5TK%1۷w%Orij۱z+fL=j[=ǿj8K7-g[ͰJBQVPcY\n2qr,BGnldXB׶(f#[!BAT/oԎeM\A?UnD'-[פּ8rX] *<}8ע5-Ccߣg}P^Cw B/vOaD62l8bTeGԜ#c4Cud{314p-QZF6 ֺ8#"B1C g&QPx&Ӓ` AM$Dq@ F˛[" S~~uUv-=y mxv(RJ8}XPW(DM(cXuub[}pK6^'&D9ou^n)Ba¡%@FhAKf' Ң>$ˣR`M~Is։92Exye_Joؗz~ endstream endobj 20687 0 obj << /Filter /FlateDecode /Length 21 >> stream x322S0C^4^.'t endstream endobj 20688 0 obj << /CharProcs 20695 0 R /Encoding 20684 0 R /FirstChar 32 /FontBBox [-503 -307 1240 964] /FontMatrix [0.001 0 0 0.001 0 0] /LastChar 110 /Name /WHQDVP+Calibri1 /Subtype /Type3 /Type /Font /Widths 20683 0 R >> endobj 20689 0 obj << /Filter /FlateDecode /Length 511 >> stream x=In%1 Cr]Kxzչ6rd֬/{6׶/ ~p~c8s5J^-R VbT[B-gYgU8~{+ sy XmǑz`Nƚm$uEBڨko%ݫ!*RXfC=kPHsDk .Y˜lw Ls*>6Tx?hw=9I 1ZuA'jmd0vlLŋ24c6HaTctA|jS5a#-\*л˱ZZpҫwRά1dU~fĂ;1uLU"bc+f3Rz%9[Vp[+b˒2ֵL7P7bp.͸PGW!,))k:6PB;o>2;*Ƴq 4wnhM8(/֪^LC}zΨ^??~ endstream endobj 20690 0 obj << /FL 1 /LC 0 /LJ 0 /ML 10 /OP false /SA false /Type /ExtGState >> endobj 20691 0 obj << /Filter /FlateDecode /Length 493 >> stream x5In#1 Er^@qtzչߧAl{U[e?sieUnZR +?~*I J_eH:x1fGJGW3^W^э\1v&$f|,3tk ewh(;s*O3nio`"|~=֗,{A>Y | R^1ަk\O3jmv`=u~ IԢ4s̔)T#n~O~nOŰj>[iMbl1X +;,]sZ>;t&1ʮ{{Jzq4F9`C{ ama5S~Z1~v\r%M&vb2v]td2-V"_XKna[ endstream endobj 20692 0 obj << /Filter /FlateDecode /Length 574 >> stream xEK\1D- D%N E}kև=zW~ζLB--gZSdFZXR:I?,ZI0/"%dC8WY'+*\~uO=+>0!zCP+DzHqOQu1J+h-϶8:yG߅/]/ Af uqCnM[_dF6F1A;h$%+ݠK~C>J/7)CWڈ68K$@\.(d!F9O}\f5EȨ<Է,L SG=D5HTMGr]/TNjè;N4Cρ ثѯ,GӋ" yWȾk+S=6z w-\s! H*m}"5Jq ׿ޯ endstream endobj 20693 0 obj << /Filter /FlateDecode /Length 736 >> stream x=A1D#|/5`cګ?s+,JmYc*mBhy YC{GJ?1NkM* 0BGbV/+NvJM0iܣVVJM4m;~9#)8D}FVRJ`kQH> stream x=I9 D|^qt6z W$A`V ϵn_ym<lsL,\qa"ڷ>rzu^ '˨*GL#cz^WYkd9mC(>OLJ~\8n̗NGf6aqWiq-4 jρq<~&W: rZ56Ğ@7;Lt6tL'T?Q5=|?q V1 i{K{Zs1J@]'&$&c:g3Z*^MDn9P.ѯ:߄%Dt;Ey!Dz`v;qrF~fLNi)3#l\gWZd,_|^QCQ ~N+4J| |dG-S WfJfGk|Fa-yZ~E%3v?Sg endstream endobj 20695 0 obj << /F 20696 0 R /S 20693 0 R /a 20692 0 R /b 20686 0 R /c 20694 0 R /d 20689 0 R /e 20691 0 R /k 20685 0 R /n 20667 0 R /space 20687 0 R >> endobj 20696 0 obj << /Filter /FlateDecode /Length 344 >> stream x=RKC1W@M8T;0IK8(TχS_QH+0PF?ZHL`uXZR^M5)ޠ* n}6f) q# rCS\$J\ lkыAKԾ̧Aw`}wp!qIqJ\t[K&7P^9`پ,^ת$_x 7qR9U?FR͑U8GQVfBj:ڶgh{њXL8cCGL@j#mJ#?6X׏;Kh~;F endstream endobj 20697 0 obj << /SA true /Type /ExtGState >> endobj 20698 0 obj << /BaseFont /BTFZIP+NotoSans-Italic /DescendantFonts [20702 0 R] /Encoding /Identity-H /Name /F5 /Subtype /Type0 /ToUnicode 20703 0 R /Type /Font >> endobj 20699 0 obj << /BaseFont /BTFZIP+Lato-Regular /DescendantFonts [20700 0 R] /Encoding /Identity-H /Name /F6 /Subtype /Type0 /ToUnicode 20701 0 R /Type /Font >> endobj 20700 0 obj << /BaseFont /BTFZIP+Lato-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20670 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [677] 6 [646 668] 9 [760] 11 [577] 13 [565 730 763] 17 [280] 19 [422] 21 [513] 24 [928 763] 27 [800] 30 [600] 33 [626 542] 36 [590] 38 [735] 40 [677 1035 649] 45 [497] 48 [560 477] 55 [560] 59 [528] 61 [350] 63 [1229 665] 67 [572] 76 [887] 89 [589] 91 [684 1000 520] 95 [558] 98 [240] 102 [240] 104 [508] 108 [822 558] 111 [567] 114 [560] 116 [560 364 433] 124 [358] 127 [687] 130 [683] 134 [557] 136 [516 785 498 515 452] 311 [227 261 250 236] 318 319 365 326 [452] 330 [371] 333 [459] 336 337 267 338 339 306 340 341 301 349 [204 370] 362 [580] 370 [580] 399 401 580 404 405 580 435 [580] 442 443 580 453 [625] 1140 [236] 1142 [580] 2462 [616] 2478 [930]] >> endobj 20701 0 obj << /Filter /FlateDecode /Length 634 >> stream xUK0 /[u'BJxH,PU]CJBXkcDzId?k'q<߮ßνJݔbm}2i|OKYdǃ).|_$J1$ڋYy?/X]ΏmbjWv%|߼QO;. $TCh %"0&XareL SM'@7l4 фυעE2#%P8^A}!~c!Qvz.$[8HPm 0HvZ6Xll͢jn qɂ&dAhR@|i꩐M3AMi@SijY$llqPѧΕEϕbi< q,w_qh o ;?Ƙm4)M)Bi#Mi5pHsb54=[Fn-կ nȆn &1iIJ(;0Ա'RJUnhQJSTFi.Jbvq o)^g<~~__ ldz endstream endobj 20702 0 obj << /BaseFont /BTFZIP+NotoSans-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20704 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [260] 20 22 551 29 [256] 36 [562] 38 [587] 68 [568] 71 [579 499] 75 [579 258] 81 [579] 83 [579] 85 [398] 87 [332] 91 [483] 2013 [200]] >> endobj 20703 0 obj << /Filter /FlateDecode /Length 300 >> stream xUn0 > endobj 20705 0 obj << /Filter /FlateDecode /Length 8539 /Length1 24574 >> stream x|}XTW{u S qJ AD$HtIB&mv Fm8ġBh۔!v؎1mlvq:hso!? =y-is'-@ՂWVǁQ_ߘ,&&+k_{,(o,_^N ہ=Np(?_zOZQ~a|Y9Qj7;翾8ψ*JqVA(/.\ZP~ <ԑfD&'8թ|j% @I$-^0>Oعv'h\6b^8C4HQ#!"F2/%"iKw"[Dq-+j_ۯ9)(ķOUiek7|SC#mpD;h]g{|(j&'Um|rdn g*\nNg33OLڔ)'/iSctX=5驜gvGeӜ5ޢ9ݝ4.M4CLUgxbJ":v>+UW%8jsy,47o%zބ(|w#;Ǜg-(Xk:l"l{k5jO /+vWL.dܜ6W5T;lVG970E%ƛkgs s^ty\g>j?acSfX HXj4dc9h{qq٨:fe&'RoU[[Lu5{G$2߻VVzCnad˹ȯYd!,<Ç4[E!Na48rzFaD˛rvOƈUPܢlToc7ґ5e!Es]b19Ëhn&}WJ׾US덭\1voSnnf1 ¾J\ss]S >3d+FF ٍVTrȚ^s\`rD-76!oM,6= Ϧ"晑cwqmoBhCClzFrťjs9:͛Y{1!c aALdGM,\s]+8`X5h*2E1;w̽gzo͜g'A a@~D1v^uw|ȯivuM2(va8q%ޘ;1܅%=fTew?v6LQ+Z^ 6^ |IF*B1u:F $Ϊ//$ -ޒﭠ.@[#n>;%ʱp\NF3/sLw3I Z A,^3zכa,a+?z9 LB~cA#*(ybs{=8$#-L?48^e%lf*EiinwDLNU):)aʜ}%_M%L1a6aZ!VF|İpJL #gxZܞʦK)O汓"U̢ۯ߬J_6W;_m+Go%ӲVt|~w:s]U)iwmnyѣݡYEUe9q_1YQ_Ml;JHMj G-Alws| >HQdjx0kΉ#r?;ؽiw-O}OX"ScoɃĪZW͌  " Jn6$ ˘0D|ʤp.THuc'NUb-M[nh}:٦+ 囕oX{|֪M=;4b2dCgGGhbQuV2 P(ePdܴosĦvV!dŸlmzVX5W?}66M_`BᙁjY ť)#oo#)sڇط~Te&N9oj!ht(s;jFӸG8]֣rg|xC[e+s׶OgzgceeֵSHe2OXV\f%# +Z0,Cp#E6R7q0/9/i_[>q[A5{XQۨVƗky}pM|.zEg2$ 7EV~}s'2ccub23x߮Y$>{vUbʆ| ۚpUÍ#J%\_)R#l7e{s/WX?^]"}:|_,J7Mwޟ0Yf#Q2 RXdgs2G`aYM~߮Rcw.9z|e|'eڈ:O8u N_|z;NwՀVXg k<oNl{~`r[4HJtH5TMY0]X*֪Q X)kZJ)A:unaq*@0mx~é:~WVk-DCm21T"S=KLڢ}ը?E%~*6uGMiB?N1BcLuԩ,SRv%(bt΃c9AV4NJ Z-ZjboQ*Sze-ﯝc1~Yn*Gy|ML>hFtF*)R:=ӣ]}K4j75I y CNrS4XX])4: ywZ1o#ZNzQ^r-Z`= tP. L٩}nt3P_rx h3z@@gߕr>mL{{C 9y svmV؍8636V:p[ ujɣf|.wwj,y3`JB9^Is-uo:Jm\\88K¯]pI> +?ULbنx툯:K;)bFr* 8j }?]BuNע9M (h!Vӗb->ۿĞy\ll֜4Oo!{jO2Y4\mRL@'hˊe9dU~iI><S}zn> ڮyE,G5J g= r) r˴\gx n&ȘQ[N_b1V+ɴZAY;EC)]:pN`5B tVpm|YDGձ(A3zE7)Y|-q%3t瘇tXIvJ55nMZRJVPG݀^8^vy49i:zm89VrqN4mO8\:G26>]S'HrA'$y+*iL < PP9qļJ=ZD-t+|ci]}z 2 >B0t9])$ȬLz`~Ec :$Ar~$мň{h't;2%]vاDo!<&=TQ+r A9nlDJr1mW)l6Mu٬9?wߓxxbcq ls;6m<\,rba}s쐯c\"xz 44y淳C.`ַNwF=wc>PBf9_^m# ?Ɯ qy°ڏ{vُOg 1dGߎeg؋E(W"i㝀Sq?*b=YrOq/b `&1|Q] <#&kB Y$Z( (VпkvI>F.kŜŐ:ԯuqܽV) 2m ɠ]rvX>3.; }˷Kc5ہNs"tC[\< 4 ob9F;EkV)oװm:|SCƪuˀOu:8};!z]_;(զX|ry]wN\s(EcW}@'q΀>W{  :q8|7#9w6 ;>N砾 uxF ?"ϭ{Q}?qt~xm!@2vg.3mQ_-vfG9; T,4$܏qgDn'QF"NC|?wopNFapW+_#&I]âTڴ~eYVYPRCĽ#-B#qT܍yخyN yq?/j[MFW_G˗>JRvMR5_<I"B=lj]?d fUzaCǡ@0Ύ.mYqg>;#S\Zy&b~^YtsC'pwz"Ӻ{;^#tܷ5{t YsJ?l'YI;q胜 9\R6Y1 )CrmX?~d' \-B2*]\K:͕N@'letv4z\J&ވzL@,`Ě\6C?箒Z 矏p}vEwF9BAg?~ĻA'Xt/^|;A@zHƒrzyx`oSߡG{g #-و =`|NcEA}sU=QZGAP#U=s #CFW*= ź]T+poxN\MztS&Nj;Am:aނw` /9s}c<7}Z g5,C@9 XE?xԾ-oK$ioMon-w0,V`Eꟍls]Ԍ`j6]EkԂqlgt\B͸-R:$Uce"95P柉t)~jJv:% }4\'vH?i#/Dw/WpY"}?C6u"gNTLylNu(|m1b#QNSܬiz[&bgL=Rx vVocpiw.@: Z+QgJ_6WYO-rӴH@ƀD c'e,W%v(V2(NtD.~.Үswݭ@g@q.qf 8mw~vV'_bN YY܅|˱i\GyHA7w۪~?+ԃjȕ bHPi Qϟ# YPY/ ~>c:@}ʐx=h|pohPK+-]+]e,U/dxU$ZܢҌbV̊Jڝ 6F66Vؘ cr韡k%[8>Kٹ\Ke҈Ɗ]IJ觢r_.[_[⥼7?W;6:N8gx ++P9C@Հj6"}>_[U1>X2J9徊* hgTi -:CKCCbCOJZJJY 6j')VbkMK&&o`ы^7n.9ܫ] VS8.?:fw4e+.KWR> stream xڛ9#TadU endstream endobj 20707 0 obj << /BaseFont /BTFZIP+Lato-Italic /DescendantFonts [20709 0 R] /Encoding /Identity-H /Name /F9 /Subtype /Type0 /ToUnicode 20710 0 R /Type /Font >> endobj 20708 0 obj << /BaseFont /BTFZIP+CutiveMono-Regular /DescendantFonts [20712 0 R] /Encoding /Identity-H /Name /F10 /Subtype /Type0 /ToUnicode 20713 0 R /Type /Font >> endobj 20709 0 obj << /BaseFont /BTFZIP+Lato-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20711 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [234 631] 6 [602 625] 9 [708] 11 [538] 13 [530 681 710] 17 [267] 19 [401 618 476] 24 [863 710] 27 [746] 30 [562] 33 [584 508] 36 [546] 38 [685] 40 [630 955 609 582 556 511] 48 [529 455] 55 [529] 59 [479] 61 [321] 93 [484] 95 [530] 98 [230] 102 [229] 104 [474] 108 [787 530] 111 [519] 114 [529] 116 [512 342 400] 124 [355] 134 [530] 136 [479 723 464 480 424] 310 [225] 312 [234] 316 [344 346] 324 [418] 327 [415 351] 331 [444] 334 [259 258] 338 [284 285 395] 346 [580 195 348] 397 404 580 437 [580] 440 441 580 1138 [225 534 580] 1579 [249]] >> endobj 20710 0 obj << /Filter /FlateDecode /Length 513 >> stream xUݎ0l )FEXpH@\˜nH13㙱T~QѯylaQ~pskFu}l5Eij~2?՗c-s~ʇϏiz0,*. Յ*~4"۟-؅ԴankPx؛Pa7K̻oYFl`&G( @k@NHxHf(`j86BBJ82< Pؓ2Ѵ0 )2`* pH9'[ %NP@88 LJ@rXZrX@Ien%zj!X_ TCyeڦHۏɄT1* -Qw.jHбI jN[9v2 D-9z[wl*'.VVmV@Xo}YBg"sFgAXx|^1Ǔ,W2\Wv; endstream endobj 20711 0 obj << /Ascent 987 /AvgWidth 522 /CIDSet 20718 0 R /CapHeight 987 /Descent -213 /Flags 68 /FontBBox [-502 -269 1291 1078] /FontFile2 20717 0 R /FontName /BTFZIP+Lato-Italic /FontWeight 400 /ItalicAngle -7 /MaxWidth 1792 /StemV 0 /Type /FontDescriptor >> endobj 20712 0 obj << /BaseFont /BTFZIP+CutiveMono-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 605 /FontDescriptor 20714 0 R /Subtype /CIDFontType2 /Type /Font >> endobj 20713 0 obj << /Filter /FlateDecode /Length 582 >> stream xUͮ0<l)B'HYGM_ N. A,8j }xC.N|#L/6/tfܰkq>49b/}ن%8a p7,5\bBe?@T$X*RAKfJY7,i[zիD֡l0~},(xjoKuE endstream endobj 20714 0 obj << /Ascent 828 /AvgWidth 605 /CIDSet 20716 0 R /CapHeight 828 /Descent -272 /Flags 4 /FontBBox [-459 -272 787 829] /FontFile2 20715 0 R /FontName /BTFZIP+CutiveMono-Regular /FontWeight 400 /ItalicAngle 0 /MaxWidth 1246 /StemV 0 /Type /FontDescriptor >> endobj 20715 0 obj << /Filter /FlateDecode /Length 17476 /Length1 32112 >> stream xڭ}`Ź|{{M:]ʩW۲|j-r-ٖ%ْ"mQlSLpH(!1%@BB?y!!$K7,~hfwi_ff%=tҒ!|rS=|7>e>Lu9L+ n:6]B7m3}hÖ]^z'D<3m=>s#!۰}~ז}C-!;nFxm;w-}x D} 7f"DU!Or +埚:w 3*G1ȧk YB!HHmIߤ 8~ H| ?M ҕ݉JO"hWw w:Z~OOO'==Y=Sc>lGTM`cB 6:T}ӺG[H{(H3&ZOZ )kciZBBλr48@E< h_z"gbj2blBu<1kI 4% 1Mm:=Lz2(J|uo;KiE c}Y0Jd%LK?>jjJ@c",rrX?`GJEcy8ƣH_m?ՔGzO('=8V+>L pKՔ %Fײ;l$| 2\?m21ƣcf0qm'h,E [l hnG<i 4wT4wD{bcj{ccgƴ4Ϗ'~pW^* EKi/#;91,_/痃h''h֎N#~(EX(QYiBa_J>rѣVTh"P:Y # 5 ,m*:Zb{3&>AH_*9:.b[wxӱL i#iRHO]SV[bqnԽȃ&WSmOK~ZČ£86_8 "AXMBʖAb3f;hB`_|KgAUT]P{1n-T0f2&䙤&݊Iʲ8Q<cs`$K`NւE[`#FY8юPYa @ c{ b ӂ%RZXFah+8j,-Ҋ =ƒ-p±j J&,}Bi0@aba-u) - q5bi=- q6.ZEKظhi3-qD=I9XNxw QfT0; 0TG*{"߇"8 )[j c_|T*R˰ pTWHE p%Nw1R*)\-)5R\{\+pX %Т}.BqEN#T5ќ \i7YٗsO͟}a\mbƫi!"^R/۫plxw.o9r: DTYtkfwg%br6Yi'"^*ժ4~t88r9nɠӨU6Zhʊ>b2?e@(DE1N&_M~C'x%8Y䭸NlV zk5(\ۉF/ӃnHH^z=Iz۵ 5D6)X}q7 u=]]!6k"kea u6QF]狸 1e& 2R_ 9+eB=ef3h<각`^{O/_:.XNd:@:TIt(VCB:NŸN'OC;e)$~uF']:k eE!A7}E2嶦0}diO ?h8\҃:!ԩͰ4/e8P9j0Ojp"ǩ4VՊ^-T"TLSyѽx.@Rf&mCޓLb/AV7{܎;8Fs緭}wtʶZ!IINmc{o}B%$pGيڃ0w@孉4P^U*WmjϐC tY3k+ˊ M t8Aބ'J x|:\DspЉHJ;MUf XNx##=f$)O2 )l8\h^$F bVљl:""Frč{r(ƗRCYLbG,b8cAH(u[͆ Am"LU*ˬ鐯zw"pt0k䬸iӻtY-ɚi2 At_lNO6f+sꅚ c[ FZ9$f:{upQ\:}ѹ/+_3rSֈy^y9QaAL+q~vz%NHFFi69՛4].ɿZ?ԷR%eOg=?#r CxNDq(A9e_!B.9& T&)h1f-c-6/g*]|ZⲻΪ3]{h5e7_^wȯ<񈯸2m Zo; :P/g66zҝ C%2!A>'}~^خQ*/Mh>2 q|0%γP~NOwTu6Y<%:l LSBq{te;EV~VJ?e7X|k~)Ǝ "z|czRJ$Dm~dw{rzv4s( ;q^Utݷ8׌6lM} ] oHEYIQae PSWجAP9 I&BF8+H1|'QW1:88rjjNM-OMaSC0K*'=B: rYC-{<)zے&r st2X|BS Oc,G^9Mo'IW=)ǝaFYABNP9J)d5 IPd_'UYU r>R`5UY#Ǎ_͹+W٠s,0=n?AfـP`:7Z\gG2~ _r(UzN=W*o⊈&j' HσXKW sӉ^^UIԝE̝Uj$T<I/V {GMcЋ2V-YzL[yhs^jO,|x]l0|i[MkY423̹ gyu/svpwΦkQ/9dO<3Q~^**N\IϏ"-)ONG3NxyհN v5D*VSبYgS4Gꔗ ]ov.[6C;O\UGxS𣫯lNri09МU2K7ٿ[+'_lkXybWeCN715zavp=q]( FCɂ hN*j)ߚ( KJ2VO05,H^O{@4Lf2A B;Y4~N 񗱹F=3P;өR)պI3eV`jGi^6*e]ӛSS]UE݋9iHM= VXlt>O1їRI,@1c6_ۃ2qA4qCc&47G4{ ,:h("v5]-^RNP i5ì83kPGp$To>/Yl!>llI|(nE rvi&G'n0A+γNE:]#t(9&gMoL-!޲IYحe .^ROޒ&p(Ql^>!Wk$HǬV4PY2P!e`+<2ō9,hsGJN@Ezz-J<5:#L͈|={oTN[!p1G/u-*NF5$A@QqSٶu-uyP(T хʬՔX+sSG#i4Y-tZy,/,pڿttyW-AYl S'Uj.jqa j0գK,\j(R376MCt^OE@E>JQiV0i5I{O$sc4n7zx-/P*UHl ~,B*&vtm|k}*7ͥV0~"TmWX`ARx8iϓʆyRT-3J5u^󛤟#7]{SLg֯?3CCgFn}`˖ݾB!A^<4: 5jP%}=oH' &6Tũ.Г1V+pDkHԣxz5әJJJJfE*k- N \9jN[8pƯ#+-6QSˮW&ߞX⹜IAɫ?Tw6%)Bf .J!3nch',$&j. j57敖HK) 9=B lK!Oi?^ޭ8$єJH6 ͘YoA+TlOh94duaabZT'^kÈhNΣ^> ]7P֥ pfp>i BwoHC*x4X#o!GW[X*EL70h *QX0DjxZ0Dp8OBCVˋʂ^4L_5>kCbqn]z[L;4fjYsƁxkk[ne5sw͹wŵ?-s*VYMvnN%ۇ-W)-?;hՆK)?8@tחEq8DTȫDimJe$8*ݱbR[( "$)S^ogcCnjtͰM5.㞠쎊/(売e.BeM]I=l7W;7ڪ"YQ͓A 6F$)c[7k"oh9[C/"iiKS*j4beՋk[\ VpI~bq۴`傂`rsdѹV\%{k÷5.m^߅^^DuBJ>uN8[ۉ!@22uk\7=A;9q2E>[V؟Xxwkz5#|,wC%߻_o=UW3]WI:d$xR'L_1MZL~9y' l:EWkZ%k$GNV '8M:+8N8Dy42tv+1 VG[H5$u |.: L&eWEiOB.j9Mnաp\ѶՃ:s\2F[u=qOWB+6w3l3e}rM ܹk]A}=5-Oܛ(Dh"]X_FZ ^=vNށf4=b PL᧨Yb/6zi"!e+\~]o\4ڗj䙑[7{Fśm2wt/n2fYZ{M&7p%]W/p ]#7.i1u:\i5.Һ|]g*iMȅF.#$˧{amj T$YڥC")læfQSŤ%5L _2[̦M6> :^IVisepՐir.02y3 V73nBfL9 b@%ovt8V l'&f11.rl_ j`G(m1[ 3s { j3NOف}/U\Oi:댦`-:ѬwӔW_}K1|]G,<ܹꥌ:~7$);=hv.}[LI%vkعckm>lM{PZ;wx]Mkطɿ=ϟŹQ[<3C\Qb*-I2;|>0âyêk8P*5?~ꁚXaY٢Jg1x-rcb[߂,FDz_BׁT+npUz:N(BDQ+ФfeNgmG>-^RעJԩF\w^/!ij}U=tK1>s]8HݟELY8$V(,[sJ꼦;$V+JLTRl_Q+T{ZUlګֿh[x%+ݎ_/F^"ǛƔav ) ٕlxw&mAmrQ[!Q+X;j7Ccku'34-n3'tQ&C~^z SA'v?GH 3c0{U܉L+ Gv^WNV*:+_H1ΎTL&V,t͉=OB!?HjʘV:nVql%'uų_ so'X&efa58nGYEl)g4djpym4:+Z4G3qkfˋǤ>^Ev U)ޣm' ~yVzto'>,p]u~jOus?S^Z/n ğq!*$;ObjyǜN΀^e? ,,5pp_mWݵb2Z};:JŸa sGKBrKz'&)n"eŴ켘/國i9bZ}?gP[]^-ʍj*HMM*@ۮp誫kH2])_.mq:̋6EU %3\[ZQu|`AJS_1XTP6ee-UX_Qx#M3+oiZuYSW(/pҜ'2op$̖[@ڑP:k&r3WA˄/& klwrUop~-= lYl)s|J>d:@ZAt1iF[2(P=H5W_>.ْMMY((h&yI4R3aWF.w,I~?o[Ff~c:dCi:P&6;3לaU˩*К^wU$D渗*qPcD_ɣIs娷N1~\cx<u\6O'i%LEi4E Z ÞPa,} Rv]hN2(TE@QKvo7׫*U%J蜚!~C)vKs绪NxoqO{?٩b:]9 liz1 l"N{vS  $dWJ 侴5kVfs7ݜchF?Ƙc>yד?/>#6+xl;ʅK%Β__;+ Cr' \&u8!tՖ l9IU q&b^1Nc$gS̞ٽ0ĈDp$XڹMдOya,Aț?VRB[^Dmkqq㎉E"#6f} >{ss=_GybG|[9hFšs}䴼Ul'{I-=oOO_ٍT.iue۹~vmuIQ~^8/uD| uU$1mcR*6Cyf N`Y$_Úi.p]gy왿KVCfq[&.{'7T,vz`qtfW3Q9F:j5'aۊxi`*bQ&Ӛ'j$WhGg糎Ξ~`c$oI8$L>!ϵyzG:F.@ pޠ<+g}*sʻ~ IQR0ctX n6[(DyeDLp6(mO1 ]Y=alQמeͲCM{[mfY/.5vbWԘ9) GI>!sQ?͋9tmI[%"=!A+ DM:v*iPE5KÑHe4G}t%櫮-EiHF[uPhΌ'3U֬ ȕ U? 6 7N#h8͝]<\4Xɷ"ţ0_mׇlg,*՛lfۻ˻{m lZVYsA[mc]W[1wŽutb{pK]/I#YDn=GtBrTԜFf8CKˉTUliC]Ty^[ &WT+*R&B5-j^ciFáH4ɶ6%;ZYca-uRqBO5WW,W?c\sn175WzN\ ՘u|nۛ[:g?{|߉gT V]߿liU|.%Vdز+_eū yo+&oXT΁jMUKTjEe+*V܋4^?]vd+^eE`0k&NA 7nٯ֚N↥,bLh4sy3]_\7{{G晋ݨҿ9U 񻚊+_n)9t0yL/{2z0{Vrskcvu]٢s~UQE&8v~`ʹWTkk[7mfZ%wٹ? ꯊ}0LUVfr]pm*|B~81E3- ǞhjBټz=dYLɴB6sf`i\w|/>"/5ی[.UaI gFxbk)|Ux Jy3E0tihJpg;ǼD?+r˩ɊiL{0]Y{rٗj.">*I1&9%$bǔ#'yOeڤ:2eʘ|*$5ϙbw0&Js$pa%i_2\‰D}?(1LIw 3t#~L/O[MLo-`Z%)@el86ޒi 7:+1IM491'ˢ`#`Rc̘|o̿r;0Gee`NyN,Dqq<&' :o .g;R퐿bUK]yf-X ii1X$ٲ9QT0I1!<9-%WYIvʸ<"s󥘞pLEJ儺}γA*2Ce~F|$W peu3{ys+畯ߞ7 'KeeW7TRL\ikv|s tި?(u}\=sn@Lt,vv+mœ.&>p|[ݓWt%A5uKos9\!wGS/Ӿz7 uusٰ5ߍ FNF^ꢍ0:1zI?RKWӱ>z[[}x#:ć37ʾgr҅n Hx<: r3 ' &Q'$ %# ?L0`^@&RfX`#?!$E"&%/dCy2!o91NAB% RA' "$L2|\MIy>s {Husz'z'# OI.|#_#~r+9 ϒ;DCg3$HrQr=9DȻJ]߂os> stream xڛؤ°[M"41(cƆ  + endstream endobj 20717 0 obj << /Filter /FlateDecode /Length 18861 /Length1 44253 >> stream x|\6~fuiWޭ,U\$ْj{66tMǔ$ $8P[{gݕ$޿ ܹ3gΜ9s\zmRa|ۇ6< t]o!KDKOY/>esimOhy}a@yȰɾF-Zf.\NtHuY-,;Cy%+Vr.YK/_|j5iH?DdBQ2VgJ9%. ӧ*VB9px? C{EtxSa?0UC,_驡eҗׇK)ׁ۵rg94ǰy(]>B#ʬ.:>/ei.SOS::2o4_<ӻ2z{= :m.6tY57}n~9c,fnhm8$lp;<~_g_)bz>)HoA y"LmaR0f lV?G,jfR!x# 5% Ja.an9:D ̱e%(ǚm3츍|r:obDJ||z!ݕ|Ox\h1yʱ7lZZČ.g@2.L5o.ݵQW:Q7> )^@W+pTzHW7t' %tt$(f(-Bh4յ +(ZQQF~Zp[j7r `M7aݣEcbj59J; ܵXL%rC:Rc@O#b<_ aǖ PaPB全`5Bi@8JBzB3Hc`FD= ht٩ON)䏅e <&= KYOyB-˃EވxDaZN;"ZQ LeDd(#"SDLeDd(#"t2 LeDd(#"3E%ʌBd(#"sDeD[GG^QFDDWyH;E@l&OH85_3XzHhh1QzEk. -&.b5(;ӕ wX"Œdf0^\=+7&3m}M[ֺ  p`[rӼK9gO_3~qӜeE^p)\?izZc.]ֻkM=gԣ~)AB<ƛIV" "0x1f$-:g y+Tw\5dBVh;!R.@ӄ !TNG2环N Gs~eoYgΝ39YMz)է\|˝v sޅ򿬍/;U5ow,eb\:,(ŗB G$ ]z.0тAUk_c>oئW˃y(}p1 `)tI7F~A zqu!kufeG sJ:f3 А cUH.mV|ZkU:e WLY}V1iYF;8gL>+yƬN%2;=a=^l ktZu)A ]cPԅcJ$]GGڔ?/X8o {KdEUjfs{ K~GSR"K[Lc9AZF:mirZ|$v44G;Ӝ gdV1r%|e #hGx'7tؒao~,ŲRJgJO8AcWHwԧ0=iReg.).`u1SXYYΆd_: oUVFloJOlfNHNf$t:69X "dAXi:O!ft̜Ik: Q |ĄD|MYA*xs (@Y1۸FMw+/?Ga8QRvD8>-;TlSP`PoB貲͡3]ӞCesPzU0Mze\5__V1Y&-~ kg?9.}f?Y?a}Muj:=}bŗdzAa`9H}r%Q|\+2?E%ikiXp9Fl(Hmr3[~/r{JgZ=swvIyߖ4nM0uzK5J'zi ,SeԨR#z B%,S6t_xFf_~ۢҞNV_%W6EV^bM`QӶ6Ĝrc6E_3"/?(b If+q4N$؎iC|MUxoSfP^J븐G8#|}MiE/YoZ)7o|o G,Vo7ifYysmjnɯblqzFQ¶ltؤ~xQG]:k_W"}ubaA%kk?4X&l?1wmK4YK}lJ^o?Dq992uxq?:0HB(2#Mezb5Vv4"G6_ÎƸ`Oq-@^̯22%))),:]dkdmQGFʎ8!sp6^֖j-5Qg=Qh+E]bC(N:BGLa,&5.C-cx=2%a^Ҭ la:ɗ,w;i mfZ-)hS/.k&㖛jtem53~GFhdcg{b~kٰӞ*/Ku1fh zA-q< ʹ  іyUPGEveyp+BjoWҝe6ʥ-T' h\x89!E l0'HWnlEWu>U8㼩ܾnV3P^Zk)Obf5('@[EtP uE/#|AN_-=+;+U]ɐAܨ. 7 %e,(/Z2gf['cZ׼ \~Ԅ Sl=,?g.b辉^uΜ#FE7!X0'fi%ER8 %D4AaK-U fyӳҴ? Q()NaRq(eJ*pKBȒ3f%VN(oQ[j͏]cڿ3otcײaܺљp#m +ل^GAY7@ R>¥c$At8>12#`-ʱdefdriYueasdXPvvgєmI̻o7֞1wA6׍jY$Ŗξg.|aDZf?wΙ]^S tJR" և5>iZ n_XI =)N;YEۄ2[' c>tArbx݈yoRψNtCOU䧻Vic錵êӢ3΁ʲgv˚iyt;ՑMr T#?CPB.T)CՕGĔ)Xw|Gi킁YJӝL}fBL!Uk&0<7h'4"|d6;2>`=RZ<-Rq8L`Vc#rY(Cciq3!Ze0:)\"ffԌ\qڎ[~Gt2h4cbRTiR8\ͩ %BVHfs $OfZ{VV|x b3sSUco/Y鲥٢Ib xfy0JoQMv9}Hdq%rFW"=J1&Y9KfDJNǨI28sNٱH[IbBr55H+P9!43g/@:E>W35TOKR[SD!iQ\QV٢愠#p, SU{Ξ+ {.n| 33vN:c0KR9%ŨLGymjM엾j7ڦ>[1!#eM 7qE4P&9Sz"w-m}(B "rL9L ^^p1Hϙqe@5?pfPz]K-+aӤo׭F^a7fߑbyUegQH.L>[>sb!~Tmt%M6_qAEp-m1nY3f.d kufXVR 7π.hHƵ5Ѥq8 +k IS&2upC㑵eii,ɱ.*s|Īi,l:jO_Rh\.lT1Y1vvV=]_Q> /m 2fVSޓɞ+5cz^ gxQkޘVoxQ*QX[3"=aXaQzgUzFٞRѼyEuT>YWOB|mN3U+!~xyЮmO ojnlK{y%=X[rm%NMhxtVDŽ698}B >?f;&aS, L0 A2gϸؘ<Ñꔾ9.}7?>tx sn& 6 CA[Т@j1jwHq:ZHDihޔmK'AƂ aR561ϝ޼\P_)iz }bnT/ٖX猎Z]L'4wԦOvܡ<ۢ%Eym^]\еoa[cWO)X2y6Uoyl^ek۹yEU w={C莦\2 7HlUUY $8^<<btʚ5wa{3u`v75{gO3UU@WQyI<9|B6G?CVa67%^8˦w_UEVkt]8uEҤpWBL\^2;zQ뻫#-↌,oEXKMJDH l$fn'i˙,k][@ ⴧ3uL,oEvn>52.e7,X7[0`.y\7T1p˜='`9l̚„5rVH#h&Š,&v/C%x+)#\ݐAPEȀBz.)ne nh";{P^ȬӺ0eMN?W9t8 A~aothv͇2W+7;-rmӌwʚ#v 3B^D)XX'55hB Y1QYyDy{1.0|Z3'!I?,l,f6 DSh F5 J=< W$sP"( tL8y HsS"1F"D|pׂ@Տx~`{Hp`Luٟ%[|f q"LI"sMI wÒ j\QL$(L6]Aʂ٥imnR0 zH;{hy%=ze̍ܢ: k[{ s޽魀|eK6I *Sb+33қ3(xHQ>e3b&ŅC..S^').FO]PL ְK<<2%aÞ@, Bx9D[ŗ+&)4&MRlTm`ܣ/:ցޑ`*\V, <$^^x c4<6Zц_[ޏqX6gtR{k-.'+L8;E9p4șN9fVW->SU懷v3j`3uuQv#ڶR/U NȀNSf<:hQa,*V2qQsde./$S c4:iΊ笼 ^w7tdґ#˓ R↍*"*")5ֻ2KLnRwVvڝPKDC1JE/%S|ۤٝʷpB(^ lb\s)R _a)ܕbV}ӅO/M1YLSKLD*O Vhۤ|dut5x]Ǟf6~>OHN2*xFfFyűt}:Sd>QwI;)L!kj㣉;[cܩ3IRw;߇Zub5yMʝI?Tr o7D>Ȕ(_C6ϩxskSqwM2;s-:k}J)y!?{WG2`ZYTV+b!fIIGMȩɈvOr{n!m.{'mab\hv'-Aof{NRVZ18#X2J37fp1`,ْ5)&==-Ͳ.ktOujJ>UVQ~j+z߈5ivTaoA`ߒ$O!lnYjW[-T-U{P5Ƙjw\T^Q^Q Bl¨a5mHMH)/ѣsO̯[Dһ\Y`*٣W/>55+Qq.uo4j}aEyi{(Y=8'/6ZOܗBO$( b(+ľ " яAJʯc#X oNR_f5)q5Òәsp.97XSӟyY\+ |gb 薹 ,/F E }C늶Y#&x ,Am\^QTޠߏ.Ko+35}XvizSҹs)3=G#&a˔,ͫ$n:ɜ&iFحei.+WrmJjjʵ-/t Vk/{voŢ75nxiW.ݝQY<#;nYT/z c. _8WvKj;fTpxD}V  /I}}ᶂȿix:=$q ֺl {;0v*ѾF, KJ,VA$EV$YNbX.@RQqx[TzMbsNLuO!#2dkNS>/Glrx~zp.fNnk[yG,W0ahexڜܢlεlʻym+|@Q0S;q i٨MjmSW zfFUG-ouN*_:!d+7jXQ2OyNIRy[q`8z֗X3jVCZ%q9E36NশS/|z-mhrIXKΨCUKC@> %m(X>YEI;Tga|\튶p@qy:[=sbkZ.^?F^GEX39?>懞v'{Y_ɭQwíp{8ۺ]}q$z׾lӽU\b/|{#֨_J+;P(/j}ܷdT2,ݛkgg35a4ѼDž}|vVۄbj0O{uGSdqa7 /ހ}Si%q%)L8sḢS^3a)O/)oG?Oϗ5?W];Xk0BkI0MVu'7i}r+f'v Ik Ϩ#С\\Ni4'[iwRwI-5H& xUC]PO'_SHSh26)IOG-@~ (Q^ i+U o*0WJHJ194pM4}EW&DS3d ,@R`T*w'nUP!O-<5?P8j6N & Hi_4^Q}RzHn̿mk5}J+D]/uQhZ΢OAI+* iVf|'i Dz=IQu ga'‹;8|4t;σy?_!?hL`(={3ҷɜeU}T0&r_LԢ|D+͔*OlEQ<+R2+ȯRy-T>#/(OTߦbt ]%SE@9ڞtpU]ho$z}6-l+%Rů^o<_}N~WU4{ȿ(Zeʈ4o4g%GRQ?~Zh\NX.=HRAOr" 7~#K=W}OY>F, Y>&R } m719*HBr2oF; $AVZ&^­@7Y~C9PDDJ%{-<3r`߉~||<3p T63x4`;;0L@0V*P?և6Ws^| n@p&fX@+I4].l)_/uek(B4-[Cxp7d8NR0i C!Tiy>̉5$+ؠ nT)'|.@u}~\)UWR9ܷx_ Ghgp^?W+1c7`nR2AGij2*ºZPw-UT(SN̵0W^SQБoReY UұhW.}R:<5wkK;+wDä, CSs |wQF*=!#ӥsUQ4JLGT_nc-ܬ*S=`> J}|hcTl'0.>Fq,<1<wotC~i[] &"PW@wTK_Q3QVݮS\ _ st&B-4VjWڤ0*N兔9wH;r5lL%W~ݧFLA5~-eb|Si7B|py\VOGzo'#N+58~/2h-la6'p)l:e'lVdc]8΂<M#`:l?ר?iR9¥_WkP`$gOV?Zغi43*ػ>ϪtfCVé.jeA#gAǯisoy< amPϣdt1o|l~>EIϰ(v-bKS v~% {dpP@߅uQ.t4`\.]]EvF^"l"r-Qydj>lFrr+ԫ5[xlHGEG)W<^/EPg|tkh 1ʋͯ#.'з-9(QåXqiKR aT)¶Vmfbnk%BS7DB=ʃ\ΤE ozhr܋y*>>EaKWY0Q|ެcuX١>d`؄y>ʟ' l.~mխka#N}Bzh 5ӡCg )Dx&FIؗ [az#t1]Vrs\z1Ky!?m}jj%OG(h|Γbo۔Z&e4C9=JԽJ8~+>"^}XkES w/m-U}aS~ DO){ީ`^4k]н$n3Cq ~[)XkLx`$PLhe]v%ֱURT 6K^x5̋yzH%wt9 nx߂F?-+!x:nqyv=|)#D @8P D RGD,?Cw!L`3⛀9v X,c'ho8ک~Ѓ]X?{app#pDm:M^Nzˁ)3u*>"v:Lt#0^^ Aoxj=lEa>3 6tZۡJu MSi4Uve/Iq!ͅ=Gw_+;X=՟Ρ7 X@iPO;.Q'ؙ aSTgbomXg`<.jM~6ISX>vc% Ԯ_`Ζi p!Kbr{JCCϾ:Fj0 C{nExq=/p?u 9Ye]̓g!dYUA ݋w—F}|$gP|w>kz ;ta֐M4 hס^7{R")Ыm "\㍀רGOA}_2/,-:Q쵋yHisy^+m{.= W_ˢ>q+]2O=2w)|`Q?exG`v{GljPI/R9j!{ 2 g  cB )9B={Hb c&MW([׊fϡ&1@9`h(>vm@_?kBVC ? ߩK=oԷt_{WabUwi:: aPe舳#4g;VL}R. ,W/#|oq~u"Ϋv2$=['U.S'/#`)f,: f]@Lm>e||x+P-d{^;~a깊Ĺ8!//g{g,R^ ?*SC9v9 O!t9йVo?:O;7@Æb|v(Y1P=-o "L8L8=gy 7%Trg!~9>:& 'g9?Wk{q9ܻwn+A;?أTTʅҨS${^]>`Z@v^|>R}DFcI7ox~MZUup=pZ܍0?ݫqmOYjTvѸA\>wѮ~~\J\ԗ#D.v.yJ+DjwE>p}qWOױ#CK`g}⮈l޹W*)K8EEOnix@,,{QlFv֟vdʾR0ƫQ=$>+G5y4VʏQvE)hwfweݻm޹ 2`~F ݟ{3Rukt.v7+Z+(\F )Z2~+]LfqE5]=N4i>3 Cp4 GC]8 #bbOhR ):_YD\ ~]W@Zu/oe"=$s+h/VK>x1*va,ʉBBU=BWf}2Zv 5-(@WOCL.Nyn/Š}B^|/Dݓ@AܗyDvo"ضvq"Hvqb0~' {z}Pq 6l&B BO#~#A_%b<%qOq%S+{7iA^fKgTohq-Α3O8x> >jvyq`!0 xy:=_@<jg|q&P'+xn^Ev/3*qQ8`{0Ò!p 3=>𪎀xMCߥ{@x Dq">Gl n Br?Aw@֯G(d/!(r y3S@>^<uA0Wб3еE8\GaؑP7QeutHrS,,`S{u:n,wLA0= s%Qxb]A#(YO#j%n=va^?_4gHKGRHwn(y$R{ӂx0Ҿԑ,Qڱ˜#Cޕ:AK>, I?A|PtVǰ!@a[(n+y%x^z&Qsgp%**?Q5x5Xs0jko?8888_#IIē88888M,=88888@OS]CN]Z|~  ğ2;οى2z&C*OU(G$|ݦqlSQ1[ѲǮ77)O˓wM:MZ*C6'&6N2;kj30JD΃L=/oͩq endstream endobj 20718 0 obj << /Filter /FlateDecode /Length 55 >> stream xs)A  JzJ?`&;H P! %  endstream endobj 20719 0 obj << /BaseFont /BTFZIP+Lato-BoldItalic /DescendantFonts [20720 0 R] /Encoding /Identity-H /Name /F8 /Subtype /Type0 /ToUnicode 20721 0 R /Type /Font >> endobj 20720 0 obj << /BaseFont /BTFZIP+Lato-BoldItalic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20722 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [219 650] 9 [708] 11 [537] 14 [678] 17 [282] 25 [718] 30 [581] 34 [516] 36 [557] 38 [692] 40 [649] 42 [631] 45 [521] 48 [539 454] 55 [540] 59 [487] 93 [503] 95 [541] 98 [245] 108 [793 541] 111 [524] 117 [354 406] 124 [366] 136 [492] 138 [488 492] 312 [238] 456 [1000] 1138 [239] 1361 [115]] >> endobj 20721 0 obj << /Filter /FlateDecode /Length 374 >> stream xUn0 > endobj 20723 0 obj << /Filter /FlateDecode /Length 12925 /Length1 34553 >> stream x} xTEvw;==aIaOX/aQ@q\QϙQ"083n↎Nnw'aSg ΛS˹9uԭJ:R1Ys4%Oͭ˗Z߳E#|Fux覙VV|4QDWjoi3μ(9yP7x>'̚t_< DY0ǧ?|n췎h#ұpRg"o;z|򏑢'H#Vj!e*S+F/5ιp]⢁N vk&x4!zTYbY2j2;3Y&`iwqC3SnwvGaf4,=jtd<ҕegb^W/KRl okR[mn=!rkrbu< t \za,$ؑp#VJr`)ȩ.Qd}7 #`21Ҿ% H%$O5%L<21V8Sx#$L4Jh9x` wW"ə5К|QcDjtZvM ]!<5'#f€|@ChBvL1 )_+WfI]YTR?(-1!yqbnzbjf JΖeG H Mnqb HV97&vUݛÃL\t:2,0u)mҿl$)W:**+e*JUHuʨJc0[̑> ^Ffަ%3~cY8#',[%-j\7*<яTkKl}:cS8CC֏sʉ~)]dFw=2%N*?iP93YPH@?Ii< .%ڢlsb Kudmu;p?sHHڠ1Yuۧe ҋM?$c̺K]R4`KRPg2nnݜ'cήI/($nQvݚ8c/as;1r#"9a(Ĵ=&}0<ѹlysI)K==K1kHiҋ֪ҳNvɆQH@,RJ`rE E _V{S̺YL^>ܖMcӷ{sgS7!c-1֠ Ž3g3*TlAXx03.G[ӕsd) c`J(cNuzuZk^^k!%7q?ڳ=!~ENv))V);/׊a0JzZX26Q1SÝ]T\+?蜷v!jˢzUkjIljzԖT%!lGlL_1J (1wygdYkuH9ʼ!x`%Ʋ02XajJSǎHV6kxJBkF\fIHW'cGg̼-YSL5۬n?-TͣDrµ,(:D0l CML%%CuYYǔҜ)ͳK>0y#gli 4' g5dOkU.3xpΖ1;Z:6kЩ^%hY: ϻNRNmeݶ.u-Øv'ui9/ۤM[ΩF ]j>hbڷh)`[CJSf٬0iı[Ա#R \l[JK8ΣJnQ2|o(1 c XeG(oB3Ot(2`!=`KW_|hs?sT[|oWi9˫'^;VѼjۘUR>Җ:|ԬXKd؈@_2jU61z`· 'Aff|u`_/R$ЮRUm +k6&gR_t1Ğ#.jAy̱ժO'V'O2-׌Na=?O-3fY޾{uZ^%"YqAOqH2Bm0:3~4nh% cX3f1'aõˣYt]ix?xѤt+˸#:#Ӻսb `di4[70?2< .0ۋ/LEs$}~r12em@JXxRuK _~ߜUYlHeFUfx _cLi!11!)jޥSTvUW{YZn*Xp™̾!3j!|lFXv9$#"sXI~aiRjyuW,NVX3kHZkT4bو-Q y .c ep7.lCmr2s2gkwM*Yam}u=2ymSGvTwGKah#mGT،&$%P`3=%c׫Y^[[ "# ,A頃\ ƫM{| !ۻ\8 Sd=nw 3je-C\=+u"*%$Z0s 5I\&9]qfO/ ?U/ ihy~h٩)dRU͞fŚRJcRw1(zDj~[fG:\7=jycle3eNPZKӕ%z5aU~7l4=^{+,XN_sd-ІxvO^"}:{&iv,uN^8nlIw Ys%jVldفwpfIj?K4%p1Wk7%ܘdRrS󌜌J[?'Dwn09eIIFܾlA}Ya-9Cn"{6e؏Ūgɸ+l+>!A YF-8sbQт[[OY>'6,4><'_8vHaMߞ \4eE橁AQqQaIUWtd8#YH23S !Pj0,ayɨLlxlz5 p{'RSR_@S1%hSx;rrgV1 [UѡS!_3(aA&_-7C碢YDHsYÓ>>>>+32dQ&N LP w 3 Fb P9Vu ,bW2;z1So޲ A+?{G4k*Պg24S d̒`ePIpVcu9+?\|Iac\Yʘar|NWv-k`dGx~gBU|wRUE{T:Ħ|ZBd7oB\{qh+ZC{3z⩽VqoKY^0NAώE2y=f9Ӻ^3پΧy{/oD6n 8??sгARH5h= u \&%E?EY[vy'"N!sp׉('b#YSiFf]P/8 S?~\xj% 5"" R) %""|ٟ4ءm!r P(cM@\N0JHrIRk(br"* i*7tq(&#}ǔJxnT/#R(R^=xIA0DI# ?p-Tw! ֿEqvd2# )!t__;OKF$7:-:pl7e|I[oQ[/}eu/; }ϋj<Dq!!axQVz06Qv#(HB巂| {%[W>?Hjx<=<_1fgL݅QtHsJIKuQqu?4ux M 582e5TaF: Ҟ2E@X.>E3ZϿ<"žsyabr>f4ԥ.>4f)i62> Z:[Z ޏf*hrnǸ1xc^=.{8>_@ @0,B`;qU:.m6H삽v3թ '; 6x m3DN]AIX2sM,uAv]9kiW㛉j#.VA=KYʵVm? 3dnn:[#qL| >7?@\w>Y5:Skp 6G)-m|K{m=.&RZI12PE&%DfQ8,sՑ!~aXHZ%6,mY9M˘t{PRa A&;ŷ6Jn;IFQZ@!ÐK( *U zFq<3(ma~k؝?R5J%U+{Hz)SYY%>@jJUN<˫_<=NvzDwk>QԦZR)]`|q:D3MK I(_bȣRod9; =&No+_|e'bE5xN* H4 Zy)8 hMQ4\M?dQǢ\tدM)p (nn;)r!^>^?[PoW@8!NFkP PΗR$h:$z-&92[;8wzC3ڗ L)JIwo"TOe30_;,PY/a{À=>I<<[7}W/n[C.2L%#X[iXD·B_b'ĻzZ3i[t7?TM䜍>ʾƢ^ہ5XTiX+Ht#oo:?"TSj c DZ`ye8JT>2S i SAV ?=^*sz^)1ןe,º#}e}Db(4.|QDcW>~b]x~IA9%Tg>ո?7 ?bM|Ǯ_mz.Tj2bL}z?XST<|uKɆb*jJWBR>"w~vӂxY0c&CG]iaWL5Y@s]!N*L=+@_)E+HhRjG}4QA!S(/^ Gie9. z|e*v/:ҩ@>{ t+0@a/;e/GyHtQ?ا=-U^e8V@FR/$Rm6ժde"MD<Ef\5ǰ 6*5HX(wx_ByUP?W?n\ʁQGvH|k5䍈3!(6Rbو9`w8n֗9r 3L4̅ 8D{Tˈ#NOxo^O^IjxXe=?Dh%P tbl``=xPjxˑ_xB.1X5qޠшM+xMKx#MU"~vKf!}-f&d~n(@Gŕax^]p b9SY(^䵈{5;fh,C1{#݈vz@; /{&:*)W6]*3a= 8uހ[-}slID}-\}fcݪi*Ea8CO48?+aSs`gd7(c᱈!1#Plz ]a|ziZ#ڙ bCN‡Oj?a/:{wi)7<4W C*Uw歌wIHu](o\ܯ iS@|qb |hاNJP2 ce V`>Sh7'P9k؈sJy|xPkOM<< rcbԽoj\{ kdGސc~ |]4|&ۇ@Qwfi*M>CƂM>F3VNj[>(>8+ k7R6c/: 3_H| OZ`.ƈa 3 4u=9 `m څFkސ ѩ}xҰ,3~5bbt XLEybNŴ~wB~!q Z>eRDkӍT~mFx-:Xoȩڕh>궻@y30Η7;ʣ6&{cuWr`#`#/FY5/RU(/]`HrOҀCTX#DUj bȴrnu? X t< @{?"%@]l/,}J7ɀ ug^C~6p3p?p'^L<-@u `nqPF;cM4NEٛAA}@OGu.n6=i =pwj&ޮY>3 ݟzr.LF@ۈ i`@\a&:, |8IcmwMɈPCa)6JS/*-J)T{@[t?{2 ؎ [m6m `PX3#'1)ۉ<2"vW;o'KPwn/J3DUkr`{Sϥ۱6:y_k:Vr g?}|w{}?;rY|s: ol71>}߹v{ %#ޙ x#='lÈPP܇VuFG b ]wX {$?r>@=$NxϺB;+bo"uXY_W =8 \ -W1_mstVw< Sk+1'w7gd,> UWGnz9/OR_96%[Zz6exWGB9 sP}F+Wr (9^^{.D,:UϢh xuVa_oʝߡ m:@82a`/xw=s_?s0+ W>)v<\*NOohbnOuM\Dgf R ;}ToBz7 V=!v8e[ ^\Q34.:M{P*S6go *+nBn` /q@'ʚ~֎5[QRQ ʳB9~7ž= _Ϲ\|N\l8lVA9=ސg@D\WǐOpio<_RO 竓:ΥsF} ʳΪ%V&s ^]sY댜$w}x{{榻⏹e$ϻ/<ІU:+ R-{A:C'>ML bEGYPFƇwE'R FHqO$⣰.[yWD Ƙ= yGchۑ^8T)=W@.?OlA#TeHcNߙqߕOsF޷9Mo}3=swFY}ِwjB+$I,%@u{zyTGCާ!Ut k:= P'-]vC~>Oy'T e+{f}YIG!w m9|WU)hy!{Ώ|Q嘄<UYR xeHlW(|P?k{>Fԙ躳7>z;To|{rm. $%\u~p=PB/عP;za7&qcot>?|gwϣ_ ܸ)C}.5_G}"E<7p! } ЇN}CЇ>!҇>}}CЇ>}}CЇ>}Ci03 @VȿsH@̡V:߿ڽߣ;\'dس`bM^Fi2Sb7 6]40P,^Sq b]:u Dy,u)mU lӨFG٦F=$sS6 ̷4TjH7E5ߴLlpWwrڪLΐ endstream endobj 20724 0 obj << /Filter /FlateDecode /Length 42 >> stream x䠀/l*> endobj 20726 0 obj << /BaseFont /BTFZIP+Lato-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20711 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [631] 7 [624] 9 [707] 11 [538] 13 [530 680] 17 [266] 19 [400] 24 [862] 30 [562] 33 [583 508] 40 [629] 45 [511] 48 [528 454] 55 [529] 59 [478] 61 [320] 64 [617] 67 [533] 76 [823] 89 [549] 91 [654] 93 [484] 95 [529] 98 [230] 102 [229] 108 [787 530] 111 [519] 114 [528] 116 [511 342 400] 124 [354] 130 [653] 134 [529] 136 [479 723 464 479 424] 310 [224] 312 [234] 328 [351] 340 [395] 348 [347] 1138 [225] 1579 [248] 2236 [573] 2252 [863]] >> endobj 20727 0 obj << /Filter /FlateDecode /Length 468 >> stream xUn0<3"AB Ģ3Un441LD&,x焠A"woTDqjڻkwܴB*Q70ե"O]U>ŏ/OM{eŵ\4y-_ʼnƏ{)qWk_VΗىU> endobj 20729 0 obj << /BaseFont /BTFZIP+Lato-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 24778 0 R /Subtype /CIDFontType2 /Type /Font /W [9 [760] 17 [296] 30 [619] 34 [548] 38 [742] 40 [694] 45 [507] 49 [482] 55 [567] 59 [534] 67 [600] 93 [528] 98 [254] 108 [837 564] 111 [574] 114 [568] 117 [373 439] 124 [372] 127 [703] 134 [564] 140 [459] 1140 [248] 2462 [643] 2478 [965]] >> endobj 20730 0 obj << /Filter /FlateDecode /Length 355 >> stream xUKk0 :ngB`kSait1Nz跟% X_FVo!|scs޴#zqm mBeq؛n}pv!յzs"%yھBH5EHd]mNE俲Ǫ4pRul 9A11C.P$-IRŰX3(-RVSRj>1@2(JD4KDJ#Z7 "wʎ5_XK>d7y^Mtq\~ Xe̢Bv[~ʏlU~ҚrԇXNhn{М;Ǜ@;-7׻V endstream endobj 20731 0 obj << /BaseFont /BTFZIP+NotoSansCJKjp-Regular /DescendantFonts [20732 0 R] /Encoding /Identity-H /Name /F12 /Subtype /Type0 /ToUnicode 20733 0 R /Type /Font >> endobj 20732 0 obj << /BaseFont /BTFZIP+NotoSansCJKjp-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /DW 1000 /FontDescriptor 20734 0 R /Subtype /CIDFontType0 /Type /Font /W [14 [347]] >> endobj 20733 0 obj << /Filter /FlateDecode /Length 215 >> stream xUPn0 tc6xHRE]$࿯ JG>h0EHۼl0^öd0F:~)%oR39$)xXS!eѤxc-!8:\H&L(kҀ/3 FkfNSg۞JTĶ4MUQnk endstream endobj 20734 0 obj << /Ascent 1160 /CIDSet 20736 0 R /CapHeight 1160 /Descent -320 /Flags 4 /FontBBox [-1002 -1048 2928 1808] /FontFile3 20735 0 R /FontName /BTFZIP+NotoSansCJKjp-Regular /FontWeight 400 /ItalicAngle 0 /StemV 0 /Type /FontDescriptor >> endobj 20735 0 obj << /Filter /FlateDecode /Length 622 /Length1 1159 /Subtype /CIDFontType0C >> stream xڅSMLAP~(ƶh!GKlcH…&HŃd@L^Gp؃ ;eqĘɾ7 }$1rcO- S:fݥ&ZԍTEif8xrLjA\G^8IC4e3^%b:5Hlk)! B+*FJ\L|e+sA}8Xt>Mm;MVm{HrXZBΆo^ ۅ y;> stream xk` endstream endobj 20737 0 obj << /BaseFont /BTFZIP+Lato-BoldItalic /DescendantFonts [20738 0 R] /Encoding /Identity-H /Name /F13 /Subtype /Type0 /ToUnicode 20739 0 R /Type /Font >> endobj 20738 0 obj << /BaseFont /BTFZIP+Lato-BoldItalic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20722 0 R /Subtype /CIDFontType2 /Type /Font /W [30 [581] 33 [599] 45 [521] 49 [453] 59 [487] 67 [562] 93 [502] 109 [541] 111 [523] 117 [353] 134 [541] 1138 [238] 2236 [601]] >> endobj 20739 0 obj << /Filter /FlateDecode /Length 283 >> stream xUn0 E|J03>dH%D}cNHtcGaN tn-j AԲG5JA誯ۼn ?\r^> endobj 20741 0 obj << /CharProcs 20695 0 R /Encoding 20684 0 R /FirstChar 32 /FontBBox [-503 -307 1240 964] /FontMatrix [0.001 0 0 0.001 0 0] /LastChar 110 /Name /WHQDVP+Calibri1 /Subtype /Type3 /Type /Font /Widths 20683 0 R >> endobj 20742 0 obj << /Count 1921 /Kids [20743 0 R] /Type /Pages >> endobj 20743 0 obj << /Count 1921 /Kids [24771 0 R 1 0 R 48 0 R 63 0 R 72 0 R 81 0 R 88 0 R 95 0 R 102 0 R 110 0 R 117 0 R 124 0 R 131 0 R 138 0 R 145 0 R 152 0 R 159 0 R 166 0 R 174 0 R 181 0 R 188 0 R 262 0 R 351 0 R 464 0 R 589 0 R 684 0 R 823 0 R 920 0 R 1031 0 R 1153 0 R 1235 0 R 1353 0 R 1379 0 R 1394 0 R 1404 0 R 1414 0 R 1425 0 R 1434 0 R 1441 0 R 1453 0 R 1463 0 R 1472 0 R 1479 0 R 1497 0 R 1507 0 R 1514 0 R 1521 0 R 1536 0 R 1545 0 R 1552 0 R 1559 0 R 1570 0 R 1580 0 R 1598 0 R 1605 0 R 1614 0 R 1621 0 R 1637 0 R 1648 0 R 1659 0 R 1669 0 R 1676 0 R 1685 0 R 1699 0 R 1708 0 R 1720 0 R 1729 0 R 1741 0 R 1750 0 R 1762 0 R 1771 0 R 1778 0 R 1790 0 R 1800 0 R 1813 0 R 1822 0 R 1839 0 R 1848 0 R 1865 0 R 1874 0 R 1881 0 R 1894 0 R 1903 0 R 1910 0 R 1924 0 R 1933 0 R 1946 0 R 1955 0 R 1972 0 R 1982 0 R 1998 0 R 2007 0 R 2023 0 R 2033 0 R 2046 0 R 2055 0 R 2069 0 R 2079 0 R 2086 0 R 2101 0 R 2110 0 R 2117 0 R 2131 0 R 2141 0 R 2153 0 R 2160 0 R 2174 0 R 2183 0 R 2193 0 R 2205 0 R 2214 0 R 2221 0 R 2231 0 R 2240 0 R 2247 0 R 2254 0 R 2267 0 R 2277 0 R 2287 0 R 2296 0 R 2310 0 R 2319 0 R 2328 0 R 2335 0 R 2346 0 R 2356 0 R 2366 0 R 2375 0 R 2384 0 R 2394 0 R 2401 0 R 2408 0 R 2422 0 R 2432 0 R 2441 0 R 2452 0 R 2461 0 R 2474 0 R 2483 0 R 2492 0 R 2501 0 R 2511 0 R 2520 0 R 2531 0 R 2540 0 R 2549 0 R 2558 0 R 2568 0 R 2577 0 R 2587 0 R 2596 0 R 2605 0 R 2614 0 R 2628 0 R 2639 0 R 2648 0 R 2666 0 R 2675 0 R 2690 0 R 2699 0 R 2720 0 R 2729 0 R 2744 0 R 2753 0 R 2770 0 R 2781 0 R 2790 0 R 2799 0 R 2806 0 R 2813 0 R 2820 0 R 2831 0 R 2840 0 R 2847 0 R 2856 0 R 2865 0 R 2874 0 R 2883 0 R 2892 0 R 2901 0 R 2908 0 R 2918 0 R 2925 0 R 2932 0 R 2939 0 R 2951 0 R 2960 0 R 2967 0 R 2978 0 R 2987 0 R 2994 0 R 3004 0 R 3013 0 R 3020 0 R 3029 0 R 3042 0 R 3051 0 R 3064 0 R 3074 0 R 3085 0 R 3096 0 R 3106 0 R 3121 0 R 3130 0 R 3142 0 R 3151 0 R 3160 0 R 3167 0 R 3174 0 R 3192 0 R 3201 0 R 3208 0 R 3220 0 R 3230 0 R 3242 0 R 3251 0 R 3261 0 R 3270 0 R 3279 0 R 3289 0 R 3301 0 R 3311 0 R 3322 0 R 3331 0 R 3344 0 R 3353 0 R 3360 0 R 3372 0 R 3381 0 R 3393 0 R 3402 0 R 3411 0 R 3420 0 R 3432 0 R 3441 0 R 3454 0 R 3463 0 R 3472 0 R 3489 0 R 3498 0 R 3510 0 R 3519 0 R 3530 0 R 3539 0 R 3548 0 R 3566 0 R 3575 0 R 3585 0 R 3592 0 R 3613 0 R 3622 0 R 3629 0 R 3640 0 R 3649 0 R 3660 0 R 3670 0 R 3677 0 R 3694 0 R 3704 0 R 3711 0 R 3723 0 R 3733 0 R 3740 0 R 3747 0 R 3759 0 R 3770 0 R 3780 0 R 3794 0 R 3804 0 R 3811 0 R 3818 0 R 3838 0 R 3848 0 R 3855 0 R 3866 0 R 3876 0 R 3883 0 R 3890 0 R 3904 0 R 3914 0 R 3923 0 R 3936 0 R 3945 0 R 3958 0 R 3967 0 R 3974 0 R 3981 0 R 3996 0 R 4005 0 R 4012 0 R 4026 0 R 4035 0 R 4045 0 R 4060 0 R 4069 0 R 4076 0 R 4092 0 R 4101 0 R 4108 0 R 4119 0 R 4128 0 R 4140 0 R 4151 0 R 4160 0 R 4175 0 R 4184 0 R 4196 0 R 4209 0 R 4218 0 R 4229 0 R 4244 0 R 4253 0 R 4260 0 R 4278 0 R 4287 0 R 4302 0 R 4311 0 R 4318 0 R 4331 0 R 4341 0 R 4353 0 R 4362 0 R 4374 0 R 4383 0 R 4402 0 R 4412 0 R 4425 0 R 4435 0 R 4447 0 R 4458 0 R 4469 0 R 4478 0 R 4494 0 R 4507 0 R 4516 0 R 4523 0 R 4537 0 R 4546 0 R 4556 0 R 4566 0 R 4577 0 R 4589 0 R 4598 0 R 4605 0 R 4612 0 R 4628 0 R 4638 0 R 4645 0 R 4659 0 R 4669 0 R 4676 0 R 4691 0 R 4701 0 R 4708 0 R 4720 0 R 4729 0 R 4736 0 R 4749 0 R 4758 0 R 4767 0 R 4777 0 R 4784 0 R 4791 0 R 4802 0 R 4811 0 R 4823 0 R 4830 0 R 4844 0 R 4851 0 R 4870 0 R 4879 0 R 4893 0 R 4902 0 R 4914 0 R 4923 0 R 4935 0 R 4944 0 R 4953 0 R 4963 0 R 4977 0 R 4989 0 R 5000 0 R 5007 0 R 5023 0 R 5033 0 R 5044 0 R 5053 0 R 5064 0 R 5073 0 R 5080 0 R 5090 0 R 5099 0 R 5113 0 R 5122 0 R 5129 0 R 5142 0 R 5151 0 R 5163 0 R 5172 0 R 5187 0 R 5196 0 R 5212 0 R 5221 0 R 5234 0 R 5243 0 R 5253 0 R 5260 0 R 5272 0 R 5282 0 R 5296 0 R 5305 0 R 5316 0 R 5325 0 R 5336 0 R 5345 0 R 5355 0 R 5364 0 R 5374 0 R 5383 0 R 5394 0 R 5403 0 R 5412 0 R 5421 0 R 5430 0 R 5441 0 R 5450 0 R 5459 0 R 5468 0 R 5484 0 R 5493 0 R 5502 0 R 5511 0 R 5522 0 R 5531 0 R 5541 0 R 5550 0 R 5560 0 R 5570 0 R 5579 0 R 5589 0 R 5598 0 R 5609 0 R 5619 0 R 5629 0 R 5638 0 R 5651 0 R 5661 0 R 5675 0 R 5684 0 R 5698 0 R 5707 0 R 5719 0 R 5728 0 R 5737 0 R 5746 0 R 5756 0 R 5766 0 R 5779 0 R 5788 0 R 5797 0 R 5806 0 R 5816 0 R 5826 0 R 5835 0 R 5845 0 R 5854 0 R 5866 0 R 5875 0 R 5886 0 R 5897 0 R 5909 0 R 5918 0 R 5928 0 R 5938 0 R 5948 0 R 5957 0 R 5964 0 R 5973 0 R 5983 0 R 5993 0 R 6011 0 R 6020 0 R 6029 0 R 6039 0 R 6053 0 R 6062 0 R 6073 0 R 6082 0 R 6098 0 R 6107 0 R 6121 0 R 6130 0 R 6145 0 R 6154 0 R 6166 0 R 6175 0 R 6187 0 R 6196 0 R 6203 0 R 6218 0 R 6227 0 R 6243 0 R 6252 0 R 6269 0 R 6278 0 R 6288 0 R 6297 0 R 6308 0 R 6317 0 R 6324 0 R 6337 0 R 6346 0 R 6362 0 R 6371 0 R 6381 0 R 6390 0 R 6399 0 R 6409 0 R 6416 0 R 6425 0 R 6432 0 R 6439 0 R 6446 0 R 6458 0 R 6467 0 R 6479 0 R 6492 0 R 6499 0 R 6509 0 R 6518 0 R 6525 0 R 6540 0 R 6549 0 R 6556 0 R 6572 0 R 6581 0 R 6591 0 R 6600 0 R 6609 0 R 6618 0 R 6627 0 R 6634 0 R 6644 0 R 6654 0 R 6663 0 R 6674 0 R 6681 0 R 6692 0 R 6702 0 R 6713 0 R 6722 0 R 6731 0 R 6742 0 R 6749 0 R 6766 0 R 6775 0 R 6784 0 R 6791 0 R 6806 0 R 6816 0 R 6824 0 R 6831 0 R 6846 0 R 6855 0 R 6864 0 R 6874 0 R 6886 0 R 6896 0 R 6904 0 R 6916 0 R 6925 0 R 6934 0 R 6941 0 R 6956 0 R 6965 0 R 6974 0 R 6983 0 R 6998 0 R 7007 0 R 7016 0 R 7034 0 R 7043 0 R 7052 0 R 7059 0 R 7074 0 R 7083 0 R 7092 0 R 7099 0 R 7115 0 R 7124 0 R 7133 0 R 7150 0 R 7159 0 R 7171 0 R 7181 0 R 7190 0 R 7202 0 R 7212 0 R 7221 0 R 7232 0 R 7242 0 R 7251 0 R 7264 0 R 7274 0 R 7283 0 R 7295 0 R 7305 0 R 7314 0 R 7327 0 R 7337 0 R 7346 0 R 7359 0 R 7369 0 R 7378 0 R 7392 0 R 7402 0 R 7411 0 R 7424 0 R 7434 0 R 7443 0 R 7456 0 R 7466 0 R 7475 0 R 7488 0 R 7498 0 R 7507 0 R 7520 0 R 7530 0 R 7539 0 R 7552 0 R 7562 0 R 7571 0 R 7584 0 R 7595 0 R 7605 0 R 7614 0 R 7621 0 R 7635 0 R 7646 0 R 7655 0 R 7666 0 R 7677 0 R 7688 0 R 7697 0 R 7709 0 R 7719 0 R 7728 0 R 7737 0 R 7748 0 R 7759 0 R 7768 0 R 7775 0 R 7782 0 R 7799 0 R 7809 0 R 7818 0 R 7827 0 R 7843 0 R 7852 0 R 7861 0 R 7872 0 R 7883 0 R 7892 0 R 7899 0 R 7913 0 R 7922 0 R 7931 0 R 7942 0 R 7952 0 R 7968 0 R 7978 0 R 7989 0 R 8000 0 R 8009 0 R 8023 0 R 8034 0 R 8043 0 R 8055 0 R 8065 0 R 8073 0 R 8082 0 R 8092 0 R 8101 0 R 8114 0 R 8124 0 R 8133 0 R 8146 0 R 8156 0 R 8165 0 R 8181 0 R 8191 0 R 8199 0 R 8208 0 R 8218 0 R 8227 0 R 8234 0 R 8244 0 R 8254 0 R 8263 0 R 8273 0 R 8283 0 R 8292 0 R 8303 0 R 8312 0 R 8327 0 R 8338 0 R 8351 0 R 8362 0 R 8372 0 R 8385 0 R 8395 0 R 8404 0 R 8417 0 R 8427 0 R 8436 0 R 8448 0 R 8458 0 R 8467 0 R 8484 0 R 8494 0 R 8503 0 R 8515 0 R 8525 0 R 8534 0 R 8548 0 R 8559 0 R 8568 0 R 8586 0 R 8596 0 R 8605 0 R 8622 0 R 8632 0 R 8639 0 R 8648 0 R 8670 0 R 8680 0 R 8689 0 R 8701 0 R 8713 0 R 8723 0 R 8730 0 R 8743 0 R 8753 0 R 8762 0 R 8774 0 R 8786 0 R 8796 0 R 8805 0 R 8817 0 R 8827 0 R 8836 0 R 8851 0 R 8861 0 R 8870 0 R 8890 0 R 8900 0 R 8909 0 R 8928 0 R 8938 0 R 8947 0 R 8958 0 R 8974 0 R 8984 0 R 8993 0 R 9014 0 R 9024 0 R 9033 0 R 9054 0 R 9064 0 R 9073 0 R 9092 0 R 9102 0 R 9111 0 R 9130 0 R 9140 0 R 9149 0 R 9171 0 R 9181 0 R 9190 0 R 9210 0 R 9220 0 R 9230 0 R 9239 0 R 9258 0 R 9268 0 R 9277 0 R 9289 0 R 9298 0 R 9305 0 R 9322 0 R 9332 0 R 9341 0 R 9353 0 R 9364 0 R 9374 0 R 9383 0 R 9396 0 R 9406 0 R 9415 0 R 9432 0 R 9441 0 R 9450 0 R 9460 0 R 9469 0 R 9478 0 R 9488 0 R 9498 0 R 9507 0 R 9523 0 R 9534 0 R 9547 0 R 9557 0 R 9566 0 R 9573 0 R 9587 0 R 9598 0 R 9607 0 R 9620 0 R 9629 0 R 9638 0 R 9651 0 R 9660 0 R 9669 0 R 9681 0 R 9691 0 R 9699 0 R 9711 0 R 9721 0 R 9730 0 R 9743 0 R 9753 0 R 9765 0 R 9775 0 R 9784 0 R 9796 0 R 9806 0 R 9815 0 R 9827 0 R 9836 0 R 9843 0 R 9853 0 R 9864 0 R 9873 0 R 9880 0 R 9894 0 R 9905 0 R 9914 0 R 9921 0 R 9928 0 R 9946 0 R 9956 0 R 9965 0 R 9972 0 R 9985 0 R 9994 0 R 10003 0 R 10010 0 R 10022 0 R 10032 0 R 10041 0 R 10056 0 R 10065 0 R 10077 0 R 10087 0 R 10096 0 R 10107 0 R 10116 0 R 10125 0 R 10135 0 R 10145 0 R 10156 0 R 10167 0 R 10176 0 R 10188 0 R 10199 0 R 10208 0 R 10215 0 R 10222 0 R 10238 0 R 10248 0 R 10257 0 R 10264 0 R 10277 0 R 10287 0 R 10296 0 R 10309 0 R 10319 0 R 10328 0 R 10341 0 R 10352 0 R 10361 0 R 10368 0 R 10385 0 R 10395 0 R 10404 0 R 10414 0 R 10423 0 R 10436 0 R 10445 0 R 10454 0 R 10469 0 R 10480 0 R 10488 0 R 10499 0 R 10510 0 R 10517 0 R 10533 0 R 10544 0 R 10556 0 R 10567 0 R 10575 0 R 10588 0 R 10599 0 R 10607 0 R 10619 0 R 10629 0 R 10638 0 R 10650 0 R 10659 0 R 10668 0 R 10678 0 R 10688 0 R 10701 0 R 10712 0 R 10720 0 R 10729 0 R 10739 0 R 10748 0 R 10762 0 R 10772 0 R 10781 0 R 10792 0 R 10802 0 R 10811 0 R 10818 0 R 10831 0 R 10841 0 R 10850 0 R 10861 0 R 10871 0 R 10880 0 R 10890 0 R 10899 0 R 10908 0 R 10917 0 R 10926 0 R 10935 0 R 10945 0 R 10954 0 R 10970 0 R 10981 0 R 10988 0 R 10999 0 R 11006 0 R 11016 0 R 11023 0 R 11030 0 R 11039 0 R 11049 0 R 11058 0 R 11070 0 R 11080 0 R 11089 0 R 11100 0 R 11109 0 R 11118 0 R 11131 0 R 11140 0 R 11150 0 R 11159 0 R 11172 0 R 11181 0 R 11192 0 R 11202 0 R 11209 0 R 11219 0 R 11228 0 R 11235 0 R 11242 0 R 11256 0 R 11265 0 R 11279 0 R 11288 0 R 11301 0 R 11310 0 R 11323 0 R 11332 0 R 11341 0 R 11348 0 R 11355 0 R 11365 0 R 11372 0 R 11381 0 R 11391 0 R 11403 0 R 11412 0 R 11421 0 R 11430 0 R 11439 0 R 11448 0 R 11457 0 R 11466 0 R 11479 0 R 11488 0 R 11498 0 R 11507 0 R 11514 0 R 11526 0 R 11535 0 R 11544 0 R 11551 0 R 11560 0 R 11567 0 R 11579 0 R 11588 0 R 11602 0 R 11611 0 R 11620 0 R 11627 0 R 11636 0 R 11643 0 R 11650 0 R 11669 0 R 11679 0 R 11686 0 R 11693 0 R 11700 0 R 11712 0 R 11721 0 R 11733 0 R 11742 0 R 11749 0 R 11760 0 R 11769 0 R 11778 0 R 11788 0 R 11798 0 R 11810 0 R 11819 0 R 11831 0 R 11841 0 R 11856 0 R 11866 0 R 11882 0 R 11891 0 R 11905 0 R 11914 0 R 11924 0 R 11933 0 R 11940 0 R 11950 0 R 11959 0 R 11970 0 R 11979 0 R 11990 0 R 11999 0 R 12008 0 R 12017 0 R 12028 0 R 12037 0 R 12048 0 R 12057 0 R 12068 0 R 12078 0 R 12089 0 R 12099 0 R 12106 0 R 12120 0 R 12129 0 R 12143 0 R 12152 0 R 12165 0 R 12174 0 R 12185 0 R 12194 0 R 12205 0 R 12215 0 R 12224 0 R 12233 0 R 12242 0 R 12254 0 R 12263 0 R 12275 0 R 12285 0 R 12297 0 R 12306 0 R 12316 0 R 12331 0 R 12342 0 R 12352 0 R 12361 0 R 12369 0 R 12383 0 R 12392 0 R 12401 0 R 12410 0 R 12423 0 R 12432 0 R 12443 0 R 12458 0 R 12467 0 R 12480 0 R 12489 0 R 12499 0 R 12508 0 R 12523 0 R 12532 0 R 12544 0 R 12553 0 R 12564 0 R 12574 0 R 12581 0 R 12590 0 R 12597 0 R 12604 0 R 12611 0 R 12618 0 R 12632 0 R 12641 0 R 12659 0 R 12666 0 R 12675 0 R 12682 0 R 12689 0 R 12696 0 R 12712 0 R 12721 0 R 12728 0 R 12739 0 R 12748 0 R 12755 0 R 12762 0 R 12769 0 R 12785 0 R 12794 0 R 12804 0 R 12814 0 R 12821 0 R 12832 0 R 12841 0 R 12855 0 R 12864 0 R 12873 0 R 12882 0 R 12889 0 R 12899 0 R 12908 0 R 12915 0 R 12933 0 R 12942 0 R 12949 0 R 12956 0 R 12963 0 R 12982 0 R 12991 0 R 13002 0 R 13012 0 R 13024 0 R 13033 0 R 13040 0 R 13047 0 R 13064 0 R 13073 0 R 13086 0 R 13095 0 R 13104 0 R 13113 0 R 13128 0 R 13137 0 R 13154 0 R 13163 0 R 13180 0 R 13190 0 R 13200 0 R 13207 0 R 13216 0 R 13228 0 R 13237 0 R 13247 0 R 13258 0 R 13269 0 R 13280 0 R 13290 0 R 13299 0 R 13308 0 R 13317 0 R 13326 0 R 13335 0 R 13346 0 R 13355 0 R 13366 0 R 13375 0 R 13382 0 R 13394 0 R 13403 0 R 13410 0 R 13425 0 R 13434 0 R 13443 0 R 13455 0 R 13467 0 R 13476 0 R 13487 0 R 13496 0 R 13505 0 R 13514 0 R 13527 0 R 13536 0 R 13548 0 R 13557 0 R 13568 0 R 13577 0 R 13595 0 R 13604 0 R 13619 0 R 13628 0 R 13639 0 R 13648 0 R 13660 0 R 13669 0 R 13688 0 R 13697 0 R 13709 0 R 13718 0 R 13732 0 R 13741 0 R 13756 0 R 13765 0 R 13782 0 R 13792 0 R 13801 0 R 13813 0 R 13822 0 R 13829 0 R 13838 0 R 13847 0 R 13857 0 R 13866 0 R 13878 0 R 13887 0 R 13897 0 R 13906 0 R 13919 0 R 13929 0 R 13938 0 R 13947 0 R 13958 0 R 13967 0 R 13977 0 R 13987 0 R 13996 0 R 14003 0 R 14020 0 R 14030 0 R 14041 0 R 14050 0 R 14060 0 R 14070 0 R 14079 0 R 14092 0 R 14101 0 R 14114 0 R 14123 0 R 14140 0 R 14149 0 R 14165 0 R 14174 0 R 14189 0 R 14198 0 R 14213 0 R 14222 0 R 14236 0 R 14245 0 R 14259 0 R 14268 0 R 14283 0 R 14292 0 R 14301 0 R 14310 0 R 14329 0 R 14338 0 R 14347 0 R 14364 0 R 14373 0 R 14392 0 R 14401 0 R 14418 0 R 14428 0 R 14437 0 R 14454 0 R 14463 0 R 14476 0 R 14485 0 R 14497 0 R 14506 0 R 14513 0 R 14523 0 R 14532 0 R 14539 0 R 14546 0 R 14556 0 R 14565 0 R 14575 0 R 14585 0 R 14592 0 R 14599 0 R 14609 0 R 14618 0 R 14625 0 R 14635 0 R 14642 0 R 14649 0 R 14668 0 R 14678 0 R 14685 0 R 14698 0 R 14708 0 R 14715 0 R 14726 0 R 14736 0 R 14743 0 R 14753 0 R 14763 0 R 14774 0 R 14783 0 R 14790 0 R 14801 0 R 14810 0 R 14820 0 R 14829 0 R 14838 0 R 14848 0 R 14855 0 R 14864 0 R 14874 0 R 14883 0 R 14892 0 R 14899 0 R 14906 0 R 14916 0 R 14923 0 R 14932 0 R 14942 0 R 14951 0 R 14958 0 R 14968 0 R 14975 0 R 14982 0 R 14989 0 R 14996 0 R 15003 0 R 15010 0 R 15017 0 R 15034 0 R 15044 0 R 15051 0 R 15061 0 R 15072 0 R 15079 0 R 15086 0 R 15110 0 R 15119 0 R 15126 0 R 15133 0 R 15143 0 R 15152 0 R 15159 0 R 15166 0 R 15176 0 R 15183 0 R 15201 0 R 15210 0 R 15220 0 R 15227 0 R 15237 0 R 15244 0 R 15251 0 R 15260 0 R 15269 0 R 15276 0 R 15290 0 R 15300 0 R 15312 0 R 15322 0 R 15336 0 R 15345 0 R 15356 0 R 15366 0 R 15378 0 R 15387 0 R 15399 0 R 15408 0 R 15415 0 R 15427 0 R 15436 0 R 15445 0 R 15454 0 R 15461 0 R 15473 0 R 15484 0 R 15491 0 R 15498 0 R 15511 0 R 15520 0 R 15531 0 R 15540 0 R 15547 0 R 15556 0 R 15566 0 R 15578 0 R 15587 0 R 15596 0 R 15605 0 R 15612 0 R 15624 0 R 15634 0 R 15641 0 R 15648 0 R 15659 0 R 15668 0 R 15678 0 R 15687 0 R 15694 0 R 15708 0 R 15719 0 R 15727 0 R 15747 0 R 15757 0 R 15764 0 R 15771 0 R 15780 0 R 15791 0 R 15798 0 R 15808 0 R 15820 0 R 15827 0 R 15834 0 R 15846 0 R 15855 0 R 15862 0 R 15872 0 R 15881 0 R 15888 0 R 15895 0 R 15904 0 R 15913 0 R 15923 0 R 15932 0 R 15943 0 R 15952 0 R 15961 0 R 15970 0 R 15979 0 R 15986 0 R 16001 0 R 16010 0 R 16019 0 R 16028 0 R 16035 0 R 16042 0 R 16054 0 R 16064 0 R 16071 0 R 16078 0 R 16092 0 R 16099 0 R 16109 0 R 16116 0 R 16123 0 R 16130 0 R 16137 0 R 16154 0 R 16163 0 R 16170 0 R 16180 0 R 16187 0 R 16194 0 R 16201 0 R 16221 0 R 16230 0 R 16237 0 R 16244 0 R 16253 0 R 16260 0 R 16267 0 R 16276 0 R 16285 0 R 16297 0 R 16308 0 R 16319 0 R 16331 0 R 16341 0 R 16350 0 R 16360 0 R 16372 0 R 16381 0 R 16394 0 R 16403 0 R 16415 0 R 16424 0 R 16435 0 R 16444 0 R 16461 0 R 16470 0 R 16487 0 R 16497 0 R 16508 0 R 16518 0 R 16529 0 R 16538 0 R 16545 0 R 16554 0 R 16563 0 R 16572 0 R 16581 0 R 16591 0 R 16598 0 R 16609 0 R 16618 0 R 16630 0 R 16639 0 R 16649 0 R 16659 0 R 16674 0 R 16683 0 R 16692 0 R 16701 0 R 16710 0 R 16719 0 R 16729 0 R 16736 0 R 16748 0 R 16758 0 R 16767 0 R 16777 0 R 16788 0 R 16797 0 R 16818 0 R 16828 0 R 16835 0 R 16845 0 R 16854 0 R 16873 0 R 16880 0 R 16889 0 R 16908 0 R 16917 0 R 16928 0 R 16937 0 R 16955 0 R 16964 0 R 16971 0 R 16978 0 R 16985 0 R 16992 0 R 17010 0 R 17019 0 R 17032 0 R 17041 0 R 17055 0 R 17065 0 R 17083 0 R 17092 0 R 17099 0 R 17106 0 R 17113 0 R 17120 0 R 17142 0 R 17151 0 R 17166 0 R 17175 0 R 17190 0 R 17200 0 R 17207 0 R 17221 0 R 17231 0 R 17238 0 R 17252 0 R 17261 0 R 17274 0 R 17283 0 R 17297 0 R 17306 0 R 17315 0 R 17324 0 R 17333 0 R 17342 0 R 17349 0 R 17360 0 R 17369 0 R 17381 0 R 17391 0 R 17398 0 R 17405 0 R 17419 0 R 17429 0 R 17436 0 R 17443 0 R 17456 0 R 17465 0 R 17480 0 R 17489 0 R 17500 0 R 17509 0 R 17516 0 R 17523 0 R 17536 0 R 17546 0 R 17556 0 R 17566 0 R 17573 0 R 17583 0 R 17593 0 R 17600 0 R 17609 0 R 17619 0 R 17629 0 R 17639 0 R 17646 0 R 17659 0 R 17669 0 R 17676 0 R 17687 0 R 17696 0 R 17705 0 R 17716 0 R 17727 0 R 17737 0 R 17748 0 R 17758 0 R 17765 0 R 17777 0 R 17787 0 R 17796 0 R 17805 0 R 17820 0 R 17829 0 R 17839 0 R 17846 0 R 17853 0 R 17869 0 R 17878 0 R 17890 0 R 17903 0 R 17913 0 R 17924 0 R 17934 0 R 17948 0 R 17958 0 R 17972 0 R 17981 0 R 17992 0 R 18002 0 R 18015 0 R 18025 0 R 18032 0 R 18039 0 R 18055 0 R 18065 0 R 18076 0 R 18086 0 R 18093 0 R 18106 0 R 18115 0 R 18122 0 R 18129 0 R 18137 0 R 18147 0 R 18157 0 R 18167 0 R 18174 0 R 18181 0 R 18188 0 R 18200 0 R 18211 0 R 18218 0 R 18225 0 R 18236 0 R 18246 0 R 18253 0 R 18266 0 R 18276 0 R 18287 0 R 18296 0 R 18305 0 R 18316 0 R 18326 0 R 18340 0 R 18350 0 R 18357 0 R 18368 0 R 18378 0 R 18388 0 R 18399 0 R 18406 0 R 18413 0 R 18432 0 R 18441 0 R 18454 0 R 18461 0 R 18471 0 R 18478 0 R 18485 0 R 18500 0 R 18509 0 R 18516 0 R 18528 0 R 18538 0 R 18548 0 R 18557 0 R 18564 0 R 18576 0 R 18585 0 R 18596 0 R 18605 0 R 18619 0 R 18628 0 R 18642 0 R 18651 0 R 18660 0 R 18672 0 R 18681 0 R 18692 0 R 18702 0 R 18711 0 R 18722 0 R 18731 0 R 18742 0 R 18751 0 R 18761 0 R 18770 0 R 18783 0 R 18793 0 R 18802 0 R 18819 0 R 18828 0 R 18843 0 R 18852 0 R 18867 0 R 18876 0 R 18887 0 R 18896 0 R 18907 0 R 18914 0 R 18921 0 R 18930 0 R 18937 0 R 18944 0 R 18951 0 R 18958 0 R 18965 0 R 18981 0 R 18991 0 R 19006 0 R 19015 0 R 19027 0 R 19036 0 R 19047 0 R 19056 0 R 19068 0 R 19077 0 R 19087 0 R 19096 0 R 19106 0 R 19115 0 R 19126 0 R 19135 0 R 19144 0 R 19153 0 R 19163 0 R 19172 0 R 19181 0 R 19191 0 R 19200 0 R 19210 0 R 19219 0 R 19226 0 R 19236 0 R 19245 0 R 19254 0 R 19263 0 R 19272 0 R 19281 0 R 19288 0 R 19299 0 R 19309 0 R 19318 0 R 19325 0 R 19340 0 R 19349 0 R 19359 0 R 19368 0 R 19377 0 R 19387 0 R 19396 0 R 19407 0 R 19416 0 R 19426 0 R 19435 0 R 19448 0 R 19455 0 R 19464 0 R 19473 0 R 19487 0 R 19499 0 R 19508 0 R 19519 0 R 19530 0 R 19539 0 R 19550 0 R 19560 0 R 19570 0 R 19579 0 R 19592 0 R 19601 0 R 19620 0 R 19629 0 R 19636 0 R 19645 0 R 19661 0 R 19670 0 R 19685 0 R 19694 0 R 19709 0 R 19718 0 R 19727 0 R 19736 0 R 19745 0 R 19752 0 R 19765 0 R 19772 0 R 19781 0 R 19788 0 R 19799 0 R 19808 0 R 19815 0 R 19822 0 R 19834 0 R 19843 0 R 19852 0 R 19859 0 R 19868 0 R 19877 0 R 19886 0 R 19893 0 R 19900 0 R 19917 0 R 19926 0 R 19933 0 R 19942 0 R 19951 0 R 19960 0 R 19970 0 R 19981 0 R 19990 0 R 19999 0 R 20006 0 R 20015 0 R 20024 0 R 20031 0 R 20046 0 R 20057 0 R 20067 0 R 20078 0 R 20089 0 R 20098 0 R 20115 0 R 20124 0 R 20141 0 R 20150 0 R 20157 0 R 20175 0 R 20184 0 R 20193 0 R 20202 0 R 20209 0 R 20216 0 R 20226 0 R 20233 0 R 20240 0 R 20258 0 R 20269 0 R 20278 0 R 20290 0 R 20299 0 R 20308 0 R 20319 0 R 20330 0 R 20340 0 R 20347 0 R 20354 0 R 20372 0 R 20383 0 R 20390 0 R 20401 0 R 20410 0 R 20417 0 R 20427 0 R 20438 0 R 20447 0 R 20457 0 R 20464 0 R 20471 0 R 20481 0 R 20491 0 R 20498 0 R 20507 0 R 20517 0 R 20535 0 R 20545 0 R 20552 0 R 20559 0 R 20568 0 R 20577 0 R 20584 0 R 20593 0 R 20600 0 R 20607 0 R 20616 0 R 20633 0 R 20653 0 R] /Parent 20742 0 R /Type /Pages >> endobj 20744 0 obj << /D [24771 0 R /XYZ null 711 null] >> endobj 20745 0 obj << /D [1 0 R /XYZ null 711 null] >> endobj 20746 0 obj << /D [1 0 R /XYZ null 694.2 null] >> endobj 20747 0 obj << /D [1 0 R /XYZ null 644.6 null] >> endobj 20748 0 obj << /D [1 0 R /XYZ null 618.3 null] >> endobj 20749 0 obj << /D [48 0 R /XYZ null 711 null] >> endobj 20750 0 obj << /D [48 0 R /XYZ null 711 null] >> endobj 20751 0 obj << /D [48 0 R /XYZ null 572.6 null] >> endobj 20752 0 obj << /D [48 0 R /XYZ null 572.6 null] >> endobj 20753 0 obj << /D [48 0 R /XYZ null 304.6 null] >> endobj 20754 0 obj << /D [48 0 R /XYZ null 304.6 null] >> endobj 20755 0 obj << /D [63 0 R /XYZ null 610.7 null] >> endobj 20756 0 obj << /D [63 0 R /XYZ null 610.7 null] >> endobj 20757 0 obj << /D [63 0 R /XYZ null 444.1001 null] >> endobj 20758 0 obj << /D [63 0 R /XYZ null 444.1001 null] >> endobj 20759 0 obj << /D [63 0 R /XYZ null 375.1001 null] >> endobj 20760 0 obj << /D [72 0 R /XYZ null 711 null] >> endobj 20761 0 obj << /D [72 0 R /XYZ null 711 null] >> endobj 20762 0 obj << /D [72 0 R /XYZ null 419.0039 null] >> endobj 20763 0 obj << /D [72 0 R /XYZ null 419.0039 null] >> endobj 20764 0 obj << /D [81 0 R /XYZ null 525.6077 null] >> endobj 20765 0 obj << /D [81 0 R /XYZ null 525.6077 null] >> endobj 20766 0 obj << /D [81 0 R /XYZ null 344.2462 null] >> endobj 20767 0 obj << /D [81 0 R /XYZ null 344.2462 null] >> endobj 20768 0 obj << /D [88 0 R /XYZ null 492.4115 null] >> endobj 20769 0 obj << /D [88 0 R /XYZ null 492.4115 null] >> endobj 20770 0 obj << /D [88 0 R /XYZ null 450.2115 null] >> endobj 20771 0 obj << /D [88 0 R /XYZ null 450.2115 null] >> endobj 20772 0 obj << /D [88 0 R /XYZ null 322.773 null] >> endobj 20773 0 obj << /D [88 0 R /XYZ null 274.7769 null] >> endobj 20774 0 obj << /D [88 0 R /XYZ null 213.0345 null] >> endobj 20775 0 obj << /D [88 0 R /XYZ null 137.7422 null] >> endobj 20776 0 obj << /D [95 0 R /XYZ null 711 null] >> endobj 20777 0 obj << /D [95 0 R /XYZ null 402.7385 null] >> endobj 20778 0 obj << /D [95 0 R /XYZ null 402.7385 null] >> endobj 20779 0 obj << /D [102 0 R /XYZ null 711 null] >> endobj 20780 0 obj << /D [102 0 R /XYZ null 711 null] >> endobj 20781 0 obj << /D [102 0 R /XYZ null 570.2039 null] >> endobj 20782 0 obj << /D [102 0 R /XYZ null 471.2039 null] >> endobj 20783 0 obj << /D [102 0 R /XYZ null 296.6347 null] >> endobj 20784 0 obj << /D [102 0 R /XYZ null 296.6347 null] >> endobj 20785 0 obj << /D [102 0 R /XYZ null 194.2347 null] >> endobj 20786 0 obj << /D [110 0 R /XYZ null 314.8078 null] >> endobj 20787 0 obj << /D [117 0 R /XYZ null 643.8 null] >> endobj 20788 0 obj << /D [117 0 R /XYZ null 526.0039 null] >> endobj 20789 0 obj << /D [117 0 R /XYZ null 236.404 null] >> endobj 20790 0 obj << /D [117 0 R /XYZ null 207.804 null] >> endobj 20791 0 obj << /D [124 0 R /XYZ null 590.3115 null] >> endobj 20792 0 obj << /D [124 0 R /XYZ null 161.5153 null] >> endobj 20793 0 obj << /D [131 0 R /XYZ null 653.5039 null] >> endobj 20794 0 obj << /D [138 0 R /XYZ null 697 null] >> endobj 20795 0 obj << /D [138 0 R /XYZ null 697 null] >> endobj 20796 0 obj << /D [138 0 R /XYZ null 573.3 null] >> endobj 20797 0 obj << /D [138 0 R /XYZ null 573.3 null] >> endobj 20798 0 obj << /D [138 0 R /XYZ null 205.8498 null] >> endobj 20799 0 obj << /D [138 0 R /XYZ null 205.8498 null] >> endobj 20800 0 obj << /D [138 0 R /XYZ null 136.5536 null] >> endobj 20801 0 obj << /D [145 0 R /XYZ null 643.8 null] >> endobj 20802 0 obj << /D [145 0 R /XYZ null 566.6 null] >> endobj 20803 0 obj << /D [145 0 R /XYZ null 479.4 null] >> endobj 20804 0 obj << /D [145 0 R /XYZ null 426.8001 null] >> endobj 20805 0 obj << /D [145 0 R /XYZ null 426.8001 null] >> endobj 20806 0 obj << /D [145 0 R /XYZ null 357.8001 null] >> endobj 20807 0 obj << /D [145 0 R /XYZ null 294.9001 null] >> endobj 20808 0 obj << /D [145 0 R /XYZ null 231.704 null] >> endobj 20809 0 obj << /D [145 0 R /XYZ null 205.404 null] >> endobj 20810 0 obj << /D [152 0 R /XYZ null 456.1789 null] >> endobj 20811 0 obj << /D [152 0 R /XYZ null 424.8789 null] >> endobj 20812 0 obj << /D [152 0 R /XYZ null 424.8789 null] >> endobj 20813 0 obj << /D [152 0 R /XYZ null 341.2828 null] >> endobj 20814 0 obj << /D [152 0 R /XYZ null 278.3828 null] >> endobj 20815 0 obj << /D [152 0 R /XYZ null 201.1828 null] >> endobj 20816 0 obj << /D [159 0 R /XYZ null 711 null] >> endobj 20817 0 obj << /D [159 0 R /XYZ null 711 null] >> endobj 20818 0 obj << /D [166 0 R /XYZ null 417.1423 null] >> endobj 20819 0 obj << /D [166 0 R /XYZ null 417.1423 null] >> endobj 20820 0 obj << /D [166 0 R /XYZ null 347.8462 null] >> endobj 20821 0 obj << /D [174 0 R /XYZ null 711 null] >> endobj 20822 0 obj << /D [174 0 R /XYZ null 350.8402 null] >> endobj 20823 0 obj << /D [174 0 R /XYZ null 350.8402 null] >> endobj 20824 0 obj << /D [188 0 R /XYZ null 711 null] >> endobj 20825 0 obj << /D [188 0 R /XYZ null 711 null] >> endobj 20826 0 obj << /D [188 0 R /XYZ null 572.6 null] >> endobj 20827 0 obj << /D [188 0 R /XYZ null 572.6 null] >> endobj 20828 0 obj << /D [188 0 R /XYZ null 535.4 null] >> endobj 20829 0 obj << /D [188 0 R /XYZ null 310.8 null] >> endobj 20830 0 obj << /D [188 0 R /XYZ null 310.8 null] >> endobj 20831 0 obj << /D [188 0 R /XYZ null 285.6 null] >> endobj 20832 0 obj << /D [188 0 R /XYZ null 245.4 null] >> endobj 20833 0 obj << /D [188 0 R /XYZ null 160.2 null] >> endobj 20834 0 obj << /D [188 0 R /XYZ null 120 null] >> endobj 20835 0 obj << /D [262 0 R /XYZ null 711 null] >> endobj 20836 0 obj << /D [262 0 R /XYZ null 685.8 null] >> endobj 20837 0 obj << /D [262 0 R /XYZ null 671.6 null] >> endobj 20838 0 obj << /D [262 0 R /XYZ null 631.4 null] >> endobj 20839 0 obj << /D [262 0 R /XYZ null 603 null] >> endobj 20840 0 obj << /D [262 0 R /XYZ null 562.8 null] >> endobj 20841 0 obj << /D [262 0 R /XYZ null 491.8 null] >> endobj 20842 0 obj << /D [262 0 R /XYZ null 451.6 null] >> endobj 20843 0 obj << /D [262 0 R /XYZ null 380.6 null] >> endobj 20844 0 obj << /D [262 0 R /XYZ null 340.4 null] >> endobj 20845 0 obj << /D [262 0 R /XYZ null 312 null] >> endobj 20846 0 obj << /D [262 0 R /XYZ null 271.8 null] >> endobj 20847 0 obj << /D [351 0 R /XYZ null 654.2 null] >> endobj 20848 0 obj << /D [351 0 R /XYZ null 614 null] >> endobj 20849 0 obj << /D [351 0 R /XYZ null 543 null] >> endobj 20850 0 obj << /D [351 0 R /XYZ null 502.8 null] >> endobj 20851 0 obj << /D [351 0 R /XYZ null 389.2 null] >> endobj 20852 0 obj << /D [351 0 R /XYZ null 349 null] >> endobj 20853 0 obj << /D [464 0 R /XYZ null 356 null] >> endobj 20854 0 obj << /D [464 0 R /XYZ null 315.8 null] >> endobj 20855 0 obj << /D [464 0 R /XYZ null 188 null] >> endobj 20856 0 obj << /D [464 0 R /XYZ null 147.8 null] >> endobj 20857 0 obj << /D [589 0 R /XYZ null 498 null] >> endobj 20858 0 obj << /D [589 0 R /XYZ null 457.8 null] >> endobj 20859 0 obj << /D [589 0 R /XYZ null 443.6 null] >> endobj 20860 0 obj << /D [589 0 R /XYZ null 403.4 null] >> endobj 20861 0 obj << /D [589 0 R /XYZ null 389.2 null] >> endobj 20862 0 obj << /D [589 0 R /XYZ null 349 null] >> endobj 20863 0 obj << /D [589 0 R /XYZ null 320.6 null] >> endobj 20864 0 obj << /D [589 0 R /XYZ null 280.4 null] >> endobj 20865 0 obj << /D [589 0 R /XYZ null 195.2 null] >> endobj 20866 0 obj << /D [589 0 R /XYZ null 155 null] >> endobj 20867 0 obj << /D [823 0 R /XYZ null 711 null] >> endobj 20868 0 obj << /D [823 0 R /XYZ null 685.8 null] >> endobj 20869 0 obj << /D [823 0 R /XYZ null 629 null] >> endobj 20870 0 obj << /D [823 0 R /XYZ null 588.8 null] >> endobj 20871 0 obj << /D [823 0 R /XYZ null 546.2 null] >> endobj 20872 0 obj << /D [823 0 R /XYZ null 506 null] >> endobj 20873 0 obj << /D [823 0 R /XYZ null 477.6 null] >> endobj 20874 0 obj << /D [823 0 R /XYZ null 437.4 null] >> endobj 20875 0 obj << /D [823 0 R /XYZ null 380.6 null] >> endobj 20876 0 obj << /D [823 0 R /XYZ null 340.4 null] >> endobj 20877 0 obj << /D [920 0 R /XYZ null 569 null] >> endobj 20878 0 obj << /D [920 0 R /XYZ null 528.8 null] >> endobj 20879 0 obj << /D [920 0 R /XYZ null 500.4 null] >> endobj 20880 0 obj << /D [920 0 R /XYZ null 460.2 null] >> endobj 20881 0 obj << /D [920 0 R /XYZ null 389.2 null] >> endobj 20882 0 obj << /D [920 0 R /XYZ null 349 null] >> endobj 20883 0 obj << /D [1031 0 R /XYZ null 654.2 null] >> endobj 20884 0 obj << /D [1031 0 R /XYZ null 614 null] >> endobj 20885 0 obj << /D [1031 0 R /XYZ null 429.4 null] >> endobj 20886 0 obj << /D [1031 0 R /XYZ null 389.2 null] >> endobj 20887 0 obj << /D [1153 0 R /XYZ null 696.8 null] >> endobj 20888 0 obj << /D [1153 0 R /XYZ null 656.6 null] >> endobj 20889 0 obj << /D [1153 0 R /XYZ null 642.4 null] >> endobj 20890 0 obj << /D [1153 0 R /XYZ null 602.2 null] >> endobj 20891 0 obj << /D [1153 0 R /XYZ null 389.2 null] >> endobj 20892 0 obj << /D [1153 0 R /XYZ null 349 null] >> endobj 20893 0 obj << /D [1153 0 R /XYZ null 320.6 null] >> endobj 20894 0 obj << /D [1153 0 R /XYZ null 280.4 null] >> endobj 20895 0 obj << /D [1153 0 R /XYZ null 195.2 null] >> endobj 20896 0 obj << /D [1153 0 R /XYZ null 155.0001 null] >> endobj 20897 0 obj << /D [1153 0 R /XYZ null 126.6 null] >> endobj 20898 0 obj << /D [1153 0 R /XYZ null 86.4001 null] >> endobj 20899 0 obj << /D [1235 0 R /XYZ null 711 null] >> endobj 20900 0 obj << /D [1235 0 R /XYZ null 685.8 null] >> endobj 20901 0 obj << /D [1235 0 R /XYZ null 657.4 null] >> endobj 20902 0 obj << /D [1235 0 R /XYZ null 617.2 null] >> endobj 20903 0 obj << /D [1235 0 R /XYZ null 546.2 null] >> endobj 20904 0 obj << /D [1235 0 R /XYZ null 506 null] >> endobj 20905 0 obj << /D [1353 0 R /XYZ null 654.2 null] >> endobj 20906 0 obj << /D [1353 0 R /XYZ null 614 null] >> endobj 20907 0 obj << /D [1379 0 R /XYZ null 711 null] >> endobj 20908 0 obj << /D [1379 0 R /XYZ null 711 null] >> endobj 20909 0 obj << /D [1379 0 R /XYZ null 542.3 null] >> endobj 20910 0 obj << /D [1379 0 R /XYZ null 542.3 null] >> endobj 20911 0 obj << /D [1379 0 R /XYZ null 331.6 null] >> endobj 20912 0 obj << /D [1404 0 R /XYZ null 697 null] >> endobj 20913 0 obj << /D [1404 0 R /XYZ null 697 null] >> endobj 20914 0 obj << /D [1404 0 R /XYZ null 543.1 null] >> endobj 20915 0 obj << /D [1425 0 R /XYZ null 697 null] >> endobj 20916 0 obj << /D [1425 0 R /XYZ null 697 null] >> endobj 20917 0 obj << /D [1425 0 R /XYZ null 490.6 null] >> endobj 20918 0 obj << /D [1453 0 R /XYZ null 697 null] >> endobj 20919 0 obj << /D [1453 0 R /XYZ null 697 null] >> endobj 20920 0 obj << /D [1453 0 R /XYZ null 490.6 null] >> endobj 20921 0 obj << /D [1497 0 R /XYZ null 697 null] >> endobj 20922 0 obj << /D [1497 0 R /XYZ null 697 null] >> endobj 20923 0 obj << /D [1497 0 R /XYZ null 480.6 null] >> endobj 20924 0 obj << /D [1536 0 R /XYZ null 697 null] >> endobj 20925 0 obj << /D [1536 0 R /XYZ null 697 null] >> endobj 20926 0 obj << /D [1536 0 R /XYZ null 490.6 null] >> endobj 20927 0 obj << /D [1545 0 R /XYZ null 147.1538 null] >> endobj 20928 0 obj << /D [1545 0 R /XYZ null 120.7538 null] >> endobj 20929 0 obj << /D [1552 0 R /XYZ null 664.4 null] >> endobj 20930 0 obj << /D [1570 0 R /XYZ null 697 null] >> endobj 20931 0 obj << /D [1570 0 R /XYZ null 697 null] >> endobj 20932 0 obj << /D [1570 0 R /XYZ null 538.8 null] >> endobj 20933 0 obj << /D [1580 0 R /XYZ null 271.3538 null] >> endobj 20934 0 obj << /D [1580 0 R /XYZ null 233.1538 null] >> endobj 20935 0 obj << /D [1605 0 R /XYZ null 697 null] >> endobj 20936 0 obj << /D [1605 0 R /XYZ null 697 null] >> endobj 20937 0 obj << /D [1605 0 R /XYZ null 543.1 null] >> endobj 20938 0 obj << /D [1637 0 R /XYZ null 697 null] >> endobj 20939 0 obj << /D [1637 0 R /XYZ null 697 null] >> endobj 20940 0 obj << /D [1637 0 R /XYZ null 543.1 null] >> endobj 20941 0 obj << /D [1659 0 R /XYZ null 697 null] >> endobj 20942 0 obj << /D [1659 0 R /XYZ null 697 null] >> endobj 20943 0 obj << /D [1659 0 R /XYZ null 553.1 null] >> endobj 20944 0 obj << /D [1669 0 R /XYZ null 697 null] >> endobj 20945 0 obj << /D [1669 0 R /XYZ null 697 null] >> endobj 20946 0 obj << /D [1669 0 R /XYZ null 470.6 null] >> endobj 20947 0 obj << /D [1699 0 R /XYZ null 697 null] >> endobj 20948 0 obj << /D [1699 0 R /XYZ null 697 null] >> endobj 20949 0 obj << /D [1699 0 R /XYZ null 490.6 null] >> endobj 20950 0 obj << /D [1720 0 R /XYZ null 697 null] >> endobj 20951 0 obj << /D [1720 0 R /XYZ null 697 null] >> endobj 20952 0 obj << /D [1720 0 R /XYZ null 490.6 null] >> endobj 20953 0 obj << /D [1741 0 R /XYZ null 697 null] >> endobj 20954 0 obj << /D [1741 0 R /XYZ null 697 null] >> endobj 20955 0 obj << /D [1741 0 R /XYZ null 490.6 null] >> endobj 20956 0 obj << /D [1762 0 R /XYZ null 697 null] >> endobj 20957 0 obj << /D [1762 0 R /XYZ null 697 null] >> endobj 20958 0 obj << /D [1762 0 R /XYZ null 480.6 null] >> endobj 20959 0 obj << /D [1790 0 R /XYZ null 697 null] >> endobj 20960 0 obj << /D [1790 0 R /XYZ null 697 null] >> endobj 20961 0 obj << /D [1790 0 R /XYZ null 500.6 null] >> endobj 20962 0 obj << /D [1813 0 R /XYZ null 697 null] >> endobj 20963 0 obj << /D [1813 0 R /XYZ null 697 null] >> endobj 20964 0 obj << /D [1813 0 R /XYZ null 500.6 null] >> endobj 20965 0 obj << /D [1839 0 R /XYZ null 697 null] >> endobj 20966 0 obj << /D [1839 0 R /XYZ null 697 null] >> endobj 20967 0 obj << /D [1839 0 R /XYZ null 500.6 null] >> endobj 20968 0 obj << /D [1865 0 R /XYZ null 697 null] >> endobj 20969 0 obj << /D [1865 0 R /XYZ null 697 null] >> endobj 20970 0 obj << /D [1865 0 R /XYZ null 490.6 null] >> endobj 20971 0 obj << /D [1894 0 R /XYZ null 697 null] >> endobj 20972 0 obj << /D [1894 0 R /XYZ null 697 null] >> endobj 20973 0 obj << /D [1894 0 R /XYZ null 490.6 null] >> endobj 20974 0 obj << /D [1924 0 R /XYZ null 697 null] >> endobj 20975 0 obj << /D [1924 0 R /XYZ null 697 null] >> endobj 20976 0 obj << /D [1924 0 R /XYZ null 500.6 null] >> endobj 20977 0 obj << /D [1946 0 R /XYZ null 697 null] >> endobj 20978 0 obj << /D [1946 0 R /XYZ null 697 null] >> endobj 20979 0 obj << /D [1946 0 R /XYZ null 500.6 null] >> endobj 20980 0 obj << /D [1972 0 R /XYZ null 697 null] >> endobj 20981 0 obj << /D [1972 0 R /XYZ null 697 null] >> endobj 20982 0 obj << /D [1972 0 R /XYZ null 500.6 null] >> endobj 20983 0 obj << /D [1998 0 R /XYZ null 697 null] >> endobj 20984 0 obj << /D [1998 0 R /XYZ null 697 null] >> endobj 20985 0 obj << /D [1998 0 R /XYZ null 500.6 null] >> endobj 20986 0 obj << /D [2023 0 R /XYZ null 697 null] >> endobj 20987 0 obj << /D [2023 0 R /XYZ null 697 null] >> endobj 20988 0 obj << /D [2023 0 R /XYZ null 500.6 null] >> endobj 20989 0 obj << /D [2046 0 R /XYZ null 697 null] >> endobj 20990 0 obj << /D [2046 0 R /XYZ null 697 null] >> endobj 20991 0 obj << /D [2046 0 R /XYZ null 500.6 null] >> endobj 20992 0 obj << /D [2069 0 R /XYZ null 697 null] >> endobj 20993 0 obj << /D [2069 0 R /XYZ null 697 null] >> endobj 20994 0 obj << /D [2069 0 R /XYZ null 470.6 null] >> endobj 20995 0 obj << /D [2101 0 R /XYZ null 697 null] >> endobj 20996 0 obj << /D [2101 0 R /XYZ null 697 null] >> endobj 20997 0 obj << /D [2101 0 R /XYZ null 490.6 null] >> endobj 20998 0 obj << /D [2141 0 R /XYZ null 697 null] >> endobj 20999 0 obj << /D [2141 0 R /XYZ null 697 null] >> endobj 21000 0 obj << /D [2141 0 R /XYZ null 490.6 null] >> endobj 21001 0 obj << /D [2174 0 R /XYZ null 697 null] >> endobj 21002 0 obj << /D [2174 0 R /XYZ null 697 null] >> endobj 21003 0 obj << /D [2174 0 R /XYZ null 553.1 null] >> endobj 21004 0 obj << /D [2205 0 R /XYZ null 697 null] >> endobj 21005 0 obj << /D [2205 0 R /XYZ null 697 null] >> endobj 21006 0 obj << /D [2205 0 R /XYZ null 480.6 null] >> endobj 21007 0 obj << /D [2231 0 R /XYZ null 697 null] >> endobj 21008 0 obj << /D [2231 0 R /XYZ null 697 null] >> endobj 21009 0 obj << /D [2231 0 R /XYZ null 460.6 null] >> endobj 21010 0 obj << /D [2267 0 R /XYZ null 697 null] >> endobj 21011 0 obj << /D [2267 0 R /XYZ null 697 null] >> endobj 21012 0 obj << /D [2267 0 R /XYZ null 538.8 null] >> endobj 21013 0 obj << /D [2287 0 R /XYZ null 697 null] >> endobj 21014 0 obj << /D [2287 0 R /XYZ null 697 null] >> endobj 21015 0 obj << /D [2287 0 R /XYZ null 543.1 null] >> endobj 21016 0 obj << /D [2319 0 R /XYZ null 697 null] >> endobj 21017 0 obj << /D [2319 0 R /XYZ null 697 null] >> endobj 21018 0 obj << /D [2319 0 R /XYZ null 490.6 null] >> endobj 21019 0 obj << /D [2346 0 R /XYZ null 697 null] >> endobj 21020 0 obj << /D [2346 0 R /XYZ null 697 null] >> endobj 21021 0 obj << /D [2346 0 R /XYZ null 553.1 null] >> endobj 21022 0 obj << /D [2346 0 R /XYZ null 331.8788 null] >> endobj 21023 0 obj << /D [2366 0 R /XYZ null 697 null] >> endobj 21024 0 obj << /D [2366 0 R /XYZ null 697 null] >> endobj 21025 0 obj << /D [2366 0 R /XYZ null 553.1 null] >> endobj 21026 0 obj << /D [2384 0 R /XYZ null 697 null] >> endobj 21027 0 obj << /D [2384 0 R /XYZ null 697 null] >> endobj 21028 0 obj << /D [2384 0 R /XYZ null 523.1 null] >> endobj 21029 0 obj << /D [2432 0 R /XYZ null 697 null] >> endobj 21030 0 obj << /D [2432 0 R /XYZ null 697 null] >> endobj 21031 0 obj << /D [2432 0 R /XYZ null 553.1 null] >> endobj 21032 0 obj << /D [2452 0 R /XYZ null 697 null] >> endobj 21033 0 obj << /D [2452 0 R /XYZ null 697 null] >> endobj 21034 0 obj << /D [2452 0 R /XYZ null 500.6 null] >> endobj 21035 0 obj << /D [2474 0 R /XYZ null 697 null] >> endobj 21036 0 obj << /D [2474 0 R /XYZ null 697 null] >> endobj 21037 0 obj << /D [2474 0 R /XYZ null 553.1 null] >> endobj 21038 0 obj << /D [2492 0 R /XYZ null 697 null] >> endobj 21039 0 obj << /D [2492 0 R /XYZ null 697 null] >> endobj 21040 0 obj << /D [2492 0 R /XYZ null 553.1 null] >> endobj 21041 0 obj << /D [2511 0 R /XYZ null 697 null] >> endobj 21042 0 obj << /D [2511 0 R /XYZ null 697 null] >> endobj 21043 0 obj << /D [2511 0 R /XYZ null 553.1 null] >> endobj 21044 0 obj << /D [2531 0 R /XYZ null 697 null] >> endobj 21045 0 obj << /D [2531 0 R /XYZ null 697 null] >> endobj 21046 0 obj << /D [2531 0 R /XYZ null 553.1 null] >> endobj 21047 0 obj << /D [2549 0 R /XYZ null 697 null] >> endobj 21048 0 obj << /D [2549 0 R /XYZ null 697 null] >> endobj 21049 0 obj << /D [2549 0 R /XYZ null 553.1 null] >> endobj 21050 0 obj << /D [2568 0 R /XYZ null 697 null] >> endobj 21051 0 obj << /D [2568 0 R /XYZ null 697 null] >> endobj 21052 0 obj << /D [2568 0 R /XYZ null 553.1 null] >> endobj 21053 0 obj << /D [2587 0 R /XYZ null 697 null] >> endobj 21054 0 obj << /D [2587 0 R /XYZ null 697 null] >> endobj 21055 0 obj << /D [2587 0 R /XYZ null 553.1 null] >> endobj 21056 0 obj << /D [2605 0 R /XYZ null 697 null] >> endobj 21057 0 obj << /D [2605 0 R /XYZ null 697 null] >> endobj 21058 0 obj << /D [2605 0 R /XYZ null 553.1 null] >> endobj 21059 0 obj << /D [2628 0 R /XYZ null 697 null] >> endobj 21060 0 obj << /D [2628 0 R /XYZ null 697 null] >> endobj 21061 0 obj << /D [2628 0 R /XYZ null 543.1 null] >> endobj 21062 0 obj << /D [2639 0 R /XYZ null 697 null] >> endobj 21063 0 obj << /D [2639 0 R /XYZ null 697 null] >> endobj 21064 0 obj << /D [2639 0 R /XYZ null 538.8 null] >> endobj 21065 0 obj << /D [2639 0 R /XYZ null 423.275 null] >> endobj 21066 0 obj << /D [2648 0 R /XYZ null 593.9 null] >> endobj 21067 0 obj << /D [2648 0 R /XYZ null 555.6999 null] >> endobj 21068 0 obj << /D [2666 0 R /XYZ null 697 null] >> endobj 21069 0 obj << /D [2666 0 R /XYZ null 697 null] >> endobj 21070 0 obj << /D [2666 0 R /XYZ null 538.8 null] >> endobj 21071 0 obj << /D [2690 0 R /XYZ null 697 null] >> endobj 21072 0 obj << /D [2690 0 R /XYZ null 697 null] >> endobj 21073 0 obj << /D [2690 0 R /XYZ null 538.8 null] >> endobj 21074 0 obj << /D [2720 0 R /XYZ null 697 null] >> endobj 21075 0 obj << /D [2720 0 R /XYZ null 697 null] >> endobj 21076 0 obj << /D [2720 0 R /XYZ null 538.8 null] >> endobj 21077 0 obj << /D [2744 0 R /XYZ null 697 null] >> endobj 21078 0 obj << /D [2744 0 R /XYZ null 697 null] >> endobj 21079 0 obj << /D [2744 0 R /XYZ null 553.1 null] >> endobj 21080 0 obj << /D [2770 0 R /XYZ null 697 null] >> endobj 21081 0 obj << /D [2770 0 R /XYZ null 697 null] >> endobj 21082 0 obj << /D [2770 0 R /XYZ null 553.1 null] >> endobj 21083 0 obj << /D [2790 0 R /XYZ null 697 null] >> endobj 21084 0 obj << /D [2790 0 R /XYZ null 697 null] >> endobj 21085 0 obj << /D [2790 0 R /XYZ null 513.1 null] >> endobj 21086 0 obj << /D [2799 0 R /XYZ null 247.1809 null] >> endobj 21087 0 obj << /D [2806 0 R /XYZ null 206.1654 null] >> endobj 21088 0 obj << /D [2813 0 R /XYZ null 498.2154 null] >> endobj 21089 0 obj << /D [2831 0 R /XYZ null 697 null] >> endobj 21090 0 obj << /D [2831 0 R /XYZ null 697 null] >> endobj 21091 0 obj << /D [2831 0 R /XYZ null 543.1 null] >> endobj 21092 0 obj << /D [2856 0 R /XYZ null 697 null] >> endobj 21093 0 obj << /D [2856 0 R /XYZ null 697 null] >> endobj 21094 0 obj << /D [2856 0 R /XYZ null 553.1 null] >> endobj 21095 0 obj << /D [2874 0 R /XYZ null 697 null] >> endobj 21096 0 obj << /D [2874 0 R /XYZ null 697 null] >> endobj 21097 0 obj << /D [2874 0 R /XYZ null 553.1 null] >> endobj 21098 0 obj << /D [2883 0 R /XYZ null 697 null] >> endobj 21099 0 obj << /D [2883 0 R /XYZ null 697 null] >> endobj 21100 0 obj << /D [2883 0 R /XYZ null 553.1 null] >> endobj 21101 0 obj << /D [2892 0 R /XYZ null 637.5 null] >> endobj 21102 0 obj << /D [2892 0 R /XYZ null 599.3 null] >> endobj 21103 0 obj << /D [2901 0 R /XYZ null 697 null] >> endobj 21104 0 obj << /D [2901 0 R /XYZ null 697 null] >> endobj 21105 0 obj << /D [2901 0 R /XYZ null 473.1 null] >> endobj 21106 0 obj << /D [2918 0 R /XYZ null 575.7115 null] >> endobj 21107 0 obj << /D [2932 0 R /XYZ null 628.3154 null] >> endobj 21108 0 obj << /D [2951 0 R /XYZ null 697 null] >> endobj 21109 0 obj << /D [2951 0 R /XYZ null 697 null] >> endobj 21110 0 obj << /D [2951 0 R /XYZ null 503.1 null] >> endobj 21111 0 obj << /D [2978 0 R /XYZ null 697 null] >> endobj 21112 0 obj << /D [2978 0 R /XYZ null 697 null] >> endobj 21113 0 obj << /D [2978 0 R /XYZ null 543.1 null] >> endobj 21114 0 obj << /D [3004 0 R /XYZ null 697 null] >> endobj 21115 0 obj << /D [3004 0 R /XYZ null 697 null] >> endobj 21116 0 obj << /D [3004 0 R /XYZ null 495.9 null] >> endobj 21117 0 obj << /D [3020 0 R /XYZ null 697 null] >> endobj 21118 0 obj << /D [3020 0 R /XYZ null 697 null] >> endobj 21119 0 obj << /D [3020 0 R /XYZ null 490.6 null] >> endobj 21120 0 obj << /D [3042 0 R /XYZ null 697 null] >> endobj 21121 0 obj << /D [3042 0 R /XYZ null 697 null] >> endobj 21122 0 obj << /D [3042 0 R /XYZ null 490.6 null] >> endobj 21123 0 obj << /D [3064 0 R /XYZ null 697 null] >> endobj 21124 0 obj << /D [3064 0 R /XYZ null 697 null] >> endobj 21125 0 obj << /D [3064 0 R /XYZ null 443.4 null] >> endobj 21126 0 obj << /D [3074 0 R /XYZ null 531.0308 null] >> endobj 21127 0 obj << /D [3096 0 R /XYZ null 697 null] >> endobj 21128 0 obj << /D [3096 0 R /XYZ null 697 null] >> endobj 21129 0 obj << /D [3096 0 R /XYZ null 543.1 null] >> endobj 21130 0 obj << /D [3121 0 R /XYZ null 697 null] >> endobj 21131 0 obj << /D [3121 0 R /XYZ null 697 null] >> endobj 21132 0 obj << /D [3121 0 R /XYZ null 500.6 null] >> endobj 21133 0 obj << /D [3151 0 R /XYZ null 697 null] >> endobj 21134 0 obj << /D [3151 0 R /XYZ null 697 null] >> endobj 21135 0 obj << /D [3151 0 R /XYZ null 533.1 null] >> endobj 21136 0 obj << /D [3192 0 R /XYZ null 697 null] >> endobj 21137 0 obj << /D [3192 0 R /XYZ null 697 null] >> endobj 21138 0 obj << /D [3192 0 R /XYZ null 543.1 null] >> endobj 21139 0 obj << /D [3220 0 R /XYZ null 697 null] >> endobj 21140 0 obj << /D [3220 0 R /XYZ null 697 null] >> endobj 21141 0 obj << /D [3220 0 R /XYZ null 490.6 null] >> endobj 21142 0 obj << /D [3242 0 R /XYZ null 697 null] >> endobj 21143 0 obj << /D [3242 0 R /XYZ null 697 null] >> endobj 21144 0 obj << /D [3242 0 R /XYZ null 490.6 null] >> endobj 21145 0 obj << /D [3270 0 R /XYZ null 697 null] >> endobj 21146 0 obj << /D [3270 0 R /XYZ null 697 null] >> endobj 21147 0 obj << /D [3270 0 R /XYZ null 500.6 null] >> endobj 21148 0 obj << /D [3279 0 R /XYZ null 697 null] >> endobj 21149 0 obj << /D [3279 0 R /XYZ null 697 null] >> endobj 21150 0 obj << /D [3279 0 R /XYZ null 500.6 null] >> endobj 21151 0 obj << /D [3301 0 R /XYZ null 697 null] >> endobj 21152 0 obj << /D [3301 0 R /XYZ null 697 null] >> endobj 21153 0 obj << /D [3301 0 R /XYZ null 486.3 null] >> endobj 21154 0 obj << /D [3322 0 R /XYZ null 697 null] >> endobj 21155 0 obj << /D [3322 0 R /XYZ null 697 null] >> endobj 21156 0 obj << /D [3322 0 R /XYZ null 490.6 null] >> endobj 21157 0 obj << /D [3344 0 R /XYZ null 697 null] >> endobj 21158 0 obj << /D [3344 0 R /XYZ null 697 null] >> endobj 21159 0 obj << /D [3344 0 R /XYZ null 490.6 null] >> endobj 21160 0 obj << /D [3372 0 R /XYZ null 697 null] >> endobj 21161 0 obj << /D [3372 0 R /XYZ null 697 null] >> endobj 21162 0 obj << /D [3372 0 R /XYZ null 500.6 null] >> endobj 21163 0 obj << /D [3393 0 R /XYZ null 697 null] >> endobj 21164 0 obj << /D [3393 0 R /XYZ null 697 null] >> endobj 21165 0 obj << /D [3393 0 R /XYZ null 500.6 null] >> endobj 21166 0 obj << /D [3411 0 R /XYZ null 697 null] >> endobj 21167 0 obj << /D [3411 0 R /XYZ null 697 null] >> endobj 21168 0 obj << /D [3411 0 R /XYZ null 500.6 null] >> endobj 21169 0 obj << /D [3432 0 R /XYZ null 697 null] >> endobj 21170 0 obj << /D [3432 0 R /XYZ null 697 null] >> endobj 21171 0 obj << /D [3432 0 R /XYZ null 490.6 null] >> endobj 21172 0 obj << /D [3454 0 R /XYZ null 697 null] >> endobj 21173 0 obj << /D [3454 0 R /XYZ null 697 null] >> endobj 21174 0 obj << /D [3454 0 R /XYZ null 500.6 null] >> endobj 21175 0 obj << /D [3463 0 R /XYZ null 697 null] >> endobj 21176 0 obj << /D [3463 0 R /XYZ null 697 null] >> endobj 21177 0 obj << /D [3463 0 R /XYZ null 500.6 null] >> endobj 21178 0 obj << /D [3489 0 R /XYZ null 697 null] >> endobj 21179 0 obj << /D [3489 0 R /XYZ null 697 null] >> endobj 21180 0 obj << /D [3489 0 R /XYZ null 490.6 null] >> endobj 21181 0 obj << /D [3510 0 R /XYZ null 697 null] >> endobj 21182 0 obj << /D [3510 0 R /XYZ null 697 null] >> endobj 21183 0 obj << /D [3510 0 R /XYZ null 490.6 null] >> endobj 21184 0 obj << /D [3530 0 R /XYZ null 697 null] >> endobj 21185 0 obj << /D [3530 0 R /XYZ null 697 null] >> endobj 21186 0 obj << /D [3530 0 R /XYZ null 500.6 null] >> endobj 21187 0 obj << /D [3539 0 R /XYZ null 697 null] >> endobj 21188 0 obj << /D [3539 0 R /XYZ null 697 null] >> endobj 21189 0 obj << /D [3539 0 R /XYZ null 553.1 null] >> endobj 21190 0 obj << /D [3575 0 R /XYZ null 697 null] >> endobj 21191 0 obj << /D [3575 0 R /XYZ null 697 null] >> endobj 21192 0 obj << /D [3575 0 R /XYZ null 490.6 null] >> endobj 21193 0 obj << /D [3613 0 R /XYZ null 697 null] >> endobj 21194 0 obj << /D [3613 0 R /XYZ null 697 null] >> endobj 21195 0 obj << /D [3613 0 R /XYZ null 543.1 null] >> endobj 21196 0 obj << /D [3613 0 R /XYZ null 202.5751 null] >> endobj 21197 0 obj << /D [3622 0 R /XYZ null 503.3192 null] >> endobj 21198 0 obj << /D [3640 0 R /XYZ null 697 null] >> endobj 21199 0 obj << /D [3640 0 R /XYZ null 697 null] >> endobj 21200 0 obj << /D [3640 0 R /XYZ null 553.1 null] >> endobj 21201 0 obj << /D [3640 0 R /XYZ null 160.8826 null] >> endobj 21202 0 obj << /D [3660 0 R /XYZ null 697 null] >> endobj 21203 0 obj << /D [3660 0 R /XYZ null 697 null] >> endobj 21204 0 obj << /D [3660 0 R /XYZ null 500.6 null] >> endobj 21205 0 obj << /D [3694 0 R /XYZ null 697 null] >> endobj 21206 0 obj << /D [3694 0 R /XYZ null 697 null] >> endobj 21207 0 obj << /D [3694 0 R /XYZ null 500.6 null] >> endobj 21208 0 obj << /D [3723 0 R /XYZ null 697 null] >> endobj 21209 0 obj << /D [3723 0 R /XYZ null 697 null] >> endobj 21210 0 obj << /D [3723 0 R /XYZ null 543.1 null] >> endobj 21211 0 obj << /D [3770 0 R /XYZ null 697 null] >> endobj 21212 0 obj << /D [3770 0 R /XYZ null 697 null] >> endobj 21213 0 obj << /D [3770 0 R /XYZ null 500.6 null] >> endobj 21214 0 obj << /D [3794 0 R /XYZ null 697 null] >> endobj 21215 0 obj << /D [3794 0 R /XYZ null 697 null] >> endobj 21216 0 obj << /D [3794 0 R /XYZ null 543.1 null] >> endobj 21217 0 obj << /D [3838 0 R /XYZ null 697 null] >> endobj 21218 0 obj << /D [3838 0 R /XYZ null 697 null] >> endobj 21219 0 obj << /D [3838 0 R /XYZ null 490.6 null] >> endobj 21220 0 obj << /D [3866 0 R /XYZ null 697 null] >> endobj 21221 0 obj << /D [3866 0 R /XYZ null 697 null] >> endobj 21222 0 obj << /D [3866 0 R /XYZ null 470.6 null] >> endobj 21223 0 obj << /D [3914 0 R /XYZ null 697 null] >> endobj 21224 0 obj << /D [3914 0 R /XYZ null 697 null] >> endobj 21225 0 obj << /D [3914 0 R /XYZ null 523.1 null] >> endobj 21226 0 obj << /D [3936 0 R /XYZ null 697 null] >> endobj 21227 0 obj << /D [3936 0 R /XYZ null 697 null] >> endobj 21228 0 obj << /D [3936 0 R /XYZ null 543.1 null] >> endobj 21229 0 obj << /D [3945 0 R /XYZ null 438.7423 null] >> endobj 21230 0 obj << /D [3958 0 R /XYZ null 697 null] >> endobj 21231 0 obj << /D [3958 0 R /XYZ null 697 null] >> endobj 21232 0 obj << /D [3958 0 R /XYZ null 480.6 null] >> endobj 21233 0 obj << /D [3996 0 R /XYZ null 697 null] >> endobj 21234 0 obj << /D [3996 0 R /XYZ null 697 null] >> endobj 21235 0 obj << /D [3996 0 R /XYZ null 543.1 null] >> endobj 21236 0 obj << /D [4026 0 R /XYZ null 697 null] >> endobj 21237 0 obj << /D [4026 0 R /XYZ null 697 null] >> endobj 21238 0 obj << /D [4026 0 R /XYZ null 553.1 null] >> endobj 21239 0 obj << /D [4060 0 R /XYZ null 697 null] >> endobj 21240 0 obj << /D [4060 0 R /XYZ null 697 null] >> endobj 21241 0 obj << /D [4060 0 R /XYZ null 543.1 null] >> endobj 21242 0 obj << /D [4092 0 R /XYZ null 697 null] >> endobj 21243 0 obj << /D [4092 0 R /XYZ null 697 null] >> endobj 21244 0 obj << /D [4092 0 R /XYZ null 490.6 null] >> endobj 21245 0 obj << /D [4119 0 R /XYZ null 697 null] >> endobj 21246 0 obj << /D [4119 0 R /XYZ null 697 null] >> endobj 21247 0 obj << /D [4119 0 R /XYZ null 462 null] >> endobj 21248 0 obj << /D [4151 0 R /XYZ null 697 null] >> endobj 21249 0 obj << /D [4151 0 R /XYZ null 697 null] >> endobj 21250 0 obj << /D [4151 0 R /XYZ null 490.6 null] >> endobj 21251 0 obj << /D [4175 0 R /XYZ null 697 null] >> endobj 21252 0 obj << /D [4175 0 R /XYZ null 697 null] >> endobj 21253 0 obj << /D [4175 0 R /XYZ null 490.6 null] >> endobj 21254 0 obj << /D [4209 0 R /XYZ null 697 null] >> endobj 21255 0 obj << /D [4209 0 R /XYZ null 697 null] >> endobj 21256 0 obj << /D [4209 0 R /XYZ null 476.3 null] >> endobj 21257 0 obj << /D [4244 0 R /XYZ null 697 null] >> endobj 21258 0 obj << /D [4244 0 R /XYZ null 697 null] >> endobj 21259 0 obj << /D [4244 0 R /XYZ null 500.6 null] >> endobj 21260 0 obj << /D [4278 0 R /XYZ null 697 null] >> endobj 21261 0 obj << /D [4278 0 R /XYZ null 697 null] >> endobj 21262 0 obj << /D [4278 0 R /XYZ null 500.6 null] >> endobj 21263 0 obj << /D [4302 0 R /XYZ null 697 null] >> endobj 21264 0 obj << /D [4302 0 R /XYZ null 697 null] >> endobj 21265 0 obj << /D [4302 0 R /XYZ null 480.6 null] >> endobj 21266 0 obj << /D [4331 0 R /XYZ null 697 null] >> endobj 21267 0 obj << /D [4331 0 R /XYZ null 697 null] >> endobj 21268 0 obj << /D [4331 0 R /XYZ null 553.1 null] >> endobj 21269 0 obj << /D [4353 0 R /XYZ null 697 null] >> endobj 21270 0 obj << /D [4353 0 R /XYZ null 697 null] >> endobj 21271 0 obj << /D [4353 0 R /XYZ null 553.1 null] >> endobj 21272 0 obj << /D [4374 0 R /XYZ null 697 null] >> endobj 21273 0 obj << /D [4374 0 R /XYZ null 697 null] >> endobj 21274 0 obj << /D [4374 0 R /XYZ null 553.1 null] >> endobj 21275 0 obj << /D [4402 0 R /XYZ null 697 null] >> endobj 21276 0 obj << /D [4402 0 R /XYZ null 697 null] >> endobj 21277 0 obj << /D [4402 0 R /XYZ null 543.1 null] >> endobj 21278 0 obj << /D [4425 0 R /XYZ null 697 null] >> endobj 21279 0 obj << /D [4425 0 R /XYZ null 697 null] >> endobj 21280 0 obj << /D [4425 0 R /XYZ null 500.6 null] >> endobj 21281 0 obj << /D [4447 0 R /XYZ null 697 null] >> endobj 21282 0 obj << /D [4447 0 R /XYZ null 697 null] >> endobj 21283 0 obj << /D [4447 0 R /XYZ null 543.1 null] >> endobj 21284 0 obj << /D [4469 0 R /XYZ null 697 null] >> endobj 21285 0 obj << /D [4469 0 R /XYZ null 697 null] >> endobj 21286 0 obj << /D [4469 0 R /XYZ null 543.1 null] >> endobj 21287 0 obj << /D [4507 0 R /XYZ null 697 null] >> endobj 21288 0 obj << /D [4507 0 R /XYZ null 697 null] >> endobj 21289 0 obj << /D [4507 0 R /XYZ null 490.6 null] >> endobj 21290 0 obj << /D [4537 0 R /XYZ null 697 null] >> endobj 21291 0 obj << /D [4537 0 R /XYZ null 697 null] >> endobj 21292 0 obj << /D [4537 0 R /XYZ null 543.1 null] >> endobj 21293 0 obj << /D [4546 0 R /XYZ null 697 null] >> endobj 21294 0 obj << /D [4546 0 R /XYZ null 697 null] >> endobj 21295 0 obj << /D [4546 0 R /XYZ null 490.6 null] >> endobj 21296 0 obj << /D [4556 0 R /XYZ null 697 null] >> endobj 21297 0 obj << /D [4556 0 R /XYZ null 697 null] >> endobj 21298 0 obj << /D [4556 0 R /XYZ null 543.1 null] >> endobj 21299 0 obj << /D [4566 0 R /XYZ null 122.3502 null] >> endobj 21300 0 obj << /D [4589 0 R /XYZ null 697 null] >> endobj 21301 0 obj << /D [4589 0 R /XYZ null 697 null] >> endobj 21302 0 obj << /D [4589 0 R /XYZ null 490.6 null] >> endobj 21303 0 obj << /D [4628 0 R /XYZ null 697 null] >> endobj 21304 0 obj << /D [4628 0 R /XYZ null 697 null] >> endobj 21305 0 obj << /D [4628 0 R /XYZ null 480.6 null] >> endobj 21306 0 obj << /D [4659 0 R /XYZ null 697 null] >> endobj 21307 0 obj << /D [4659 0 R /XYZ null 697 null] >> endobj 21308 0 obj << /D [4659 0 R /XYZ null 533.1 null] >> endobj 21309 0 obj << /D [4691 0 R /XYZ null 697 null] >> endobj 21310 0 obj << /D [4691 0 R /XYZ null 697 null] >> endobj 21311 0 obj << /D [4691 0 R /XYZ null 480.6 null] >> endobj 21312 0 obj << /D [4720 0 R /XYZ null 697 null] >> endobj 21313 0 obj << /D [4720 0 R /XYZ null 697 null] >> endobj 21314 0 obj << /D [4720 0 R /XYZ null 480.6 null] >> endobj 21315 0 obj << /D [4749 0 R /XYZ null 697 null] >> endobj 21316 0 obj << /D [4749 0 R /XYZ null 697 null] >> endobj 21317 0 obj << /D [4749 0 R /XYZ null 500.6 null] >> endobj 21318 0 obj << /D [4749 0 R /XYZ null 361.675 null] >> endobj 21319 0 obj << /D [4749 0 R /XYZ null 280.575 null] >> endobj 21320 0 obj << /D [4758 0 R /XYZ null 696.4308 null] >> endobj 21321 0 obj << /D [4758 0 R /XYZ null 603.0384 null] >> endobj 21322 0 obj << /D [4767 0 R /XYZ null 697 null] >> endobj 21323 0 obj << /D [4767 0 R /XYZ null 697 null] >> endobj 21324 0 obj << /D [4767 0 R /XYZ null 513.1 null] >> endobj 21325 0 obj << /D [4802 0 R /XYZ null 697 null] >> endobj 21326 0 obj << /D [4802 0 R /XYZ null 697 null] >> endobj 21327 0 obj << /D [4802 0 R /XYZ null 500.6 null] >> endobj 21328 0 obj << /D [4823 0 R /XYZ null 697 null] >> endobj 21329 0 obj << /D [4823 0 R /XYZ null 697 null] >> endobj 21330 0 obj << /D [4823 0 R /XYZ null 460.6 null] >> endobj 21331 0 obj << /D [4870 0 R /XYZ null 697 null] >> endobj 21332 0 obj << /D [4870 0 R /XYZ null 697 null] >> endobj 21333 0 obj << /D [4870 0 R /XYZ null 500.6 null] >> endobj 21334 0 obj << /D [4893 0 R /XYZ null 697 null] >> endobj 21335 0 obj << /D [4893 0 R /XYZ null 697 null] >> endobj 21336 0 obj << /D [4893 0 R /XYZ null 486.3 null] >> endobj 21337 0 obj << /D [4914 0 R /XYZ null 697 null] >> endobj 21338 0 obj << /D [4914 0 R /XYZ null 697 null] >> endobj 21339 0 obj << /D [4914 0 R /XYZ null 500.6 null] >> endobj 21340 0 obj << /D [4935 0 R /XYZ null 697 null] >> endobj 21341 0 obj << /D [4935 0 R /XYZ null 697 null] >> endobj 21342 0 obj << /D [4935 0 R /XYZ null 500.6 null] >> endobj 21343 0 obj << /D [4953 0 R /XYZ null 697 null] >> endobj 21344 0 obj << /D [4953 0 R /XYZ null 697 null] >> endobj 21345 0 obj << /D [4953 0 R /XYZ null 500.6 null] >> endobj 21346 0 obj << /D [4989 0 R /XYZ null 697 null] >> endobj 21347 0 obj << /D [4989 0 R /XYZ null 697 null] >> endobj 21348 0 obj << /D [4989 0 R /XYZ null 500.6 null] >> endobj 21349 0 obj << /D [5023 0 R /XYZ null 697 null] >> endobj 21350 0 obj << /D [5023 0 R /XYZ null 697 null] >> endobj 21351 0 obj << /D [5023 0 R /XYZ null 500.6 null] >> endobj 21352 0 obj << /D [5044 0 R /XYZ null 697 null] >> endobj 21353 0 obj << /D [5044 0 R /XYZ null 697 null] >> endobj 21354 0 obj << /D [5044 0 R /XYZ null 500.6 null] >> endobj 21355 0 obj << /D [5064 0 R /XYZ null 697 null] >> endobj 21356 0 obj << /D [5064 0 R /XYZ null 697 null] >> endobj 21357 0 obj << /D [5064 0 R /XYZ null 472 null] >> endobj 21358 0 obj << /D [5090 0 R /XYZ null 697 null] >> endobj 21359 0 obj << /D [5090 0 R /XYZ null 697 null] >> endobj 21360 0 obj << /D [5090 0 R /XYZ null 500.6 null] >> endobj 21361 0 obj << /D [5113 0 R /XYZ null 697 null] >> endobj 21362 0 obj << /D [5113 0 R /XYZ null 697 null] >> endobj 21363 0 obj << /D [5113 0 R /XYZ null 500.6 null] >> endobj 21364 0 obj << /D [5142 0 R /XYZ null 697 null] >> endobj 21365 0 obj << /D [5142 0 R /XYZ null 697 null] >> endobj 21366 0 obj << /D [5142 0 R /XYZ null 500.6 null] >> endobj 21367 0 obj << /D [5163 0 R /XYZ null 697 null] >> endobj 21368 0 obj << /D [5163 0 R /XYZ null 697 null] >> endobj 21369 0 obj << /D [5163 0 R /XYZ null 500.6 null] >> endobj 21370 0 obj << /D [5187 0 R /XYZ null 697 null] >> endobj 21371 0 obj << /D [5187 0 R /XYZ null 697 null] >> endobj 21372 0 obj << /D [5187 0 R /XYZ null 500.6 null] >> endobj 21373 0 obj << /D [5212 0 R /XYZ null 697 null] >> endobj 21374 0 obj << /D [5212 0 R /XYZ null 697 null] >> endobj 21375 0 obj << /D [5212 0 R /XYZ null 500.6 null] >> endobj 21376 0 obj << /D [5243 0 R /XYZ null 697 null] >> endobj 21377 0 obj << /D [5243 0 R /XYZ null 697 null] >> endobj 21378 0 obj << /D [5243 0 R /XYZ null 500.6 null] >> endobj 21379 0 obj << /D [5272 0 R /XYZ null 697 null] >> endobj 21380 0 obj << /D [5272 0 R /XYZ null 697 null] >> endobj 21381 0 obj << /D [5272 0 R /XYZ null 500.6 null] >> endobj 21382 0 obj << /D [5296 0 R /XYZ null 697 null] >> endobj 21383 0 obj << /D [5296 0 R /XYZ null 697 null] >> endobj 21384 0 obj << /D [5296 0 R /XYZ null 500.6 null] >> endobj 21385 0 obj << /D [5316 0 R /XYZ null 697 null] >> endobj 21386 0 obj << /D [5316 0 R /XYZ null 697 null] >> endobj 21387 0 obj << /D [5316 0 R /XYZ null 500.6 null] >> endobj 21388 0 obj << /D [5336 0 R /XYZ null 697 null] >> endobj 21389 0 obj << /D [5336 0 R /XYZ null 697 null] >> endobj 21390 0 obj << /D [5336 0 R /XYZ null 500.6 null] >> endobj 21391 0 obj << /D [5355 0 R /XYZ null 697 null] >> endobj 21392 0 obj << /D [5355 0 R /XYZ null 697 null] >> endobj 21393 0 obj << /D [5355 0 R /XYZ null 500.6 null] >> endobj 21394 0 obj << /D [5374 0 R /XYZ null 697 null] >> endobj 21395 0 obj << /D [5374 0 R /XYZ null 697 null] >> endobj 21396 0 obj << /D [5374 0 R /XYZ null 500.6 null] >> endobj 21397 0 obj << /D [5394 0 R /XYZ null 697 null] >> endobj 21398 0 obj << /D [5394 0 R /XYZ null 697 null] >> endobj 21399 0 obj << /D [5394 0 R /XYZ null 553.1 null] >> endobj 21400 0 obj << /D [5412 0 R /XYZ null 697 null] >> endobj 21401 0 obj << /D [5412 0 R /XYZ null 697 null] >> endobj 21402 0 obj << /D [5412 0 R /XYZ null 553.1 null] >> endobj 21403 0 obj << /D [5421 0 R /XYZ null 697 null] >> endobj 21404 0 obj << /D [5421 0 R /XYZ null 697 null] >> endobj 21405 0 obj << /D [5421 0 R /XYZ null 500.6 null] >> endobj 21406 0 obj << /D [5441 0 R /XYZ null 697 null] >> endobj 21407 0 obj << /D [5441 0 R /XYZ null 697 null] >> endobj 21408 0 obj << /D [5441 0 R /XYZ null 543.1 null] >> endobj 21409 0 obj << /D [5459 0 R /XYZ null 697 null] >> endobj 21410 0 obj << /D [5459 0 R /XYZ null 697 null] >> endobj 21411 0 obj << /D [5459 0 R /XYZ null 500.6 null] >> endobj 21412 0 obj << /D [5484 0 R /XYZ null 697 null] >> endobj 21413 0 obj << /D [5484 0 R /XYZ null 697 null] >> endobj 21414 0 obj << /D [5484 0 R /XYZ null 553.1 null] >> endobj 21415 0 obj << /D [5502 0 R /XYZ null 697 null] >> endobj 21416 0 obj << /D [5502 0 R /XYZ null 697 null] >> endobj 21417 0 obj << /D [5502 0 R /XYZ null 553.1 null] >> endobj 21418 0 obj << /D [5522 0 R /XYZ null 697 null] >> endobj 21419 0 obj << /D [5522 0 R /XYZ null 697 null] >> endobj 21420 0 obj << /D [5522 0 R /XYZ null 553.1 null] >> endobj 21421 0 obj << /D [5541 0 R /XYZ null 697 null] >> endobj 21422 0 obj << /D [5541 0 R /XYZ null 697 null] >> endobj 21423 0 obj << /D [5541 0 R /XYZ null 553.1 null] >> endobj 21424 0 obj << /D [5560 0 R /XYZ null 697 null] >> endobj 21425 0 obj << /D [5560 0 R /XYZ null 697 null] >> endobj 21426 0 obj << /D [5560 0 R /XYZ null 553.1 null] >> endobj 21427 0 obj << /D [5579 0 R /XYZ null 697 null] >> endobj 21428 0 obj << /D [5579 0 R /XYZ null 697 null] >> endobj 21429 0 obj << /D [5579 0 R /XYZ null 490.6 null] >> endobj 21430 0 obj << /D [5609 0 R /XYZ null 697 null] >> endobj 21431 0 obj << /D [5609 0 R /XYZ null 697 null] >> endobj 21432 0 obj << /D [5609 0 R /XYZ null 553.1 null] >> endobj 21433 0 obj << /D [5629 0 R /XYZ null 697 null] >> endobj 21434 0 obj << /D [5629 0 R /XYZ null 697 null] >> endobj 21435 0 obj << /D [5629 0 R /XYZ null 553.1 null] >> endobj 21436 0 obj << /D [5651 0 R /XYZ null 697 null] >> endobj 21437 0 obj << /D [5651 0 R /XYZ null 697 null] >> endobj 21438 0 obj << /D [5651 0 R /XYZ null 500.6 null] >> endobj 21439 0 obj << /D [5675 0 R /XYZ null 697 null] >> endobj 21440 0 obj << /D [5675 0 R /XYZ null 697 null] >> endobj 21441 0 obj << /D [5675 0 R /XYZ null 553.1 null] >> endobj 21442 0 obj << /D [5698 0 R /XYZ null 697 null] >> endobj 21443 0 obj << /D [5698 0 R /XYZ null 697 null] >> endobj 21444 0 obj << /D [5698 0 R /XYZ null 553.1 null] >> endobj 21445 0 obj << /D [5719 0 R /XYZ null 697 null] >> endobj 21446 0 obj << /D [5719 0 R /XYZ null 697 null] >> endobj 21447 0 obj << /D [5719 0 R /XYZ null 553.1 null] >> endobj 21448 0 obj << /D [5737 0 R /XYZ null 697 null] >> endobj 21449 0 obj << /D [5737 0 R /XYZ null 697 null] >> endobj 21450 0 obj << /D [5737 0 R /XYZ null 553.1 null] >> endobj 21451 0 obj << /D [5756 0 R /XYZ null 697 null] >> endobj 21452 0 obj << /D [5756 0 R /XYZ null 697 null] >> endobj 21453 0 obj << /D [5756 0 R /XYZ null 553.1 null] >> endobj 21454 0 obj << /D [5779 0 R /XYZ null 697 null] >> endobj 21455 0 obj << /D [5779 0 R /XYZ null 697 null] >> endobj 21456 0 obj << /D [5779 0 R /XYZ null 553.1 null] >> endobj 21457 0 obj << /D [5797 0 R /XYZ null 697 null] >> endobj 21458 0 obj << /D [5797 0 R /XYZ null 697 null] >> endobj 21459 0 obj << /D [5797 0 R /XYZ null 553.1 null] >> endobj 21460 0 obj << /D [5816 0 R /XYZ null 697 null] >> endobj 21461 0 obj << /D [5816 0 R /XYZ null 697 null] >> endobj 21462 0 obj << /D [5816 0 R /XYZ null 553.1 null] >> endobj 21463 0 obj << /D [5835 0 R /XYZ null 697 null] >> endobj 21464 0 obj << /D [5835 0 R /XYZ null 697 null] >> endobj 21465 0 obj << /D [5835 0 R /XYZ null 553.1 null] >> endobj 21466 0 obj << /D [5845 0 R /XYZ null 697 null] >> endobj 21467 0 obj << /D [5845 0 R /XYZ null 697 null] >> endobj 21468 0 obj << /D [5845 0 R /XYZ null 553.1 null] >> endobj 21469 0 obj << /D [5866 0 R /XYZ null 697 null] >> endobj 21470 0 obj << /D [5866 0 R /XYZ null 697 null] >> endobj 21471 0 obj << /D [5866 0 R /XYZ null 553.1 null] >> endobj 21472 0 obj << /D [5875 0 R /XYZ null 609.3 null] >> endobj 21473 0 obj << /D [5886 0 R /XYZ null 697 null] >> endobj 21474 0 obj << /D [5886 0 R /XYZ null 697 null] >> endobj 21475 0 obj << /D [5886 0 R /XYZ null 553.1 null] >> endobj 21476 0 obj << /D [5909 0 R /XYZ null 697 null] >> endobj 21477 0 obj << /D [5909 0 R /XYZ null 697 null] >> endobj 21478 0 obj << /D [5909 0 R /XYZ null 553.1 null] >> endobj 21479 0 obj << /D [5918 0 R /XYZ null 551.1038 null] >> endobj 21480 0 obj << /D [5928 0 R /XYZ null 697 null] >> endobj 21481 0 obj << /D [5928 0 R /XYZ null 697 null] >> endobj 21482 0 obj << /D [5928 0 R /XYZ null 553.1 null] >> endobj 21483 0 obj << /D [5948 0 R /XYZ null 697 null] >> endobj 21484 0 obj << /D [5948 0 R /XYZ null 697 null] >> endobj 21485 0 obj << /D [5948 0 R /XYZ null 553.1 null] >> endobj 21486 0 obj << /D [5964 0 R /XYZ null 697 null] >> endobj 21487 0 obj << /D [5964 0 R /XYZ null 697 null] >> endobj 21488 0 obj << /D [5964 0 R /XYZ null 553.1 null] >> endobj 21489 0 obj << /D [5983 0 R /XYZ null 697 null] >> endobj 21490 0 obj << /D [5983 0 R /XYZ null 697 null] >> endobj 21491 0 obj << /D [5983 0 R /XYZ null 553.1 null] >> endobj 21492 0 obj << /D [6011 0 R /XYZ null 697 null] >> endobj 21493 0 obj << /D [6011 0 R /XYZ null 697 null] >> endobj 21494 0 obj << /D [6011 0 R /XYZ null 553.1 null] >> endobj 21495 0 obj << /D [6029 0 R /XYZ null 697 null] >> endobj 21496 0 obj << /D [6029 0 R /XYZ null 697 null] >> endobj 21497 0 obj << /D [6029 0 R /XYZ null 553.1 null] >> endobj 21498 0 obj << /D [6053 0 R /XYZ null 697 null] >> endobj 21499 0 obj << /D [6053 0 R /XYZ null 697 null] >> endobj 21500 0 obj << /D [6053 0 R /XYZ null 486.3 null] >> endobj 21501 0 obj << /D [6073 0 R /XYZ null 697 null] >> endobj 21502 0 obj << /D [6073 0 R /XYZ null 697 null] >> endobj 21503 0 obj << /D [6073 0 R /XYZ null 486.3 null] >> endobj 21504 0 obj << /D [6098 0 R /XYZ null 697 null] >> endobj 21505 0 obj << /D [6098 0 R /XYZ null 697 null] >> endobj 21506 0 obj << /D [6098 0 R /XYZ null 500.6 null] >> endobj 21507 0 obj << /D [6121 0 R /XYZ null 697 null] >> endobj 21508 0 obj << /D [6121 0 R /XYZ null 697 null] >> endobj 21509 0 obj << /D [6121 0 R /XYZ null 500.6 null] >> endobj 21510 0 obj << /D [6145 0 R /XYZ null 697 null] >> endobj 21511 0 obj << /D [6145 0 R /XYZ null 697 null] >> endobj 21512 0 obj << /D [6145 0 R /XYZ null 553.1 null] >> endobj 21513 0 obj << /D [6166 0 R /XYZ null 697 null] >> endobj 21514 0 obj << /D [6166 0 R /XYZ null 697 null] >> endobj 21515 0 obj << /D [6166 0 R /XYZ null 553.1 null] >> endobj 21516 0 obj << /D [6187 0 R /XYZ null 697 null] >> endobj 21517 0 obj << /D [6187 0 R /XYZ null 697 null] >> endobj 21518 0 obj << /D [6187 0 R /XYZ null 543.1 null] >> endobj 21519 0 obj << /D [6218 0 R /XYZ null 697 null] >> endobj 21520 0 obj << /D [6218 0 R /XYZ null 697 null] >> endobj 21521 0 obj << /D [6218 0 R /XYZ null 543.1 null] >> endobj 21522 0 obj << /D [6243 0 R /XYZ null 697 null] >> endobj 21523 0 obj << /D [6243 0 R /XYZ null 697 null] >> endobj 21524 0 obj << /D [6243 0 R /XYZ null 553.1 null] >> endobj 21525 0 obj << /D [6269 0 R /XYZ null 697 null] >> endobj 21526 0 obj << /D [6269 0 R /XYZ null 697 null] >> endobj 21527 0 obj << /D [6269 0 R /XYZ null 543.1 null] >> endobj 21528 0 obj << /D [6288 0 R /XYZ null 697 null] >> endobj 21529 0 obj << /D [6288 0 R /XYZ null 697 null] >> endobj 21530 0 obj << /D [6288 0 R /XYZ null 553.1 null] >> endobj 21531 0 obj << /D [6308 0 R /XYZ null 697 null] >> endobj 21532 0 obj << /D [6308 0 R /XYZ null 697 null] >> endobj 21533 0 obj << /D [6308 0 R /XYZ null 490.6 null] >> endobj 21534 0 obj << /D [6337 0 R /XYZ null 697 null] >> endobj 21535 0 obj << /D [6337 0 R /XYZ null 697 null] >> endobj 21536 0 obj << /D [6337 0 R /XYZ null 553.1 null] >> endobj 21537 0 obj << /D [6362 0 R /XYZ null 697 null] >> endobj 21538 0 obj << /D [6362 0 R /XYZ null 697 null] >> endobj 21539 0 obj << /D [6362 0 R /XYZ null 543.1 null] >> endobj 21540 0 obj << /D [6371 0 R /XYZ null 697 null] >> endobj 21541 0 obj << /D [6371 0 R /XYZ null 697 null] >> endobj 21542 0 obj << /D [6371 0 R /XYZ null 486.3 null] >> endobj 21543 0 obj << /D [6390 0 R /XYZ null 697 null] >> endobj 21544 0 obj << /D [6390 0 R /XYZ null 697 null] >> endobj 21545 0 obj << /D [6390 0 R /XYZ null 466.3 null] >> endobj 21546 0 obj << /D [6409 0 R /XYZ null 697 null] >> endobj 21547 0 obj << /D [6409 0 R /XYZ null 697 null] >> endobj 21548 0 obj << /D [6409 0 R /XYZ null 426.3 null] >> endobj 21549 0 obj << /D [6425 0 R /XYZ null 352.6269 null] >> endobj 21550 0 obj << /D [6446 0 R /XYZ null 596.4 null] >> endobj 21551 0 obj << /D [6458 0 R /XYZ null 697 null] >> endobj 21552 0 obj << /D [6458 0 R /XYZ null 697 null] >> endobj 21553 0 obj << /D [6458 0 R /XYZ null 490.6 null] >> endobj 21554 0 obj << /D [6479 0 R /XYZ null 697 null] >> endobj 21555 0 obj << /D [6479 0 R /XYZ null 697 null] >> endobj 21556 0 obj << /D [6479 0 R /XYZ null 500.6 null] >> endobj 21557 0 obj << /D [6509 0 R /XYZ null 697 null] >> endobj 21558 0 obj << /D [6509 0 R /XYZ null 697 null] >> endobj 21559 0 obj << /D [6509 0 R /XYZ null 480.6 null] >> endobj 21560 0 obj << /D [6540 0 R /XYZ null 697 null] >> endobj 21561 0 obj << /D [6540 0 R /XYZ null 697 null] >> endobj 21562 0 obj << /D [6540 0 R /XYZ null 543.1 null] >> endobj 21563 0 obj << /D [6572 0 R /XYZ null 697 null] >> endobj 21564 0 obj << /D [6572 0 R /XYZ null 697 null] >> endobj 21565 0 obj << /D [6572 0 R /XYZ null 528.8 null] >> endobj 21566 0 obj << /D [6591 0 R /XYZ null 697 null] >> endobj 21567 0 obj << /D [6591 0 R /XYZ null 697 null] >> endobj 21568 0 obj << /D [6591 0 R /XYZ null 538.8 null] >> endobj 21569 0 obj << /D [6609 0 R /XYZ null 697 null] >> endobj 21570 0 obj << /D [6609 0 R /XYZ null 697 null] >> endobj 21571 0 obj << /D [6609 0 R /XYZ null 533.1 null] >> endobj 21572 0 obj << /D [6618 0 R /XYZ null 697 null] >> endobj 21573 0 obj << /D [6618 0 R /XYZ null 697 null] >> endobj 21574 0 obj << /D [6618 0 R /XYZ null 490.6 null] >> endobj 21575 0 obj << /D [6634 0 R /XYZ null 697 null] >> endobj 21576 0 obj << /D [6634 0 R /XYZ null 697 null] >> endobj 21577 0 obj << /D [6634 0 R /XYZ null 500.6 null] >> endobj 21578 0 obj << /D [6654 0 R /XYZ null 697 null] >> endobj 21579 0 obj << /D [6654 0 R /XYZ null 697 null] >> endobj 21580 0 obj << /D [6654 0 R /XYZ null 543.1 null] >> endobj 21581 0 obj << /D [6663 0 R /XYZ null 697 null] >> endobj 21582 0 obj << /D [6663 0 R /XYZ null 697 null] >> endobj 21583 0 obj << /D [6663 0 R /XYZ null 533.1 null] >> endobj 21584 0 obj << /D [6692 0 R /XYZ null 697 null] >> endobj 21585 0 obj << /D [6692 0 R /XYZ null 697 null] >> endobj 21586 0 obj << /D [6692 0 R /XYZ null 553.1 null] >> endobj 21587 0 obj << /D [6713 0 R /XYZ null 697 null] >> endobj 21588 0 obj << /D [6713 0 R /XYZ null 697 null] >> endobj 21589 0 obj << /D [6713 0 R /XYZ null 543.1 null] >> endobj 21590 0 obj << /D [6722 0 R /XYZ null 697 null] >> endobj 21591 0 obj << /D [6722 0 R /XYZ null 697 null] >> endobj 21592 0 obj << /D [6722 0 R /XYZ null 543.1 null] >> endobj 21593 0 obj << /D [6731 0 R /XYZ null 697 null] >> endobj 21594 0 obj << /D [6731 0 R /XYZ null 697 null] >> endobj 21595 0 obj << /D [6731 0 R /XYZ null 553.1 null] >> endobj 21596 0 obj << /D [6766 0 R /XYZ null 697 null] >> endobj 21597 0 obj << /D [6766 0 R /XYZ null 697 null] >> endobj 21598 0 obj << /D [6766 0 R /XYZ null 480.6 null] >> endobj 21599 0 obj << /D [6806 0 R /XYZ null 697 null] >> endobj 21600 0 obj << /D [6806 0 R /XYZ null 697 null] >> endobj 21601 0 obj << /D [6806 0 R /XYZ null 490.6 null] >> endobj 21602 0 obj << /D [6846 0 R /XYZ null 697 null] >> endobj 21603 0 obj << /D [6846 0 R /XYZ null 697 null] >> endobj 21604 0 obj << /D [6846 0 R /XYZ null 490.6 null] >> endobj 21605 0 obj << /D [6886 0 R /XYZ null 697 null] >> endobj 21606 0 obj << /D [6886 0 R /XYZ null 697 null] >> endobj 21607 0 obj << /D [6886 0 R /XYZ null 490.6 null] >> endobj 21608 0 obj << /D [6916 0 R /XYZ null 697 null] >> endobj 21609 0 obj << /D [6916 0 R /XYZ null 697 null] >> endobj 21610 0 obj << /D [6916 0 R /XYZ null 480.6 null] >> endobj 21611 0 obj << /D [6956 0 R /XYZ null 697 null] >> endobj 21612 0 obj << /D [6956 0 R /XYZ null 697 null] >> endobj 21613 0 obj << /D [6956 0 R /XYZ null 490.6 null] >> endobj 21614 0 obj << /D [6998 0 R /XYZ null 697 null] >> endobj 21615 0 obj << /D [6998 0 R /XYZ null 697 null] >> endobj 21616 0 obj << /D [6998 0 R /XYZ null 490.6 null] >> endobj 21617 0 obj << /D [7034 0 R /XYZ null 697 null] >> endobj 21618 0 obj << /D [7034 0 R /XYZ null 697 null] >> endobj 21619 0 obj << /D [7034 0 R /XYZ null 480.6 null] >> endobj 21620 0 obj << /D [7074 0 R /XYZ null 697 null] >> endobj 21621 0 obj << /D [7074 0 R /XYZ null 697 null] >> endobj 21622 0 obj << /D [7074 0 R /XYZ null 490.6 null] >> endobj 21623 0 obj << /D [7115 0 R /XYZ null 697 null] >> endobj 21624 0 obj << /D [7115 0 R /XYZ null 697 null] >> endobj 21625 0 obj << /D [7115 0 R /XYZ null 490.6 null] >> endobj 21626 0 obj << /D [7150 0 R /XYZ null 697 null] >> endobj 21627 0 obj << /D [7150 0 R /XYZ null 697 null] >> endobj 21628 0 obj << /D [7150 0 R /XYZ null 500.6 null] >> endobj 21629 0 obj << /D [7171 0 R /XYZ null 697 null] >> endobj 21630 0 obj << /D [7171 0 R /XYZ null 697 null] >> endobj 21631 0 obj << /D [7171 0 R /XYZ null 490.6 null] >> endobj 21632 0 obj << /D [7202 0 R /XYZ null 697 null] >> endobj 21633 0 obj << /D [7202 0 R /XYZ null 697 null] >> endobj 21634 0 obj << /D [7202 0 R /XYZ null 480.6 null] >> endobj 21635 0 obj << /D [7232 0 R /XYZ null 697 null] >> endobj 21636 0 obj << /D [7232 0 R /XYZ null 697 null] >> endobj 21637 0 obj << /D [7232 0 R /XYZ null 490.6 null] >> endobj 21638 0 obj << /D [7264 0 R /XYZ null 697 null] >> endobj 21639 0 obj << /D [7264 0 R /XYZ null 697 null] >> endobj 21640 0 obj << /D [7264 0 R /XYZ null 490.6 null] >> endobj 21641 0 obj << /D [7295 0 R /XYZ null 697 null] >> endobj 21642 0 obj << /D [7295 0 R /XYZ null 697 null] >> endobj 21643 0 obj << /D [7295 0 R /XYZ null 490.6 null] >> endobj 21644 0 obj << /D [7327 0 R /XYZ null 697 null] >> endobj 21645 0 obj << /D [7327 0 R /XYZ null 697 null] >> endobj 21646 0 obj << /D [7327 0 R /XYZ null 490.6 null] >> endobj 21647 0 obj << /D [7359 0 R /XYZ null 697 null] >> endobj 21648 0 obj << /D [7359 0 R /XYZ null 697 null] >> endobj 21649 0 obj << /D [7359 0 R /XYZ null 490.6 null] >> endobj 21650 0 obj << /D [7392 0 R /XYZ null 697 null] >> endobj 21651 0 obj << /D [7392 0 R /XYZ null 697 null] >> endobj 21652 0 obj << /D [7392 0 R /XYZ null 490.6 null] >> endobj 21653 0 obj << /D [7424 0 R /XYZ null 697 null] >> endobj 21654 0 obj << /D [7424 0 R /XYZ null 697 null] >> endobj 21655 0 obj << /D [7424 0 R /XYZ null 490.6 null] >> endobj 21656 0 obj << /D [7456 0 R /XYZ null 697 null] >> endobj 21657 0 obj << /D [7456 0 R /XYZ null 697 null] >> endobj 21658 0 obj << /D [7456 0 R /XYZ null 490.6 null] >> endobj 21659 0 obj << /D [7488 0 R /XYZ null 697 null] >> endobj 21660 0 obj << /D [7488 0 R /XYZ null 697 null] >> endobj 21661 0 obj << /D [7488 0 R /XYZ null 490.6 null] >> endobj 21662 0 obj << /D [7520 0 R /XYZ null 697 null] >> endobj 21663 0 obj << /D [7520 0 R /XYZ null 697 null] >> endobj 21664 0 obj << /D [7520 0 R /XYZ null 490.6 null] >> endobj 21665 0 obj << /D [7552 0 R /XYZ null 697 null] >> endobj 21666 0 obj << /D [7552 0 R /XYZ null 697 null] >> endobj 21667 0 obj << /D [7552 0 R /XYZ null 490.6 null] >> endobj 21668 0 obj << /D [7595 0 R /XYZ null 697 null] >> endobj 21669 0 obj << /D [7595 0 R /XYZ null 697 null] >> endobj 21670 0 obj << /D [7595 0 R /XYZ null 490.6 null] >> endobj 21671 0 obj << /D [7635 0 R /XYZ null 697 null] >> endobj 21672 0 obj << /D [7635 0 R /XYZ null 697 null] >> endobj 21673 0 obj << /D [7635 0 R /XYZ null 480.6 null] >> endobj 21674 0 obj << /D [7677 0 R /XYZ null 697 null] >> endobj 21675 0 obj << /D [7677 0 R /XYZ null 697 null] >> endobj 21676 0 obj << /D [7677 0 R /XYZ null 490.6 null] >> endobj 21677 0 obj << /D [7719 0 R /XYZ null 697 null] >> endobj 21678 0 obj << /D [7719 0 R /XYZ null 697 null] >> endobj 21679 0 obj << /D [7719 0 R /XYZ null 490.6 null] >> endobj 21680 0 obj << /D [7737 0 R /XYZ null 311.8347 null] >> endobj 21681 0 obj << /D [7748 0 R /XYZ null 697 null] >> endobj 21682 0 obj << /D [7748 0 R /XYZ null 697 null] >> endobj 21683 0 obj << /D [7748 0 R /XYZ null 480.6 null] >> endobj 21684 0 obj << /D [7799 0 R /XYZ null 697 null] >> endobj 21685 0 obj << /D [7799 0 R /XYZ null 697 null] >> endobj 21686 0 obj << /D [7799 0 R /XYZ null 490.6 null] >> endobj 21687 0 obj << /D [7843 0 R /XYZ null 697 null] >> endobj 21688 0 obj << /D [7843 0 R /XYZ null 697 null] >> endobj 21689 0 obj << /D [7843 0 R /XYZ null 490.6 null] >> endobj 21690 0 obj << /D [7872 0 R /XYZ null 697 null] >> endobj 21691 0 obj << /D [7872 0 R /XYZ null 697 null] >> endobj 21692 0 obj << /D [7872 0 R /XYZ null 480.6 null] >> endobj 21693 0 obj << /D [7913 0 R /XYZ null 697 null] >> endobj 21694 0 obj << /D [7913 0 R /XYZ null 697 null] >> endobj 21695 0 obj << /D [7913 0 R /XYZ null 543.1 null] >> endobj 21696 0 obj << /D [7913 0 R /XYZ null 274.3788 null] >> endobj 21697 0 obj << /D [7942 0 R /XYZ null 697 null] >> endobj 21698 0 obj << /D [7942 0 R /XYZ null 697 null] >> endobj 21699 0 obj << /D [7942 0 R /XYZ null 543.1 null] >> endobj 21700 0 obj << /D [7942 0 R /XYZ null 352.375 null] >> endobj 21701 0 obj << /D [7942 0 R /XYZ null 299.875 null] >> endobj 21702 0 obj << /D [7952 0 R /XYZ null 555.1192 null] >> endobj 21703 0 obj << /D [7952 0 R /XYZ null 426.3192 null] >> endobj 21704 0 obj << /D [7952 0 R /XYZ null 388.1192 null] >> endobj 21705 0 obj << /D [7968 0 R /XYZ null 697 null] >> endobj 21706 0 obj << /D [7968 0 R /XYZ null 697 null] >> endobj 21707 0 obj << /D [7968 0 R /XYZ null 553.1 null] >> endobj 21708 0 obj << /D [7978 0 R /XYZ null 687.8 null] >> endobj 21709 0 obj << /D [7989 0 R /XYZ null 697 null] >> endobj 21710 0 obj << /D [7989 0 R /XYZ null 697 null] >> endobj 21711 0 obj << /D [7989 0 R /XYZ null 490.6 null] >> endobj 21712 0 obj << /D [8000 0 R /XYZ null 302.3231 null] >> endobj 21713 0 obj << /D [8023 0 R /XYZ null 697 null] >> endobj 21714 0 obj << /D [8023 0 R /XYZ null 697 null] >> endobj 21715 0 obj << /D [8023 0 R /XYZ null 490.6 null] >> endobj 21716 0 obj << /D [8034 0 R /XYZ null 273.7231 null] >> endobj 21717 0 obj << /D [8055 0 R /XYZ null 697 null] >> endobj 21718 0 obj << /D [8055 0 R /XYZ null 697 null] >> endobj 21719 0 obj << /D [8055 0 R /XYZ null 490.6 null] >> endobj 21720 0 obj << /D [8082 0 R /XYZ null 697 null] >> endobj 21721 0 obj << /D [8082 0 R /XYZ null 697 null] >> endobj 21722 0 obj << /D [8082 0 R /XYZ null 490.6 null] >> endobj 21723 0 obj << /D [8114 0 R /XYZ null 697 null] >> endobj 21724 0 obj << /D [8114 0 R /XYZ null 697 null] >> endobj 21725 0 obj << /D [8114 0 R /XYZ null 490.6 null] >> endobj 21726 0 obj << /D [8146 0 R /XYZ null 697 null] >> endobj 21727 0 obj << /D [8146 0 R /XYZ null 697 null] >> endobj 21728 0 obj << /D [8146 0 R /XYZ null 490.6 null] >> endobj 21729 0 obj << /D [8181 0 R /XYZ null 697 null] >> endobj 21730 0 obj << /D [8181 0 R /XYZ null 697 null] >> endobj 21731 0 obj << /D [8181 0 R /XYZ null 490.6 null] >> endobj 21732 0 obj << /D [8208 0 R /XYZ null 697 null] >> endobj 21733 0 obj << /D [8208 0 R /XYZ null 697 null] >> endobj 21734 0 obj << /D [8208 0 R /XYZ null 480.6 null] >> endobj 21735 0 obj << /D [8244 0 R /XYZ null 697 null] >> endobj 21736 0 obj << /D [8244 0 R /XYZ null 697 null] >> endobj 21737 0 obj << /D [8244 0 R /XYZ null 490.6 null] >> endobj 21738 0 obj << /D [8273 0 R /XYZ null 697 null] >> endobj 21739 0 obj << /D [8273 0 R /XYZ null 697 null] >> endobj 21740 0 obj << /D [8273 0 R /XYZ null 480.6 null] >> endobj 21741 0 obj << /D [8292 0 R /XYZ null 711 null] >> endobj 21742 0 obj << /D [8303 0 R /XYZ null 697 null] >> endobj 21743 0 obj << /D [8303 0 R /XYZ null 697 null] >> endobj 21744 0 obj << /D [8303 0 R /XYZ null 490.6 null] >> endobj 21745 0 obj << /D [8312 0 R /XYZ null 249.9885 null] >> endobj 21746 0 obj << /D [8327 0 R /XYZ null 697 null] >> endobj 21747 0 obj << /D [8327 0 R /XYZ null 697 null] >> endobj 21748 0 obj << /D [8327 0 R /XYZ null 490.6 null] >> endobj 21749 0 obj << /D [8338 0 R /XYZ null 410.4385 null] >> endobj 21750 0 obj << /D [8351 0 R /XYZ null 697 null] >> endobj 21751 0 obj << /D [8351 0 R /XYZ null 697 null] >> endobj 21752 0 obj << /D [8351 0 R /XYZ null 553.1 null] >> endobj 21753 0 obj << /D [8362 0 R /XYZ null 697 null] >> endobj 21754 0 obj << /D [8362 0 R /XYZ null 697 null] >> endobj 21755 0 obj << /D [8362 0 R /XYZ null 490.6 null] >> endobj 21756 0 obj << /D [8385 0 R /XYZ null 697 null] >> endobj 21757 0 obj << /D [8385 0 R /XYZ null 697 null] >> endobj 21758 0 obj << /D [8385 0 R /XYZ null 490.6 null] >> endobj 21759 0 obj << /D [8395 0 R /XYZ null 551.8115 null] >> endobj 21760 0 obj << /D [8417 0 R /XYZ null 697 null] >> endobj 21761 0 obj << /D [8417 0 R /XYZ null 697 null] >> endobj 21762 0 obj << /D [8417 0 R /XYZ null 490.6 null] >> endobj 21763 0 obj << /D [8427 0 R /XYZ null 427.1154 null] >> endobj 21764 0 obj << /D [8448 0 R /XYZ null 697 null] >> endobj 21765 0 obj << /D [8448 0 R /XYZ null 697 null] >> endobj 21766 0 obj << /D [8448 0 R /XYZ null 490.6 null] >> endobj 21767 0 obj << /D [8484 0 R /XYZ null 697 null] >> endobj 21768 0 obj << /D [8484 0 R /XYZ null 697 null] >> endobj 21769 0 obj << /D [8484 0 R /XYZ null 490.6 null] >> endobj 21770 0 obj << /D [8494 0 R /XYZ null 711 null] >> endobj 21771 0 obj << /D [8503 0 R /XYZ null 347.7423 null] >> endobj 21772 0 obj << /D [8503 0 R /XYZ null 309.5423 null] >> endobj 21773 0 obj << /D [8515 0 R /XYZ null 697 null] >> endobj 21774 0 obj << /D [8515 0 R /XYZ null 697 null] >> endobj 21775 0 obj << /D [8515 0 R /XYZ null 490.6 null] >> endobj 21776 0 obj << /D [8548 0 R /XYZ null 697 null] >> endobj 21777 0 obj << /D [8548 0 R /XYZ null 697 null] >> endobj 21778 0 obj << /D [8548 0 R /XYZ null 490.6 null] >> endobj 21779 0 obj << /D [8559 0 R /XYZ null 711 null] >> endobj 21780 0 obj << /D [8568 0 R /XYZ null 362.0424 null] >> endobj 21781 0 obj << /D [8568 0 R /XYZ null 323.8424 null] >> endobj 21782 0 obj << /D [8586 0 R /XYZ null 697 null] >> endobj 21783 0 obj << /D [8586 0 R /XYZ null 697 null] >> endobj 21784 0 obj << /D [8586 0 R /XYZ null 490.6 null] >> endobj 21785 0 obj << /D [8596 0 R /XYZ null 634.0115 null] >> endobj 21786 0 obj << /D [8622 0 R /XYZ null 697 null] >> endobj 21787 0 obj << /D [8622 0 R /XYZ null 697 null] >> endobj 21788 0 obj << /D [8622 0 R /XYZ null 490.6 null] >> endobj 21789 0 obj << /D [8632 0 R /XYZ null 171.2613 null] >> endobj 21790 0 obj << /D [8670 0 R /XYZ null 697 null] >> endobj 21791 0 obj << /D [8670 0 R /XYZ null 697 null] >> endobj 21792 0 obj << /D [8670 0 R /XYZ null 490.6 null] >> endobj 21793 0 obj << /D [8713 0 R /XYZ null 697 null] >> endobj 21794 0 obj << /D [8713 0 R /XYZ null 697 null] >> endobj 21795 0 obj << /D [8713 0 R /XYZ null 490.6 null] >> endobj 21796 0 obj << /D [8713 0 R /XYZ null 299.875 null] >> endobj 21797 0 obj << /D [8723 0 R /XYZ null 711 null] >> endobj 21798 0 obj << /D [8730 0 R /XYZ null 546.5461 null] >> endobj 21799 0 obj << /D [8730 0 R /XYZ null 417.7461 null] >> endobj 21800 0 obj << /D [8743 0 R /XYZ null 697 null] >> endobj 21801 0 obj << /D [8743 0 R /XYZ null 697 null] >> endobj 21802 0 obj << /D [8743 0 R /XYZ null 490.6 null] >> endobj 21803 0 obj << /D [8753 0 R /XYZ null 426.5231 null] >> endobj 21804 0 obj << /D [8786 0 R /XYZ null 697 null] >> endobj 21805 0 obj << /D [8786 0 R /XYZ null 697 null] >> endobj 21806 0 obj << /D [8786 0 R /XYZ null 490.6 null] >> endobj 21807 0 obj << /D [8817 0 R /XYZ null 697 null] >> endobj 21808 0 obj << /D [8817 0 R /XYZ null 697 null] >> endobj 21809 0 obj << /D [8817 0 R /XYZ null 490.6 null] >> endobj 21810 0 obj << /D [8827 0 R /XYZ null 590.7076 null] >> endobj 21811 0 obj << /D [8851 0 R /XYZ null 697 null] >> endobj 21812 0 obj << /D [8851 0 R /XYZ null 697 null] >> endobj 21813 0 obj << /D [8851 0 R /XYZ null 490.6 null] >> endobj 21814 0 obj << /D [8861 0 R /XYZ null 576.4076 null] >> endobj 21815 0 obj << /D [8890 0 R /XYZ null 697 null] >> endobj 21816 0 obj << /D [8890 0 R /XYZ null 697 null] >> endobj 21817 0 obj << /D [8890 0 R /XYZ null 490.6 null] >> endobj 21818 0 obj << /D [8900 0 R /XYZ null 552.1077 null] >> endobj 21819 0 obj << /D [8928 0 R /XYZ null 697 null] >> endobj 21820 0 obj << /D [8928 0 R /XYZ null 697 null] >> endobj 21821 0 obj << /D [8928 0 R /XYZ null 490.6 null] >> endobj 21822 0 obj << /D [8938 0 R /XYZ null 449.6077 null] >> endobj 21823 0 obj << /D [8974 0 R /XYZ null 697 null] >> endobj 21824 0 obj << /D [8974 0 R /XYZ null 697 null] >> endobj 21825 0 obj << /D [8974 0 R /XYZ null 490.6 null] >> endobj 21826 0 obj << /D [9014 0 R /XYZ null 697 null] >> endobj 21827 0 obj << /D [9014 0 R /XYZ null 697 null] >> endobj 21828 0 obj << /D [9014 0 R /XYZ null 490.6 null] >> endobj 21829 0 obj << /D [9054 0 R /XYZ null 697 null] >> endobj 21830 0 obj << /D [9054 0 R /XYZ null 697 null] >> endobj 21831 0 obj << /D [9054 0 R /XYZ null 490.6 null] >> endobj 21832 0 obj << /D [9092 0 R /XYZ null 697 null] >> endobj 21833 0 obj << /D [9092 0 R /XYZ null 697 null] >> endobj 21834 0 obj << /D [9092 0 R /XYZ null 490.6 null] >> endobj 21835 0 obj << /D [9130 0 R /XYZ null 697 null] >> endobj 21836 0 obj << /D [9130 0 R /XYZ null 697 null] >> endobj 21837 0 obj << /D [9130 0 R /XYZ null 490.6 null] >> endobj 21838 0 obj << /D [9171 0 R /XYZ null 697 null] >> endobj 21839 0 obj << /D [9171 0 R /XYZ null 697 null] >> endobj 21840 0 obj << /D [9171 0 R /XYZ null 490.6 null] >> endobj 21841 0 obj << /D [9220 0 R /XYZ null 697 null] >> endobj 21842 0 obj << /D [9220 0 R /XYZ null 697 null] >> endobj 21843 0 obj << /D [9220 0 R /XYZ null 490.6 null] >> endobj 21844 0 obj << /D [9258 0 R /XYZ null 697 null] >> endobj 21845 0 obj << /D [9258 0 R /XYZ null 697 null] >> endobj 21846 0 obj << /D [9258 0 R /XYZ null 490.6 null] >> endobj 21847 0 obj << /D [9258 0 R /XYZ null 299.875 null] >> endobj 21848 0 obj << /D [9268 0 R /XYZ null 711 null] >> endobj 21849 0 obj << /D [9277 0 R /XYZ null 526.8423 null] >> endobj 21850 0 obj << /D [9277 0 R /XYZ null 448.0461 null] >> endobj 21851 0 obj << /D [9277 0 R /XYZ null 409.8461 null] >> endobj 21852 0 obj << /D [9289 0 R /XYZ null 697 null] >> endobj 21853 0 obj << /D [9289 0 R /XYZ null 697 null] >> endobj 21854 0 obj << /D [9289 0 R /XYZ null 500.6 null] >> endobj 21855 0 obj << /D [9322 0 R /XYZ null 697 null] >> endobj 21856 0 obj << /D [9322 0 R /XYZ null 697 null] >> endobj 21857 0 obj << /D [9322 0 R /XYZ null 490.6 null] >> endobj 21858 0 obj << /D [9364 0 R /XYZ null 697 null] >> endobj 21859 0 obj << /D [9364 0 R /XYZ null 697 null] >> endobj 21860 0 obj << /D [9364 0 R /XYZ null 490.6 null] >> endobj 21861 0 obj << /D [9396 0 R /XYZ null 697 null] >> endobj 21862 0 obj << /D [9396 0 R /XYZ null 697 null] >> endobj 21863 0 obj << /D [9396 0 R /XYZ null 490.6 null] >> endobj 21864 0 obj << /D [9406 0 R /XYZ null 537.5115 null] >> endobj 21865 0 obj << /D [9432 0 R /XYZ null 697 null] >> endobj 21866 0 obj << /D [9432 0 R /XYZ null 697 null] >> endobj 21867 0 obj << /D [9432 0 R /XYZ null 490.6 null] >> endobj 21868 0 obj << /D [9460 0 R /XYZ null 697 null] >> endobj 21869 0 obj << /D [9460 0 R /XYZ null 697 null] >> endobj 21870 0 obj << /D [9460 0 R /XYZ null 490.6 null] >> endobj 21871 0 obj << /D [9488 0 R /XYZ null 697 null] >> endobj 21872 0 obj << /D [9488 0 R /XYZ null 697 null] >> endobj 21873 0 obj << /D [9488 0 R /XYZ null 490.6 null] >> endobj 21874 0 obj << /D [9523 0 R /XYZ null 697 null] >> endobj 21875 0 obj << /D [9523 0 R /XYZ null 697 null] >> endobj 21876 0 obj << /D [9523 0 R /XYZ null 500.6 null] >> endobj 21877 0 obj << /D [9547 0 R /XYZ null 697 null] >> endobj 21878 0 obj << /D [9547 0 R /XYZ null 697 null] >> endobj 21879 0 obj << /D [9547 0 R /XYZ null 490.6 null] >> endobj 21880 0 obj << /D [9587 0 R /XYZ null 697 null] >> endobj 21881 0 obj << /D [9587 0 R /XYZ null 697 null] >> endobj 21882 0 obj << /D [9587 0 R /XYZ null 490.6 null] >> endobj 21883 0 obj << /D [9620 0 R /XYZ null 697 null] >> endobj 21884 0 obj << /D [9620 0 R /XYZ null 697 null] >> endobj 21885 0 obj << /D [9620 0 R /XYZ null 490.6 null] >> endobj 21886 0 obj << /D [9651 0 R /XYZ null 697 null] >> endobj 21887 0 obj << /D [9651 0 R /XYZ null 697 null] >> endobj 21888 0 obj << /D [9651 0 R /XYZ null 490.6 null] >> endobj 21889 0 obj << /D [9681 0 R /XYZ null 697 null] >> endobj 21890 0 obj << /D [9681 0 R /XYZ null 697 null] >> endobj 21891 0 obj << /D [9681 0 R /XYZ null 490.6 null] >> endobj 21892 0 obj << /D [9711 0 R /XYZ null 697 null] >> endobj 21893 0 obj << /D [9711 0 R /XYZ null 697 null] >> endobj 21894 0 obj << /D [9711 0 R /XYZ null 490.6 null] >> endobj 21895 0 obj << /D [9743 0 R /XYZ null 697 null] >> endobj 21896 0 obj << /D [9743 0 R /XYZ null 697 null] >> endobj 21897 0 obj << /D [9743 0 R /XYZ null 500.6 null] >> endobj 21898 0 obj << /D [9765 0 R /XYZ null 697 null] >> endobj 21899 0 obj << /D [9765 0 R /XYZ null 697 null] >> endobj 21900 0 obj << /D [9765 0 R /XYZ null 490.6 null] >> endobj 21901 0 obj << /D [9796 0 R /XYZ null 697 null] >> endobj 21902 0 obj << /D [9796 0 R /XYZ null 697 null] >> endobj 21903 0 obj << /D [9796 0 R /XYZ null 490.6 null] >> endobj 21904 0 obj << /D [9815 0 R /XYZ null 311.8347 null] >> endobj 21905 0 obj << /D [9827 0 R /XYZ null 697 null] >> endobj 21906 0 obj << /D [9827 0 R /XYZ null 697 null] >> endobj 21907 0 obj << /D [9827 0 R /XYZ null 528.8 null] >> endobj 21908 0 obj << /D [9853 0 R /XYZ null 697 null] >> endobj 21909 0 obj << /D [9853 0 R /XYZ null 697 null] >> endobj 21910 0 obj << /D [9853 0 R /XYZ null 490.6 null] >> endobj 21911 0 obj << /D [9894 0 R /XYZ null 697 null] >> endobj 21912 0 obj << /D [9894 0 R /XYZ null 697 null] >> endobj 21913 0 obj << /D [9894 0 R /XYZ null 470.6 null] >> endobj 21914 0 obj << /D [9946 0 R /XYZ null 697 null] >> endobj 21915 0 obj << /D [9946 0 R /XYZ null 697 null] >> endobj 21916 0 obj << /D [9946 0 R /XYZ null 480.6 null] >> endobj 21917 0 obj << /D [9985 0 R /XYZ null 697 null] >> endobj 21918 0 obj << /D [9985 0 R /XYZ null 697 null] >> endobj 21919 0 obj << /D [9985 0 R /XYZ null 490.6 null] >> endobj 21920 0 obj << /D [10022 0 R /XYZ null 697 null] >> endobj 21921 0 obj << /D [10022 0 R /XYZ null 697 null] >> endobj 21922 0 obj << /D [10022 0 R /XYZ null 490.6 null] >> endobj 21923 0 obj << /D [10056 0 R /XYZ null 697 null] >> endobj 21924 0 obj << /D [10056 0 R /XYZ null 697 null] >> endobj 21925 0 obj << /D [10056 0 R /XYZ null 500.6 null] >> endobj 21926 0 obj << /D [10077 0 R /XYZ null 697 null] >> endobj 21927 0 obj << /D [10077 0 R /XYZ null 697 null] >> endobj 21928 0 obj << /D [10077 0 R /XYZ null 490.6 null] >> endobj 21929 0 obj << /D [10107 0 R /XYZ null 697 null] >> endobj 21930 0 obj << /D [10107 0 R /XYZ null 697 null] >> endobj 21931 0 obj << /D [10107 0 R /XYZ null 490.6 null] >> endobj 21932 0 obj << /D [10135 0 R /XYZ null 697 null] >> endobj 21933 0 obj << /D [10135 0 R /XYZ null 697 null] >> endobj 21934 0 obj << /D [10135 0 R /XYZ null 500.6 null] >> endobj 21935 0 obj << /D [10156 0 R /XYZ null 697 null] >> endobj 21936 0 obj << /D [10156 0 R /XYZ null 697 null] >> endobj 21937 0 obj << /D [10156 0 R /XYZ null 490.6 null] >> endobj 21938 0 obj << /D [10176 0 R /XYZ null 206.0462 null] >> endobj 21939 0 obj << /D [10188 0 R /XYZ null 697 null] >> endobj 21940 0 obj << /D [10188 0 R /XYZ null 697 null] >> endobj 21941 0 obj << /D [10188 0 R /XYZ null 480.6 null] >> endobj 21942 0 obj << /D [10238 0 R /XYZ null 697 null] >> endobj 21943 0 obj << /D [10238 0 R /XYZ null 697 null] >> endobj 21944 0 obj << /D [10238 0 R /XYZ null 480.6 null] >> endobj 21945 0 obj << /D [10277 0 R /XYZ null 697 null] >> endobj 21946 0 obj << /D [10277 0 R /XYZ null 697 null] >> endobj 21947 0 obj << /D [10277 0 R /XYZ null 490.6 null] >> endobj 21948 0 obj << /D [10296 0 R /XYZ null 672.1038 null] >> endobj 21949 0 obj << /D [10309 0 R /XYZ null 697 null] >> endobj 21950 0 obj << /D [10309 0 R /XYZ null 697 null] >> endobj 21951 0 obj << /D [10309 0 R /XYZ null 490.6 null] >> endobj 21952 0 obj << /D [10328 0 R /XYZ null 672.1038 null] >> endobj 21953 0 obj << /D [10341 0 R /XYZ null 697 null] >> endobj 21954 0 obj << /D [10341 0 R /XYZ null 697 null] >> endobj 21955 0 obj << /D [10341 0 R /XYZ null 480.6 null] >> endobj 21956 0 obj << /D [10361 0 R /XYZ null 711 null] >> endobj 21957 0 obj << /D [10385 0 R /XYZ null 697 null] >> endobj 21958 0 obj << /D [10385 0 R /XYZ null 697 null] >> endobj 21959 0 obj << /D [10385 0 R /XYZ null 490.6 null] >> endobj 21960 0 obj << /D [10395 0 R /XYZ null 697 null] >> endobj 21961 0 obj << /D [10395 0 R /XYZ null 697 null] >> endobj 21962 0 obj << /D [10395 0 R /XYZ null 462 null] >> endobj 21963 0 obj << /D [10404 0 R /XYZ null 697 null] >> endobj 21964 0 obj << /D [10404 0 R /XYZ null 697 null] >> endobj 21965 0 obj << /D [10404 0 R /XYZ null 490.6 null] >> endobj 21966 0 obj << /D [10423 0 R /XYZ null 502.2346 null] >> endobj 21967 0 obj << /D [10436 0 R /XYZ null 697 null] >> endobj 21968 0 obj << /D [10436 0 R /XYZ null 697 null] >> endobj 21969 0 obj << /D [10436 0 R /XYZ null 490.6 null] >> endobj 21970 0 obj << /D [10469 0 R /XYZ null 697 null] >> endobj 21971 0 obj << /D [10469 0 R /XYZ null 697 null] >> endobj 21972 0 obj << /D [10469 0 R /XYZ null 490.6 null] >> endobj 21973 0 obj << /D [10499 0 R /XYZ null 697 null] >> endobj 21974 0 obj << /D [10499 0 R /XYZ null 697 null] >> endobj 21975 0 obj << /D [10499 0 R /XYZ null 500.6 null] >> endobj 21976 0 obj << /D [10533 0 R /XYZ null 697 null] >> endobj 21977 0 obj << /D [10533 0 R /XYZ null 697 null] >> endobj 21978 0 obj << /D [10533 0 R /XYZ null 500.6 null] >> endobj 21979 0 obj << /D [10544 0 R /XYZ null 333.4423 null] >> endobj 21980 0 obj << /D [10544 0 R /XYZ null 295.2423 null] >> endobj 21981 0 obj << /D [10556 0 R /XYZ null 697 null] >> endobj 21982 0 obj << /D [10556 0 R /XYZ null 697 null] >> endobj 21983 0 obj << /D [10556 0 R /XYZ null 490.6 null] >> endobj 21984 0 obj << /D [10575 0 R /XYZ null 609.3 null] >> endobj 21985 0 obj << /D [10588 0 R /XYZ null 697 null] >> endobj 21986 0 obj << /D [10588 0 R /XYZ null 697 null] >> endobj 21987 0 obj << /D [10588 0 R /XYZ null 490.6 null] >> endobj 21988 0 obj << /D [10619 0 R /XYZ null 697 null] >> endobj 21989 0 obj << /D [10619 0 R /XYZ null 697 null] >> endobj 21990 0 obj << /D [10619 0 R /XYZ null 490.6 null] >> endobj 21991 0 obj << /D [10650 0 R /XYZ null 697 null] >> endobj 21992 0 obj << /D [10650 0 R /XYZ null 697 null] >> endobj 21993 0 obj << /D [10650 0 R /XYZ null 490.6 null] >> endobj 21994 0 obj << /D [10678 0 R /XYZ null 697 null] >> endobj 21995 0 obj << /D [10678 0 R /XYZ null 697 null] >> endobj 21996 0 obj << /D [10678 0 R /XYZ null 500.6 null] >> endobj 21997 0 obj << /D [10701 0 R /XYZ null 697 null] >> endobj 21998 0 obj << /D [10701 0 R /XYZ null 697 null] >> endobj 21999 0 obj << /D [10701 0 R /XYZ null 543.1 null] >> endobj 22000 0 obj << /D [10729 0 R /XYZ null 697 null] >> endobj 22001 0 obj << /D [10729 0 R /XYZ null 697 null] >> endobj 22002 0 obj << /D [10729 0 R /XYZ null 490.6 null] >> endobj 22003 0 obj << /D [10762 0 R /XYZ null 697 null] >> endobj 22004 0 obj << /D [10762 0 R /XYZ null 697 null] >> endobj 22005 0 obj << /D [10762 0 R /XYZ null 490.6 null] >> endobj 22006 0 obj << /D [10781 0 R /XYZ null 711 null] >> endobj 22007 0 obj << /D [10792 0 R /XYZ null 697 null] >> endobj 22008 0 obj << /D [10792 0 R /XYZ null 697 null] >> endobj 22009 0 obj << /D [10792 0 R /XYZ null 490.6 null] >> endobj 22010 0 obj << /D [10831 0 R /XYZ null 697 null] >> endobj 22011 0 obj << /D [10831 0 R /XYZ null 697 null] >> endobj 22012 0 obj << /D [10831 0 R /XYZ null 490.6 null] >> endobj 22013 0 obj << /D [10861 0 R /XYZ null 697 null] >> endobj 22014 0 obj << /D [10861 0 R /XYZ null 697 null] >> endobj 22015 0 obj << /D [10861 0 R /XYZ null 490.6 null] >> endobj 22016 0 obj << /D [10899 0 R /XYZ null 697 null] >> endobj 22017 0 obj << /D [10899 0 R /XYZ null 697 null] >> endobj 22018 0 obj << /D [10899 0 R /XYZ null 486.3 null] >> endobj 22019 0 obj << /D [10917 0 R /XYZ null 697 null] >> endobj 22020 0 obj << /D [10917 0 R /XYZ null 697 null] >> endobj 22021 0 obj << /D [10917 0 R /XYZ null 500.6 null] >> endobj 22022 0 obj << /D [10935 0 R /XYZ null 697 null] >> endobj 22023 0 obj << /D [10935 0 R /XYZ null 697 null] >> endobj 22024 0 obj << /D [10935 0 R /XYZ null 490.6 null] >> endobj 22025 0 obj << /D [10970 0 R /XYZ null 697 null] >> endobj 22026 0 obj << /D [10970 0 R /XYZ null 697 null] >> endobj 22027 0 obj << /D [10970 0 R /XYZ null 490.6 null] >> endobj 22028 0 obj << /D [10981 0 R /XYZ null 272.327 null] >> endobj 22029 0 obj << /D [10999 0 R /XYZ null 697 null] >> endobj 22030 0 obj << /D [10999 0 R /XYZ null 697 null] >> endobj 22031 0 obj << /D [10999 0 R /XYZ null 483.1 null] >> endobj 22032 0 obj << /D [11049 0 R /XYZ null 697 null] >> endobj 22033 0 obj << /D [11049 0 R /XYZ null 697 null] >> endobj 22034 0 obj << /D [11049 0 R /XYZ null 500.6 null] >> endobj 22035 0 obj << /D [11070 0 R /XYZ null 697 null] >> endobj 22036 0 obj << /D [11070 0 R /XYZ null 697 null] >> endobj 22037 0 obj << /D [11070 0 R /XYZ null 490.6 null] >> endobj 22038 0 obj << /D [11100 0 R /XYZ null 697 null] >> endobj 22039 0 obj << /D [11100 0 R /XYZ null 697 null] >> endobj 22040 0 obj << /D [11100 0 R /XYZ null 490.6 null] >> endobj 22041 0 obj << /D [11131 0 R /XYZ null 697 null] >> endobj 22042 0 obj << /D [11131 0 R /XYZ null 697 null] >> endobj 22043 0 obj << /D [11131 0 R /XYZ null 490.6 null] >> endobj 22044 0 obj << /D [11140 0 R /XYZ null 697 null] >> endobj 22045 0 obj << /D [11140 0 R /XYZ null 697 null] >> endobj 22046 0 obj << /D [11140 0 R /XYZ null 480.6 null] >> endobj 22047 0 obj << /D [11172 0 R /XYZ null 697 null] >> endobj 22048 0 obj << /D [11172 0 R /XYZ null 697 null] >> endobj 22049 0 obj << /D [11172 0 R /XYZ null 462 null] >> endobj 22050 0 obj << /D [11192 0 R /XYZ null 697 null] >> endobj 22051 0 obj << /D [11192 0 R /XYZ null 697 null] >> endobj 22052 0 obj << /D [11192 0 R /XYZ null 543.1 null] >> endobj 22053 0 obj << /D [11192 0 R /XYZ null 134.7826 null] >> endobj 22054 0 obj << /D [11202 0 R /XYZ null 609.3615 null] >> endobj 22055 0 obj << /D [11219 0 R /XYZ null 697 null] >> endobj 22056 0 obj << /D [11219 0 R /XYZ null 697 null] >> endobj 22057 0 obj << /D [11219 0 R /XYZ null 533.1 null] >> endobj 22058 0 obj << /D [11256 0 R /XYZ null 697 null] >> endobj 22059 0 obj << /D [11256 0 R /XYZ null 697 null] >> endobj 22060 0 obj << /D [11256 0 R /XYZ null 543.1 null] >> endobj 22061 0 obj << /D [11279 0 R /XYZ null 697 null] >> endobj 22062 0 obj << /D [11279 0 R /XYZ null 697 null] >> endobj 22063 0 obj << /D [11279 0 R /XYZ null 553.1 null] >> endobj 22064 0 obj << /D [11301 0 R /XYZ null 697 null] >> endobj 22065 0 obj << /D [11301 0 R /XYZ null 697 null] >> endobj 22066 0 obj << /D [11301 0 R /XYZ null 543.1 null] >> endobj 22067 0 obj << /D [11332 0 R /XYZ null 697 null] >> endobj 22068 0 obj << /D [11332 0 R /XYZ null 697 null] >> endobj 22069 0 obj << /D [11332 0 R /XYZ null 543.1 null] >> endobj 22070 0 obj << /D [11332 0 R /XYZ null 343.175 null] >> endobj 22071 0 obj << /D [11341 0 R /XYZ null 542.5423 null] >> endobj 22072 0 obj << /D [11348 0 R /XYZ null 711 null] >> endobj 22073 0 obj << /D [11355 0 R /XYZ null 697 null] >> endobj 22074 0 obj << /D [11355 0 R /XYZ null 697 null] >> endobj 22075 0 obj << /D [11355 0 R /XYZ null 490.6 null] >> endobj 22076 0 obj << /D [11381 0 R /XYZ null 697 null] >> endobj 22077 0 obj << /D [11381 0 R /XYZ null 697 null] >> endobj 22078 0 obj << /D [11381 0 R /XYZ null 500.6 null] >> endobj 22079 0 obj << /D [11403 0 R /XYZ null 697 null] >> endobj 22080 0 obj << /D [11403 0 R /XYZ null 697 null] >> endobj 22081 0 obj << /D [11403 0 R /XYZ null 500.6 null] >> endobj 22082 0 obj << /D [11421 0 R /XYZ null 697 null] >> endobj 22083 0 obj << /D [11421 0 R /XYZ null 697 null] >> endobj 22084 0 obj << /D [11421 0 R /XYZ null 500.6 null] >> endobj 22085 0 obj << /D [11439 0 R /XYZ null 697 null] >> endobj 22086 0 obj << /D [11439 0 R /XYZ null 697 null] >> endobj 22087 0 obj << /D [11439 0 R /XYZ null 500.6 null] >> endobj 22088 0 obj << /D [11457 0 R /XYZ null 697 null] >> endobj 22089 0 obj << /D [11457 0 R /XYZ null 697 null] >> endobj 22090 0 obj << /D [11457 0 R /XYZ null 500.6 null] >> endobj 22091 0 obj << /D [11479 0 R /XYZ null 697 null] >> endobj 22092 0 obj << /D [11479 0 R /XYZ null 697 null] >> endobj 22093 0 obj << /D [11479 0 R /XYZ null 553.1 null] >> endobj 22094 0 obj << /D [11498 0 R /XYZ null 697 null] >> endobj 22095 0 obj << /D [11498 0 R /XYZ null 697 null] >> endobj 22096 0 obj << /D [11498 0 R /XYZ null 480.6 null] >> endobj 22097 0 obj << /D [11526 0 R /XYZ null 697 null] >> endobj 22098 0 obj << /D [11526 0 R /XYZ null 697 null] >> endobj 22099 0 obj << /D [11526 0 R /XYZ null 490.6 null] >> endobj 22100 0 obj << /D [11544 0 R /XYZ null 697 null] >> endobj 22101 0 obj << /D [11544 0 R /XYZ null 697 null] >> endobj 22102 0 obj << /D [11544 0 R /XYZ null 473.1 null] >> endobj 22103 0 obj << /D [11579 0 R /XYZ null 697 null] >> endobj 22104 0 obj << /D [11579 0 R /XYZ null 697 null] >> endobj 22105 0 obj << /D [11579 0 R /XYZ null 543.1 null] >> endobj 22106 0 obj << /D [11602 0 R /XYZ null 697 null] >> endobj 22107 0 obj << /D [11602 0 R /XYZ null 697 null] >> endobj 22108 0 obj << /D [11602 0 R /XYZ null 553.1 null] >> endobj 22109 0 obj << /D [11620 0 R /XYZ null 697 null] >> endobj 22110 0 obj << /D [11620 0 R /XYZ null 697 null] >> endobj 22111 0 obj << /D [11620 0 R /XYZ null 513.1 null] >> endobj 22112 0 obj << /D [11669 0 R /XYZ null 697 null] >> endobj 22113 0 obj << /D [11669 0 R /XYZ null 697 null] >> endobj 22114 0 obj << /D [11669 0 R /XYZ null 523.1 null] >> endobj 22115 0 obj << /D [11686 0 R /XYZ null 197.4579 null] >> endobj 22116 0 obj << /D [11712 0 R /XYZ null 697 null] >> endobj 22117 0 obj << /D [11712 0 R /XYZ null 697 null] >> endobj 22118 0 obj << /D [11712 0 R /XYZ null 553.1 null] >> endobj 22119 0 obj << /D [11733 0 R /XYZ null 697 null] >> endobj 22120 0 obj << /D [11733 0 R /XYZ null 697 null] >> endobj 22121 0 obj << /D [11733 0 R /XYZ null 480.6 null] >> endobj 22122 0 obj << /D [11769 0 R /XYZ null 697 null] >> endobj 22123 0 obj << /D [11769 0 R /XYZ null 697 null] >> endobj 22124 0 obj << /D [11769 0 R /XYZ null 553.1 null] >> endobj 22125 0 obj << /D [11788 0 R /XYZ null 697 null] >> endobj 22126 0 obj << /D [11788 0 R /XYZ null 697 null] >> endobj 22127 0 obj << /D [11788 0 R /XYZ null 500.6 null] >> endobj 22128 0 obj << /D [11810 0 R /XYZ null 697 null] >> endobj 22129 0 obj << /D [11810 0 R /XYZ null 697 null] >> endobj 22130 0 obj << /D [11810 0 R /XYZ null 500.6 null] >> endobj 22131 0 obj << /D [11831 0 R /XYZ null 697 null] >> endobj 22132 0 obj << /D [11831 0 R /XYZ null 697 null] >> endobj 22133 0 obj << /D [11831 0 R /XYZ null 490.6 null] >> endobj 22134 0 obj << /D [11856 0 R /XYZ null 697 null] >> endobj 22135 0 obj << /D [11856 0 R /XYZ null 697 null] >> endobj 22136 0 obj << /D [11856 0 R /XYZ null 490.6 null] >> endobj 22137 0 obj << /D [11882 0 R /XYZ null 697 null] >> endobj 22138 0 obj << /D [11882 0 R /XYZ null 697 null] >> endobj 22139 0 obj << /D [11882 0 R /XYZ null 500.6 null] >> endobj 22140 0 obj << /D [11905 0 R /XYZ null 697 null] >> endobj 22141 0 obj << /D [11905 0 R /XYZ null 697 null] >> endobj 22142 0 obj << /D [11905 0 R /XYZ null 553.1 null] >> endobj 22143 0 obj << /D [11924 0 R /XYZ null 697 null] >> endobj 22144 0 obj << /D [11924 0 R /XYZ null 697 null] >> endobj 22145 0 obj << /D [11924 0 R /XYZ null 538.8 null] >> endobj 22146 0 obj << /D [11940 0 R /XYZ null 656.4 null] >> endobj 22147 0 obj << /D [11950 0 R /XYZ null 697 null] >> endobj 22148 0 obj << /D [11950 0 R /XYZ null 697 null] >> endobj 22149 0 obj << /D [11950 0 R /XYZ null 500.6 null] >> endobj 22150 0 obj << /D [11970 0 R /XYZ null 697 null] >> endobj 22151 0 obj << /D [11970 0 R /XYZ null 697 null] >> endobj 22152 0 obj << /D [11970 0 R /XYZ null 500.6 null] >> endobj 22153 0 obj << /D [11990 0 R /XYZ null 697 null] >> endobj 22154 0 obj << /D [11990 0 R /XYZ null 697 null] >> endobj 22155 0 obj << /D [11990 0 R /XYZ null 510.2 null] >> endobj 22156 0 obj << /D [12008 0 R /XYZ null 697 null] >> endobj 22157 0 obj << /D [12008 0 R /XYZ null 697 null] >> endobj 22158 0 obj << /D [12008 0 R /XYZ null 553.1 null] >> endobj 22159 0 obj << /D [12028 0 R /XYZ null 697 null] >> endobj 22160 0 obj << /D [12028 0 R /XYZ null 697 null] >> endobj 22161 0 obj << /D [12028 0 R /XYZ null 486.3 null] >> endobj 22162 0 obj << /D [12048 0 R /XYZ null 697 null] >> endobj 22163 0 obj << /D [12048 0 R /XYZ null 697 null] >> endobj 22164 0 obj << /D [12048 0 R /XYZ null 486.3 null] >> endobj 22165 0 obj << /D [12048 0 R /XYZ null 177.2598 null] >> endobj 22166 0 obj << /D [12057 0 R /XYZ null 579.7422 null] >> endobj 22167 0 obj << /D [12057 0 R /XYZ null 421.75 null] >> endobj 22168 0 obj << /D [12057 0 R /XYZ null 383.55 null] >> endobj 22169 0 obj << /D [12068 0 R /XYZ null 697 null] >> endobj 22170 0 obj << /D [12068 0 R /XYZ null 697 null] >> endobj 22171 0 obj << /D [12068 0 R /XYZ null 553.1 null] >> endobj 22172 0 obj << /D [12089 0 R /XYZ null 697 null] >> endobj 22173 0 obj << /D [12089 0 R /XYZ null 697 null] >> endobj 22174 0 obj << /D [12089 0 R /XYZ null 543.1 null] >> endobj 22175 0 obj << /D [12120 0 R /XYZ null 697 null] >> endobj 22176 0 obj << /D [12120 0 R /XYZ null 697 null] >> endobj 22177 0 obj << /D [12120 0 R /XYZ null 553.1 null] >> endobj 22178 0 obj << /D [12143 0 R /XYZ null 697 null] >> endobj 22179 0 obj << /D [12143 0 R /XYZ null 697 null] >> endobj 22180 0 obj << /D [12143 0 R /XYZ null 553.1 null] >> endobj 22181 0 obj << /D [12143 0 R /XYZ null 337.275 null] >> endobj 22182 0 obj << /D [12165 0 R /XYZ null 697 null] >> endobj 22183 0 obj << /D [12165 0 R /XYZ null 697 null] >> endobj 22184 0 obj << /D [12165 0 R /XYZ null 476.3 null] >> endobj 22185 0 obj << /D [12185 0 R /XYZ null 697 null] >> endobj 22186 0 obj << /D [12185 0 R /XYZ null 697 null] >> endobj 22187 0 obj << /D [12185 0 R /XYZ null 543.1 null] >> endobj 22188 0 obj << /D [12205 0 R /XYZ null 697 null] >> endobj 22189 0 obj << /D [12205 0 R /XYZ null 697 null] >> endobj 22190 0 obj << /D [12205 0 R /XYZ null 490.6 null] >> endobj 22191 0 obj << /D [12224 0 R /XYZ null 697 null] >> endobj 22192 0 obj << /D [12224 0 R /XYZ null 697 null] >> endobj 22193 0 obj << /D [12224 0 R /XYZ null 528.8 null] >> endobj 22194 0 obj << /D [12233 0 R /XYZ null 697 null] >> endobj 22195 0 obj << /D [12233 0 R /XYZ null 697 null] >> endobj 22196 0 obj << /D [12233 0 R /XYZ null 500.6 null] >> endobj 22197 0 obj << /D [12254 0 R /XYZ null 697 null] >> endobj 22198 0 obj << /D [12254 0 R /XYZ null 697 null] >> endobj 22199 0 obj << /D [12254 0 R /XYZ null 553.1 null] >> endobj 22200 0 obj << /D [12275 0 R /XYZ null 697 null] >> endobj 22201 0 obj << /D [12275 0 R /XYZ null 697 null] >> endobj 22202 0 obj << /D [12275 0 R /XYZ null 490.6 null] >> endobj 22203 0 obj << /D [12297 0 R /XYZ null 697 null] >> endobj 22204 0 obj << /D [12297 0 R /XYZ null 697 null] >> endobj 22205 0 obj << /D [12297 0 R /XYZ null 553.1 null] >> endobj 22206 0 obj << /D [12331 0 R /XYZ null 697 null] >> endobj 22207 0 obj << /D [12331 0 R /XYZ null 697 null] >> endobj 22208 0 obj << /D [12331 0 R /XYZ null 500.6 null] >> endobj 22209 0 obj << /D [12352 0 R /XYZ null 697 null] >> endobj 22210 0 obj << /D [12352 0 R /XYZ null 697 null] >> endobj 22211 0 obj << /D [12352 0 R /XYZ null 543.1 null] >> endobj 22212 0 obj << /D [12383 0 R /XYZ null 697 null] >> endobj 22213 0 obj << /D [12383 0 R /XYZ null 697 null] >> endobj 22214 0 obj << /D [12383 0 R /XYZ null 490.6 null] >> endobj 22215 0 obj << /D [12401 0 R /XYZ null 697 null] >> endobj 22216 0 obj << /D [12401 0 R /XYZ null 697 null] >> endobj 22217 0 obj << /D [12401 0 R /XYZ null 500.6 null] >> endobj 22218 0 obj << /D [12423 0 R /XYZ null 697 null] >> endobj 22219 0 obj << /D [12423 0 R /XYZ null 697 null] >> endobj 22220 0 obj << /D [12423 0 R /XYZ null 543.1 null] >> endobj 22221 0 obj << /D [12458 0 R /XYZ null 697 null] >> endobj 22222 0 obj << /D [12458 0 R /XYZ null 697 null] >> endobj 22223 0 obj << /D [12458 0 R /XYZ null 500.6 null] >> endobj 22224 0 obj << /D [12480 0 R /XYZ null 697 null] >> endobj 22225 0 obj << /D [12480 0 R /XYZ null 697 null] >> endobj 22226 0 obj << /D [12480 0 R /XYZ null 472 null] >> endobj 22227 0 obj << /D [12499 0 R /XYZ null 697 null] >> endobj 22228 0 obj << /D [12499 0 R /XYZ null 697 null] >> endobj 22229 0 obj << /D [12499 0 R /XYZ null 472 null] >> endobj 22230 0 obj << /D [12523 0 R /XYZ null 697 null] >> endobj 22231 0 obj << /D [12523 0 R /XYZ null 697 null] >> endobj 22232 0 obj << /D [12523 0 R /XYZ null 500.6 null] >> endobj 22233 0 obj << /D [12544 0 R /XYZ null 697 null] >> endobj 22234 0 obj << /D [12544 0 R /XYZ null 697 null] >> endobj 22235 0 obj << /D [12544 0 R /XYZ null 528.8 null] >> endobj 22236 0 obj << /D [12574 0 R /XYZ null 697 null] >> endobj 22237 0 obj << /D [12574 0 R /XYZ null 697 null] >> endobj 22238 0 obj << /D [12574 0 R /XYZ null 478.8 null] >> endobj 22239 0 obj << /D [12604 0 R /XYZ null 504.5077 null] >> endobj 22240 0 obj << /D [12604 0 R /XYZ null 490.7577 null] >> endobj 22241 0 obj << /D [12604 0 R /XYZ null 409.9115 null] >> endobj 22242 0 obj << /D [12632 0 R /XYZ null 697 null] >> endobj 22243 0 obj << /D [12632 0 R /XYZ null 697 null] >> endobj 22244 0 obj << /D [12632 0 R /XYZ null 553.1 null] >> endobj 22245 0 obj << /D [12632 0 R /XYZ null 345.8827 null] >> endobj 22246 0 obj << /D [12632 0 R /XYZ null 152.4211 null] >> endobj 22247 0 obj << /D [12641 0 R /XYZ null 711 null] >> endobj 22248 0 obj << /D [12641 0 R /XYZ null 687.8 null] >> endobj 22249 0 obj << /D [12659 0 R /XYZ null 697 null] >> endobj 22250 0 obj << /D [12659 0 R /XYZ null 697 null] >> endobj 22251 0 obj << /D [12659 0 R /XYZ null 483.1 null] >> endobj 22252 0 obj << /D [12712 0 R /XYZ null 697 null] >> endobj 22253 0 obj << /D [12712 0 R /XYZ null 697 null] >> endobj 22254 0 obj << /D [12712 0 R /XYZ null 538.8 null] >> endobj 22255 0 obj << /D [12739 0 R /XYZ null 697 null] >> endobj 22256 0 obj << /D [12739 0 R /XYZ null 697 null] >> endobj 22257 0 obj << /D [12739 0 R /XYZ null 533.1 null] >> endobj 22258 0 obj << /D [12785 0 R /XYZ null 697 null] >> endobj 22259 0 obj << /D [12785 0 R /XYZ null 697 null] >> endobj 22260 0 obj << /D [12785 0 R /XYZ null 543.1 null] >> endobj 22261 0 obj << /D [12814 0 R /XYZ null 697 null] >> endobj 22262 0 obj << /D [12814 0 R /XYZ null 697 null] >> endobj 22263 0 obj << /D [12814 0 R /XYZ null 543.1 null] >> endobj 22264 0 obj << /D [12832 0 R /XYZ null 697 null] >> endobj 22265 0 obj << /D [12832 0 R /XYZ null 697 null] >> endobj 22266 0 obj << /D [12832 0 R /XYZ null 553.1 null] >> endobj 22267 0 obj << /D [12855 0 R /XYZ null 697 null] >> endobj 22268 0 obj << /D [12855 0 R /XYZ null 697 null] >> endobj 22269 0 obj << /D [12855 0 R /XYZ null 538.8 null] >> endobj 22270 0 obj << /D [12864 0 R /XYZ null 697 null] >> endobj 22271 0 obj << /D [12864 0 R /XYZ null 697 null] >> endobj 22272 0 obj << /D [12864 0 R /XYZ null 528.8 null] >> endobj 22273 0 obj << /D [12873 0 R /XYZ null 697 null] >> endobj 22274 0 obj << /D [12873 0 R /XYZ null 697 null] >> endobj 22275 0 obj << /D [12873 0 R /XYZ null 500.2 null] >> endobj 22276 0 obj << /D [12899 0 R /XYZ null 697 null] >> endobj 22277 0 obj << /D [12899 0 R /XYZ null 697 null] >> endobj 22278 0 obj << /D [12899 0 R /XYZ null 543.1 null] >> endobj 22279 0 obj << /D [12933 0 R /XYZ null 697 null] >> endobj 22280 0 obj << /D [12933 0 R /XYZ null 697 null] >> endobj 22281 0 obj << /D [12933 0 R /XYZ null 450.6 null] >> endobj 22282 0 obj << /D [12982 0 R /XYZ null 697 null] >> endobj 22283 0 obj << /D [12982 0 R /XYZ null 697 null] >> endobj 22284 0 obj << /D [12982 0 R /XYZ null 553.1 null] >> endobj 22285 0 obj << /D [13002 0 R /XYZ null 697 null] >> endobj 22286 0 obj << /D [13002 0 R /XYZ null 697 null] >> endobj 22287 0 obj << /D [13002 0 R /XYZ null 500.6 null] >> endobj 22288 0 obj << /D [13024 0 R /XYZ null 697 null] >> endobj 22289 0 obj << /D [13024 0 R /XYZ null 697 null] >> endobj 22290 0 obj << /D [13024 0 R /XYZ null 533.1 null] >> endobj 22291 0 obj << /D [13033 0 R /XYZ null 110.1229 null] >> endobj 22292 0 obj << /D [13064 0 R /XYZ null 697 null] >> endobj 22293 0 obj << /D [13064 0 R /XYZ null 697 null] >> endobj 22294 0 obj << /D [13064 0 R /XYZ null 553.1 null] >> endobj 22295 0 obj << /D [13086 0 R /XYZ null 697 null] >> endobj 22296 0 obj << /D [13086 0 R /XYZ null 697 null] >> endobj 22297 0 obj << /D [13086 0 R /XYZ null 500.6 null] >> endobj 22298 0 obj << /D [13104 0 R /XYZ null 697 null] >> endobj 22299 0 obj << /D [13104 0 R /XYZ null 697 null] >> endobj 22300 0 obj << /D [13104 0 R /XYZ null 500.6 null] >> endobj 22301 0 obj << /D [13128 0 R /XYZ null 697 null] >> endobj 22302 0 obj << /D [13128 0 R /XYZ null 697 null] >> endobj 22303 0 obj << /D [13128 0 R /XYZ null 486.3 null] >> endobj 22304 0 obj << /D [13154 0 R /XYZ null 697 null] >> endobj 22305 0 obj << /D [13154 0 R /XYZ null 697 null] >> endobj 22306 0 obj << /D [13154 0 R /XYZ null 486.3 null] >> endobj 22307 0 obj << /D [13180 0 R /XYZ null 697 null] >> endobj 22308 0 obj << /D [13180 0 R /XYZ null 697 null] >> endobj 22309 0 obj << /D [13180 0 R /XYZ null 500.6 null] >> endobj 22310 0 obj << /D [13200 0 R /XYZ null 697 null] >> endobj 22311 0 obj << /D [13200 0 R /XYZ null 697 null] >> endobj 22312 0 obj << /D [13200 0 R /XYZ null 483.1 null] >> endobj 22313 0 obj << /D [13228 0 R /XYZ null 697 null] >> endobj 22314 0 obj << /D [13228 0 R /XYZ null 697 null] >> endobj 22315 0 obj << /D [13228 0 R /XYZ null 500.6 null] >> endobj 22316 0 obj << /D [13247 0 R /XYZ null 697 null] >> endobj 22317 0 obj << /D [13247 0 R /XYZ null 697 null] >> endobj 22318 0 obj << /D [13247 0 R /XYZ null 553.1 null] >> endobj 22319 0 obj << /D [13258 0 R /XYZ null 623.2 null] >> endobj 22320 0 obj << /D [13258 0 R /XYZ null 585 null] >> endobj 22321 0 obj << /D [13269 0 R /XYZ null 697 null] >> endobj 22322 0 obj << /D [13269 0 R /XYZ null 697 null] >> endobj 22323 0 obj << /D [13269 0 R /XYZ null 543.1 null] >> endobj 22324 0 obj << /D [13290 0 R /XYZ null 697 null] >> endobj 22325 0 obj << /D [13290 0 R /XYZ null 697 null] >> endobj 22326 0 obj << /D [13290 0 R /XYZ null 500.6 null] >> endobj 22327 0 obj << /D [13308 0 R /XYZ null 697 null] >> endobj 22328 0 obj << /D [13308 0 R /XYZ null 697 null] >> endobj 22329 0 obj << /D [13308 0 R /XYZ null 553.1 null] >> endobj 22330 0 obj << /D [13326 0 R /XYZ null 697 null] >> endobj 22331 0 obj << /D [13326 0 R /XYZ null 697 null] >> endobj 22332 0 obj << /D [13326 0 R /XYZ null 500.6 null] >> endobj 22333 0 obj << /D [13346 0 R /XYZ null 697 null] >> endobj 22334 0 obj << /D [13346 0 R /XYZ null 697 null] >> endobj 22335 0 obj << /D [13346 0 R /XYZ null 500.6 null] >> endobj 22336 0 obj << /D [13366 0 R /XYZ null 697 null] >> endobj 22337 0 obj << /D [13366 0 R /XYZ null 697 null] >> endobj 22338 0 obj << /D [13366 0 R /XYZ null 490.6 null] >> endobj 22339 0 obj << /D [13394 0 R /XYZ null 697 null] >> endobj 22340 0 obj << /D [13394 0 R /XYZ null 697 null] >> endobj 22341 0 obj << /D [13394 0 R /XYZ null 543.1 null] >> endobj 22342 0 obj << /D [13434 0 R /XYZ null 697 null] >> endobj 22343 0 obj << /D [13434 0 R /XYZ null 697 null] >> endobj 22344 0 obj << /D [13434 0 R /XYZ null 490.6 null] >> endobj 22345 0 obj << /D [13467 0 R /XYZ null 697 null] >> endobj 22346 0 obj << /D [13467 0 R /XYZ null 697 null] >> endobj 22347 0 obj << /D [13467 0 R /XYZ null 500.6 null] >> endobj 22348 0 obj << /D [13487 0 R /XYZ null 697 null] >> endobj 22349 0 obj << /D [13487 0 R /XYZ null 697 null] >> endobj 22350 0 obj << /D [13487 0 R /XYZ null 553.1 null] >> endobj 22351 0 obj << /D [13505 0 R /XYZ null 697 null] >> endobj 22352 0 obj << /D [13505 0 R /XYZ null 697 null] >> endobj 22353 0 obj << /D [13505 0 R /XYZ null 553.1 null] >> endobj 22354 0 obj << /D [13527 0 R /XYZ null 697 null] >> endobj 22355 0 obj << /D [13527 0 R /XYZ null 697 null] >> endobj 22356 0 obj << /D [13527 0 R /XYZ null 514.5 null] >> endobj 22357 0 obj << /D [13527 0 R /XYZ null 370.575 null] >> endobj 22358 0 obj << /D [13527 0 R /XYZ null 145.0749 null] >> endobj 22359 0 obj << /D [13536 0 R /XYZ null 371.9144 null] >> endobj 22360 0 obj << /D [13536 0 R /XYZ null 333.7144 null] >> endobj 22361 0 obj << /D [13548 0 R /XYZ null 697 null] >> endobj 22362 0 obj << /D [13548 0 R /XYZ null 697 null] >> endobj 22363 0 obj << /D [13548 0 R /XYZ null 543.1 null] >> endobj 22364 0 obj << /D [13568 0 R /XYZ null 697 null] >> endobj 22365 0 obj << /D [13568 0 R /XYZ null 697 null] >> endobj 22366 0 obj << /D [13568 0 R /XYZ null 514.5 null] >> endobj 22367 0 obj << /D [13568 0 R /XYZ null 370.575 null] >> endobj 22368 0 obj << /D [13568 0 R /XYZ null 159.3749 null] >> endobj 22369 0 obj << /D [13577 0 R /XYZ null 307.3144 null] >> endobj 22370 0 obj << /D [13577 0 R /XYZ null 269.1144 null] >> endobj 22371 0 obj << /D [13595 0 R /XYZ null 697 null] >> endobj 22372 0 obj << /D [13595 0 R /XYZ null 697 null] >> endobj 22373 0 obj << /D [13595 0 R /XYZ null 514.5 null] >> endobj 22374 0 obj << /D [13619 0 R /XYZ null 697 null] >> endobj 22375 0 obj << /D [13619 0 R /XYZ null 697 null] >> endobj 22376 0 obj << /D [13619 0 R /XYZ null 553.1 null] >> endobj 22377 0 obj << /D [13619 0 R /XYZ null 437.575 null] >> endobj 22378 0 obj << /D [13619 0 R /XYZ null 236.3751 null] >> endobj 22379 0 obj << /D [13628 0 R /XYZ null 671.8346 null] >> endobj 22380 0 obj << /D [13628 0 R /XYZ null 593.3346 null] >> endobj 22381 0 obj << /D [13639 0 R /XYZ null 697 null] >> endobj 22382 0 obj << /D [13639 0 R /XYZ null 697 null] >> endobj 22383 0 obj << /D [13639 0 R /XYZ null 553.1 null] >> endobj 22384 0 obj << /D [13660 0 R /XYZ null 697 null] >> endobj 22385 0 obj << /D [13660 0 R /XYZ null 697 null] >> endobj 22386 0 obj << /D [13660 0 R /XYZ null 514.5 null] >> endobj 22387 0 obj << /D [13688 0 R /XYZ null 697 null] >> endobj 22388 0 obj << /D [13688 0 R /XYZ null 697 null] >> endobj 22389 0 obj << /D [13688 0 R /XYZ null 518.5 null] >> endobj 22390 0 obj << /D [13697 0 R /XYZ null 442.2461 null] >> endobj 22391 0 obj << /D [13697 0 R /XYZ null 404.0461 null] >> endobj 22392 0 obj << /D [13709 0 R /XYZ null 697 null] >> endobj 22393 0 obj << /D [13709 0 R /XYZ null 697 null] >> endobj 22394 0 obj << /D [13709 0 R /XYZ null 514.5 null] >> endobj 22395 0 obj << /D [13732 0 R /XYZ null 697 null] >> endobj 22396 0 obj << /D [13732 0 R /XYZ null 697 null] >> endobj 22397 0 obj << /D [13732 0 R /XYZ null 553.1 null] >> endobj 22398 0 obj << /D [13756 0 R /XYZ null 697 null] >> endobj 22399 0 obj << /D [13756 0 R /XYZ null 697 null] >> endobj 22400 0 obj << /D [13756 0 R /XYZ null 538.8 null] >> endobj 22401 0 obj << /D [13782 0 R /XYZ null 697 null] >> endobj 22402 0 obj << /D [13782 0 R /XYZ null 697 null] >> endobj 22403 0 obj << /D [13782 0 R /XYZ null 553.1 null] >> endobj 22404 0 obj << /D [13792 0 R /XYZ null 697 null] >> endobj 22405 0 obj << /D [13792 0 R /XYZ null 697 null] >> endobj 22406 0 obj << /D [13792 0 R /XYZ null 543.1 null] >> endobj 22407 0 obj << /D [13813 0 R /XYZ null 697 null] >> endobj 22408 0 obj << /D [13813 0 R /XYZ null 697 null] >> endobj 22409 0 obj << /D [13813 0 R /XYZ null 500.6 null] >> endobj 22410 0 obj << /D [13838 0 R /XYZ null 697 null] >> endobj 22411 0 obj << /D [13838 0 R /XYZ null 697 null] >> endobj 22412 0 obj << /D [13838 0 R /XYZ null 500.6 null] >> endobj 22413 0 obj << /D [13857 0 R /XYZ null 697 null] >> endobj 22414 0 obj << /D [13857 0 R /XYZ null 697 null] >> endobj 22415 0 obj << /D [13857 0 R /XYZ null 500.6 null] >> endobj 22416 0 obj << /D [13878 0 R /XYZ null 697 null] >> endobj 22417 0 obj << /D [13878 0 R /XYZ null 697 null] >> endobj 22418 0 obj << /D [13878 0 R /XYZ null 543.1 null] >> endobj 22419 0 obj << /D [13897 0 R /XYZ null 697 null] >> endobj 22420 0 obj << /D [13897 0 R /XYZ null 697 null] >> endobj 22421 0 obj << /D [13897 0 R /XYZ null 553.1 null] >> endobj 22422 0 obj << /D [13919 0 R /XYZ null 697 null] >> endobj 22423 0 obj << /D [13919 0 R /XYZ null 697 null] >> endobj 22424 0 obj << /D [13919 0 R /XYZ null 553.1 null] >> endobj 22425 0 obj << /D [13938 0 R /XYZ null 697 null] >> endobj 22426 0 obj << /D [13938 0 R /XYZ null 697 null] >> endobj 22427 0 obj << /D [13938 0 R /XYZ null 553.1 null] >> endobj 22428 0 obj << /D [13958 0 R /XYZ null 697 null] >> endobj 22429 0 obj << /D [13958 0 R /XYZ null 697 null] >> endobj 22430 0 obj << /D [13958 0 R /XYZ null 553.1 null] >> endobj 22431 0 obj << /D [13977 0 R /XYZ null 697 null] >> endobj 22432 0 obj << /D [13977 0 R /XYZ null 697 null] >> endobj 22433 0 obj << /D [13977 0 R /XYZ null 490.6 null] >> endobj 22434 0 obj << /D [14020 0 R /XYZ null 697 null] >> endobj 22435 0 obj << /D [14020 0 R /XYZ null 697 null] >> endobj 22436 0 obj << /D [14020 0 R /XYZ null 500.6 null] >> endobj 22437 0 obj << /D [14041 0 R /XYZ null 697 null] >> endobj 22438 0 obj << /D [14041 0 R /XYZ null 697 null] >> endobj 22439 0 obj << /D [14041 0 R /XYZ null 553.1 null] >> endobj 22440 0 obj << /D [14060 0 R /XYZ null 697 null] >> endobj 22441 0 obj << /D [14060 0 R /XYZ null 697 null] >> endobj 22442 0 obj << /D [14060 0 R /XYZ null 543.1 null] >> endobj 22443 0 obj << /D [14070 0 R /XYZ null 697 null] >> endobj 22444 0 obj << /D [14070 0 R /XYZ null 697 null] >> endobj 22445 0 obj << /D [14070 0 R /XYZ null 543.1 null] >> endobj 22446 0 obj << /D [14079 0 R /XYZ null 565.6999 null] >> endobj 22447 0 obj << /D [14092 0 R /XYZ null 697 null] >> endobj 22448 0 obj << /D [14092 0 R /XYZ null 697 null] >> endobj 22449 0 obj << /D [14092 0 R /XYZ null 553.1 null] >> endobj 22450 0 obj << /D [14101 0 R /XYZ null 687.8 null] >> endobj 22451 0 obj << /D [14114 0 R /XYZ null 697 null] >> endobj 22452 0 obj << /D [14114 0 R /XYZ null 697 null] >> endobj 22453 0 obj << /D [14114 0 R /XYZ null 538.8 null] >> endobj 22454 0 obj << /D [14114 0 R /XYZ null 394.875 null] >> endobj 22455 0 obj << /D [14114 0 R /XYZ null 265.3547 null] >> endobj 22456 0 obj << /D [14123 0 R /XYZ null 633.8 null] >> endobj 22457 0 obj << /D [14123 0 R /XYZ null 531 null] >> endobj 22458 0 obj << /D [14123 0 R /XYZ null 492.8 null] >> endobj 22459 0 obj << /D [14140 0 R /XYZ null 697 null] >> endobj 22460 0 obj << /D [14140 0 R /XYZ null 697 null] >> endobj 22461 0 obj << /D [14140 0 R /XYZ null 543.1 null] >> endobj 22462 0 obj << /D [14165 0 R /XYZ null 697 null] >> endobj 22463 0 obj << /D [14165 0 R /XYZ null 697 null] >> endobj 22464 0 obj << /D [14165 0 R /XYZ null 553.1 null] >> endobj 22465 0 obj << /D [14189 0 R /XYZ null 697 null] >> endobj 22466 0 obj << /D [14189 0 R /XYZ null 697 null] >> endobj 22467 0 obj << /D [14189 0 R /XYZ null 553.1 null] >> endobj 22468 0 obj << /D [14213 0 R /XYZ null 697 null] >> endobj 22469 0 obj << /D [14213 0 R /XYZ null 697 null] >> endobj 22470 0 obj << /D [14213 0 R /XYZ null 553.1 null] >> endobj 22471 0 obj << /D [14236 0 R /XYZ null 697 null] >> endobj 22472 0 obj << /D [14236 0 R /XYZ null 697 null] >> endobj 22473 0 obj << /D [14236 0 R /XYZ null 553.1 null] >> endobj 22474 0 obj << /D [14259 0 R /XYZ null 697 null] >> endobj 22475 0 obj << /D [14259 0 R /XYZ null 697 null] >> endobj 22476 0 obj << /D [14259 0 R /XYZ null 553.1 null] >> endobj 22477 0 obj << /D [14283 0 R /XYZ null 697 null] >> endobj 22478 0 obj << /D [14283 0 R /XYZ null 697 null] >> endobj 22479 0 obj << /D [14283 0 R /XYZ null 553.1 null] >> endobj 22480 0 obj << /D [14301 0 R /XYZ null 697 null] >> endobj 22481 0 obj << /D [14301 0 R /XYZ null 697 null] >> endobj 22482 0 obj << /D [14301 0 R /XYZ null 553.1 null] >> endobj 22483 0 obj << /D [14329 0 R /XYZ null 697 null] >> endobj 22484 0 obj << /D [14329 0 R /XYZ null 697 null] >> endobj 22485 0 obj << /D [14329 0 R /XYZ null 553.1 null] >> endobj 22486 0 obj << /D [14338 0 R /XYZ null 697 null] >> endobj 22487 0 obj << /D [14338 0 R /XYZ null 697 null] >> endobj 22488 0 obj << /D [14338 0 R /XYZ null 553.1 null] >> endobj 22489 0 obj << /D [14364 0 R /XYZ null 697 null] >> endobj 22490 0 obj << /D [14364 0 R /XYZ null 697 null] >> endobj 22491 0 obj << /D [14364 0 R /XYZ null 553.1 null] >> endobj 22492 0 obj << /D [14392 0 R /XYZ null 697 null] >> endobj 22493 0 obj << /D [14392 0 R /XYZ null 697 null] >> endobj 22494 0 obj << /D [14392 0 R /XYZ null 553.1 null] >> endobj 22495 0 obj << /D [14428 0 R /XYZ null 697 null] >> endobj 22496 0 obj << /D [14428 0 R /XYZ null 697 null] >> endobj 22497 0 obj << /D [14428 0 R /XYZ null 553.1 null] >> endobj 22498 0 obj << /D [14454 0 R /XYZ null 697 null] >> endobj 22499 0 obj << /D [14454 0 R /XYZ null 697 null] >> endobj 22500 0 obj << /D [14454 0 R /XYZ null 553.1 null] >> endobj 22501 0 obj << /D [14476 0 R /XYZ null 697 null] >> endobj 22502 0 obj << /D [14476 0 R /XYZ null 697 null] >> endobj 22503 0 obj << /D [14476 0 R /XYZ null 543.1 null] >> endobj 22504 0 obj << /D [14497 0 R /XYZ null 697 null] >> endobj 22505 0 obj << /D [14497 0 R /XYZ null 697 null] >> endobj 22506 0 obj << /D [14497 0 R /XYZ null 476.3 null] >> endobj 22507 0 obj << /D [14523 0 R /XYZ null 697 null] >> endobj 22508 0 obj << /D [14523 0 R /XYZ null 697 null] >> endobj 22509 0 obj << /D [14523 0 R /XYZ null 456.3 null] >> endobj 22510 0 obj << /D [14532 0 R /XYZ null 697.8 null] >> endobj 22511 0 obj << /D [14532 0 R /XYZ null 436.3693 null] >> endobj 22512 0 obj << /D [14556 0 R /XYZ null 697 null] >> endobj 22513 0 obj << /D [14556 0 R /XYZ null 697 null] >> endobj 22514 0 obj << /D [14556 0 R /XYZ null 500.6 null] >> endobj 22515 0 obj << /D [14575 0 R /XYZ null 697 null] >> endobj 22516 0 obj << /D [14575 0 R /XYZ null 697 null] >> endobj 22517 0 obj << /D [14575 0 R /XYZ null 513.1 null] >> endobj 22518 0 obj << /D [14609 0 R /XYZ null 697 null] >> endobj 22519 0 obj << /D [14609 0 R /XYZ null 697 null] >> endobj 22520 0 obj << /D [14609 0 R /XYZ null 490.6 null] >> endobj 22521 0 obj << /D [14625 0 R /XYZ null 697 null] >> endobj 22522 0 obj << /D [14625 0 R /XYZ null 697 null] >> endobj 22523 0 obj << /D [14625 0 R /XYZ null 523.1 null] >> endobj 22524 0 obj << /D [14668 0 R /XYZ null 697 null] >> endobj 22525 0 obj << /D [14668 0 R /XYZ null 697 null] >> endobj 22526 0 obj << /D [14668 0 R /XYZ null 523.1 null] >> endobj 22527 0 obj << /D [14698 0 R /XYZ null 697 null] >> endobj 22528 0 obj << /D [14698 0 R /XYZ null 697 null] >> endobj 22529 0 obj << /D [14698 0 R /XYZ null 523.1 null] >> endobj 22530 0 obj << /D [14726 0 R /XYZ null 697 null] >> endobj 22531 0 obj << /D [14726 0 R /XYZ null 697 null] >> endobj 22532 0 obj << /D [14726 0 R /XYZ null 480.6 null] >> endobj 22533 0 obj << /D [14753 0 R /XYZ null 697 null] >> endobj 22534 0 obj << /D [14753 0 R /XYZ null 697 null] >> endobj 22535 0 obj << /D [14753 0 R /XYZ null 543.1 null] >> endobj 22536 0 obj << /D [14774 0 R /XYZ null 697 null] >> endobj 22537 0 obj << /D [14774 0 R /XYZ null 697 null] >> endobj 22538 0 obj << /D [14774 0 R /XYZ null 533.1 null] >> endobj 22539 0 obj << /D [14801 0 R /XYZ null 697 null] >> endobj 22540 0 obj << /D [14801 0 R /XYZ null 697 null] >> endobj 22541 0 obj << /D [14801 0 R /XYZ null 500.6 null] >> endobj 22542 0 obj << /D [14820 0 R /XYZ null 697 null] >> endobj 22543 0 obj << /D [14820 0 R /XYZ null 697 null] >> endobj 22544 0 obj << /D [14820 0 R /XYZ null 490.6 null] >> endobj 22545 0 obj << /D [14829 0 R /XYZ null 374.6077 null] >> endobj 22546 0 obj << /D [14829 0 R /XYZ null 336.4077 null] >> endobj 22547 0 obj << /D [14838 0 R /XYZ null 697 null] >> endobj 22548 0 obj << /D [14838 0 R /XYZ null 697 null] >> endobj 22549 0 obj << /D [14838 0 R /XYZ null 543.1 null] >> endobj 22550 0 obj << /D [14864 0 R /XYZ null 697 null] >> endobj 22551 0 obj << /D [14864 0 R /XYZ null 697 null] >> endobj 22552 0 obj << /D [14864 0 R /XYZ null 533.1 null] >> endobj 22553 0 obj << /D [14864 0 R /XYZ null 290.575 null] >> endobj 22554 0 obj << /D [14864 0 R /XYZ null 238.075 null] >> endobj 22555 0 obj << /D [14874 0 R /XYZ null 423.2423 null] >> endobj 22556 0 obj << /D [14874 0 R /XYZ null 234.1423 null] >> endobj 22557 0 obj << /D [14874 0 R /XYZ null 195.9423 null] >> endobj 22558 0 obj << /D [14883 0 R /XYZ null 697 null] >> endobj 22559 0 obj << /D [14883 0 R /XYZ null 697 null] >> endobj 22560 0 obj << /D [14883 0 R /XYZ null 480.6 null] >> endobj 22561 0 obj << /D [14906 0 R /XYZ null 697 null] >> endobj 22562 0 obj << /D [14906 0 R /XYZ null 697 null] >> endobj 22563 0 obj << /D [14906 0 R /XYZ null 533.1 null] >> endobj 22564 0 obj << /D [14932 0 R /XYZ null 697 null] >> endobj 22565 0 obj << /D [14932 0 R /XYZ null 697 null] >> endobj 22566 0 obj << /D [14932 0 R /XYZ null 543.1 null] >> endobj 22567 0 obj << /D [14951 0 R /XYZ null 697 null] >> endobj 22568 0 obj << /D [14951 0 R /XYZ null 697 null] >> endobj 22569 0 obj << /D [14951 0 R /XYZ null 463.1 null] >> endobj 22570 0 obj << /D [14982 0 R /XYZ null 711 null] >> endobj 22571 0 obj << /D [15034 0 R /XYZ null 697 null] >> endobj 22572 0 obj << /D [15034 0 R /XYZ null 697 null] >> endobj 22573 0 obj << /D [15034 0 R /XYZ null 543.1 null] >> endobj 22574 0 obj << /D [15061 0 R /XYZ null 697 null] >> endobj 22575 0 obj << /D [15061 0 R /XYZ null 697 null] >> endobj 22576 0 obj << /D [15061 0 R /XYZ null 533.1 null] >> endobj 22577 0 obj << /D [15110 0 R /XYZ null 697 null] >> endobj 22578 0 obj << /D [15110 0 R /XYZ null 697 null] >> endobj 22579 0 obj << /D [15110 0 R /XYZ null 553.1 null] >> endobj 22580 0 obj << /D [15143 0 R /XYZ null 697 null] >> endobj 22581 0 obj << /D [15143 0 R /XYZ null 697 null] >> endobj 22582 0 obj << /D [15143 0 R /XYZ null 543.1 null] >> endobj 22583 0 obj << /D [15159 0 R /XYZ null 697 null] >> endobj 22584 0 obj << /D [15159 0 R /XYZ null 697 null] >> endobj 22585 0 obj << /D [15159 0 R /XYZ null 493.1 null] >> endobj 22586 0 obj << /D [15201 0 R /XYZ null 697 null] >> endobj 22587 0 obj << /D [15201 0 R /XYZ null 697 null] >> endobj 22588 0 obj << /D [15201 0 R /XYZ null 500.6 null] >> endobj 22589 0 obj << /D [15227 0 R /XYZ null 697 null] >> endobj 22590 0 obj << /D [15227 0 R /XYZ null 697 null] >> endobj 22591 0 obj << /D [15227 0 R /XYZ null 460.6 null] >> endobj 22592 0 obj << /D [15260 0 R /XYZ null 697 null] >> endobj 22593 0 obj << /D [15260 0 R /XYZ null 697 null] >> endobj 22594 0 obj << /D [15260 0 R /XYZ null 543.1 null] >> endobj 22595 0 obj << /D [15290 0 R /XYZ null 697 null] >> endobj 22596 0 obj << /D [15290 0 R /XYZ null 697 null] >> endobj 22597 0 obj << /D [15290 0 R /XYZ null 528.8 null] >> endobj 22598 0 obj << /D [15300 0 R /XYZ null 283.2116 null] >> endobj 22599 0 obj << /D [15312 0 R /XYZ null 697 null] >> endobj 22600 0 obj << /D [15312 0 R /XYZ null 697 null] >> endobj 22601 0 obj << /D [15312 0 R /XYZ null 543.1 null] >> endobj 22602 0 obj << /D [15336 0 R /XYZ null 697 null] >> endobj 22603 0 obj << /D [15336 0 R /XYZ null 697 null] >> endobj 22604 0 obj << /D [15336 0 R /XYZ null 543.1 null] >> endobj 22605 0 obj << /D [15336 0 R /XYZ null 384.975 null] >> endobj 22606 0 obj << /D [15336 0 R /XYZ null 183.775 null] >> endobj 22607 0 obj << /D [15345 0 R /XYZ null 414.4808 null] >> endobj 22608 0 obj << /D [15345 0 R /XYZ null 271.3808 null] >> endobj 22609 0 obj << /D [15356 0 R /XYZ null 697 null] >> endobj 22610 0 obj << /D [15356 0 R /XYZ null 697 null] >> endobj 22611 0 obj << /D [15356 0 R /XYZ null 532.8 null] >> endobj 22612 0 obj << /D [15366 0 R /XYZ null 246.2885 null] >> endobj 22613 0 obj << /D [15378 0 R /XYZ null 697 null] >> endobj 22614 0 obj << /D [15378 0 R /XYZ null 697 null] >> endobj 22615 0 obj << /D [15378 0 R /XYZ null 500.6 null] >> endobj 22616 0 obj << /D [15399 0 R /XYZ null 697 null] >> endobj 22617 0 obj << /D [15399 0 R /XYZ null 697 null] >> endobj 22618 0 obj << /D [15399 0 R /XYZ null 533.1 null] >> endobj 22619 0 obj << /D [15427 0 R /XYZ null 697 null] >> endobj 22620 0 obj << /D [15427 0 R /XYZ null 697 null] >> endobj 22621 0 obj << /D [15427 0 R /XYZ null 490.6 null] >> endobj 22622 0 obj << /D [15445 0 R /XYZ null 697 null] >> endobj 22623 0 obj << /D [15445 0 R /XYZ null 697 null] >> endobj 22624 0 obj << /D [15445 0 R /XYZ null 490.6 null] >> endobj 22625 0 obj << /D [15454 0 R /XYZ null 432.5039 null] >> endobj 22626 0 obj << /D [15473 0 R /XYZ null 697 null] >> endobj 22627 0 obj << /D [15473 0 R /XYZ null 697 null] >> endobj 22628 0 obj << /D [15473 0 R /XYZ null 523.1 null] >> endobj 22629 0 obj << /D [15511 0 R /XYZ null 697 null] >> endobj 22630 0 obj << /D [15511 0 R /XYZ null 697 null] >> endobj 22631 0 obj << /D [15511 0 R /XYZ null 500.6 null] >> endobj 22632 0 obj << /D [15531 0 R /XYZ null 697 null] >> endobj 22633 0 obj << /D [15531 0 R /XYZ null 697 null] >> endobj 22634 0 obj << /D [15531 0 R /XYZ null 503.1 null] >> endobj 22635 0 obj << /D [15556 0 R /XYZ null 697 null] >> endobj 22636 0 obj << /D [15556 0 R /XYZ null 697 null] >> endobj 22637 0 obj << /D [15556 0 R /XYZ null 490.6 null] >> endobj 22638 0 obj << /D [15578 0 R /XYZ null 697 null] >> endobj 22639 0 obj << /D [15578 0 R /XYZ null 697 null] >> endobj 22640 0 obj << /D [15578 0 R /XYZ null 543.1 null] >> endobj 22641 0 obj << /D [15596 0 R /XYZ null 697 null] >> endobj 22642 0 obj << /D [15596 0 R /XYZ null 697 null] >> endobj 22643 0 obj << /D [15596 0 R /XYZ null 533.1 null] >> endobj 22644 0 obj << /D [15624 0 R /XYZ null 697 null] >> endobj 22645 0 obj << /D [15624 0 R /XYZ null 697 null] >> endobj 22646 0 obj << /D [15624 0 R /XYZ null 523.1 null] >> endobj 22647 0 obj << /D [15659 0 R /XYZ null 697 null] >> endobj 22648 0 obj << /D [15659 0 R /XYZ null 697 null] >> endobj 22649 0 obj << /D [15659 0 R /XYZ null 543.1 null] >> endobj 22650 0 obj << /D [15678 0 R /XYZ null 697 null] >> endobj 22651 0 obj << /D [15678 0 R /XYZ null 697 null] >> endobj 22652 0 obj << /D [15678 0 R /XYZ null 533.1 null] >> endobj 22653 0 obj << /D [15694 0 R /XYZ null 647.5 null] >> endobj 22654 0 obj << /D [15694 0 R /XYZ null 609.3 null] >> endobj 22655 0 obj << /D [15708 0 R /XYZ null 697 null] >> endobj 22656 0 obj << /D [15708 0 R /XYZ null 697 null] >> endobj 22657 0 obj << /D [15708 0 R /XYZ null 490.6 null] >> endobj 22658 0 obj << /D [15747 0 R /XYZ null 697 null] >> endobj 22659 0 obj << /D [15747 0 R /XYZ null 697 null] >> endobj 22660 0 obj << /D [15747 0 R /XYZ null 513.1 null] >> endobj 22661 0 obj << /D [15780 0 R /XYZ null 697 null] >> endobj 22662 0 obj << /D [15780 0 R /XYZ null 697 null] >> endobj 22663 0 obj << /D [15780 0 R /XYZ null 533.1 null] >> endobj 22664 0 obj << /D [15780 0 R /XYZ null 212.775 null] >> endobj 22665 0 obj << /D [15808 0 R /XYZ null 697 null] >> endobj 22666 0 obj << /D [15808 0 R /XYZ null 697 null] >> endobj 22667 0 obj << /D [15808 0 R /XYZ null 523.1 null] >> endobj 22668 0 obj << /D [15820 0 R /XYZ null 455.3154 null] >> endobj 22669 0 obj << /D [15820 0 R /XYZ null 279.6732 null] >> endobj 22670 0 obj << /D [15820 0 R /XYZ null 157.9308 null] >> endobj 22671 0 obj << /D [15827 0 R /XYZ null 109.5269 null] >> endobj 22672 0 obj << /D [15846 0 R /XYZ null 697 null] >> endobj 22673 0 obj << /D [15846 0 R /XYZ null 697 null] >> endobj 22674 0 obj << /D [15846 0 R /XYZ null 480.6 null] >> endobj 22675 0 obj << /D [15846 0 R /XYZ null 145.7787 null] >> endobj 22676 0 obj << /D [15872 0 R /XYZ null 697 null] >> endobj 22677 0 obj << /D [15872 0 R /XYZ null 697 null] >> endobj 22678 0 obj << /D [15872 0 R /XYZ null 523.1 null] >> endobj 22679 0 obj << /D [15881 0 R /XYZ null 153.8424 null] >> endobj 22680 0 obj << /D [15904 0 R /XYZ null 697 null] >> endobj 22681 0 obj << /D [15904 0 R /XYZ null 697 null] >> endobj 22682 0 obj << /D [15904 0 R /XYZ null 500.6 null] >> endobj 22683 0 obj << /D [15923 0 R /XYZ null 697 null] >> endobj 22684 0 obj << /D [15923 0 R /XYZ null 697 null] >> endobj 22685 0 obj << /D [15923 0 R /XYZ null 490.6 null] >> endobj 22686 0 obj << /D [15923 0 R /XYZ null 332.475 null] >> endobj 22687 0 obj << /D [15923 0 R /XYZ null 196.6211 null] >> endobj 22688 0 obj << /D [15932 0 R /XYZ null 457.9769 null] >> endobj 22689 0 obj << /D [15932 0 R /XYZ null 364.5846 null] >> endobj 22690 0 obj << /D [15932 0 R /XYZ null 326.3846 null] >> endobj 22691 0 obj << /D [15943 0 R /XYZ null 697 null] >> endobj 22692 0 obj << /D [15943 0 R /XYZ null 697 null] >> endobj 22693 0 obj << /D [15943 0 R /XYZ null 490.6 null] >> endobj 22694 0 obj << /D [15952 0 R /XYZ null 697 null] >> endobj 22695 0 obj << /D [15952 0 R /XYZ null 697 null] >> endobj 22696 0 obj << /D [15952 0 R /XYZ null 553.1 null] >> endobj 22697 0 obj << /D [15970 0 R /XYZ null 697 null] >> endobj 22698 0 obj << /D [15970 0 R /XYZ null 697 null] >> endobj 22699 0 obj << /D [15970 0 R /XYZ null 490.6 null] >> endobj 22700 0 obj << /D [16001 0 R /XYZ null 697 null] >> endobj 22701 0 obj << /D [16001 0 R /XYZ null 697 null] >> endobj 22702 0 obj << /D [16001 0 R /XYZ null 500.6 null] >> endobj 22703 0 obj << /D [16019 0 R /XYZ null 697 null] >> endobj 22704 0 obj << /D [16019 0 R /XYZ null 697 null] >> endobj 22705 0 obj << /D [16019 0 R /XYZ null 523.1 null] >> endobj 22706 0 obj << /D [16054 0 R /XYZ null 697 null] >> endobj 22707 0 obj << /D [16054 0 R /XYZ null 697 null] >> endobj 22708 0 obj << /D [16054 0 R /XYZ null 480.6 null] >> endobj 22709 0 obj << /D [16092 0 R /XYZ null 697 null] >> endobj 22710 0 obj << /D [16092 0 R /XYZ null 697 null] >> endobj 22711 0 obj << /D [16092 0 R /XYZ null 453.1 null] >> endobj 22712 0 obj << /D [16123 0 R /XYZ null 586.0077 null] >> endobj 22713 0 obj << /D [16163 0 R /XYZ null 697 null] >> endobj 22714 0 obj << /D [16163 0 R /XYZ null 697 null] >> endobj 22715 0 obj << /D [16163 0 R /XYZ null 483.1 null] >> endobj 22716 0 obj << /D [16187 0 R /XYZ null 506.1616 null] >> endobj 22717 0 obj << /D [16221 0 R /XYZ null 697 null] >> endobj 22718 0 obj << /D [16221 0 R /XYZ null 697 null] >> endobj 22719 0 obj << /D [16221 0 R /XYZ null 543.1 null] >> endobj 22720 0 obj << /D [16237 0 R /XYZ null 697 null] >> endobj 22721 0 obj << /D [16237 0 R /XYZ null 697 null] >> endobj 22722 0 obj << /D [16237 0 R /XYZ null 440.6 null] >> endobj 22723 0 obj << /D [16276 0 R /XYZ null 697 null] >> endobj 22724 0 obj << /D [16276 0 R /XYZ null 697 null] >> endobj 22725 0 obj << /D [16276 0 R /XYZ null 500.6 null] >> endobj 22726 0 obj << /D [16297 0 R /XYZ null 697 null] >> endobj 22727 0 obj << /D [16297 0 R /XYZ null 697 null] >> endobj 22728 0 obj << /D [16297 0 R /XYZ null 533.1 null] >> endobj 22729 0 obj << /D [16331 0 R /XYZ null 697 null] >> endobj 22730 0 obj << /D [16331 0 R /XYZ null 697 null] >> endobj 22731 0 obj << /D [16331 0 R /XYZ null 553.1 null] >> endobj 22732 0 obj << /D [16350 0 R /XYZ null 697 null] >> endobj 22733 0 obj << /D [16350 0 R /XYZ null 697 null] >> endobj 22734 0 obj << /D [16350 0 R /XYZ null 553.1 null] >> endobj 22735 0 obj << /D [16372 0 R /XYZ null 697 null] >> endobj 22736 0 obj << /D [16372 0 R /XYZ null 697 null] >> endobj 22737 0 obj << /D [16372 0 R /XYZ null 553.1 null] >> endobj 22738 0 obj << /D [16394 0 R /XYZ null 697 null] >> endobj 22739 0 obj << /D [16394 0 R /XYZ null 697 null] >> endobj 22740 0 obj << /D [16394 0 R /XYZ null 543.1 null] >> endobj 22741 0 obj << /D [16415 0 R /XYZ null 697 null] >> endobj 22742 0 obj << /D [16415 0 R /XYZ null 697 null] >> endobj 22743 0 obj << /D [16415 0 R /XYZ null 553.1 null] >> endobj 22744 0 obj << /D [16415 0 R /XYZ null 437.575 null] >> endobj 22745 0 obj << /D [16415 0 R /XYZ null 250.6751 null] >> endobj 22746 0 obj << /D [16424 0 R /XYZ null 696.4038 null] >> endobj 22747 0 obj << /D [16424 0 R /XYZ null 617.9038 null] >> endobj 22748 0 obj << /D [16435 0 R /XYZ null 697 null] >> endobj 22749 0 obj << /D [16435 0 R /XYZ null 697 null] >> endobj 22750 0 obj << /D [16435 0 R /XYZ null 538.8 null] >> endobj 22751 0 obj << /D [16461 0 R /XYZ null 697 null] >> endobj 22752 0 obj << /D [16461 0 R /XYZ null 697 null] >> endobj 22753 0 obj << /D [16461 0 R /XYZ null 553.1 null] >> endobj 22754 0 obj << /D [16487 0 R /XYZ null 697 null] >> endobj 22755 0 obj << /D [16487 0 R /XYZ null 697 null] >> endobj 22756 0 obj << /D [16487 0 R /XYZ null 553.1 null] >> endobj 22757 0 obj << /D [16508 0 R /XYZ null 697 null] >> endobj 22758 0 obj << /D [16508 0 R /XYZ null 697 null] >> endobj 22759 0 obj << /D [16508 0 R /XYZ null 553.1 null] >> endobj 22760 0 obj << /D [16529 0 R /XYZ null 697 null] >> endobj 22761 0 obj << /D [16529 0 R /XYZ null 697 null] >> endobj 22762 0 obj << /D [16529 0 R /XYZ null 543.1 null] >> endobj 22763 0 obj << /D [16563 0 R /XYZ null 697 null] >> endobj 22764 0 obj << /D [16563 0 R /XYZ null 697 null] >> endobj 22765 0 obj << /D [16563 0 R /XYZ null 500.6 null] >> endobj 22766 0 obj << /D [16581 0 R /XYZ null 697 null] >> endobj 22767 0 obj << /D [16581 0 R /XYZ null 697 null] >> endobj 22768 0 obj << /D [16581 0 R /XYZ null 513.1 null] >> endobj 22769 0 obj << /D [16609 0 R /XYZ null 697 null] >> endobj 22770 0 obj << /D [16609 0 R /XYZ null 697 null] >> endobj 22771 0 obj << /D [16609 0 R /XYZ null 500.6 null] >> endobj 22772 0 obj << /D [16630 0 R /XYZ null 697 null] >> endobj 22773 0 obj << /D [16630 0 R /XYZ null 697 null] >> endobj 22774 0 obj << /D [16630 0 R /XYZ null 543.1 null] >> endobj 22775 0 obj << /D [16649 0 R /XYZ null 697 null] >> endobj 22776 0 obj << /D [16649 0 R /XYZ null 697 null] >> endobj 22777 0 obj << /D [16649 0 R /XYZ null 500.6 null] >> endobj 22778 0 obj << /D [16674 0 R /XYZ null 697 null] >> endobj 22779 0 obj << /D [16674 0 R /XYZ null 697 null] >> endobj 22780 0 obj << /D [16674 0 R /XYZ null 553.1 null] >> endobj 22781 0 obj << /D [16683 0 R /XYZ null 697 null] >> endobj 22782 0 obj << /D [16683 0 R /XYZ null 697 null] >> endobj 22783 0 obj << /D [16683 0 R /XYZ null 553.1 null] >> endobj 22784 0 obj << /D [16701 0 R /XYZ null 697 null] >> endobj 22785 0 obj << /D [16701 0 R /XYZ null 697 null] >> endobj 22786 0 obj << /D [16701 0 R /XYZ null 553.1 null] >> endobj 22787 0 obj << /D [16719 0 R /XYZ null 697 null] >> endobj 22788 0 obj << /D [16719 0 R /XYZ null 697 null] >> endobj 22789 0 obj << /D [16719 0 R /XYZ null 543.1 null] >> endobj 22790 0 obj << /D [16748 0 R /XYZ null 697 null] >> endobj 22791 0 obj << /D [16748 0 R /XYZ null 697 null] >> endobj 22792 0 obj << /D [16748 0 R /XYZ null 553.1 null] >> endobj 22793 0 obj << /D [16767 0 R /XYZ null 697 null] >> endobj 22794 0 obj << /D [16767 0 R /XYZ null 697 null] >> endobj 22795 0 obj << /D [16767 0 R /XYZ null 553.1 null] >> endobj 22796 0 obj << /D [16788 0 R /XYZ null 697 null] >> endobj 22797 0 obj << /D [16788 0 R /XYZ null 697 null] >> endobj 22798 0 obj << /D [16788 0 R /XYZ null 543.1 null] >> endobj 22799 0 obj << /D [16818 0 R /XYZ null 697 null] >> endobj 22800 0 obj << /D [16818 0 R /XYZ null 697 null] >> endobj 22801 0 obj << /D [16818 0 R /XYZ null 543.1 null] >> endobj 22802 0 obj << /D [16845 0 R /XYZ null 697 null] >> endobj 22803 0 obj << /D [16845 0 R /XYZ null 697 null] >> endobj 22804 0 obj << /D [16845 0 R /XYZ null 543.1 null] >> endobj 22805 0 obj << /D [16880 0 R /XYZ null 697 null] >> endobj 22806 0 obj << /D [16880 0 R /XYZ null 697 null] >> endobj 22807 0 obj << /D [16880 0 R /XYZ null 543.1 null] >> endobj 22808 0 obj << /D [16908 0 R /XYZ null 697 null] >> endobj 22809 0 obj << /D [16908 0 R /XYZ null 697 null] >> endobj 22810 0 obj << /D [16908 0 R /XYZ null 486.3 null] >> endobj 22811 0 obj << /D [16928 0 R /XYZ null 697 null] >> endobj 22812 0 obj << /D [16928 0 R /XYZ null 697 null] >> endobj 22813 0 obj << /D [16928 0 R /XYZ null 553.1 null] >> endobj 22814 0 obj << /D [16928 0 R /XYZ null 437.575 null] >> endobj 22815 0 obj << /D [16928 0 R /XYZ null 345.8827 null] >> endobj 22816 0 obj << /D [16928 0 R /XYZ null 152.4211 null] >> endobj 22817 0 obj << /D [16937 0 R /XYZ null 711 null] >> endobj 22818 0 obj << /D [16937 0 R /XYZ null 687.8 null] >> endobj 22819 0 obj << /D [16955 0 R /XYZ null 697 null] >> endobj 22820 0 obj << /D [16955 0 R /XYZ null 697 null] >> endobj 22821 0 obj << /D [16955 0 R /XYZ null 513.1 null] >> endobj 22822 0 obj << /D [17010 0 R /XYZ null 697 null] >> endobj 22823 0 obj << /D [17010 0 R /XYZ null 697 null] >> endobj 22824 0 obj << /D [17010 0 R /XYZ null 553.1 null] >> endobj 22825 0 obj << /D [17032 0 R /XYZ null 697 null] >> endobj 22826 0 obj << /D [17032 0 R /XYZ null 697 null] >> endobj 22827 0 obj << /D [17032 0 R /XYZ null 553.1 null] >> endobj 22828 0 obj << /D [17055 0 R /XYZ null 697 null] >> endobj 22829 0 obj << /D [17055 0 R /XYZ null 697 null] >> endobj 22830 0 obj << /D [17055 0 R /XYZ null 553.1 null] >> endobj 22831 0 obj << /D [17065 0 R /XYZ null 554.7346 null] >> endobj 22832 0 obj << /D [17065 0 R /XYZ null 516.5346 null] >> endobj 22833 0 obj << /D [17083 0 R /XYZ null 697 null] >> endobj 22834 0 obj << /D [17083 0 R /XYZ null 697 null] >> endobj 22835 0 obj << /D [17083 0 R /XYZ null 543.1 null] >> endobj 22836 0 obj << /D [17142 0 R /XYZ null 697 null] >> endobj 22837 0 obj << /D [17142 0 R /XYZ null 697 null] >> endobj 22838 0 obj << /D [17142 0 R /XYZ null 553.1 null] >> endobj 22839 0 obj << /D [17166 0 R /XYZ null 697 null] >> endobj 22840 0 obj << /D [17166 0 R /XYZ null 697 null] >> endobj 22841 0 obj << /D [17166 0 R /XYZ null 553.1 null] >> endobj 22842 0 obj << /D [17190 0 R /XYZ null 697 null] >> endobj 22843 0 obj << /D [17190 0 R /XYZ null 697 null] >> endobj 22844 0 obj << /D [17190 0 R /XYZ null 500.6 null] >> endobj 22845 0 obj << /D [17221 0 R /XYZ null 697 null] >> endobj 22846 0 obj << /D [17221 0 R /XYZ null 697 null] >> endobj 22847 0 obj << /D [17221 0 R /XYZ null 543.1 null] >> endobj 22848 0 obj << /D [17252 0 R /XYZ null 697 null] >> endobj 22849 0 obj << /D [17252 0 R /XYZ null 697 null] >> endobj 22850 0 obj << /D [17252 0 R /XYZ null 500.6 null] >> endobj 22851 0 obj << /D [17274 0 R /XYZ null 697 null] >> endobj 22852 0 obj << /D [17274 0 R /XYZ null 697 null] >> endobj 22853 0 obj << /D [17274 0 R /XYZ null 476.3 null] >> endobj 22854 0 obj << /D [17297 0 R /XYZ null 697 null] >> endobj 22855 0 obj << /D [17297 0 R /XYZ null 697 null] >> endobj 22856 0 obj << /D [17297 0 R /XYZ null 553.1 null] >> endobj 22857 0 obj << /D [17315 0 R /XYZ null 697 null] >> endobj 22858 0 obj << /D [17315 0 R /XYZ null 697 null] >> endobj 22859 0 obj << /D [17315 0 R /XYZ null 543.1 null] >> endobj 22860 0 obj << /D [17333 0 R /XYZ null 697 null] >> endobj 22861 0 obj << /D [17333 0 R /XYZ null 697 null] >> endobj 22862 0 obj << /D [17333 0 R /XYZ null 490.6 null] >> endobj 22863 0 obj << /D [17360 0 R /XYZ null 697 null] >> endobj 22864 0 obj << /D [17360 0 R /XYZ null 697 null] >> endobj 22865 0 obj << /D [17360 0 R /XYZ null 500.6 null] >> endobj 22866 0 obj << /D [17381 0 R /XYZ null 697 null] >> endobj 22867 0 obj << /D [17381 0 R /XYZ null 697 null] >> endobj 22868 0 obj << /D [17381 0 R /XYZ null 490.6 null] >> endobj 22869 0 obj << /D [17419 0 R /XYZ null 697 null] >> endobj 22870 0 obj << /D [17419 0 R /XYZ null 697 null] >> endobj 22871 0 obj << /D [17419 0 R /XYZ null 490.6 null] >> endobj 22872 0 obj << /D [17456 0 R /XYZ null 697 null] >> endobj 22873 0 obj << /D [17456 0 R /XYZ null 697 null] >> endobj 22874 0 obj << /D [17456 0 R /XYZ null 553.1 null] >> endobj 22875 0 obj << /D [17480 0 R /XYZ null 697 null] >> endobj 22876 0 obj << /D [17480 0 R /XYZ null 697 null] >> endobj 22877 0 obj << /D [17480 0 R /XYZ null 553.1 null] >> endobj 22878 0 obj << /D [17500 0 R /XYZ null 697 null] >> endobj 22879 0 obj << /D [17500 0 R /XYZ null 697 null] >> endobj 22880 0 obj << /D [17500 0 R /XYZ null 523.1 null] >> endobj 22881 0 obj << /D [17536 0 R /XYZ null 697 null] >> endobj 22882 0 obj << /D [17536 0 R /XYZ null 697 null] >> endobj 22883 0 obj << /D [17536 0 R /XYZ null 553.1 null] >> endobj 22884 0 obj << /D [17556 0 R /XYZ null 697 null] >> endobj 22885 0 obj << /D [17556 0 R /XYZ null 697 null] >> endobj 22886 0 obj << /D [17556 0 R /XYZ null 533.1 null] >> endobj 22887 0 obj << /D [17583 0 R /XYZ null 697 null] >> endobj 22888 0 obj << /D [17583 0 R /XYZ null 697 null] >> endobj 22889 0 obj << /D [17583 0 R /XYZ null 543.1 null] >> endobj 22890 0 obj << /D [17609 0 R /XYZ null 697 null] >> endobj 22891 0 obj << /D [17609 0 R /XYZ null 697 null] >> endobj 22892 0 obj << /D [17609 0 R /XYZ null 543.1 null] >> endobj 22893 0 obj << /D [17629 0 R /XYZ null 697 null] >> endobj 22894 0 obj << /D [17629 0 R /XYZ null 697 null] >> endobj 22895 0 obj << /D [17629 0 R /XYZ null 533.1 null] >> endobj 22896 0 obj << /D [17659 0 R /XYZ null 697 null] >> endobj 22897 0 obj << /D [17659 0 R /XYZ null 697 null] >> endobj 22898 0 obj << /D [17659 0 R /XYZ null 533.1 null] >> endobj 22899 0 obj << /D [17687 0 R /XYZ null 697 null] >> endobj 22900 0 obj << /D [17687 0 R /XYZ null 697 null] >> endobj 22901 0 obj << /D [17687 0 R /XYZ null 553.1 null] >> endobj 22902 0 obj << /D [17705 0 R /XYZ null 697 null] >> endobj 22903 0 obj << /D [17705 0 R /XYZ null 697 null] >> endobj 22904 0 obj << /D [17705 0 R /XYZ null 553.1 null] >> endobj 22905 0 obj << /D [17727 0 R /XYZ null 697 null] >> endobj 22906 0 obj << /D [17727 0 R /XYZ null 697 null] >> endobj 22907 0 obj << /D [17727 0 R /XYZ null 543.1 null] >> endobj 22908 0 obj << /D [17748 0 R /XYZ null 697 null] >> endobj 22909 0 obj << /D [17748 0 R /XYZ null 697 null] >> endobj 22910 0 obj << /D [17748 0 R /XYZ null 523.1 null] >> endobj 22911 0 obj << /D [17777 0 R /XYZ null 697 null] >> endobj 22912 0 obj << /D [17777 0 R /XYZ null 697 null] >> endobj 22913 0 obj << /D [17777 0 R /XYZ null 553.1 null] >> endobj 22914 0 obj << /D [17796 0 R /XYZ null 697 null] >> endobj 22915 0 obj << /D [17796 0 R /XYZ null 697 null] >> endobj 22916 0 obj << /D [17796 0 R /XYZ null 553.1 null] >> endobj 22917 0 obj << /D [17829 0 R /XYZ null 697 null] >> endobj 22918 0 obj << /D [17829 0 R /XYZ null 697 null] >> endobj 22919 0 obj << /D [17829 0 R /XYZ null 533.1 null] >> endobj 22920 0 obj << /D [17869 0 R /XYZ null 697 null] >> endobj 22921 0 obj << /D [17869 0 R /XYZ null 697 null] >> endobj 22922 0 obj << /D [17869 0 R /XYZ null 500.6 null] >> endobj 22923 0 obj << /D [17903 0 R /XYZ null 697 null] >> endobj 22924 0 obj << /D [17903 0 R /XYZ null 697 null] >> endobj 22925 0 obj << /D [17903 0 R /XYZ null 543.1 null] >> endobj 22926 0 obj << /D [17924 0 R /XYZ null 697 null] >> endobj 22927 0 obj << /D [17924 0 R /XYZ null 697 null] >> endobj 22928 0 obj << /D [17924 0 R /XYZ null 553.1 null] >> endobj 22929 0 obj << /D [17948 0 R /XYZ null 697 null] >> endobj 22930 0 obj << /D [17948 0 R /XYZ null 697 null] >> endobj 22931 0 obj << /D [17948 0 R /XYZ null 553.1 null] >> endobj 22932 0 obj << /D [17972 0 R /XYZ null 697 null] >> endobj 22933 0 obj << /D [17972 0 R /XYZ null 697 null] >> endobj 22934 0 obj << /D [17972 0 R /XYZ null 553.1 null] >> endobj 22935 0 obj << /D [17992 0 R /XYZ null 697 null] >> endobj 22936 0 obj << /D [17992 0 R /XYZ null 697 null] >> endobj 22937 0 obj << /D [17992 0 R /XYZ null 553.1 null] >> endobj 22938 0 obj << /D [18015 0 R /XYZ null 697 null] >> endobj 22939 0 obj << /D [18015 0 R /XYZ null 697 null] >> endobj 22940 0 obj << /D [18015 0 R /XYZ null 523.1 null] >> endobj 22941 0 obj << /D [18055 0 R /XYZ null 697 null] >> endobj 22942 0 obj << /D [18055 0 R /XYZ null 697 null] >> endobj 22943 0 obj << /D [18055 0 R /XYZ null 553.1 null] >> endobj 22944 0 obj << /D [18076 0 R /XYZ null 697 null] >> endobj 22945 0 obj << /D [18076 0 R /XYZ null 697 null] >> endobj 22946 0 obj << /D [18076 0 R /XYZ null 523.1 null] >> endobj 22947 0 obj << /D [18106 0 R /XYZ null 697 null] >> endobj 22948 0 obj << /D [18106 0 R /XYZ null 697 null] >> endobj 22949 0 obj << /D [18106 0 R /XYZ null 518.8 null] >> endobj 22950 0 obj << /D [18157 0 R /XYZ null 697 null] >> endobj 22951 0 obj << /D [18157 0 R /XYZ null 697 null] >> endobj 22952 0 obj << /D [18157 0 R /XYZ null 513.1 null] >> endobj 22953 0 obj << /D [18200 0 R /XYZ null 697 null] >> endobj 22954 0 obj << /D [18200 0 R /XYZ null 697 null] >> endobj 22955 0 obj << /D [18200 0 R /XYZ null 503.1 null] >> endobj 22956 0 obj << /D [18236 0 R /XYZ null 697 null] >> endobj 22957 0 obj << /D [18236 0 R /XYZ null 697 null] >> endobj 22958 0 obj << /D [18236 0 R /XYZ null 533.1 null] >> endobj 22959 0 obj << /D [18253 0 R /XYZ null 341.2347 null] >> endobj 22960 0 obj << /D [18253 0 R /XYZ null 303.0347 null] >> endobj 22961 0 obj << /D [18266 0 R /XYZ null 697 null] >> endobj 22962 0 obj << /D [18266 0 R /XYZ null 697 null] >> endobj 22963 0 obj << /D [18266 0 R /XYZ null 553.1 null] >> endobj 22964 0 obj << /D [18287 0 R /XYZ null 697 null] >> endobj 22965 0 obj << /D [18287 0 R /XYZ null 697 null] >> endobj 22966 0 obj << /D [18287 0 R /XYZ null 500.6 null] >> endobj 22967 0 obj << /D [18316 0 R /XYZ null 697 null] >> endobj 22968 0 obj << /D [18316 0 R /XYZ null 697 null] >> endobj 22969 0 obj << /D [18316 0 R /XYZ null 553.1 null] >> endobj 22970 0 obj << /D [18340 0 R /XYZ null 697 null] >> endobj 22971 0 obj << /D [18340 0 R /XYZ null 697 null] >> endobj 22972 0 obj << /D [18340 0 R /XYZ null 543.1 null] >> endobj 22973 0 obj << /D [18368 0 R /XYZ null 697 null] >> endobj 22974 0 obj << /D [18368 0 R /XYZ null 697 null] >> endobj 22975 0 obj << /D [18368 0 R /XYZ null 553.1 null] >> endobj 22976 0 obj << /D [18388 0 R /XYZ null 697 null] >> endobj 22977 0 obj << /D [18388 0 R /XYZ null 697 null] >> endobj 22978 0 obj << /D [18388 0 R /XYZ null 553.1 null] >> endobj 22979 0 obj << /D [18432 0 R /XYZ null 697 null] >> endobj 22980 0 obj << /D [18432 0 R /XYZ null 697 null] >> endobj 22981 0 obj << /D [18432 0 R /XYZ null 500.6 null] >> endobj 22982 0 obj << /D [18454 0 R /XYZ null 697 null] >> endobj 22983 0 obj << /D [18454 0 R /XYZ null 697 null] >> endobj 22984 0 obj << /D [18454 0 R /XYZ null 523.1 null] >> endobj 22985 0 obj << /D [18500 0 R /XYZ null 697 null] >> endobj 22986 0 obj << /D [18500 0 R /XYZ null 697 null] >> endobj 22987 0 obj << /D [18500 0 R /XYZ null 500.6 null] >> endobj 22988 0 obj << /D [18528 0 R /XYZ null 697 null] >> endobj 22989 0 obj << /D [18528 0 R /XYZ null 697 null] >> endobj 22990 0 obj << /D [18528 0 R /XYZ null 533.1 null] >> endobj 22991 0 obj << /D [18548 0 R /XYZ null 697 null] >> endobj 22992 0 obj << /D [18548 0 R /XYZ null 697 null] >> endobj 22993 0 obj << /D [18548 0 R /XYZ null 553.1 null] >> endobj 22994 0 obj << /D [18576 0 R /XYZ null 697 null] >> endobj 22995 0 obj << /D [18576 0 R /XYZ null 697 null] >> endobj 22996 0 obj << /D [18576 0 R /XYZ null 466.3 null] >> endobj 22997 0 obj << /D [18596 0 R /XYZ null 697 null] >> endobj 22998 0 obj << /D [18596 0 R /XYZ null 697 null] >> endobj 22999 0 obj << /D [18596 0 R /XYZ null 528.8 null] >> endobj 23000 0 obj << /D [18605 0 R /XYZ null 280.55 null] >> endobj 23001 0 obj << /D [18619 0 R /XYZ null 697 null] >> endobj 23002 0 obj << /D [18619 0 R /XYZ null 697 null] >> endobj 23003 0 obj << /D [18619 0 R /XYZ null 553.1 null] >> endobj 23004 0 obj << /D [18642 0 R /XYZ null 697 null] >> endobj 23005 0 obj << /D [18642 0 R /XYZ null 697 null] >> endobj 23006 0 obj << /D [18642 0 R /XYZ null 543.1 null] >> endobj 23007 0 obj << /D [18672 0 R /XYZ null 697 null] >> endobj 23008 0 obj << /D [18672 0 R /XYZ null 697 null] >> endobj 23009 0 obj << /D [18672 0 R /XYZ null 553.1 null] >> endobj 23010 0 obj << /D [18692 0 R /XYZ null 697 null] >> endobj 23011 0 obj << /D [18692 0 R /XYZ null 697 null] >> endobj 23012 0 obj << /D [18692 0 R /XYZ null 553.1 null] >> endobj 23013 0 obj << /D [18702 0 R /XYZ null 697 null] >> endobj 23014 0 obj << /D [18702 0 R /XYZ null 697 null] >> endobj 23015 0 obj << /D [18702 0 R /XYZ null 538.8 null] >> endobj 23016 0 obj << /D [18722 0 R /XYZ null 697 null] >> endobj 23017 0 obj << /D [18722 0 R /XYZ null 697 null] >> endobj 23018 0 obj << /D [18722 0 R /XYZ null 500.6 null] >> endobj 23019 0 obj << /D [18742 0 R /XYZ null 697 null] >> endobj 23020 0 obj << /D [18742 0 R /XYZ null 697 null] >> endobj 23021 0 obj << /D [18742 0 R /XYZ null 553.1 null] >> endobj 23022 0 obj << /D [18761 0 R /XYZ null 697 null] >> endobj 23023 0 obj << /D [18761 0 R /XYZ null 697 null] >> endobj 23024 0 obj << /D [18761 0 R /XYZ null 500.6 null] >> endobj 23025 0 obj << /D [18783 0 R /XYZ null 697 null] >> endobj 23026 0 obj << /D [18783 0 R /XYZ null 697 null] >> endobj 23027 0 obj << /D [18783 0 R /XYZ null 553.1 null] >> endobj 23028 0 obj << /D [18793 0 R /XYZ null 697 null] >> endobj 23029 0 obj << /D [18793 0 R /XYZ null 697 null] >> endobj 23030 0 obj << /D [18793 0 R /XYZ null 553.1 null] >> endobj 23031 0 obj << /D [18793 0 R /XYZ null 437.575 null] >> endobj 23032 0 obj << /D [18793 0 R /XYZ null 345.8827 null] >> endobj 23033 0 obj << /D [18793 0 R /XYZ null 152.4211 null] >> endobj 23034 0 obj << /D [18802 0 R /XYZ null 711 null] >> endobj 23035 0 obj << /D [18802 0 R /XYZ null 687.8 null] >> endobj 23036 0 obj << /D [18819 0 R /XYZ null 697 null] >> endobj 23037 0 obj << /D [18819 0 R /XYZ null 697 null] >> endobj 23038 0 obj << /D [18819 0 R /XYZ null 553.1 null] >> endobj 23039 0 obj << /D [18843 0 R /XYZ null 697 null] >> endobj 23040 0 obj << /D [18843 0 R /XYZ null 697 null] >> endobj 23041 0 obj << /D [18843 0 R /XYZ null 553.1 null] >> endobj 23042 0 obj << /D [18867 0 R /XYZ null 697 null] >> endobj 23043 0 obj << /D [18867 0 R /XYZ null 697 null] >> endobj 23044 0 obj << /D [18867 0 R /XYZ null 538.8 null] >> endobj 23045 0 obj << /D [18887 0 R /XYZ null 697 null] >> endobj 23046 0 obj << /D [18887 0 R /XYZ null 697 null] >> endobj 23047 0 obj << /D [18887 0 R /XYZ null 553.1 null] >> endobj 23048 0 obj << /D [18907 0 R /XYZ null 697 null] >> endobj 23049 0 obj << /D [18907 0 R /XYZ null 697 null] >> endobj 23050 0 obj << /D [18907 0 R /XYZ null 380.6 null] >> endobj 23051 0 obj << /D [18981 0 R /XYZ null 697 null] >> endobj 23052 0 obj << /D [18981 0 R /XYZ null 697 null] >> endobj 23053 0 obj << /D [18981 0 R /XYZ null 553.1 null] >> endobj 23054 0 obj << /D [19006 0 R /XYZ null 697 null] >> endobj 23055 0 obj << /D [19006 0 R /XYZ null 697 null] >> endobj 23056 0 obj << /D [19006 0 R /XYZ null 553.1 null] >> endobj 23057 0 obj << /D [19027 0 R /XYZ null 697 null] >> endobj 23058 0 obj << /D [19027 0 R /XYZ null 697 null] >> endobj 23059 0 obj << /D [19027 0 R /XYZ null 500.6 null] >> endobj 23060 0 obj << /D [19047 0 R /XYZ null 697 null] >> endobj 23061 0 obj << /D [19047 0 R /XYZ null 697 null] >> endobj 23062 0 obj << /D [19047 0 R /XYZ null 472 null] >> endobj 23063 0 obj << /D [19068 0 R /XYZ null 697 null] >> endobj 23064 0 obj << /D [19068 0 R /XYZ null 697 null] >> endobj 23065 0 obj << /D [19068 0 R /XYZ null 543.1 null] >> endobj 23066 0 obj << /D [19087 0 R /XYZ null 697 null] >> endobj 23067 0 obj << /D [19087 0 R /XYZ null 697 null] >> endobj 23068 0 obj << /D [19087 0 R /XYZ null 553.1 null] >> endobj 23069 0 obj << /D [19106 0 R /XYZ null 697 null] >> endobj 23070 0 obj << /D [19106 0 R /XYZ null 697 null] >> endobj 23071 0 obj << /D [19106 0 R /XYZ null 553.1 null] >> endobj 23072 0 obj << /D [19126 0 R /XYZ null 697 null] >> endobj 23073 0 obj << /D [19126 0 R /XYZ null 697 null] >> endobj 23074 0 obj << /D [19126 0 R /XYZ null 500.6 null] >> endobj 23075 0 obj << /D [19144 0 R /XYZ null 697 null] >> endobj 23076 0 obj << /D [19144 0 R /XYZ null 697 null] >> endobj 23077 0 obj << /D [19144 0 R /XYZ null 553.1 null] >> endobj 23078 0 obj << /D [19163 0 R /XYZ null 697 null] >> endobj 23079 0 obj << /D [19163 0 R /XYZ null 697 null] >> endobj 23080 0 obj << /D [19163 0 R /XYZ null 462 null] >> endobj 23081 0 obj << /D [19172 0 R /XYZ null 697 null] >> endobj 23082 0 obj << /D [19172 0 R /XYZ null 697 null] >> endobj 23083 0 obj << /D [19172 0 R /XYZ null 553.1 null] >> endobj 23084 0 obj << /D [19191 0 R /XYZ null 697 null] >> endobj 23085 0 obj << /D [19191 0 R /XYZ null 697 null] >> endobj 23086 0 obj << /D [19191 0 R /XYZ null 553.1 null] >> endobj 23087 0 obj << /D [19210 0 R /XYZ null 697 null] >> endobj 23088 0 obj << /D [19210 0 R /XYZ null 697 null] >> endobj 23089 0 obj << /D [19210 0 R /XYZ null 533.1 null] >> endobj 23090 0 obj << /D [19236 0 R /XYZ null 697 null] >> endobj 23091 0 obj << /D [19236 0 R /XYZ null 697 null] >> endobj 23092 0 obj << /D [19236 0 R /XYZ null 490.6 null] >> endobj 23093 0 obj << /D [19254 0 R /XYZ null 697 null] >> endobj 23094 0 obj << /D [19254 0 R /XYZ null 697 null] >> endobj 23095 0 obj << /D [19254 0 R /XYZ null 543.1 null] >> endobj 23096 0 obj << /D [19263 0 R /XYZ null 697 null] >> endobj 23097 0 obj << /D [19263 0 R /XYZ null 697 null] >> endobj 23098 0 obj << /D [19263 0 R /XYZ null 490.6 null] >> endobj 23099 0 obj << /D [19272 0 R /XYZ null 697 null] >> endobj 23100 0 obj << /D [19272 0 R /XYZ null 697 null] >> endobj 23101 0 obj << /D [19272 0 R /XYZ null 456.3 null] >> endobj 23102 0 obj << /D [19309 0 R /XYZ null 697 null] >> endobj 23103 0 obj << /D [19309 0 R /XYZ null 697 null] >> endobj 23104 0 obj << /D [19309 0 R /XYZ null 553.1 null] >> endobj 23105 0 obj << /D [19340 0 R /XYZ null 697 null] >> endobj 23106 0 obj << /D [19340 0 R /XYZ null 697 null] >> endobj 23107 0 obj << /D [19340 0 R /XYZ null 500.6 null] >> endobj 23108 0 obj << /D [19359 0 R /XYZ null 697 null] >> endobj 23109 0 obj << /D [19359 0 R /XYZ null 697 null] >> endobj 23110 0 obj << /D [19359 0 R /XYZ null 553.1 null] >> endobj 23111 0 obj << /D [19368 0 R /XYZ null 697 null] >> endobj 23112 0 obj << /D [19368 0 R /XYZ null 697 null] >> endobj 23113 0 obj << /D [19368 0 R /XYZ null 553.1 null] >> endobj 23114 0 obj << /D [19387 0 R /XYZ null 697 null] >> endobj 23115 0 obj << /D [19387 0 R /XYZ null 697 null] >> endobj 23116 0 obj << /D [19387 0 R /XYZ null 553.1 null] >> endobj 23117 0 obj << /D [19407 0 R /XYZ null 697 null] >> endobj 23118 0 obj << /D [19407 0 R /XYZ null 697 null] >> endobj 23119 0 obj << /D [19407 0 R /XYZ null 500.6 null] >> endobj 23120 0 obj << /D [19426 0 R /XYZ null 697 null] >> endobj 23121 0 obj << /D [19426 0 R /XYZ null 697 null] >> endobj 23122 0 obj << /D [19426 0 R /XYZ null 490.6 null] >> endobj 23123 0 obj << /D [19455 0 R /XYZ null 697 null] >> endobj 23124 0 obj << /D [19455 0 R /XYZ null 697 null] >> endobj 23125 0 obj << /D [19455 0 R /XYZ null 553.1 null] >> endobj 23126 0 obj << /D [19464 0 R /XYZ null 697 null] >> endobj 23127 0 obj << /D [19464 0 R /XYZ null 697 null] >> endobj 23128 0 obj << /D [19464 0 R /XYZ null 500.6 null] >> endobj 23129 0 obj << /D [19499 0 R /XYZ null 697 null] >> endobj 23130 0 obj << /D [19499 0 R /XYZ null 697 null] >> endobj 23131 0 obj << /D [19499 0 R /XYZ null 490.6 null] >> endobj 23132 0 obj << /D [19519 0 R /XYZ null 697 null] >> endobj 23133 0 obj << /D [19519 0 R /XYZ null 697 null] >> endobj 23134 0 obj << /D [19519 0 R /XYZ null 404.8 null] >> endobj 23135 0 obj << /D [19530 0 R /XYZ null 697 null] >> endobj 23136 0 obj << /D [19530 0 R /XYZ null 697 null] >> endobj 23137 0 obj << /D [19530 0 R /XYZ null 543.1 null] >> endobj 23138 0 obj << /D [19550 0 R /XYZ null 697 null] >> endobj 23139 0 obj << /D [19550 0 R /XYZ null 697 null] >> endobj 23140 0 obj << /D [19550 0 R /XYZ null 500.6 null] >> endobj 23141 0 obj << /D [19570 0 R /XYZ null 697 null] >> endobj 23142 0 obj << /D [19570 0 R /XYZ null 697 null] >> endobj 23143 0 obj << /D [19570 0 R /XYZ null 538.8 null] >> endobj 23144 0 obj << /D [19592 0 R /XYZ null 697 null] >> endobj 23145 0 obj << /D [19592 0 R /XYZ null 697 null] >> endobj 23146 0 obj << /D [19592 0 R /XYZ null 490.6 null] >> endobj 23147 0 obj << /D [19601 0 R /XYZ null 269.9308 null] >> endobj 23148 0 obj << /D [19620 0 R /XYZ null 697 null] >> endobj 23149 0 obj << /D [19620 0 R /XYZ null 697 null] >> endobj 23150 0 obj << /D [19620 0 R /XYZ null 500.6 null] >> endobj 23151 0 obj << /D [19636 0 R /XYZ null 697 null] >> endobj 23152 0 obj << /D [19636 0 R /XYZ null 697 null] >> endobj 23153 0 obj << /D [19636 0 R /XYZ null 553.1 null] >> endobj 23154 0 obj << /D [19661 0 R /XYZ null 697 null] >> endobj 23155 0 obj << /D [19661 0 R /XYZ null 697 null] >> endobj 23156 0 obj << /D [19661 0 R /XYZ null 553.1 null] >> endobj 23157 0 obj << /D [19685 0 R /XYZ null 697 null] >> endobj 23158 0 obj << /D [19685 0 R /XYZ null 697 null] >> endobj 23159 0 obj << /D [19685 0 R /XYZ null 543.1 null] >> endobj 23160 0 obj << /D [19709 0 R /XYZ null 697 null] >> endobj 23161 0 obj << /D [19709 0 R /XYZ null 697 null] >> endobj 23162 0 obj << /D [19709 0 R /XYZ null 553.1 null] >> endobj 23163 0 obj << /D [19727 0 R /XYZ null 697 null] >> endobj 23164 0 obj << /D [19727 0 R /XYZ null 697 null] >> endobj 23165 0 obj << /D [19727 0 R /XYZ null 500.6 null] >> endobj 23166 0 obj << /D [19736 0 R /XYZ null 697 null] >> endobj 23167 0 obj << /D [19736 0 R /XYZ null 697 null] >> endobj 23168 0 obj << /D [19736 0 R /XYZ null 490.6 null] >> endobj 23169 0 obj << /D [19765 0 R /XYZ null 697 null] >> endobj 23170 0 obj << /D [19765 0 R /XYZ null 697 null] >> endobj 23171 0 obj << /D [19765 0 R /XYZ null 460.6 null] >> endobj 23172 0 obj << /D [19799 0 R /XYZ null 697 null] >> endobj 23173 0 obj << /D [19799 0 R /XYZ null 697 null] >> endobj 23174 0 obj << /D [19799 0 R /XYZ null 523.1 null] >> endobj 23175 0 obj << /D [19843 0 R /XYZ null 697 null] >> endobj 23176 0 obj << /D [19843 0 R /XYZ null 697 null] >> endobj 23177 0 obj << /D [19843 0 R /XYZ null 500.6 null] >> endobj 23178 0 obj << /D [19859 0 R /XYZ null 697 null] >> endobj 23179 0 obj << /D [19859 0 R /XYZ null 697 null] >> endobj 23180 0 obj << /D [19859 0 R /XYZ null 500.6 null] >> endobj 23181 0 obj << /D [19877 0 R /XYZ null 697 null] >> endobj 23182 0 obj << /D [19877 0 R /XYZ null 697 null] >> endobj 23183 0 obj << /D [19877 0 R /XYZ null 533.1 null] >> endobj 23184 0 obj << /D [19917 0 R /XYZ null 697 null] >> endobj 23185 0 obj << /D [19917 0 R /XYZ null 697 null] >> endobj 23186 0 obj << /D [19917 0 R /XYZ null 480.6 null] >> endobj 23187 0 obj << /D [19942 0 R /XYZ null 697 null] >> endobj 23188 0 obj << /D [19942 0 R /XYZ null 697 null] >> endobj 23189 0 obj << /D [19942 0 R /XYZ null 500.6 null] >> endobj 23190 0 obj << /D [19960 0 R /XYZ null 697 null] >> endobj 23191 0 obj << /D [19960 0 R /XYZ null 697 null] >> endobj 23192 0 obj << /D [19960 0 R /XYZ null 490.6 null] >> endobj 23193 0 obj << /D [19981 0 R /XYZ null 697 null] >> endobj 23194 0 obj << /D [19981 0 R /XYZ null 697 null] >> endobj 23195 0 obj << /D [19981 0 R /XYZ null 533.1 null] >> endobj 23196 0 obj << /D [19990 0 R /XYZ null 697 null] >> endobj 23197 0 obj << /D [19990 0 R /XYZ null 697 null] >> endobj 23198 0 obj << /D [19990 0 R /XYZ null 490.6 null] >> endobj 23199 0 obj << /D [20015 0 R /XYZ null 697 null] >> endobj 23200 0 obj << /D [20015 0 R /XYZ null 697 null] >> endobj 23201 0 obj << /D [20015 0 R /XYZ null 490.6 null] >> endobj 23202 0 obj << /D [20046 0 R /XYZ null 697 null] >> endobj 23203 0 obj << /D [20046 0 R /XYZ null 697 null] >> endobj 23204 0 obj << /D [20046 0 R /XYZ null 490.6 null] >> endobj 23205 0 obj << /D [20057 0 R /XYZ null 711 null] >> endobj 23206 0 obj << /D [20057 0 R /XYZ null 162.277 null] >> endobj 23207 0 obj << /D [20057 0 R /XYZ null 124.077 null] >> endobj 23208 0 obj << /D [20067 0 R /XYZ null 697 null] >> endobj 23209 0 obj << /D [20067 0 R /XYZ null 697 null] >> endobj 23210 0 obj << /D [20067 0 R /XYZ null 500.6 null] >> endobj 23211 0 obj << /D [20067 0 R /XYZ null 299.075 null] >> endobj 23212 0 obj << /D [20078 0 R /XYZ null 603.9 null] >> endobj 23213 0 obj << /D [20078 0 R /XYZ null 565.7 null] >> endobj 23214 0 obj << /D [20089 0 R /XYZ null 697 null] >> endobj 23215 0 obj << /D [20089 0 R /XYZ null 697 null] >> endobj 23216 0 obj << /D [20089 0 R /XYZ null 500.6 null] >> endobj 23217 0 obj << /D [20115 0 R /XYZ null 697 null] >> endobj 23218 0 obj << /D [20115 0 R /XYZ null 697 null] >> endobj 23219 0 obj << /D [20115 0 R /XYZ null 500.6 null] >> endobj 23220 0 obj << /D [20141 0 R /XYZ null 697 null] >> endobj 23221 0 obj << /D [20141 0 R /XYZ null 697 null] >> endobj 23222 0 obj << /D [20141 0 R /XYZ null 553.1 null] >> endobj 23223 0 obj << /D [20175 0 R /XYZ null 697 null] >> endobj 23224 0 obj << /D [20175 0 R /XYZ null 697 null] >> endobj 23225 0 obj << /D [20175 0 R /XYZ null 500.6 null] >> endobj 23226 0 obj << /D [20193 0 R /XYZ null 697 null] >> endobj 23227 0 obj << /D [20193 0 R /XYZ null 697 null] >> endobj 23228 0 obj << /D [20193 0 R /XYZ null 480.6 null] >> endobj 23229 0 obj << /D [20216 0 R /XYZ null 697 null] >> endobj 23230 0 obj << /D [20216 0 R /XYZ null 697 null] >> endobj 23231 0 obj << /D [20216 0 R /XYZ null 523.1 null] >> endobj 23232 0 obj << /D [20258 0 R /XYZ null 697 null] >> endobj 23233 0 obj << /D [20258 0 R /XYZ null 697 null] >> endobj 23234 0 obj << /D [20258 0 R /XYZ null 490.6 null] >> endobj 23235 0 obj << /D [20269 0 R /XYZ null 697 null] >> endobj 23236 0 obj << /D [20269 0 R /XYZ null 697 null] >> endobj 23237 0 obj << /D [20269 0 R /XYZ null 553.1 null] >> endobj 23238 0 obj << /D [20290 0 R /XYZ null 697 null] >> endobj 23239 0 obj << /D [20290 0 R /XYZ null 697 null] >> endobj 23240 0 obj << /D [20290 0 R /XYZ null 490.6 null] >> endobj 23241 0 obj << /D [20308 0 R /XYZ null 697 null] >> endobj 23242 0 obj << /D [20308 0 R /XYZ null 697 null] >> endobj 23243 0 obj << /D [20308 0 R /XYZ null 553.1 null] >> endobj 23244 0 obj << /D [20330 0 R /XYZ null 697 null] >> endobj 23245 0 obj << /D [20330 0 R /XYZ null 697 null] >> endobj 23246 0 obj << /D [20330 0 R /XYZ null 470.6 null] >> endobj 23247 0 obj << /D [20372 0 R /XYZ null 697 null] >> endobj 23248 0 obj << /D [20372 0 R /XYZ null 697 null] >> endobj 23249 0 obj << /D [20372 0 R /XYZ null 533.1 null] >> endobj 23250 0 obj << /D [20390 0 R /XYZ null 455.4923 null] >> endobj 23251 0 obj << /D [20390 0 R /XYZ null 417.2923 null] >> endobj 23252 0 obj << /D [20401 0 R /XYZ null 697 null] >> endobj 23253 0 obj << /D [20401 0 R /XYZ null 697 null] >> endobj 23254 0 obj << /D [20401 0 R /XYZ null 490.6 null] >> endobj 23255 0 obj << /D [20427 0 R /XYZ null 697 null] >> endobj 23256 0 obj << /D [20427 0 R /XYZ null 697 null] >> endobj 23257 0 obj << /D [20427 0 R /XYZ null 533.1 null] >> endobj 23258 0 obj << /D [20447 0 R /XYZ null 697 null] >> endobj 23259 0 obj << /D [20447 0 R /XYZ null 697 null] >> endobj 23260 0 obj << /D [20447 0 R /XYZ null 470.6 null] >> endobj 23261 0 obj << /D [20481 0 R /XYZ null 697 null] >> endobj 23262 0 obj << /D [20481 0 R /XYZ null 697 null] >> endobj 23263 0 obj << /D [20481 0 R /XYZ null 480.6 null] >> endobj 23264 0 obj << /D [20507 0 R /XYZ null 697 null] >> endobj 23265 0 obj << /D [20507 0 R /XYZ null 697 null] >> endobj 23266 0 obj << /D [20507 0 R /XYZ null 543.1 null] >> endobj 23267 0 obj << /D [20517 0 R /XYZ null 516.8423 null] >> endobj 23268 0 obj << /D [20535 0 R /XYZ null 697 null] >> endobj 23269 0 obj << /D [20535 0 R /XYZ null 697 null] >> endobj 23270 0 obj << /D [20535 0 R /XYZ null 508.8 null] >> endobj 23271 0 obj << /D [20568 0 R /XYZ null 697 null] >> endobj 23272 0 obj << /D [20568 0 R /XYZ null 697 null] >> endobj 23273 0 obj << /D [20568 0 R /XYZ null 528.8 null] >> endobj 23274 0 obj << /D [20577 0 R /XYZ null 697 null] >> endobj 23275 0 obj << /D [20577 0 R /XYZ null 697 null] >> endobj 23276 0 obj << /D [20577 0 R /XYZ null 400.6 null] >> endobj 23277 0 obj << /D [20616 0 R /XYZ null 711 null] >> endobj 23278 0 obj << /D [20616 0 R /XYZ null 711 null] >> endobj 23279 0 obj << /D [20616 0 R /XYZ null 523 null] >> endobj 23280 0 obj << /D [20616 0 R /XYZ null 523 null] >> endobj 23281 0 obj << /D [20616 0 R /XYZ null 497.8 null] >> endobj 23282 0 obj << /D [20616 0 R /XYZ null 419.2 null] >> endobj 23283 0 obj << /D [20616 0 R /XYZ null 419.2 null] >> endobj 23284 0 obj << /D [20616 0 R /XYZ null 394 null] >> endobj 23285 0 obj << /D [20616 0 R /XYZ null 345.4 null] >> endobj 23286 0 obj << /D [20616 0 R /XYZ null 228.6038 null] >> endobj 23287 0 obj << /D [20616 0 R /XYZ null 185.2039 null] >> endobj 23288 0 obj << /D [20633 0 R /XYZ null 697 null] >> endobj 23289 0 obj << /D [20633 0 R /XYZ null 697 null] >> endobj 23290 0 obj << /D [20633 0 R /XYZ null 671.8 null] >> endobj 23291 0 obj << /D [20633 0 R /XYZ null 495.5001 null] >> endobj 23292 0 obj << /D [20633 0 R /XYZ null 457.3 null] >> endobj 23293 0 obj << /D [20633 0 R /XYZ null 363.3 null] >> endobj 23294 0 obj << /D [20633 0 R /XYZ null 223.6 null] >> endobj 23295 0 obj << /D [20653 0 R /XYZ null 697 null] >> endobj 23296 0 obj << /D [20653 0 R /XYZ null 697 null] >> endobj 23297 0 obj << /D [20653 0 R /XYZ null 671.8 null] >> endobj 23298 0 obj << /D [20653 0 R /XYZ null 361.5002 null] >> endobj 23299 0 obj << /D [20653 0 R /XYZ null 180.3002 null] >> endobj 23300 0 obj << /Count 6 /First 24788 0 R /Last 24788 0 R /Type /Outlines >> endobj 23301 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26427) /S /GoTo >> /C [0 0 0] /Next 23302 0 R /Parent 24815 0 R /Prev 24835 0 R /Title (all_ffs) >> endobj 23302 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26659) /S /GoTo >> /C [0 0 0] /Next 23303 0 R /Parent 24815 0 R /Prev 23301 0 R /Title (all_hsios) >> endobj 23303 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26901) /S /GoTo >> /C [0 0 0] /Next 23304 0 R /Parent 24815 0 R /Prev 23302 0 R /Title (all_inputs) >> endobj 23304 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27142) /S /GoTo >> /C [0 0 0] /Next 23305 0 R /Parent 24815 0 R /Prev 23303 0 R /Title (all_latches) >> endobj 23305 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27379) /S /GoTo >> /C [0 0 0] /Next 23306 0 R /Parent 24815 0 R /Prev 23304 0 R /Title (all_outputs) >> endobj 23306 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27599) /S /GoTo >> /C [0 0 0] /Next 23307 0 R /Parent 24815 0 R /Prev 23305 0 R /Title (all_rams) >> endobj 23307 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27831) /S /GoTo >> /C [0 0 0] /Next 23308 0 R /Parent 24815 0 R /Prev 23306 0 R /Title (all_registers) >> endobj 23308 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28293) /S /GoTo >> /C [0 0 0] /Next 23309 0 R /Parent 24815 0 R /Prev 23307 0 R /Title (apply_bd_automation) >> endobj 23309 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28671) /S /GoTo >> /C [0 0 0] /Next 23310 0 R /Parent 24815 0 R /Prev 23308 0 R /Title (apply_board_connection) >> endobj 23310 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28993) /S /GoTo >> /C [0 0 0] /Next 23311 0 R /Parent 24815 0 R /Prev 23309 0 R /Title (apply_hw_ila_trigger) >> endobj 23311 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29287) /S /GoTo >> /C [0 0 0] /Next 23312 0 R /Parent 24815 0 R /Prev 23310 0 R /Title (archive_project) >> endobj 23312 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29649) /S /GoTo >> /C [0 0 0] /Next 23313 0 R /Parent 24815 0 R /Prev 23311 0 R /Title (assign_bd_address) >> endobj 23313 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30114) /S /GoTo >> /C [0 0 0] /Next 23314 0 R /Parent 24815 0 R /Prev 23312 0 R /Title (auto_detect_xpm) >> endobj 23314 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30295) /S /GoTo >> /C [0 0 0] /Next 23315 0 R /Parent 24815 0 R /Prev 23313 0 R /Title (boot_hw_device) >> endobj 23315 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30575) /S /GoTo >> /C [0 0 0] /Next 23316 0 R /Parent 24815 0 R /Prev 23314 0 R /Title (calc_config_time) >> endobj 23316 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30917) /S /GoTo >> /C [0 0 0] /Next 23317 0 R /Parent 24815 0 R /Prev 23315 0 R /Title (can_resolve_reference) >> endobj 23317 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31109) /S /GoTo >> /C [0 0 0] /Next 23318 0 R /Parent 24815 0 R /Prev 23316 0 R /Title (check_syntax) >> endobj 23318 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31312) /S /GoTo >> /C [0 0 0] /Next 23319 0 R /Parent 24815 0 R /Prev 23317 0 R /Title (check_timing) >> endobj 23319 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31895) /S /GoTo >> /C [0 0 0] /Next 23320 0 R /Parent 24815 0 R /Prev 23318 0 R /Title (checkpoint_vcd) >> endobj 23320 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32099) /S /GoTo >> /C [0 0 0] /Next 23321 0 R /Parent 24815 0 R /Prev 23319 0 R /Title (close_bd_design) >> endobj 23321 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32318) /S /GoTo >> /C [0 0 0] /Next 23322 0 R /Parent 24815 0 R /Prev 23320 0 R /Title (close_design) >> endobj 23322 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32515) /S /GoTo >> /C [0 0 0] /Next 23323 0 R /Parent 24815 0 R /Prev 23321 0 R /Title (close_hw_manager) >> endobj 23323 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32692) /S /GoTo >> /C [0 0 0] /Next 23324 0 R /Parent 24815 0 R /Prev 23322 0 R /Title (close_hw_target) >> endobj 23324 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32904) /S /GoTo >> /C [0 0 0] /Next 23325 0 R /Parent 24815 0 R /Prev 23323 0 R /Title (close_project) >> endobj 23325 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33113) /S /GoTo >> /C [0 0 0] /Next 23326 0 R /Parent 24815 0 R /Prev 23324 0 R /Title (close_saif) >> endobj 23326 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33291) /S /GoTo >> /C [0 0 0] /Next 23327 0 R /Parent 24815 0 R /Prev 23325 0 R /Title (close_sim) >> endobj 23327 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33479) /S /GoTo >> /C [0 0 0] /Next 23328 0 R /Parent 24815 0 R /Prev 23326 0 R /Title (close_vcd) >> endobj 23328 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33646) /S /GoTo >> /C [0 0 0] /Next 23329 0 R /Parent 24815 0 R /Prev 23327 0 R /Title (close_wave_config) >> endobj 23329 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33901) /S /GoTo >> /C [0 0 0] /Next 23330 0 R /Parent 24815 0 R /Prev 23328 0 R /Title (combine_hw_platforms) >> endobj 23330 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34072) /S /GoTo >> /C [0 0 0] /Next 23331 0 R /Parent 24815 0 R /Prev 23329 0 R /Title (commit_hw_hbm) >> endobj 23331 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34316) /S /GoTo >> /C [0 0 0] /Next 23332 0 R /Parent 24815 0 R /Prev 23330 0 R /Title (commit_hw_mig) >> endobj 23332 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34548) /S /GoTo >> /C [0 0 0] /Next 23333 0 R /Parent 24815 0 R /Prev 23331 0 R /Title (commit_hw_sio) >> endobj 23333 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34811) /S /GoTo >> /C [0 0 0] /Next 23334 0 R /Parent 24815 0 R /Prev 23332 0 R /Title (commit_hw_sysmon) >> endobj 23334 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35040) /S /GoTo >> /C [0 0 0] /Next 23335 0 R /Parent 24815 0 R /Prev 23333 0 R /Title (commit_hw_vio) >> endobj 23335 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35288) /S /GoTo >> /C [0 0 0] /Next 23336 0 R /Parent 24815 0 R /Prev 23334 0 R /Title (compile_c) >> endobj 23336 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35508) /S /GoTo >> /C [0 0 0] /Next 23337 0 R /Parent 24815 0 R /Prev 23335 0 R /Title (compile_simlib) >> endobj 23337 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36119) /S /GoTo >> /C [0 0 0] /Next 23338 0 R /Parent 24815 0 R /Prev 23336 0 R /Title (config_compile_simlib) >> endobj 23338 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36454) /S /GoTo >> /C [0 0 0] /Next 23339 0 R /Parent 24815 0 R /Prev 23337 0 R /Title (config_design_analysis) >> endobj 23339 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36646) /S /GoTo >> /C [0 0 0] /Next 23340 0 R /Parent 24815 0 R /Prev 23338 0 R /Title (config_hw_sio_gts) >> endobj 23340 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36785) /S /GoTo >> /C [0 0 0] /Next 23341 0 R /Parent 24815 0 R /Prev 23339 0 R /Title (config_implementation) >> endobj 23341 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36971) /S /GoTo >> /C [0 0 0] /Next 23342 0 R /Parent 24815 0 R /Prev 23340 0 R /Title (config_ip_cache) >> endobj 23342 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e37788) /S /GoTo >> /C [0 0 0] /Next 23343 0 R /Parent 24815 0 R /Prev 23341 0 R /Title (config_timing_analysis) >> endobj 23343 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e38084) /S /GoTo >> /C [0 0 0] /Next 23344 0 R /Parent 24815 0 R /Prev 23342 0 R /Title (config_timing_corners) >> endobj 23344 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e38383) /S /GoTo >> /C [0 0 0] /Next 23345 0 R /Parent 24815 0 R /Prev 23343 0 R /Title (config_webtalk) >> endobj 23345 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e38600) /S /GoTo >> /C [0 0 0] /Next 23346 0 R /Parent 24815 0 R /Prev 23344 0 R /Title (connect_bd_intf_net) >> endobj 23346 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e38892) /S /GoTo >> /C [0 0 0] /Next 23347 0 R /Parent 24815 0 R /Prev 23345 0 R /Title (connect_bd_net) >> endobj 23347 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e39188) /S /GoTo >> /C [0 0 0] /Next 23348 0 R /Parent 24815 0 R /Prev 23346 0 R /Title (connect_debug_cores) >> endobj 23348 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e39441) /S /GoTo >> /C [0 0 0] /Next 23349 0 R /Parent 24815 0 R /Prev 23347 0 R /Title (connect_debug_port) >> endobj 23349 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e39720) /S /GoTo >> /C [0 0 0] /Next 23350 0 R /Parent 24815 0 R /Prev 23348 0 R /Title (connect_hw_server) >> endobj 23350 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e40007) /S /GoTo >> /C [0 0 0] /Next 23351 0 R /Parent 24815 0 R /Prev 23349 0 R /Title (connect_net) >> endobj 23351 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e40432) /S /GoTo >> /C [0 0 0] /Next 23352 0 R /Parent 24815 0 R /Prev 23350 0 R /Title (convert_ips) >> endobj 23352 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e40735) /S /GoTo >> /C [0 0 0] /Next 23353 0 R /Parent 24815 0 R /Prev 23351 0 R /Title (convert_ngc) >> endobj 23353 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e41049) /S /GoTo >> /C [0 0 0] /Next 23354 0 R /Parent 24815 0 R /Prev 23352 0 R /Title (copy_bd_objs) >> endobj 23354 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e41346) /S /GoTo >> /C [0 0 0] /Next 23355 0 R /Parent 24815 0 R /Prev 23353 0 R /Title (copy_constraints) >> endobj 23355 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e41494) /S /GoTo >> /C [0 0 0] /Next 23356 0 R /Parent 24815 0 R /Prev 23354 0 R /Title (copy_ip) >> endobj 23356 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e41745) /S /GoTo >> /C [0 0 0] /Next 23357 0 R /Parent 24815 0 R /Prev 23355 0 R /Title (copy_run) >> endobj 23357 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e41997) /S /GoTo >> /C [0 0 0] /Next 23358 0 R /Parent 24815 0 R /Prev 23356 0 R /Title (create_bd_addr_seg) >> endobj 23358 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e42278) /S /GoTo >> /C [0 0 0] /Next 23359 0 R /Parent 24815 0 R /Prev 23357 0 R /Title (create_bd_cell) >> endobj 23359 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e42659) /S /GoTo >> /C [0 0 0] /Next 23360 0 R /Parent 24815 0 R /Prev 23358 0 R /Title (create_bd_design) >> endobj 23360 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e42920) /S /GoTo >> /C [0 0 0] /Next 23361 0 R /Parent 24815 0 R /Prev 23359 0 R /Title (create_bd_intf_net) >> endobj 23361 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e43113) /S /GoTo >> /C [0 0 0] /Next 23362 0 R /Parent 24815 0 R /Prev 23360 0 R /Title (create_bd_intf_pin) >> endobj 23362 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e43383) /S /GoTo >> /C [0 0 0] /Next 23363 0 R /Parent 24815 0 R /Prev 23361 0 R /Title (create_bd_intf_port) >> endobj 23363 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e43651) /S /GoTo >> /C [0 0 0] /Next 23364 0 R /Parent 24815 0 R /Prev 23362 0 R /Title (create_bd_intf_tlm_port) >> endobj 23364 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e43811) /S /GoTo >> /C [0 0 0] /Next 23365 0 R /Parent 24815 0 R /Prev 23363 0 R /Title (create_bd_net) >> endobj 23365 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e44045) /S /GoTo >> /C [0 0 0] /Next 23366 0 R /Parent 24815 0 R /Prev 23364 0 R /Title (create_bd_pin) >> endobj 23366 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e44325) /S /GoTo >> /C [0 0 0] /Next 23367 0 R /Parent 24815 0 R /Prev 23365 0 R /Title (create_bd_port) >> endobj 23367 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e44622) /S /GoTo >> /C [0 0 0] /Next 23368 0 R /Parent 24815 0 R /Prev 23366 0 R /Title (create_bd_tlm_port) >> endobj 23368 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e44758) /S /GoTo >> /C [0 0 0] /Next 23369 0 R /Parent 24815 0 R /Prev 23367 0 R /Title (create_cell) >> endobj 23369 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e45061) /S /GoTo >> /C [0 0 0] /Next 23370 0 R /Parent 24815 0 R /Prev 23368 0 R /Title (create_clock) >> endobj 23370 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e45476) /S /GoTo >> /C [0 0 0] /Next 23371 0 R /Parent 24815 0 R /Prev 23369 0 R /Title (create_cluster_configuration) >> endobj 23371 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e45855) /S /GoTo >> /C [0 0 0] /Next 23372 0 R /Parent 24815 0 R /Prev 23370 0 R /Title (create_dashboard_gadget) >> endobj 23372 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e46106) /S /GoTo >> /C [0 0 0] /Next 23373 0 R /Parent 24815 0 R /Prev 23371 0 R /Title (create_debug_core) >> endobj 23373 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e46393) /S /GoTo >> /C [0 0 0] /Next 23374 0 R /Parent 24815 0 R /Prev 23372 0 R /Title (create_debug_port) >> endobj 23374 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e46722) /S /GoTo >> /C [0 0 0] /Next 23375 0 R /Parent 24815 0 R /Prev 23373 0 R /Title (create_drc_check) >> endobj 23375 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e47221) /S /GoTo >> /C [0 0 0] /Next 23376 0 R /Parent 24815 0 R /Prev 23374 0 R /Title (create_drc_ruledeck) >> endobj 23376 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e47462) /S /GoTo >> /C [0 0 0] /Next 23377 0 R /Parent 24815 0 R /Prev 23375 0 R /Title (create_drc_violation) >> endobj 23377 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e47975) /S /GoTo >> /C [0 0 0] /Next 23378 0 R /Parent 24815 0 R /Prev 23376 0 R /Title (create_fileset) >> endobj 23378 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e48351) /S /GoTo >> /C [0 0 0] /Next 23379 0 R /Parent 24815 0 R /Prev 23377 0 R /Title (create_generated_clock) >> endobj 23379 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e48897) /S /GoTo >> /C [0 0 0] /Next 23380 0 R /Parent 24815 0 R /Prev 23378 0 R /Title (create_gui_custom_command) >> endobj 23380 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e49248) /S /GoTo >> /C [0 0 0] /Next 23381 0 R /Parent 24815 0 R /Prev 23379 0 R /Title (create_gui_custom_command_arg) >> endobj 23381 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e49533) /S /GoTo >> /C [0 0 0] /Next 23382 0 R /Parent 24815 0 R /Prev 23380 0 R /Title (create_hw_axi_txn) >> endobj 23382 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e49959) /S /GoTo >> /C [0 0 0] /Next 23383 0 R /Parent 24815 0 R /Prev 23381 0 R /Title (create_hw_bitstream) >> endobj 23383 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e50295) /S /GoTo >> /C [0 0 0] /Next 23384 0 R /Parent 24815 0 R /Prev 23382 0 R /Title (create_hw_cfgmem) >> endobj 23384 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e50601) /S /GoTo >> /C [0 0 0] /Next 23385 0 R /Parent 24815 0 R /Prev 23383 0 R /Title (create_hw_device) >> endobj 23385 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e50971) /S /GoTo >> /C [0 0 0] /Next 23386 0 R /Parent 24815 0 R /Prev 23384 0 R /Title (create_hw_probe) >> endobj 23386 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e51266) /S /GoTo >> /C [0 0 0] /Next 23387 0 R /Parent 24815 0 R /Prev 23385 0 R /Title (create_hw_sio_link) >> endobj 23387 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e51543) /S /GoTo >> /C [0 0 0] /Next 23388 0 R /Parent 24815 0 R /Prev 23386 0 R /Title (create_hw_sio_linkgroup) >> endobj 23388 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e51792) /S /GoTo >> /C [0 0 0] /Next 23389 0 R /Parent 24815 0 R /Prev 23387 0 R /Title (create_hw_sio_scan) >> endobj 23389 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e52110) /S /GoTo >> /C [0 0 0] /Next 23390 0 R /Parent 24815 0 R /Prev 23388 0 R /Title (create_hw_sio_sweep) >> endobj 23390 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e52440) /S /GoTo >> /C [0 0 0] /Next 23391 0 R /Parent 24815 0 R /Prev 23389 0 R /Title (create_hw_target) >> endobj 23391 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e52771) /S /GoTo >> /C [0 0 0] /Next 23392 0 R /Parent 24815 0 R /Prev 23390 0 R /Title (create_interface) >> endobj 23392 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53015) /S /GoTo >> /C [0 0 0] /Next 23393 0 R /Parent 24815 0 R /Prev 23391 0 R /Title (create_ip) >> endobj 23393 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53471) /S /GoTo >> /C [0 0 0] /Next 23394 0 R /Parent 24815 0 R /Prev 23392 0 R /Title (create_ip_run) >> endobj 23394 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53721) /S /GoTo >> /C [0 0 0] /Next 23395 0 R /Parent 24815 0 R /Prev 23393 0 R /Title (create_macro) >> endobj 23395 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53950) /S /GoTo >> /C [0 0 0] /Next 23396 0 R /Parent 24815 0 R /Prev 23394 0 R /Title (create_net) >> endobj 23396 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e54262) /S /GoTo >> /C [0 0 0] /Next 23397 0 R /Parent 24815 0 R /Prev 23395 0 R /Title (create_partition_def) >> endobj 23397 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e54522) /S /GoTo >> /C [0 0 0] /Next 23398 0 R /Parent 24815 0 R /Prev 23396 0 R /Title (create_pblock) >> endobj 23398 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e54754) /S /GoTo >> /C [0 0 0] /Next 23399 0 R /Parent 24815 0 R /Prev 23397 0 R /Title (create_peripheral) >> endobj 23399 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e55038) /S /GoTo >> /C [0 0 0] /Next 23400 0 R /Parent 24815 0 R /Prev 23398 0 R /Title (create_pin) >> endobj 23400 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e55361) /S /GoTo >> /C [0 0 0] /Next 23401 0 R /Parent 24815 0 R /Prev 23399 0 R /Title (create_port) >> endobj 23401 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e55757) /S /GoTo >> /C [0 0 0] /Next 23402 0 R /Parent 24815 0 R /Prev 23400 0 R /Title (create_port_on_reconfigurable_module) >> endobj 23402 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e55933) /S /GoTo >> /C [0 0 0] /Next 23403 0 R /Parent 24815 0 R /Prev 23401 0 R /Title (create_power_rail) >> endobj 23403 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e56095) /S /GoTo >> /C [0 0 0] /Next 23404 0 R /Parent 24815 0 R /Prev 23402 0 R /Title (create_pr_configuration) >> endobj 23404 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e56390) /S /GoTo >> /C [0 0 0] /Next 23405 0 R /Parent 24815 0 R /Prev 23403 0 R /Title (create_project) >> endobj 23405 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e56880) /S /GoTo >> /C [0 0 0] /Next 23406 0 R /Parent 24815 0 R /Prev 23404 0 R /Title (create_property) >> endobj 23406 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e57306) /S /GoTo >> /C [0 0 0] /Next 23407 0 R /Parent 24815 0 R /Prev 23405 0 R /Title (create_reconfig_module) >> endobj 23407 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e57631) /S /GoTo >> /C [0 0 0] /Next 23408 0 R /Parent 24815 0 R /Prev 23406 0 R /Title (create_report_config) >> endobj 23408 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e58005) /S /GoTo >> /C [0 0 0] /Next 23409 0 R /Parent 24815 0 R /Prev 23407 0 R /Title (create_run) >> endobj 23409 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e58376) /S /GoTo >> /C [0 0 0] /Next 23410 0 R /Parent 24815 0 R /Prev 23408 0 R /Title (create_single_pass_run) >> endobj 23410 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e58604) /S /GoTo >> /C [0 0 0] /Next 23411 0 R /Parent 24815 0 R /Prev 23409 0 R /Title (create_slack_histogram) >> endobj 23411 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59089) /S /GoTo >> /C [0 0 0] /Next 23412 0 R /Parent 24815 0 R /Prev 23410 0 R /Title (create_sysgen) >> endobj 23412 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59317) /S /GoTo >> /C [0 0 0] /Next 23413 0 R /Parent 24815 0 R /Prev 23411 0 R /Title (create_waiver) >> endobj 23413 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59913) /S /GoTo >> /C [0 0 0] /Next 23414 0 R /Parent 24815 0 R /Prev 23412 0 R /Title (create_wave_config) >> endobj 23414 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e60150) /S /GoTo >> /C [0 0 0] /Next 23415 0 R /Parent 24815 0 R /Prev 23413 0 R /Title (create_xps) >> endobj 23415 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e60375) /S /GoTo >> /C [0 0 0] /Next 23416 0 R /Parent 24815 0 R /Prev 23414 0 R /Title (current_bd_design) >> endobj 23416 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e60600) /S /GoTo >> /C [0 0 0] /Next 23417 0 R /Parent 24815 0 R /Prev 23415 0 R /Title (current_bd_instance) >> endobj 23417 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e60816) /S /GoTo >> /C [0 0 0] /Next 23418 0 R /Parent 24815 0 R /Prev 23416 0 R /Title (current_board) >> endobj 23418 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61096) /S /GoTo >> /C [0 0 0] /Next 23419 0 R /Parent 24815 0 R /Prev 23417 0 R /Title (current_board_part) >> endobj 23419 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61378) /S /GoTo >> /C [0 0 0] /Next 23420 0 R /Parent 24815 0 R /Prev 23418 0 R /Title (current_design) >> endobj 23420 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61592) /S /GoTo >> /C [0 0 0] /Next 23421 0 R /Parent 24815 0 R /Prev 23419 0 R /Title (current_fileset) >> endobj 23421 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61842) /S /GoTo >> /C [0 0 0] /Next 23422 0 R /Parent 24815 0 R /Prev 23420 0 R /Title (current_frame) >> endobj 23422 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62109) /S /GoTo >> /C [0 0 0] /Next 23423 0 R /Parent 24815 0 R /Prev 23421 0 R /Title (current_hw_cfgmem) >> endobj 23423 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62382) /S /GoTo >> /C [0 0 0] /Next 23424 0 R /Parent 24815 0 R /Prev 23422 0 R /Title (current_hw_device) >> endobj 23424 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62672) /S /GoTo >> /C [0 0 0] /Next 23425 0 R /Parent 24815 0 R /Prev 23423 0 R /Title (current_hw_ila) >> endobj 23425 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62910) /S /GoTo >> /C [0 0 0] /Next 23426 0 R /Parent 24815 0 R /Prev 23424 0 R /Title (current_hw_ila_data) >> endobj 23426 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63164) /S /GoTo >> /C [0 0 0] /Next 23427 0 R /Parent 24815 0 R /Prev 23425 0 R /Title (current_hw_server) >> endobj 23427 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63436) /S /GoTo >> /C [0 0 0] /Next 23428 0 R /Parent 24815 0 R /Prev 23426 0 R /Title (current_hw_target) >> endobj 23428 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63713) /S /GoTo >> /C [0 0 0] /Next 23429 0 R /Parent 24815 0 R /Prev 23427 0 R /Title (current_instance) >> endobj 23429 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63988) /S /GoTo >> /C [0 0 0] /Next 23430 0 R /Parent 24815 0 R /Prev 23428 0 R /Title (current_pr_configuration) >> endobj 23430 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e64218) /S /GoTo >> /C [0 0 0] /Next 23431 0 R /Parent 24815 0 R /Prev 23429 0 R /Title (current_project) >> endobj 23431 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e64432) /S /GoTo >> /C [0 0 0] /Next 23432 0 R /Parent 24815 0 R /Prev 23430 0 R /Title (current_run) >> endobj 23432 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e64697) /S /GoTo >> /C [0 0 0] /Next 23433 0 R /Parent 24815 0 R /Prev 23431 0 R /Title (current_scope) >> endobj 23433 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e64925) /S /GoTo >> /C [0 0 0] /Next 23434 0 R /Parent 24815 0 R /Prev 23432 0 R /Title (current_sim) >> endobj 23434 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65121) /S /GoTo >> /C [0 0 0] /Next 23435 0 R /Parent 24815 0 R /Prev 23433 0 R /Title (current_time) >> endobj 23435 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65321) /S /GoTo >> /C [0 0 0] /Next 23436 0 R /Parent 24815 0 R /Prev 23434 0 R /Title (current_vcd) >> endobj 23436 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65508) /S /GoTo >> /C [0 0 0] /Next 23437 0 R /Parent 24815 0 R /Prev 23435 0 R /Title (current_vivado_preferences) >> endobj 23437 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65623) /S /GoTo >> /C [0 0 0] /Next 23438 0 R /Parent 24815 0 R /Prev 23436 0 R /Title (current_wave_config) >> endobj 23438 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65839) /S /GoTo >> /C [0 0 0] /Next 23439 0 R /Parent 24815 0 R /Prev 23437 0 R /Title (decrypt_bitstream) >> endobj 23439 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e66113) /S /GoTo >> /C [0 0 0] /Next 23440 0 R /Parent 24815 0 R /Prev 23438 0 R /Title (delete_bd_objs) >> endobj 23440 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e66359) /S /GoTo >> /C [0 0 0] /Next 23441 0 R /Parent 24815 0 R /Prev 23439 0 R /Title (delete_clock_networks_results) >> endobj 23441 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e66542) /S /GoTo >> /C [0 0 0] /Next 23442 0 R /Parent 24815 0 R /Prev 23440 0 R /Title (delete_dashboard_gadgets) >> endobj 23442 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e66734) /S /GoTo >> /C [0 0 0] /Next 23443 0 R /Parent 24815 0 R /Prev 23441 0 R /Title (delete_debug_core) >> endobj 23443 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e66931) /S /GoTo >> /C [0 0 0] /Next 23444 0 R /Parent 24815 0 R /Prev 23442 0 R /Title (delete_debug_port) >> endobj 23444 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67128) /S /GoTo >> /C [0 0 0] /Next 23445 0 R /Parent 24815 0 R /Prev 23443 0 R /Title (delete_drc_check) >> endobj 23445 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67324) /S /GoTo >> /C [0 0 0] /Next 23446 0 R /Parent 24815 0 R /Prev 23444 0 R /Title (delete_drc_ruledeck) >> endobj 23446 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67641) /S /GoTo >> /C [0 0 0] /Next 23447 0 R /Parent 24815 0 R /Prev 23445 0 R /Title (delete_fileset) >> endobj 23447 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67849) /S /GoTo >> /C [0 0 0] /Next 23448 0 R /Parent 24815 0 R /Prev 23446 0 R /Title (delete_hw_axi_txn) >> endobj 23448 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e68059) /S /GoTo >> /C [0 0 0] /Next 23449 0 R /Parent 24815 0 R /Prev 23447 0 R /Title (delete_hw_bitstream) >> endobj 23449 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e68285) /S /GoTo >> /C [0 0 0] /Next 23450 0 R /Parent 24815 0 R /Prev 23448 0 R /Title (delete_hw_cfgmem) >> endobj 23450 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e68495) /S /GoTo >> /C [0 0 0] /Next 23451 0 R /Parent 24815 0 R /Prev 23449 0 R /Title (delete_hw_probe) >> endobj 23451 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e68698) /S /GoTo >> /C [0 0 0] /Next 23452 0 R /Parent 24815 0 R /Prev 23450 0 R /Title (delete_hw_target) >> endobj 23452 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e68888) /S /GoTo >> /C [0 0 0] /Next 23453 0 R /Parent 24815 0 R /Prev 23451 0 R /Title (delete_interface) >> endobj 23453 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e69088) /S /GoTo >> /C [0 0 0] /Next 23454 0 R /Parent 24815 0 R /Prev 23452 0 R /Title (delete_ip_run) >> endobj 23454 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e69337) /S /GoTo >> /C [0 0 0] /Next 23455 0 R /Parent 24815 0 R /Prev 23453 0 R /Title (delete_macros) >> endobj 23455 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e69519) /S /GoTo >> /C [0 0 0] /Next 23456 0 R /Parent 24815 0 R /Prev 23454 0 R /Title (delete_partition_defs) >> endobj 23456 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e69730) /S /GoTo >> /C [0 0 0] /Next 23457 0 R /Parent 24815 0 R /Prev 23455 0 R /Title (delete_pblocks) >> endobj 23457 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e69933) /S /GoTo >> /C [0 0 0] /Next 23458 0 R /Parent 24815 0 R /Prev 23456 0 R /Title (delete_power_rails) >> endobj 23458 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e70062) /S /GoTo >> /C [0 0 0] /Next 23459 0 R /Parent 24815 0 R /Prev 23457 0 R /Title (delete_power_results) >> endobj 23459 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e70262) /S /GoTo >> /C [0 0 0] /Next 23460 0 R /Parent 24815 0 R /Prev 23458 0 R /Title (delete_pr_configurations) >> endobj 23460 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e70456) /S /GoTo >> /C [0 0 0] /Next 23461 0 R /Parent 24815 0 R /Prev 23459 0 R /Title (delete_qor_suggestions) >> endobj 23461 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e70675) /S /GoTo >> /C [0 0 0] /Next 23462 0 R /Parent 24815 0 R /Prev 23460 0 R /Title (delete_reconfig_modules) >> endobj 23462 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e70887) /S /GoTo >> /C [0 0 0] /Next 23463 0 R /Parent 24815 0 R /Prev 23461 0 R /Title (delete_report_configs) >> endobj 23463 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71081) /S /GoTo >> /C [0 0 0] /Next 23464 0 R /Parent 24815 0 R /Prev 23462 0 R /Title (delete_rpm) >> endobj 23464 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71254) /S /GoTo >> /C [0 0 0] /Next 23465 0 R /Parent 24815 0 R /Prev 23463 0 R /Title (delete_runs) >> endobj 23465 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71462) /S /GoTo >> /C [0 0 0] /Next 23466 0 R /Parent 24815 0 R /Prev 23464 0 R /Title (delete_timing_results) >> endobj 23466 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71769) /S /GoTo >> /C [0 0 0] /Next 23467 0 R /Parent 24815 0 R /Prev 23465 0 R /Title (delete_utilization_results) >> endobj 23467 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71949) /S /GoTo >> /C [0 0 0] /Next 23468 0 R /Parent 24815 0 R /Prev 23466 0 R /Title (delete_waivers) >> endobj 23468 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72198) /S /GoTo >> /C [0 0 0] /Next 23469 0 R /Parent 24815 0 R /Prev 23467 0 R /Title (describe) >> endobj 23469 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72415) /S /GoTo >> /C [0 0 0] /Next 23470 0 R /Parent 24815 0 R /Prev 23468 0 R /Title (detect_hw_sio_links) >> endobj 23470 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72664) /S /GoTo >> /C [0 0 0] /Next 23471 0 R /Parent 24815 0 R /Prev 23469 0 R /Title (disconnect_bd_intf_net) >> endobj 23471 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72918) /S /GoTo >> /C [0 0 0] /Next 23472 0 R /Parent 24815 0 R /Prev 23470 0 R /Title (disconnect_bd_net) >> endobj 23472 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e73174) /S /GoTo >> /C [0 0 0] /Next 23473 0 R /Parent 24815 0 R /Prev 23471 0 R /Title (disconnect_debug_port) >> endobj 23473 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e73418) /S /GoTo >> /C [0 0 0] /Next 23474 0 R /Parent 24815 0 R /Prev 23472 0 R /Title (disconnect_hw_server) >> endobj 23474 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e73629) /S /GoTo >> /C [0 0 0] /Next 23475 0 R /Parent 24815 0 R /Prev 23473 0 R /Title (disconnect_net) >> endobj 23475 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e73971) /S /GoTo >> /C [0 0 0] /Next 23476 0 R /Parent 24815 0 R /Prev 23474 0 R /Title (display_hw_ila_data) >> endobj 23476 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e74264) /S /GoTo >> /C [0 0 0] /Next 23477 0 R /Parent 24815 0 R /Prev 23475 0 R /Title (display_hw_sio_scan) >> endobj 23477 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e74492) /S /GoTo >> /C [0 0 0] /Next 23478 0 R /Parent 24815 0 R /Prev 23476 0 R /Title (encrypt) >> endobj 23478 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e74755) /S /GoTo >> /C [0 0 0] /Next 23479 0 R /Parent 24815 0 R /Prev 23477 0 R /Title (endgroup) >> endobj 23479 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e74974) /S /GoTo >> /C [0 0 0] /Next 23480 0 R /Parent 24815 0 R /Prev 23478 0 R /Title (exclude_bd_addr_seg) >> endobj 23480 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e75238) /S /GoTo >> /C [0 0 0] /Next 23481 0 R /Parent 24815 0 R /Prev 23479 0 R /Title (execute_hw_svf) >> endobj 23481 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e75477) /S /GoTo >> /C [0 0 0] /Next 23482 0 R /Parent 24815 0 R /Prev 23480 0 R /Title (export_as_example_design) >> endobj 23482 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e75641) /S /GoTo >> /C [0 0 0] /Next 23483 0 R /Parent 24815 0 R /Prev 23481 0 R /Title (export_bd_synth) >> endobj 23483 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e75875) /S /GoTo >> /C [0 0 0] /Next 23484 0 R /Parent 24815 0 R /Prev 23482 0 R /Title (export_ip_user_files) >> endobj 23484 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e76208) /S /GoTo >> /C [0 0 0] /Next 23485 0 R /Parent 24815 0 R /Prev 23483 0 R /Title (export_simulation) >> endobj 23485 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e76951) /S /GoTo >> /C [0 0 0] /Next 23486 0 R /Parent 24815 0 R /Prev 23484 0 R /Title (extract_files) >> endobj 23486 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e77246) /S /GoTo >> /C [0 0 0] /Next 23487 0 R /Parent 24815 0 R /Prev 23485 0 R /Title (filter) >> endobj 23487 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e77556) /S /GoTo >> /C [0 0 0] /Next 23488 0 R /Parent 24815 0 R /Prev 23486 0 R /Title (find_bd_objs) >> endobj 23488 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e77920) /S /GoTo >> /C [0 0 0] /Next 23489 0 R /Parent 24815 0 R /Prev 23487 0 R /Title (find_routing_path) >> endobj 23489 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e78293) /S /GoTo >> /C [0 0 0] /Next 23490 0 R /Parent 24815 0 R /Prev 23488 0 R /Title (find_top) >> endobj 23490 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e78538) /S /GoTo >> /C [0 0 0] /Next 23491 0 R /Parent 24815 0 R /Prev 23489 0 R /Title (flush_vcd) >> endobj 23491 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e78714) /S /GoTo >> /C [0 0 0] /Next 23492 0 R /Parent 24815 0 R /Prev 23490 0 R /Title (generate_base_platform) >> endobj 23492 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e78878) /S /GoTo >> /C [0 0 0] /Next 23493 0 R /Parent 24815 0 R /Prev 23491 0 R /Title (generate_hier_access) >> endobj 23493 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e79134) /S /GoTo >> /C [0 0 0] /Next 23494 0 R /Parent 24815 0 R /Prev 23492 0 R /Title (generate_mem_files) >> endobj 23494 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e79354) /S /GoTo >> /C [0 0 0] /Next 23495 0 R /Parent 24815 0 R /Prev 23493 0 R /Title (generate_pblock) >> endobj 23495 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e79519) /S /GoTo >> /C [0 0 0] /Next 23496 0 R /Parent 24815 0 R /Prev 23494 0 R /Title (generate_peripheral) >> endobj 23496 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e79842) /S /GoTo >> /C [0 0 0] /Next 23497 0 R /Parent 24815 0 R /Prev 23495 0 R /Title (generate_reports) >> endobj 23497 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e80064) /S /GoTo >> /C [0 0 0] /Next 23498 0 R /Parent 24815 0 R /Prev 23496 0 R /Title (generate_rl_platform) >> endobj 23498 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e80228) /S /GoTo >> /C [0 0 0] /Next 23499 0 R /Parent 24815 0 R /Prev 23497 0 R /Title (generate_shx_platform) >> endobj 23499 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e80392) /S /GoTo >> /C [0 0 0] /Next 23500 0 R /Parent 24815 0 R /Prev 23498 0 R /Title (generate_target) >> endobj 23500 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e80763) /S /GoTo >> /C [0 0 0] /Next 23501 0 R /Parent 24815 0 R /Prev 23499 0 R /Title (get_bd_addr_segs) >> endobj 23501 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e81252) /S /GoTo >> /C [0 0 0] /Next 23502 0 R /Parent 24815 0 R /Prev 23500 0 R /Title (get_bd_addr_spaces) >> endobj 23502 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e81630) /S /GoTo >> /C [0 0 0] /Next 23503 0 R /Parent 24815 0 R /Prev 23501 0 R /Title (get_bd_cells) >> endobj 23503 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e82015) /S /GoTo >> /C [0 0 0] /Next 23504 0 R /Parent 24815 0 R /Prev 23502 0 R /Title (get_bd_designs) >> endobj 23504 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e82325) /S /GoTo >> /C [0 0 0] /Next 23505 0 R /Parent 24815 0 R /Prev 23503 0 R /Title (get_bd_intf_nets) >> endobj 23505 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e82725) /S /GoTo >> /C [0 0 0] /Next 23506 0 R /Parent 24815 0 R /Prev 23504 0 R /Title (get_bd_intf_pins) >> endobj 23506 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e83123) /S /GoTo >> /C [0 0 0] /Next 23507 0 R /Parent 24815 0 R /Prev 23505 0 R /Title (get_bd_intf_ports) >> endobj 23507 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e83506) /S /GoTo >> /C [0 0 0] /Next 23508 0 R /Parent 24815 0 R /Prev 23506 0 R /Title (get_bd_nets) >> endobj 23508 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e83906) /S /GoTo >> /C [0 0 0] /Next 23509 0 R /Parent 24815 0 R /Prev 23507 0 R /Title (get_bd_pins) >> endobj 23509 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e84300) /S /GoTo >> /C [0 0 0] /Next 23510 0 R /Parent 24815 0 R /Prev 23508 0 R /Title (get_bd_ports) >> endobj 23510 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e84673) /S /GoTo >> /C [0 0 0] /Next 23511 0 R /Parent 24815 0 R /Prev 23509 0 R /Title (get_bd_regs) >> endobj 23511 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e84889) /S /GoTo >> /C [0 0 0] /Next 23512 0 R /Parent 24815 0 R /Prev 23510 0 R /Title (get_bel_pins) >> endobj 23512 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e85251) /S /GoTo >> /C [0 0 0] /Next 23513 0 R /Parent 24815 0 R /Prev 23511 0 R /Title (get_bels) >> endobj 23513 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e85629) /S /GoTo >> /C [0 0 0] /Next 23514 0 R /Parent 24815 0 R /Prev 23512 0 R /Title (get_board_bus_nets) >> endobj 23514 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e86009) /S /GoTo >> /C [0 0 0] /Next 23515 0 R /Parent 24815 0 R /Prev 23513 0 R /Title (get_board_buses) >> endobj 23515 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e86384) /S /GoTo >> /C [0 0 0] /Next 23516 0 R /Parent 24815 0 R /Prev 23514 0 R /Title (get_board_component_interfaces) >> endobj 23516 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e86752) /S /GoTo >> /C [0 0 0] /Next 23517 0 R /Parent 24815 0 R /Prev 23515 0 R /Title (get_board_component_modes) >> endobj 23517 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e87116) /S /GoTo >> /C [0 0 0] /Next 23518 0 R /Parent 24815 0 R /Prev 23516 0 R /Title (get_board_component_pins) >> endobj 23518 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e87485) /S /GoTo >> /C [0 0 0] /Next 23519 0 R /Parent 24815 0 R /Prev 23517 0 R /Title (get_board_components) >> endobj 23519 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e87845) /S /GoTo >> /C [0 0 0] /Next 23520 0 R /Parent 24815 0 R /Prev 23518 0 R /Title (get_board_interface_ports) >> endobj 23520 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e88197) /S /GoTo >> /C [0 0 0] /Next 23521 0 R /Parent 24815 0 R /Prev 23519 0 R /Title (get_board_ip_preferences) >> endobj 23521 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e88543) /S /GoTo >> /C [0 0 0] /Next 23522 0 R /Parent 24815 0 R /Prev 23520 0 R /Title (get_board_jumpers) >> endobj 23522 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e88889) /S /GoTo >> /C [0 0 0] /Next 23523 0 R /Parent 24815 0 R /Prev 23521 0 R /Title (get_board_parameters) >> endobj 23523 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e89252) /S /GoTo >> /C [0 0 0] /Next 23524 0 R /Parent 24815 0 R /Prev 23522 0 R /Title (get_board_part_interfaces) >> endobj 23524 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e89645) /S /GoTo >> /C [0 0 0] /Next 23525 0 R /Parent 24815 0 R /Prev 23523 0 R /Title (get_board_part_pins) >> endobj 23525 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e90047) /S /GoTo >> /C [0 0 0] /Next 23526 0 R /Parent 24815 0 R /Prev 23524 0 R /Title (get_board_parts) >> endobj 23526 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e90439) /S /GoTo >> /C [0 0 0] /Next 23527 0 R /Parent 24815 0 R /Prev 23525 0 R /Title (get_boards) >> endobj 23527 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e90833) /S /GoTo >> /C [0 0 0] /Next 23528 0 R /Parent 24815 0 R /Prev 23526 0 R /Title (get_cdc_violations) >> endobj 23528 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e91196) /S /GoTo >> /C [0 0 0] /Next 23529 0 R /Parent 24815 0 R /Prev 23527 0 R /Title (get_cells) >> endobj 23529 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e91722) /S /GoTo >> /C [0 0 0] /Next 23530 0 R /Parent 24815 0 R /Prev 23528 0 R /Title (get_cfgmem_parts) >> endobj 23530 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e92117) /S /GoTo >> /C [0 0 0] /Next 23531 0 R /Parent 24815 0 R /Prev 23529 0 R /Title (get_clock_regions) >> endobj 23531 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e92477) /S /GoTo >> /C [0 0 0] /Next 23532 0 R /Parent 24815 0 R /Prev 23530 0 R /Title (get_clocks) >> endobj 23532 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e92908) /S /GoTo >> /C [0 0 0] /Next 23533 0 R /Parent 24815 0 R /Prev 23531 0 R /Title (get_cluster_configurations) >> endobj 23533 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e93234) /S /GoTo >> /C [0 0 0] /Next 23534 0 R /Parent 24815 0 R /Prev 23532 0 R /Title (get_constant_paths) >> endobj 23534 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e93550) /S /GoTo >> /C [0 0 0] /Next 23535 0 R /Parent 24815 0 R /Prev 23533 0 R /Title (get_dashboard_gadgets) >> endobj 23535 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e93740) /S /GoTo >> /C [0 0 0] /Next 23536 0 R /Parent 24815 0 R /Prev 23534 0 R /Title (get_debug_cores) >> endobj 23536 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e94123) /S /GoTo >> /C [0 0 0] /Next 23537 0 R /Parent 24815 0 R /Prev 23535 0 R /Title (get_debug_ports) >> endobj 23537 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e94495) /S /GoTo >> /C [0 0 0] /Next 23538 0 R /Parent 24815 0 R /Prev 23536 0 R /Title (get_designs) >> endobj 23538 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e94801) /S /GoTo >> /C [0 0 0] /Next 23539 0 R /Parent 24815 0 R /Prev 23537 0 R /Title (get_drc_checks) >> endobj 23539 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e95194) /S /GoTo >> /C [0 0 0] /Next 23540 0 R /Parent 24815 0 R /Prev 23538 0 R /Title (get_drc_ruledecks) >> endobj 23540 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e95550) /S /GoTo >> /C [0 0 0] /Next 23541 0 R /Parent 24815 0 R /Prev 23539 0 R /Title (get_drc_violations) >> endobj 23541 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e95948) /S /GoTo >> /C [0 0 0] /Next 23542 0 R /Parent 24815 0 R /Prev 23540 0 R /Title (get_example_designs) >> endobj 23542 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e96243) /S /GoTo >> /C [0 0 0] /Next 23543 0 R /Parent 24815 0 R /Prev 23541 0 R /Title (get_files) >> endobj 23543 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e96751) /S /GoTo >> /C [0 0 0] /Next 23544 0 R /Parent 24815 0 R /Prev 23542 0 R /Title (get_filesets) >> endobj 23544 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e97093) /S /GoTo >> /C [0 0 0] /Next 23545 0 R /Parent 24815 0 R /Prev 23543 0 R /Title (get_generated_clocks) >> endobj 23545 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e97460) /S /GoTo >> /C [0 0 0] /Next 23546 0 R /Parent 24815 0 R /Prev 23544 0 R /Title (get_gui_custom_command_args) >> endobj 23546 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e97748) /S /GoTo >> /C [0 0 0] /Next 23547 0 R /Parent 24815 0 R /Prev 23545 0 R /Title (get_gui_custom_commands) >> endobj 23547 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e98008) /S /GoTo >> /C [0 0 0] /Next 23548 0 R /Parent 24815 0 R /Prev 23546 0 R /Title (get_hierarchy_separator) >> endobj 23548 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e98176) /S /GoTo >> /C [0 0 0] /Next 23549 0 R /Parent 24815 0 R /Prev 23547 0 R /Title (get_highlighted_objects) >> endobj 23549 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e98455) /S /GoTo >> /C [0 0 0] /Next 23550 0 R /Parent 24815 0 R /Prev 23548 0 R /Title (get_hw_axi_txns) >> endobj 23550 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e98828) /S /GoTo >> /C [0 0 0] /Next 23551 0 R /Parent 24815 0 R /Prev 23549 0 R /Title (get_hw_axis) >> endobj 23551 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e99201) /S /GoTo >> /C [0 0 0] /Next 23552 0 R /Parent 24815 0 R /Prev 23550 0 R /Title (get_hw_cfgmems) >> endobj 23552 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e99548) /S /GoTo >> /C [0 0 0] /Next 23553 0 R /Parent 24815 0 R /Prev 23551 0 R /Title (get_hw_ddrmcs) >> endobj 23553 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e99907) /S /GoTo >> /C [0 0 0] /Next 23554 0 R /Parent 24815 0 R /Prev 23552 0 R /Title (get_hw_devices) >> endobj 23554 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e100273) /S /GoTo >> /C [0 0 0] /Next 23555 0 R /Parent 24815 0 R /Prev 23553 0 R /Title (get_hw_hbms) >> endobj 23555 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e100661) /S /GoTo >> /C [0 0 0] /Next 23556 0 R /Parent 24815 0 R /Prev 23554 0 R /Title (get_hw_ila_datas) >> endobj 23556 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e101054) /S /GoTo >> /C [0 0 0] /Next 23557 0 R /Parent 24815 0 R /Prev 23555 0 R /Title (get_hw_ilas) >> endobj 23557 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e101545) /S /GoTo >> /C [0 0 0] /Next 23558 0 R /Parent 24815 0 R /Prev 23556 0 R /Title (get_hw_migs) >> endobj 23558 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e101937) /S /GoTo >> /C [0 0 0] /Next 23559 0 R /Parent 24815 0 R /Prev 23557 0 R /Title (get_hw_pcies) >> endobj 23559 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e102279) /S /GoTo >> /C [0 0 0] /Next 23560 0 R /Parent 24815 0 R /Prev 23558 0 R /Title (get_hw_probes) >> endobj 23560 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e102682) /S /GoTo >> /C [0 0 0] /Next 23561 0 R /Parent 24815 0 R /Prev 23559 0 R /Title (get_hw_servers) >> endobj 23561 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e103004) /S /GoTo >> /C [0 0 0] /Next 23562 0 R /Parent 24815 0 R /Prev 23560 0 R /Title (get_hw_sio_commons) >> endobj 23562 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e103372) /S /GoTo >> /C [0 0 0] /Next 23563 0 R /Parent 24815 0 R /Prev 23561 0 R /Title (get_hw_sio_gtgroups) >> endobj 23563 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e103772) /S /GoTo >> /C [0 0 0] /Next 23564 0 R /Parent 24815 0 R /Prev 23562 0 R /Title (get_hw_sio_gts) >> endobj 23564 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e104175) /S /GoTo >> /C [0 0 0] /Next 23565 0 R /Parent 24815 0 R /Prev 23563 0 R /Title (get_hw_sio_iberts) >> endobj 23565 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e104591) /S /GoTo >> /C [0 0 0] /Next 23566 0 R /Parent 24815 0 R /Prev 23564 0 R /Title (get_hw_sio_linkgroups) >> endobj 23566 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e104987) /S /GoTo >> /C [0 0 0] /Next 23567 0 R /Parent 24815 0 R /Prev 23565 0 R /Title (get_hw_sio_links) >> endobj 23567 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e105386) /S /GoTo >> /C [0 0 0] /Next 23568 0 R /Parent 24815 0 R /Prev 23566 0 R /Title (get_hw_sio_plls) >> endobj 23568 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e105779) /S /GoTo >> /C [0 0 0] /Next 23569 0 R /Parent 24815 0 R /Prev 23567 0 R /Title (get_hw_sio_rxs) >> endobj 23569 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e106164) /S /GoTo >> /C [0 0 0] /Next 23570 0 R /Parent 24815 0 R /Prev 23568 0 R /Title (get_hw_sio_scans) >> endobj 23570 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e106565) /S /GoTo >> /C [0 0 0] /Next 23571 0 R /Parent 24815 0 R /Prev 23569 0 R /Title (get_hw_sio_sweeps) >> endobj 23571 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e106981) /S /GoTo >> /C [0 0 0] /Next 23572 0 R /Parent 24815 0 R /Prev 23570 0 R /Title (get_hw_sio_txs) >> endobj 23572 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e107367) /S /GoTo >> /C [0 0 0] /Next 23573 0 R /Parent 24815 0 R /Prev 23571 0 R /Title (get_hw_softmcs) >> endobj 23573 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e107778) /S /GoTo >> /C [0 0 0] /Next 23574 0 R /Parent 24815 0 R /Prev 23572 0 R /Title (get_hw_sysmon_reg) >> endobj 23574 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e108068) /S /GoTo >> /C [0 0 0] /Next 23575 0 R /Parent 24815 0 R /Prev 23573 0 R /Title (get_hw_sysmons) >> endobj 23575 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e108460) /S /GoTo >> /C [0 0 0] /Next 23576 0 R /Parent 24815 0 R /Prev 23574 0 R /Title (get_hw_targets) >> endobj 23576 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e108829) /S /GoTo >> /C [0 0 0] /Next 23577 0 R /Parent 24815 0 R /Prev 23575 0 R /Title (get_hw_vios) >> endobj 23577 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e109219) /S /GoTo >> /C [0 0 0] /Next 23578 0 R /Parent 24815 0 R /Prev 23576 0 R /Title (get_interfaces) >> endobj 23578 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e109556) /S /GoTo >> /C [0 0 0] /Next 23579 0 R /Parent 24815 0 R /Prev 23577 0 R /Title (get_io_standards) >> endobj 23579 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e109898) /S /GoTo >> /C [0 0 0] /Next 23580 0 R /Parent 24815 0 R /Prev 23578 0 R /Title (get_iobanks) >> endobj 23580 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e110273) /S /GoTo >> /C [0 0 0] /Next 23581 0 R /Parent 24815 0 R /Prev 23579 0 R /Title (get_ip_upgrade_results) >> endobj 23581 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e110522) /S /GoTo >> /C [0 0 0] /Next 23582 0 R /Parent 24815 0 R /Prev 23580 0 R /Title (get_ipdefs) >> endobj 23582 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e110946) /S /GoTo >> /C [0 0 0] /Next 23583 0 R /Parent 24815 0 R /Prev 23581 0 R /Title (get_ips) >> endobj 23583 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e111343) /S /GoTo >> /C [0 0 0] /Next 23584 0 R /Parent 24815 0 R /Prev 23582 0 R /Title (get_lib_cells) >> endobj 23584 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e111715) /S /GoTo >> /C [0 0 0] /Next 23585 0 R /Parent 24815 0 R /Prev 23583 0 R /Title (get_lib_pins) >> endobj 23585 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e112070) /S /GoTo >> /C [0 0 0] /Next 23586 0 R /Parent 24815 0 R /Prev 23584 0 R /Title (get_libs) >> endobj 23586 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e112383) /S /GoTo >> /C [0 0 0] /Next 23587 0 R /Parent 24815 0 R /Prev 23585 0 R /Title (get_macros) >> endobj 23587 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e112742) /S /GoTo >> /C [0 0 0] /Next 23588 0 R /Parent 24815 0 R /Prev 23586 0 R /Title (get_marked_objects) >> endobj 23588 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e112979) /S /GoTo >> /C [0 0 0] /Next 23589 0 R /Parent 24815 0 R /Prev 23587 0 R /Title (get_methodology_checks) >> endobj 23589 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e113311) /S /GoTo >> /C [0 0 0] /Next 23590 0 R /Parent 24815 0 R /Prev 23588 0 R /Title (get_methodology_violations) >> endobj 23590 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e113680) /S /GoTo >> /C [0 0 0] /Next 23591 0 R /Parent 24815 0 R /Prev 23589 0 R /Title (get_msg_config) >> endobj 23591 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e114035) /S /GoTo >> /C [0 0 0] /Next 23592 0 R /Parent 24815 0 R /Prev 23590 0 R /Title (get_net_delays) >> endobj 23592 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e114475) /S /GoTo >> /C [0 0 0] /Next 23593 0 R /Parent 24815 0 R /Prev 23591 0 R /Title (get_nets) >> endobj 23593 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e115047) /S /GoTo >> /C [0 0 0] /Next 23594 0 R /Parent 24815 0 R /Prev 23592 0 R /Title (get_nodes) >> endobj 23594 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e115511) /S /GoTo >> /C [0 0 0] /Next 23595 0 R /Parent 24815 0 R /Prev 23593 0 R /Title (get_objects) >> endobj 23595 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e115901) /S /GoTo >> /C [0 0 0] /Next 23596 0 R /Parent 24815 0 R /Prev 23594 0 R /Title (get_package_pins) >> endobj 23596 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e116274) /S /GoTo >> /C [0 0 0] /Next 23597 0 R /Parent 24815 0 R /Prev 23595 0 R /Title (get_param) >> endobj 23597 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e116484) /S /GoTo >> /C [0 0 0] /Next 23598 0 R /Parent 24815 0 R /Prev 23596 0 R /Title (get_partition_defs) >> endobj 23598 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e116803) /S /GoTo >> /C [0 0 0] /Next 23599 0 R /Parent 24815 0 R /Prev 23597 0 R /Title (get_parts) >> endobj 23599 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e117130) /S /GoTo >> /C [0 0 0] /Next 23600 0 R /Parent 24815 0 R /Prev 23598 0 R /Title (get_path_groups) >> endobj 23600 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e117395) /S /GoTo >> /C [0 0 0] /Next 23601 0 R /Parent 24815 0 R /Prev 23599 0 R /Title (get_pblocks) >> endobj 23601 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e117787) /S /GoTo >> /C [0 0 0] /Next 23602 0 R /Parent 24815 0 R /Prev 23600 0 R /Title (get_pins) >> endobj 23602 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e118331) /S /GoTo >> /C [0 0 0] /Next 23603 0 R /Parent 24815 0 R /Prev 23601 0 R /Title (get_pips) >> endobj 23603 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e118774) /S /GoTo >> /C [0 0 0] /Next 23604 0 R /Parent 24815 0 R /Prev 23602 0 R /Title (get_pkgpin_bytegroups) >> endobj 23604 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e119147) /S /GoTo >> /C [0 0 0] /Next 23605 0 R /Parent 24815 0 R /Prev 23603 0 R /Title (get_pkgpin_nibbles) >> endobj 23605 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e119521) /S /GoTo >> /C [0 0 0] /Next 23606 0 R /Parent 24815 0 R /Prev 23604 0 R /Title (get_ports) >> endobj 23606 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e119985) /S /GoTo >> /C [0 0 0] /Next 23607 0 R /Parent 24815 0 R /Prev 23605 0 R /Title (get_power_rails) >> endobj 23607 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e120160) /S /GoTo >> /C [0 0 0] /Next 23608 0 R /Parent 24815 0 R /Prev 23606 0 R /Title (get_pplocs) >> endobj 23608 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e120357) /S /GoTo >> /C [0 0 0] /Next 23609 0 R /Parent 24815 0 R /Prev 23607 0 R /Title (get_pr_configurations) >> endobj 23609 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e120677) /S /GoTo >> /C [0 0 0] /Next 23610 0 R /Parent 24815 0 R /Prev 23608 0 R /Title (get_primitives) >> endobj 23610 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e121081) /S /GoTo >> /C [0 0 0] /Next 23611 0 R /Parent 24815 0 R /Prev 23609 0 R /Title (get_projects) >> endobj 23611 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e121399) /S /GoTo >> /C [0 0 0] /Next 23612 0 R /Parent 24815 0 R /Prev 23610 0 R /Title (get_property) >> endobj 23612 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e121728) /S /GoTo >> /C [0 0 0] /Next 23613 0 R /Parent 24815 0 R /Prev 23611 0 R /Title (get_qor_suggestions) >> endobj 23613 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e121994) /S /GoTo >> /C [0 0 0] /Next 23614 0 R /Parent 24815 0 R /Prev 23612 0 R /Title (get_reconfig_modules) >> endobj 23614 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e122325) /S /GoTo >> /C [0 0 0] /Next 23615 0 R /Parent 24815 0 R /Prev 23613 0 R /Title (get_report_configs) >> endobj 23615 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e122662) /S /GoTo >> /C [0 0 0] /Next 23616 0 R /Parent 24815 0 R /Prev 23614 0 R /Title (get_runs) >> endobj 23616 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e123005) /S /GoTo >> /C [0 0 0] /Next 23617 0 R /Parent 24815 0 R /Prev 23615 0 R /Title (get_scopes) >> endobj 23617 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e123322) /S /GoTo >> /C [0 0 0] /Next 23618 0 R /Parent 24815 0 R /Prev 23616 0 R /Title (get_selected_objects) >> endobj 23618 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e123567) /S /GoTo >> /C [0 0 0] /Next 23619 0 R /Parent 24815 0 R /Prev 23617 0 R /Title (get_simulators) >> endobj 23619 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e123858) /S /GoTo >> /C [0 0 0] /Next 23620 0 R /Parent 24815 0 R /Prev 23618 0 R /Title (get_site_pins) >> endobj 23620 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e124231) /S /GoTo >> /C [0 0 0] /Next 23621 0 R /Parent 24815 0 R /Prev 23619 0 R /Title (get_site_pips) >> endobj 23621 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e124589) /S /GoTo >> /C [0 0 0] /Next 23622 0 R /Parent 24815 0 R /Prev 23620 0 R /Title (get_sites) >> endobj 23622 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e124991) /S /GoTo >> /C [0 0 0] /Next 23623 0 R /Parent 24815 0 R /Prev 23621 0 R /Title (get_slrs) >> endobj 23623 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e125345) /S /GoTo >> /C [0 0 0] /Next 23624 0 R /Parent 24815 0 R /Prev 23622 0 R /Title (get_speed_models) >> endobj 23624 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e125716) /S /GoTo >> /C [0 0 0] /Next 23625 0 R /Parent 24815 0 R /Prev 23623 0 R /Title (get_stacks) >> endobj 23625 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e125919) /S /GoTo >> /C [0 0 0] /Next 23626 0 R /Parent 24815 0 R /Prev 23624 0 R /Title (get_template_bd_designs) >> endobj 23626 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e126091) /S /GoTo >> /C [0 0 0] /Next 23627 0 R /Parent 24815 0 R /Prev 23625 0 R /Title (get_tiles) >> endobj 23627 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e126464) /S /GoTo >> /C [0 0 0] /Next 23628 0 R /Parent 24815 0 R /Prev 23626 0 R /Title (get_timing_arcs) >> endobj 23628 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e126786) /S /GoTo >> /C [0 0 0] /Next 23629 0 R /Parent 24815 0 R /Prev 23627 0 R /Title (get_timing_paths) >> endobj 23629 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e127577) /S /GoTo >> /C [0 0 0] /Next 23630 0 R /Parent 24815 0 R /Prev 23628 0 R /Title (get_value) >> endobj 23630 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e127857) /S /GoTo >> /C [0 0 0] /Next 23631 0 R /Parent 24815 0 R /Prev 23629 0 R /Title (get_waivers) >> endobj 23631 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e128244) /S /GoTo >> /C [0 0 0] /Next 23632 0 R /Parent 24815 0 R /Prev 23630 0 R /Title (get_wave_configs) >> endobj 23632 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e128569) /S /GoTo >> /C [0 0 0] /Next 23633 0 R /Parent 24815 0 R /Prev 23631 0 R /Title (get_waves) >> endobj 23633 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e128802) /S /GoTo >> /C [0 0 0] /Next 23634 0 R /Parent 24815 0 R /Prev 23632 0 R /Title (get_wires) >> endobj 23634 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e129209) /S /GoTo >> /C [0 0 0] /Next 23635 0 R /Parent 24815 0 R /Prev 23633 0 R /Title (group_bd_cells) >> endobj 23635 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e129459) /S /GoTo >> /C [0 0 0] /Next 23636 0 R /Parent 24815 0 R /Prev 23634 0 R /Title (group_path) >> endobj 23636 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e129813) /S /GoTo >> /C [0 0 0] /Next 23637 0 R /Parent 24815 0 R /Prev 23635 0 R /Title (help) >> endobj 23637 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e130248) /S /GoTo >> /C [0 0 0] /Next 23638 0 R /Parent 24815 0 R /Prev 23636 0 R /Title (highlight_objects) >> endobj 23638 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e130573) /S /GoTo >> /C [0 0 0] /Next 23639 0 R /Parent 24815 0 R /Prev 23637 0 R /Title (implement_debug_core) >> endobj 23639 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e130798) /S /GoTo >> /C [0 0 0] /Next 23640 0 R /Parent 24815 0 R /Prev 23638 0 R /Title (implement_mig_cores) >> endobj 23640 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e131105) /S /GoTo >> /C [0 0 0] /Next 23641 0 R /Parent 24815 0 R /Prev 23639 0 R /Title (implement_xphy_cores) >> endobj 23641 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e131394) /S /GoTo >> /C [0 0 0] /Next 23642 0 R /Parent 24815 0 R /Prev 23640 0 R /Title (import_files) >> endobj 23642 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e131782) /S /GoTo >> /C [0 0 0] /Next 23643 0 R /Parent 24815 0 R /Prev 23641 0 R /Title (import_ip) >> endobj 23643 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132048) /S /GoTo >> /C [0 0 0] /Next 23644 0 R /Parent 24815 0 R /Prev 23642 0 R /Title (import_synplify) >> endobj 23644 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132257) /S /GoTo >> /C [0 0 0] /Next 23645 0 R /Parent 24815 0 R /Prev 23643 0 R /Title (import_xise) >> endobj 23645 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132475) /S /GoTo >> /C [0 0 0] /Next 23646 0 R /Parent 24815 0 R /Prev 23644 0 R /Title (import_xst) >> endobj 23646 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132687) /S /GoTo >> /C [0 0 0] /Next 23647 0 R /Parent 24815 0 R /Prev 23645 0 R /Title (include_bd_addr_seg) >> endobj 23647 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132920) /S /GoTo >> /C [0 0 0] /Next 23648 0 R /Parent 24815 0 R /Prev 23646 0 R /Title (infer_diff_pairs) >> endobj 23648 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e133162) /S /GoTo >> /C [0 0 0] /Next 23649 0 R /Parent 24815 0 R /Prev 23647 0 R /Title (instantiate_example_design) >> endobj 23649 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e133475) /S /GoTo >> /C [0 0 0] /Next 23650 0 R /Parent 24815 0 R /Prev 23648 0 R /Title (instantiate_template_bd_design) >> endobj 23650 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e133718) /S /GoTo >> /C [0 0 0] /Next 23651 0 R /Parent 24815 0 R /Prev 23649 0 R /Title (iphys_opt_design) >> endobj 23651 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e134402) /S /GoTo >> /C [0 0 0] /Next 23652 0 R /Parent 24815 0 R /Prev 23650 0 R /Title (launch_chipscope_analyzer) >> endobj 23652 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e134677) /S /GoTo >> /C [0 0 0] /Next 23653 0 R /Parent 24815 0 R /Prev 23651 0 R /Title (launch_impact) >> endobj 23653 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e134886) /S /GoTo >> /C [0 0 0] /Next 23654 0 R /Parent 24815 0 R /Prev 23652 0 R /Title (launch_runs) >> endobj 23654 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e135597) /S /GoTo >> /C [0 0 0] /Next 23655 0 R /Parent 24815 0 R /Prev 23653 0 R /Title (launch_simulation) >> endobj 23655 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e136178) /S /GoTo >> /C [0 0 0] /Next 23656 0 R /Parent 24815 0 R /Prev 23654 0 R /Title (limit_vcd) >> endobj 23656 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e136387) /S /GoTo >> /C [0 0 0] /Next 23657 0 R /Parent 24815 0 R /Prev 23655 0 R /Title (link_design) >> endobj 23657 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e136803) /S /GoTo >> /C [0 0 0] /Next 23658 0 R /Parent 24815 0 R /Prev 23656 0 R /Title (list_features) >> endobj 23658 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e136985) /S /GoTo >> /C [0 0 0] /Next 23659 0 R /Parent 24815 0 R /Prev 23657 0 R /Title (list_hw_samples) >> endobj 23659 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e137208) /S /GoTo >> /C [0 0 0] /Next 23660 0 R /Parent 24815 0 R /Prev 23658 0 R /Title (list_param) >> endobj 23660 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e137399) /S /GoTo >> /C [0 0 0] /Next 23661 0 R /Parent 24815 0 R /Prev 23659 0 R /Title (list_property) >> endobj 23661 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e137697) /S /GoTo >> /C [0 0 0] /Next 23662 0 R /Parent 24815 0 R /Prev 23660 0 R /Title (list_property_value) >> endobj 23662 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e138001) /S /GoTo >> /C [0 0 0] /Next 23663 0 R /Parent 24815 0 R /Prev 23661 0 R /Title (list_targets) >> endobj 23663 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e138230) /S /GoTo >> /C [0 0 0] /Next 23664 0 R /Parent 24815 0 R /Prev 23662 0 R /Title (load_features) >> endobj 23664 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e138449) /S /GoTo >> /C [0 0 0] /Next 23665 0 R /Parent 24815 0 R /Prev 23663 0 R /Title (lock_design) >> endobj 23665 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e138752) /S /GoTo >> /C [0 0 0] /Next 23666 0 R /Parent 24815 0 R /Prev 23664 0 R /Title (log_saif) >> endobj 23666 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e138969) /S /GoTo >> /C [0 0 0] /Next 23667 0 R /Parent 24815 0 R /Prev 23665 0 R /Title (log_vcd) >> endobj 23667 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e139243) /S /GoTo >> /C [0 0 0] /Next 23668 0 R /Parent 24815 0 R /Prev 23666 0 R /Title (log_wave) >> endobj 23668 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e139491) /S /GoTo >> /C [0 0 0] /Next 23669 0 R /Parent 24815 0 R /Prev 23667 0 R /Title (ltrace) >> endobj 23669 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e139700) /S /GoTo >> /C [0 0 0] /Next 23670 0 R /Parent 24815 0 R /Prev 23668 0 R /Title (make_bd_intf_pins_external) >> endobj 23670 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e139928) /S /GoTo >> /C [0 0 0] /Next 23671 0 R /Parent 24815 0 R /Prev 23669 0 R /Title (make_bd_pins_external) >> endobj 23671 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140160) /S /GoTo >> /C [0 0 0] /Next 23672 0 R /Parent 24815 0 R /Prev 23670 0 R /Title (make_diff_pair_ports) >> endobj 23672 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140355) /S /GoTo >> /C [0 0 0] /Next 23673 0 R /Parent 24815 0 R /Prev 23671 0 R /Title (make_wrapper) >> endobj 23673 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140695) /S /GoTo >> /C [0 0 0] /Next 23674 0 R /Parent 24815 0 R /Prev 23672 0 R /Title (mark_objects) >> endobj 23674 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140950) /S /GoTo >> /C [0 0 0] /Next 23675 0 R /Parent 24815 0 R /Prev 23673 0 R /Title (modify_debug_ports) >> endobj 23675 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e141172) /S /GoTo >> /C [0 0 0] /Next 23676 0 R /Parent 24815 0 R /Prev 23674 0 R /Title (move_bd_cells) >> endobj 23676 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e141424) /S /GoTo >> /C [0 0 0] /Next 23677 0 R /Parent 24815 0 R /Prev 23675 0 R /Title (move_dashboard_gadget) >> endobj 23677 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e141668) /S /GoTo >> /C [0 0 0] /Next 23678 0 R /Parent 24815 0 R /Prev 23676 0 R /Title (move_files) >> endobj 23678 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e141906) /S /GoTo >> /C [0 0 0] /Next 23679 0 R /Parent 24815 0 R /Prev 23677 0 R /Title (move_wave) >> endobj 23679 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e142082) /S /GoTo >> /C [0 0 0] /Next 23680 0 R /Parent 24815 0 R /Prev 23678 0 R /Title (open_bd_design) >> endobj 23680 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e142292) /S /GoTo >> /C [0 0 0] /Next 23681 0 R /Parent 24815 0 R /Prev 23679 0 R /Title (open_checkpoint) >> endobj 23681 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e142549) /S /GoTo >> /C [0 0 0] /Next 23682 0 R /Parent 24815 0 R /Prev 23680 0 R /Title (open_example_project) >> endobj 23682 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e142809) /S /GoTo >> /C [0 0 0] /Next 23683 0 R /Parent 24815 0 R /Prev 23681 0 R /Title (open_hw_manager) >> endobj 23683 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e143112) /S /GoTo >> /C [0 0 0] /Next 23684 0 R /Parent 24815 0 R /Prev 23682 0 R /Title (open_hw_platform) >> endobj 23684 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e143342) /S /GoTo >> /C [0 0 0] /Next 23685 0 R /Parent 24815 0 R /Prev 23683 0 R /Title (open_hw_target) >> endobj 23685 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e143667) /S /GoTo >> /C [0 0 0] /Next 23686 0 R /Parent 24815 0 R /Prev 23684 0 R /Title (open_io_design) >> endobj 23686 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e143911) /S /GoTo >> /C [0 0 0] /Next 23687 0 R /Parent 24815 0 R /Prev 23685 0 R /Title (open_project) >> endobj 23687 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e144201) /S /GoTo >> /C [0 0 0] /Next 23688 0 R /Parent 24815 0 R /Prev 23686 0 R /Title (open_report) >> endobj 23688 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e144556) /S /GoTo >> /C [0 0 0] /Next 23689 0 R /Parent 24815 0 R /Prev 23687 0 R /Title (open_run) >> endobj 23689 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e144816) /S /GoTo >> /C [0 0 0] /Next 23690 0 R /Parent 24815 0 R /Prev 23688 0 R /Title (open_saif) >> endobj 23690 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e145029) /S /GoTo >> /C [0 0 0] /Next 23691 0 R /Parent 24815 0 R /Prev 23689 0 R /Title (open_vcd) >> endobj 23691 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e145314) /S /GoTo >> /C [0 0 0] /Next 23692 0 R /Parent 24815 0 R /Prev 23690 0 R /Title (open_wave_config) >> endobj 23692 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e145554) /S /GoTo >> /C [0 0 0] /Next 23693 0 R /Parent 24815 0 R /Prev 23691 0 R /Title (open_wave_database) >> endobj 23693 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e145830) /S /GoTo >> /C [0 0 0] /Next 23694 0 R /Parent 24815 0 R /Prev 23692 0 R /Title (opt_design) >> endobj 23694 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e146689) /S /GoTo >> /C [0 0 0] /Next 23695 0 R /Parent 24815 0 R /Prev 23693 0 R /Title (pause_hw_hbm_amon) >> endobj 23695 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e146925) /S /GoTo >> /C [0 0 0] /Next 23696 0 R /Parent 24815 0 R /Prev 23694 0 R /Title (phys_opt_design) >> endobj 23696 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e147744) /S /GoTo >> /C [0 0 0] /Next 23697 0 R /Parent 24815 0 R /Prev 23695 0 R /Title (place_cell) >> endobj 23697 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e147976) /S /GoTo >> /C [0 0 0] /Next 23698 0 R /Parent 24815 0 R /Prev 23696 0 R /Title (place_design) >> endobj 23698 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e148609) /S /GoTo >> /C [0 0 0] /Next 23699 0 R /Parent 24815 0 R /Prev 23697 0 R /Title (place_ports) >> endobj 23699 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e148906) /S /GoTo >> /C [0 0 0] /Next 23700 0 R /Parent 24815 0 R /Prev 23698 0 R /Title (platform_verify) >> endobj 23700 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149162) /S /GoTo >> /C [0 0 0] /Next 23701 0 R /Parent 24815 0 R /Prev 23699 0 R /Title (power_opt_design) >> endobj 23701 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149389) /S /GoTo >> /C [0 0 0] /Next 23702 0 R /Parent 24815 0 R /Prev 23700 0 R /Title (pr_recombine) >> endobj 23702 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149516) /S /GoTo >> /C [0 0 0] /Next 23703 0 R /Parent 24815 0 R /Prev 23701 0 R /Title (pr_subdivide) >> endobj 23703 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149667) /S /GoTo >> /C [0 0 0] /Next 23704 0 R /Parent 24815 0 R /Prev 23702 0 R /Title (pr_verify) >> endobj 23704 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149993) /S /GoTo >> /C [0 0 0] /Next 23705 0 R /Parent 24815 0 R /Prev 23703 0 R /Title (program_hw_cfgmem) >> endobj 23705 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e150371) /S /GoTo >> /C [0 0 0] /Next 23706 0 R /Parent 24815 0 R /Prev 23704 0 R /Title (program_hw_devices) >> endobj 23706 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151030) /S /GoTo >> /C [0 0 0] /Next 23707 0 R /Parent 24815 0 R /Prev 23705 0 R /Title (ptrace) >> endobj 23707 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151239) /S /GoTo >> /C [0 0 0] /Next 23708 0 R /Parent 24815 0 R /Prev 23706 0 R /Title (read_bd) >> endobj 23708 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151465) /S /GoTo >> /C [0 0 0] /Next 23709 0 R /Parent 24815 0 R /Prev 23707 0 R /Title (read_checkpoint) >> endobj 23709 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151940) /S /GoTo >> /C [0 0 0] /Next 23710 0 R /Parent 24815 0 R /Prev 23708 0 R /Title (read_csv) >> endobj 23710 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e152179) /S /GoTo >> /C [0 0 0] /Next 23711 0 R /Parent 24815 0 R /Prev 23709 0 R /Title (read_edif) >> endobj 23711 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e152379) /S /GoTo >> /C [0 0 0] /Next 23712 0 R /Parent 24815 0 R /Prev 23710 0 R /Title (read_hw_ila_data) >> endobj 23712 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e152641) /S /GoTo >> /C [0 0 0] /Next 23713 0 R /Parent 24815 0 R /Prev 23711 0 R /Title (read_hw_sio_scan) >> endobj 23713 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e152912) /S /GoTo >> /C [0 0 0] /Next 23714 0 R /Parent 24815 0 R /Prev 23712 0 R /Title (read_hw_sio_sweep) >> endobj 23714 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e153176) /S /GoTo >> /C [0 0 0] /Next 23715 0 R /Parent 24815 0 R /Prev 23713 0 R /Title (read_ip) >> endobj 23715 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e153410) /S /GoTo >> /C [0 0 0] /Next 23716 0 R /Parent 24815 0 R /Prev 23714 0 R /Title (read_iphys_opt_tcl) >> endobj 23716 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e153952) /S /GoTo >> /C [0 0 0] /Next 23717 0 R /Parent 24815 0 R /Prev 23715 0 R /Title (read_mem) >> endobj 23717 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154154) /S /GoTo >> /C [0 0 0] /Next 23718 0 R /Parent 24815 0 R /Prev 23716 0 R /Title (read_qor_suggestions) >> endobj 23718 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154359) /S /GoTo >> /C [0 0 0] /Next 23719 0 R /Parent 24815 0 R /Prev 23717 0 R /Title (read_saif) >> endobj 23719 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154633) /S /GoTo >> /C [0 0 0] /Next 23720 0 R /Parent 24815 0 R /Prev 23718 0 R /Title (read_schematic) >> endobj 23720 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154844) /S /GoTo >> /C [0 0 0] /Next 23721 0 R /Parent 24815 0 R /Prev 23719 0 R /Title (read_twx) >> endobj 23721 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e155093) /S /GoTo >> /C [0 0 0] /Next 23722 0 R /Parent 24815 0 R /Prev 23720 0 R /Title (read_verilog) >> endobj 23722 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e155368) /S /GoTo >> /C [0 0 0] /Next 23723 0 R /Parent 24815 0 R /Prev 23721 0 R /Title (read_vhdl) >> endobj 23723 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e155623) /S /GoTo >> /C [0 0 0] /Next 23724 0 R /Parent 24815 0 R /Prev 23722 0 R /Title (read_xdc) >> endobj 23724 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e155978) /S /GoTo >> /C [0 0 0] /Next 23725 0 R /Parent 24815 0 R /Prev 23723 0 R /Title (readback_hw_cfgmem) >> endobj 23725 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e156380) /S /GoTo >> /C [0 0 0] /Next 23726 0 R /Parent 24815 0 R /Prev 23724 0 R /Title (readback_hw_device) >> endobj 23726 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e156707) /S /GoTo >> /C [0 0 0] /Next 23727 0 R /Parent 24815 0 R /Prev 23725 0 R /Title (redo) >> endobj 23727 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e156936) /S /GoTo >> /C [0 0 0] /Next 23728 0 R /Parent 24815 0 R /Prev 23726 0 R /Title (refresh_design) >> endobj 23728 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e157127) /S /GoTo >> /C [0 0 0] /Next 23729 0 R /Parent 24815 0 R /Prev 23727 0 R /Title (refresh_hw_axi) >> endobj 23729 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e157337) /S /GoTo >> /C [0 0 0] /Next 23730 0 R /Parent 24815 0 R /Prev 23728 0 R /Title (refresh_hw_ddrmc) >> endobj 23730 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e157579) /S /GoTo >> /C [0 0 0] /Next 23731 0 R /Parent 24815 0 R /Prev 23729 0 R /Title (refresh_hw_device) >> endobj 23731 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e157843) /S /GoTo >> /C [0 0 0] /Next 23732 0 R /Parent 24815 0 R /Prev 23730 0 R /Title (refresh_hw_hbm) >> endobj 23732 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e158121) /S /GoTo >> /C [0 0 0] /Next 23733 0 R /Parent 24815 0 R /Prev 23731 0 R /Title (refresh_hw_mig) >> endobj 23733 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e158383) /S /GoTo >> /C [0 0 0] /Next 23734 0 R /Parent 24815 0 R /Prev 23732 0 R /Title (refresh_hw_pcie) >> endobj 23734 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e158584) /S /GoTo >> /C [0 0 0] /Next 23735 0 R /Parent 24815 0 R /Prev 23733 0 R /Title (refresh_hw_server) >> endobj 23735 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e158806) /S /GoTo >> /C [0 0 0] /Next 23736 0 R /Parent 24815 0 R /Prev 23734 0 R /Title (refresh_hw_sio) >> endobj 23736 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e159091) /S /GoTo >> /C [0 0 0] /Next 23737 0 R /Parent 24815 0 R /Prev 23735 0 R /Title (refresh_hw_softmc) >> endobj 23737 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e159349) /S /GoTo >> /C [0 0 0] /Next 23738 0 R /Parent 24815 0 R /Prev 23736 0 R /Title (refresh_hw_sysmon) >> endobj 23738 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e159605) /S /GoTo >> /C [0 0 0] /Next 23739 0 R /Parent 24815 0 R /Prev 23737 0 R /Title (refresh_hw_target) >> endobj 23739 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e159867) /S /GoTo >> /C [0 0 0] /Next 23740 0 R /Parent 24815 0 R /Prev 23738 0 R /Title (refresh_hw_vio) >> endobj 23740 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e160123) /S /GoTo >> /C [0 0 0] /Next 23741 0 R /Parent 24815 0 R /Prev 23739 0 R /Title (refresh_meminit) >> endobj 23741 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e160240) /S /GoTo >> /C [0 0 0] /Next 23742 0 R /Parent 24815 0 R /Prev 23740 0 R /Title (regenerate_bd_layout) >> endobj 23742 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e160480) /S /GoTo >> /C [0 0 0] /Next 23743 0 R /Parent 24815 0 R /Prev 23741 0 R /Title (register_proc) >> endobj 23743 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e160711) /S /GoTo >> /C [0 0 0] /Next 23744 0 R /Parent 24815 0 R /Prev 23742 0 R /Title (reimport_files) >> endobj 23744 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e160933) /S /GoTo >> /C [0 0 0] /Next 23745 0 R /Parent 24815 0 R /Prev 23743 0 R /Title (relaunch_sim) >> endobj 23745 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e161142) /S /GoTo >> /C [0 0 0] /Next 23746 0 R /Parent 24815 0 R /Prev 23744 0 R /Title (remove_bps) >> endobj 23746 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e161404) /S /GoTo >> /C [0 0 0] /Next 23747 0 R /Parent 24815 0 R /Prev 23745 0 R /Title (remove_cell) >> endobj 23747 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e161621) /S /GoTo >> /C [0 0 0] /Next 23748 0 R /Parent 24815 0 R /Prev 23746 0 R /Title (remove_cells_from_pblock) >> endobj 23748 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e161824) /S /GoTo >> /C [0 0 0] /Next 23749 0 R /Parent 24815 0 R /Prev 23747 0 R /Title (remove_cluster_configurations) >> endobj 23749 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e162053) /S /GoTo >> /C [0 0 0] /Next 23750 0 R /Parent 24815 0 R /Prev 23748 0 R /Title (remove_conditions) >> endobj 23750 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e162271) /S /GoTo >> /C [0 0 0] /Next 23751 0 R /Parent 24815 0 R /Prev 23749 0 R /Title (remove_drc_checks) >> endobj 23751 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e162705) /S /GoTo >> /C [0 0 0] /Next 23752 0 R /Parent 24815 0 R /Prev 23750 0 R /Title (remove_files) >> endobj 23752 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e162962) /S /GoTo >> /C [0 0 0] /Next 23753 0 R /Parent 24815 0 R /Prev 23751 0 R /Title (remove_forces) >> endobj 23753 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e163191) /S /GoTo >> /C [0 0 0] /Next 23754 0 R /Parent 24815 0 R /Prev 23752 0 R /Title (remove_from_power_rail) >> endobj 23754 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e163332) /S /GoTo >> /C [0 0 0] /Next 23755 0 R /Parent 24815 0 R /Prev 23753 0 R /Title (remove_gui_custom_command_args) >> endobj 23755 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e163556) /S /GoTo >> /C [0 0 0] /Next 23756 0 R /Parent 24815 0 R /Prev 23754 0 R /Title (remove_gui_custom_commands) >> endobj 23756 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e163760) /S /GoTo >> /C [0 0 0] /Next 23757 0 R /Parent 24815 0 R /Prev 23755 0 R /Title (remove_hw_hbm_pc) >> endobj 23757 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e164026) /S /GoTo >> /C [0 0 0] /Next 23758 0 R /Parent 24815 0 R /Prev 23756 0 R /Title (remove_hw_probe_enum) >> endobj 23758 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e164307) /S /GoTo >> /C [0 0 0] /Next 23759 0 R /Parent 24815 0 R /Prev 23757 0 R /Title (remove_hw_sio_link) >> endobj 23759 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e164529) /S /GoTo >> /C [0 0 0] /Next 23760 0 R /Parent 24815 0 R /Prev 23758 0 R /Title (remove_hw_sio_linkgroup) >> endobj 23760 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e164759) /S /GoTo >> /C [0 0 0] /Next 23761 0 R /Parent 24815 0 R /Prev 23759 0 R /Title (remove_hw_sio_scan) >> endobj 23761 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e164972) /S /GoTo >> /C [0 0 0] /Next 23762 0 R /Parent 24815 0 R /Prev 23760 0 R /Title (remove_hw_sio_sweep) >> endobj 23762 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e165185) /S /GoTo >> /C [0 0 0] /Next 23763 0 R /Parent 24815 0 R /Prev 23761 0 R /Title (remove_net) >> endobj 23763 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e165442) /S /GoTo >> /C [0 0 0] /Next 23764 0 R /Parent 24815 0 R /Prev 23762 0 R /Title (remove_pin) >> endobj 23764 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e165640) /S /GoTo >> /C [0 0 0] /Next 23765 0 R /Parent 24815 0 R /Prev 23763 0 R /Title (remove_port) >> endobj 23765 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e165908) /S /GoTo >> /C [0 0 0] /Next 23766 0 R /Parent 24815 0 R /Prev 23764 0 R /Title (remove_wave) >> endobj 23766 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e166047) /S /GoTo >> /C [0 0 0] /Next 23767 0 R /Parent 24815 0 R /Prev 23765 0 R /Title (rename_cell) >> endobj 23767 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e166310) /S /GoTo >> /C [0 0 0] /Next 23768 0 R /Parent 24815 0 R /Prev 23766 0 R /Title (rename_net) >> endobj 23768 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e166590) /S /GoTo >> /C [0 0 0] /Next 23769 0 R /Parent 24815 0 R /Prev 23767 0 R /Title (rename_pin) >> endobj 23769 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e166876) /S /GoTo >> /C [0 0 0] /Next 23770 0 R /Parent 24815 0 R /Prev 23768 0 R /Title (rename_port) >> endobj 23770 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e167140) /S /GoTo >> /C [0 0 0] /Next 23771 0 R /Parent 24815 0 R /Prev 23769 0 R /Title (rename_ref) >> endobj 23771 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e167388) /S /GoTo >> /C [0 0 0] /Next 23772 0 R /Parent 24815 0 R /Prev 23770 0 R /Title (reorder_files) >> endobj 23772 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e167721) /S /GoTo >> /C [0 0 0] /Next 23773 0 R /Parent 24815 0 R /Prev 23771 0 R /Title (replace_bd_cell) >> endobj 23773 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e167988) /S /GoTo >> /C [0 0 0] /Next 23774 0 R /Parent 24815 0 R /Prev 23772 0 R /Title (report_bd_diffs) >> endobj 23774 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e168489) /S /GoTo >> /C [0 0 0] /Next 23775 0 R /Parent 24815 0 R /Prev 23773 0 R /Title (report_bps) >> endobj 23775 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e168698) /S /GoTo >> /C [0 0 0] /Next 23776 0 R /Parent 24815 0 R /Prev 23774 0 R /Title (report_bus_skew) >> endobj 23776 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e169260) /S /GoTo >> /C [0 0 0] /Next 23777 0 R /Parent 24815 0 R /Prev 23775 0 R /Title (report_carry_chains) >> endobj 23777 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e169529) /S /GoTo >> /C [0 0 0] /Next 23778 0 R /Parent 24815 0 R /Prev 23776 0 R /Title (report_cdc) >> endobj 23778 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e170124) /S /GoTo >> /C [0 0 0] /Next 23779 0 R /Parent 24815 0 R /Prev 23777 0 R /Title (report_clock_interaction) >> endobj 23779 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e170567) /S /GoTo >> /C [0 0 0] /Next 23780 0 R /Parent 24815 0 R /Prev 23778 0 R /Title (report_clock_networks) >> endobj 23780 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e170969) /S /GoTo >> /C [0 0 0] /Next 23781 0 R /Parent 24815 0 R /Prev 23779 0 R /Title (report_clock_utilization) >> endobj 23781 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e171302) /S /GoTo >> /C [0 0 0] /Next 23782 0 R /Parent 24815 0 R /Prev 23780 0 R /Title (report_clocks) >> endobj 23782 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e171569) /S /GoTo >> /C [0 0 0] /Next 23783 0 R /Parent 24815 0 R /Prev 23781 0 R /Title (report_compile_order) >> endobj 23783 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e171956) /S /GoTo >> /C [0 0 0] /Next 23784 0 R /Parent 24815 0 R /Prev 23782 0 R /Title (report_conditions) >> endobj 23784 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e172158) /S /GoTo >> /C [0 0 0] /Next 23785 0 R /Parent 24815 0 R /Prev 23783 0 R /Title (report_config_implementation) >> endobj 23785 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e172425) /S /GoTo >> /C [0 0 0] /Next 23786 0 R /Parent 24815 0 R /Prev 23784 0 R /Title (report_config_timing) >> endobj 23786 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e172766) /S /GoTo >> /C [0 0 0] /Next 23787 0 R /Parent 24815 0 R /Prev 23785 0 R /Title (report_constant_paths) >> endobj 23787 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e173093) /S /GoTo >> /C [0 0 0] /Next 23788 0 R /Parent 24815 0 R /Prev 23786 0 R /Title (report_control_sets) >> endobj 23788 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e173430) /S /GoTo >> /C [0 0 0] /Next 23789 0 R /Parent 24815 0 R /Prev 23787 0 R /Title (report_datasheet) >> endobj 23789 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e173825) /S /GoTo >> /C [0 0 0] /Next 23790 0 R /Parent 24815 0 R /Prev 23788 0 R /Title (report_debug_core) >> endobj 23790 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e174079) /S /GoTo >> /C [0 0 0] /Next 23791 0 R /Parent 24815 0 R /Prev 23789 0 R /Title (report_design_analysis) >> endobj 23791 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e175265) /S /GoTo >> /C [0 0 0] /Next 23792 0 R /Parent 24815 0 R /Prev 23790 0 R /Title (report_disable_timing) >> endobj 23792 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e175594) /S /GoTo >> /C [0 0 0] /Next 23793 0 R /Parent 24815 0 R /Prev 23791 0 R /Title (report_drc) >> endobj 23793 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e176184) /S /GoTo >> /C [0 0 0] /Next 23794 0 R /Parent 24815 0 R /Prev 23792 0 R /Title (report_drivers) >> endobj 23794 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e176405) /S /GoTo >> /C [0 0 0] /Next 23795 0 R /Parent 24815 0 R /Prev 23793 0 R /Title (report_environment) >> endobj 23795 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e176654) /S /GoTo >> /C [0 0 0] /Next 23796 0 R /Parent 24815 0 R /Prev 23794 0 R /Title (report_exceptions) >> endobj 23796 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e177299) /S /GoTo >> /C [0 0 0] /Next 23797 0 R /Parent 24815 0 R /Prev 23795 0 R /Title (report_frames) >> endobj 23797 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e177505) /S /GoTo >> /C [0 0 0] /Next 23798 0 R /Parent 24815 0 R /Prev 23796 0 R /Title (report_high_fanout_nets) >> endobj 23798 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e178048) /S /GoTo >> /C [0 0 0] /Next 23799 0 R /Parent 24815 0 R /Prev 23797 0 R /Title (report_hw_axi_txn) >> endobj 23799 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e178332) /S /GoTo >> /C [0 0 0] /Next 23800 0 R /Parent 24815 0 R /Prev 23798 0 R /Title (report_hw_ddrmc) >> endobj 23800 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e178604) /S /GoTo >> /C [0 0 0] /Next 23801 0 R /Parent 24815 0 R /Prev 23799 0 R /Title (report_hw_mig) >> endobj 23801 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e178892) /S /GoTo >> /C [0 0 0] /Next 23802 0 R /Parent 24815 0 R /Prev 23800 0 R /Title (report_hw_pcie) >> endobj 23802 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e179164) /S /GoTo >> /C [0 0 0] /Next 23803 0 R /Parent 24815 0 R /Prev 23801 0 R /Title (report_hw_softmc) >> endobj 23803 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e179451) /S /GoTo >> /C [0 0 0] /Next 23804 0 R /Parent 24815 0 R /Prev 23802 0 R /Title (report_hw_targets) >> endobj 23804 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e179651) /S /GoTo >> /C [0 0 0] /Next 23805 0 R /Parent 24815 0 R /Prev 23803 0 R /Title (report_incremental_reuse) >> endobj 23805 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e179975) /S /GoTo >> /C [0 0 0] /Next 23806 0 R /Parent 24815 0 R /Prev 23804 0 R /Title (report_io) >> endobj 23806 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e180260) /S /GoTo >> /C [0 0 0] /Next 23807 0 R /Parent 24815 0 R /Prev 23805 0 R /Title (report_ip_status) >> endobj 23807 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e180639) /S /GoTo >> /C [0 0 0] /Next 23808 0 R /Parent 24815 0 R /Prev 23806 0 R /Title (report_methodology) >> endobj 23808 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e181154) /S /GoTo >> /C [0 0 0] /Next 23809 0 R /Parent 24815 0 R /Prev 23807 0 R /Title (report_objects) >> endobj 23809 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e181379) /S /GoTo >> /C [0 0 0] /Next 23810 0 R /Parent 24815 0 R /Prev 23808 0 R /Title (report_operating_conditions) >> endobj 23810 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e181928) /S /GoTo >> /C [0 0 0] /Next 23811 0 R /Parent 24815 0 R /Prev 23809 0 R /Title (report_param) >> endobj 23811 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e182227) /S /GoTo >> /C [0 0 0] /Next 23812 0 R /Parent 24815 0 R /Prev 23810 0 R /Title (report_phys_opt) >> endobj 23812 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e182465) /S /GoTo >> /C [0 0 0] /Next 23813 0 R /Parent 24815 0 R /Prev 23811 0 R /Title (report_pipeline_analysis) >> endobj 23813 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e182811) /S /GoTo >> /C [0 0 0] /Next 23814 0 R /Parent 24815 0 R /Prev 23812 0 R /Title (report_power) >> endobj 23814 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e183292) /S /GoTo >> /C [0 0 0] /Next 23815 0 R /Parent 24815 0 R /Prev 23813 0 R /Title (report_power_opt) >> endobj 23815 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e183596) /S /GoTo >> /C [0 0 0] /Next 23816 0 R /Parent 24815 0 R /Prev 23814 0 R /Title (report_pr_configuration_analysis) >> endobj 23816 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e183960) /S /GoTo >> /C [0 0 0] /Next 23817 0 R /Parent 24815 0 R /Prev 23815 0 R /Title (report_property) >> endobj 23817 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e184419) /S /GoTo >> /C [0 0 0] /Next 23818 0 R /Parent 24815 0 R /Prev 23816 0 R /Title (report_pulse_width) >> endobj 23818 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e184976) /S /GoTo >> /C [0 0 0] /Next 23819 0 R /Parent 24815 0 R /Prev 23817 0 R /Title (report_qor_assessment) >> endobj 23819 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e185334) /S /GoTo >> /C [0 0 0] /Next 23820 0 R /Parent 24815 0 R /Prev 23818 0 R /Title (report_qor_suggestions) >> endobj 23820 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e185899) /S /GoTo >> /C [0 0 0] /Next 23821 0 R /Parent 24815 0 R /Prev 23819 0 R /Title (report_ram_utilization) >> endobj 23821 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e186276) /S /GoTo >> /C [0 0 0] /Next 23822 0 R /Parent 24815 0 R /Prev 23820 0 R /Title (report_route_status) >> endobj 23822 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e186794) /S /GoTo >> /C [0 0 0] /Next 23823 0 R /Parent 24815 0 R /Prev 23821 0 R /Title (report_scopes) >> endobj 23823 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e187007) /S /GoTo >> /C [0 0 0] /Next 23824 0 R /Parent 24815 0 R /Prev 23822 0 R /Title (report_sim_device) >> endobj 23824 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e187308) /S /GoTo >> /C [0 0 0] /Next 23825 0 R /Parent 24815 0 R /Prev 23823 0 R /Title (report_sim_version) >> endobj 23825 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e187494) /S /GoTo >> /C [0 0 0] /Next 23826 0 R /Parent 24815 0 R /Prev 23824 0 R /Title (report_simlib_info) >> endobj 23826 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e187723) /S /GoTo >> /C [0 0 0] /Next 23827 0 R /Parent 24815 0 R /Prev 23825 0 R /Title (report_ssn) >> endobj 23827 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e188130) /S /GoTo >> /C [0 0 0] /Next 23828 0 R /Parent 24815 0 R /Prev 23826 0 R /Title (report_stacks) >> endobj 23828 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e188333) /S /GoTo >> /C [0 0 0] /Next 23829 0 R /Parent 24815 0 R /Prev 23827 0 R /Title (report_switching_activity) >> endobj 23829 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e188840) /S /GoTo >> /C [0 0 0] /Next 23830 0 R /Parent 24815 0 R /Prev 23828 0 R /Title (report_synchronizer_mtbf) >> endobj 23830 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e189239) /S /GoTo >> /C [0 0 0] /Next 23831 0 R /Parent 24815 0 R /Prev 23829 0 R /Title (report_timing) >> endobj 23831 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e190356) /S /GoTo >> /C [0 0 0] /Next 23832 0 R /Parent 24815 0 R /Prev 23830 0 R /Title (report_timing_summary) >> endobj 23832 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e191210) /S /GoTo >> /C [0 0 0] /Next 23833 0 R /Parent 24815 0 R /Prev 23831 0 R /Title (report_transformed_primitives) >> endobj 23833 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e191437) /S /GoTo >> /C [0 0 0] /Next 23834 0 R /Parent 24815 0 R /Prev 23832 0 R /Title (report_utilization) >> endobj 23834 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e192077) /S /GoTo >> /C [0 0 0] /Next 23835 0 R /Parent 24815 0 R /Prev 23833 0 R /Title (report_values) >> endobj 23835 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e192352) /S /GoTo >> /C [0 0 0] /Next 23836 0 R /Parent 24815 0 R /Prev 23834 0 R /Title (report_waivers) >> endobj 23836 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e192713) /S /GoTo >> /C [0 0 0] /Next 23837 0 R /Parent 24815 0 R /Prev 23835 0 R /Title (reset_drc) >> endobj 23837 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e192904) /S /GoTo >> /C [0 0 0] /Next 23838 0 R /Parent 24815 0 R /Prev 23836 0 R /Title (reset_drc_check) >> endobj 23838 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e193111) /S /GoTo >> /C [0 0 0] /Next 23839 0 R /Parent 24815 0 R /Prev 23837 0 R /Title (reset_hw_axi) >> endobj 23839 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e193341) /S /GoTo >> /C [0 0 0] /Next 23840 0 R /Parent 24815 0 R /Prev 23838 0 R /Title (reset_hw_ila) >> endobj 23840 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e193613) /S /GoTo >> /C [0 0 0] /Next 23841 0 R /Parent 24815 0 R /Prev 23839 0 R /Title (reset_hw_pcie) >> endobj 23841 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e193814) /S /GoTo >> /C [0 0 0] /Next 23842 0 R /Parent 24815 0 R /Prev 23840 0 R /Title (reset_hw_vio_activity) >> endobj 23842 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e194045) /S /GoTo >> /C [0 0 0] /Next 23843 0 R /Parent 24815 0 R /Prev 23841 0 R /Title (reset_hw_vio_outputs) >> endobj 23843 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e194281) /S /GoTo >> /C [0 0 0] /Next 23844 0 R /Parent 24815 0 R /Prev 23842 0 R /Title (reset_methodology) >> endobj 23844 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e194482) /S /GoTo >> /C [0 0 0] /Next 23845 0 R /Parent 24815 0 R /Prev 23843 0 R /Title (reset_methodology_check) >> endobj 23845 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e194684) /S /GoTo >> /C [0 0 0] /Next 23846 0 R /Parent 24815 0 R /Prev 23844 0 R /Title (reset_msg_config) >> endobj 23846 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e195057) /S /GoTo >> /C [0 0 0] /Next 23847 0 R /Parent 24815 0 R /Prev 23845 0 R /Title (reset_msg_count) >> endobj 23847 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e195256) /S /GoTo >> /C [0 0 0] /Next 23848 0 R /Parent 24815 0 R /Prev 23846 0 R /Title (reset_operating_conditions) >> endobj 23848 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e195715) /S /GoTo >> /C [0 0 0] /Next 23849 0 R /Parent 24815 0 R /Prev 23847 0 R /Title (reset_param) >> endobj 23849 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e195928) /S /GoTo >> /C [0 0 0] /Next 23850 0 R /Parent 24815 0 R /Prev 23848 0 R /Title (reset_project) >> endobj 23850 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e196166) /S /GoTo >> /C [0 0 0] /Next 23851 0 R /Parent 24815 0 R /Prev 23849 0 R /Title (reset_property) >> endobj 23851 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e196403) /S /GoTo >> /C [0 0 0] /Next 23852 0 R /Parent 24815 0 R /Prev 23850 0 R /Title (reset_runs) >> endobj 23852 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e196554) /S /GoTo >> /C [0 0 0] /Next 23853 0 R /Parent 24815 0 R /Prev 23851 0 R /Title (reset_simulation) >> endobj 23853 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e196795) /S /GoTo >> /C [0 0 0] /Next 23854 0 R /Parent 24815 0 R /Prev 23852 0 R /Title (reset_ssn) >> endobj 23854 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e196975) /S /GoTo >> /C [0 0 0] /Next 23855 0 R /Parent 24815 0 R /Prev 23853 0 R /Title (reset_switching_activity) >> endobj 23855 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e197345) /S /GoTo >> /C [0 0 0] /Next 23856 0 R /Parent 24815 0 R /Prev 23854 0 R /Title (reset_target) >> endobj 23856 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e197566) /S /GoTo >> /C [0 0 0] /Next 23857 0 R /Parent 24815 0 R /Prev 23855 0 R /Title (reset_timing) >> endobj 23857 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e197787) /S /GoTo >> /C [0 0 0] /Next 23858 0 R /Parent 24815 0 R /Prev 23856 0 R /Title (resize_net_bus) >> endobj 23858 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e198087) /S /GoTo >> /C [0 0 0] /Next 23859 0 R /Parent 24815 0 R /Prev 23857 0 R /Title (resize_pblock) >> endobj 23859 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e198447) /S /GoTo >> /C [0 0 0] /Next 23860 0 R /Parent 24815 0 R /Prev 23858 0 R /Title (resize_pin_bus) >> endobj 23860 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e198737) /S /GoTo >> /C [0 0 0] /Next 23861 0 R /Parent 24815 0 R /Prev 23859 0 R /Title (resize_port_bus) >> endobj 23861 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e199024) /S /GoTo >> /C [0 0 0] /Next 23862 0 R /Parent 24815 0 R /Prev 23860 0 R /Title (restart) >> endobj 23862 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e199213) /S /GoTo >> /C [0 0 0] /Next 23863 0 R /Parent 24815 0 R /Prev 23861 0 R /Title (resume_hw_hbm_amon) >> endobj 23863 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e199451) /S /GoTo >> /C [0 0 0] /Next 23864 0 R /Parent 24815 0 R /Prev 23862 0 R /Title (route_design) >> endobj 23864 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e200291) /S /GoTo >> /C [0 0 0] /Next 23865 0 R /Parent 24815 0 R /Prev 23863 0 R /Title (run) >> endobj 23865 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e200575) /S /GoTo >> /C [0 0 0] /Next 23866 0 R /Parent 24815 0 R /Prev 23864 0 R /Title (run_hw_axi) >> endobj 23866 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e200815) /S /GoTo >> /C [0 0 0] /Next 23867 0 R /Parent 24815 0 R /Prev 23865 0 R /Title (run_hw_hbm_amon) >> endobj 23867 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e201056) /S /GoTo >> /C [0 0 0] /Next 23868 0 R /Parent 24815 0 R /Prev 23866 0 R /Title (run_hw_ila) >> endobj 23868 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e201661) /S /GoTo >> /C [0 0 0] /Next 23869 0 R /Parent 24815 0 R /Prev 23867 0 R /Title (run_hw_sio_scan) >> endobj 23869 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e201914) /S /GoTo >> /C [0 0 0] /Next 23870 0 R /Parent 24815 0 R /Prev 23868 0 R /Title (run_hw_sio_sweep) >> endobj 23870 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e202154) /S /GoTo >> /C [0 0 0] /Next 23871 0 R /Parent 24815 0 R /Prev 23869 0 R /Title (run_state_hw_jtag) >> endobj 23871 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e202442) /S /GoTo >> /C [0 0 0] /Next 23872 0 R /Parent 24815 0 R /Prev 23870 0 R /Title (runtest_hw_jtag) >> endobj 23872 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e202766) /S /GoTo >> /C [0 0 0] /Next 23873 0 R /Parent 24815 0 R /Prev 23871 0 R /Title (save_bd_design) >> endobj 23873 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e202978) /S /GoTo >> /C [0 0 0] /Next 23874 0 R /Parent 24815 0 R /Prev 23872 0 R /Title (save_bd_design_as) >> endobj 23874 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e203289) /S /GoTo >> /C [0 0 0] /Next 23875 0 R /Parent 24815 0 R /Prev 23873 0 R /Title (save_constraints) >> endobj 23875 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e203469) /S /GoTo >> /C [0 0 0] /Next 23876 0 R /Parent 24815 0 R /Prev 23874 0 R /Title (save_constraints_as) >> endobj 23876 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e203704) /S /GoTo >> /C [0 0 0] /Next 23877 0 R /Parent 24815 0 R /Prev 23875 0 R /Title (save_project_as) >> endobj 23877 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e204043) /S /GoTo >> /C [0 0 0] /Next 23878 0 R /Parent 24815 0 R /Prev 23876 0 R /Title (save_wave_config) >> endobj 23878 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e204291) /S /GoTo >> /C [0 0 0] /Next 23879 0 R /Parent 24815 0 R /Prev 23877 0 R /Title (scan_dr_hw_jtag) >> endobj 23879 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e204742) /S /GoTo >> /C [0 0 0] /Next 23880 0 R /Parent 24815 0 R /Prev 23878 0 R /Title (scan_ir_hw_jtag) >> endobj 23880 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e205185) /S /GoTo >> /C [0 0 0] /Next 23881 0 R /Parent 24815 0 R /Prev 23879 0 R /Title (select_objects) >> endobj 23881 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e205416) /S /GoTo >> /C [0 0 0] /Next 23882 0 R /Parent 24815 0 R /Prev 23880 0 R /Title (select_wave_objects) >> endobj 23882 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e205620) /S /GoTo >> /C [0 0 0] /Next 23883 0 R /Parent 24815 0 R /Prev 23881 0 R /Title (set_bus_skew) >> endobj 23883 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e206089) /S /GoTo >> /C [0 0 0] /Next 23884 0 R /Parent 24815 0 R /Prev 23882 0 R /Title (set_case_analysis) >> endobj 23884 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e206305) /S /GoTo >> /C [0 0 0] /Next 23885 0 R /Parent 24815 0 R /Prev 23883 0 R /Title (set_clock_groups) >> endobj 23885 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e206612) /S /GoTo >> /C [0 0 0] /Next 23886 0 R /Parent 24815 0 R /Prev 23884 0 R /Title (set_clock_latency) >> endobj 23886 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e207021) /S /GoTo >> /C [0 0 0] /Next 23887 0 R /Parent 24815 0 R /Prev 23885 0 R /Title (set_clock_sense) >> endobj 23887 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e207295) /S /GoTo >> /C [0 0 0] /Next 23888 0 R /Parent 24815 0 R /Prev 23886 0 R /Title (set_clock_uncertainty) >> endobj 23888 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e207755) /S /GoTo >> /C [0 0 0] /Next 23889 0 R /Parent 24815 0 R /Prev 23887 0 R /Title (set_data_check) >> endobj 23889 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e208139) /S /GoTo >> /C [0 0 0] /Next 23890 0 R /Parent 24815 0 R /Prev 23888 0 R /Title (set_delay_model) >> endobj 23890 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e208336) /S /GoTo >> /C [0 0 0] /Next 23891 0 R /Parent 24815 0 R /Prev 23889 0 R /Title (set_disable_timing) >> endobj 23891 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e208619) /S /GoTo >> /C [0 0 0] /Next 23892 0 R /Parent 24815 0 R /Prev 23890 0 R /Title (set_external_delay) >> endobj 23892 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e208905) /S /GoTo >> /C [0 0 0] /Next 23893 0 R /Parent 24815 0 R /Prev 23891 0 R /Title (set_false_path) >> endobj 23893 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e209337) /S /GoTo >> /C [0 0 0] /Next 23894 0 R /Parent 24815 0 R /Prev 23892 0 R /Title (set_hierarchy_separator) >> endobj 23894 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e209524) /S /GoTo >> /C [0 0 0] /Next 23895 0 R /Parent 24815 0 R /Prev 23893 0 R /Title (set_hw_sysmon_reg) >> endobj 23895 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e209809) /S /GoTo >> /C [0 0 0] /Next 23896 0 R /Parent 24815 0 R /Prev 23894 0 R /Title (set_input_delay) >> endobj 23896 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e210275) /S /GoTo >> /C [0 0 0] /Next 23897 0 R /Parent 24815 0 R /Prev 23895 0 R /Title (set_input_jitter) >> endobj 23897 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e210572) /S /GoTo >> /C [0 0 0] /Next 23898 0 R /Parent 24815 0 R /Prev 23896 0 R /Title (set_load) >> endobj 23898 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e210868) /S /GoTo >> /C [0 0 0] /Next 23899 0 R /Parent 24815 0 R /Prev 23897 0 R /Title (set_logic_dc) >> endobj 23899 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e211078) /S /GoTo >> /C [0 0 0] /Next 23900 0 R /Parent 24815 0 R /Prev 23898 0 R /Title (set_logic_one) >> endobj 23900 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e211298) /S /GoTo >> /C [0 0 0] /Next 23901 0 R /Parent 24815 0 R /Prev 23899 0 R /Title (set_logic_unconnected) >> endobj 23901 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e211490) /S /GoTo >> /C [0 0 0] /Next 23902 0 R /Parent 24815 0 R /Prev 23900 0 R /Title (set_logic_zero) >> endobj 23902 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e211695) /S /GoTo >> /C [0 0 0] /Next 23903 0 R /Parent 24815 0 R /Prev 23901 0 R /Title (set_max_delay) >> endobj 23903 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e212228) /S /GoTo >> /C [0 0 0] /Next 23904 0 R /Parent 24815 0 R /Prev 23902 0 R /Title (set_max_time_borrow) >> endobj 23904 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e212442) /S /GoTo >> /C [0 0 0] /Next 23905 0 R /Parent 24815 0 R /Prev 23903 0 R /Title (set_min_delay) >> endobj 23905 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e212901) /S /GoTo >> /C [0 0 0] /Next 23906 0 R /Parent 24815 0 R /Prev 23904 0 R /Title (set_msg_config) >> endobj 23906 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e213386) /S /GoTo >> /C [0 0 0] /Next 23907 0 R /Parent 24815 0 R /Prev 23905 0 R /Title (set_multicycle_path) >> endobj 23907 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e213995) /S /GoTo >> /C [0 0 0] /Next 23908 0 R /Parent 24815 0 R /Prev 23906 0 R /Title (set_operating_conditions) >> endobj 23908 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e214478) /S /GoTo >> /C [0 0 0] /Next 23909 0 R /Parent 24815 0 R /Prev 23907 0 R /Title (set_output_delay) >> endobj 23909 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e214910) /S /GoTo >> /C [0 0 0] /Next 23910 0 R /Parent 24815 0 R /Prev 23908 0 R /Title (set_package_pin_val) >> endobj 23910 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e215165) /S /GoTo >> /C [0 0 0] /Next 23911 0 R /Parent 24815 0 R /Prev 23909 0 R /Title (set_param) >> endobj 23911 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e215450) /S /GoTo >> /C [0 0 0] /Next 23912 0 R /Parent 24815 0 R /Prev 23910 0 R /Title (set_part) >> endobj 23912 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e215695) /S /GoTo >> /C [0 0 0] /Next 23913 0 R /Parent 24815 0 R /Prev 23911 0 R /Title (set_power_opt) >> endobj 23913 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e216006) /S /GoTo >> /C [0 0 0] /Next 23914 0 R /Parent 24815 0 R /Prev 23912 0 R /Title (set_propagated_clock) >> endobj 23914 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e216200) /S /GoTo >> /C [0 0 0] /Next 23915 0 R /Parent 24815 0 R /Prev 23913 0 R /Title (set_property) >> endobj 23915 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e216638) /S /GoTo >> /C [0 0 0] /Next 23916 0 R /Parent 24815 0 R /Prev 23914 0 R /Title (set_speed_grade) >> endobj 23916 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e216901) /S /GoTo >> /C [0 0 0] /Next 23917 0 R /Parent 24815 0 R /Prev 23915 0 R /Title (set_switching_activity) >> endobj 23917 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e217439) /S /GoTo >> /C [0 0 0] /Next 23918 0 R /Parent 24815 0 R /Prev 23916 0 R /Title (set_system_jitter) >> endobj 23918 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e217726) /S /GoTo >> /C [0 0 0] /Next 23919 0 R /Parent 24815 0 R /Prev 23917 0 R /Title (set_units) >> endobj 23919 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218049) /S /GoTo >> /C [0 0 0] /Next 23920 0 R /Parent 24815 0 R /Prev 23918 0 R /Title (set_value) >> endobj 23920 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218387) /S /GoTo >> /C [0 0 0] /Next 23921 0 R /Parent 24815 0 R /Prev 23919 0 R /Title (setup_ip_static_library) >> endobj 23921 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218727) /S /GoTo >> /C [0 0 0] /Next 23922 0 R /Parent 24815 0 R /Prev 23920 0 R /Title (setup_pr_configurations) >> endobj 23922 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218992) /S /GoTo >> /C [0 0 0] /Next 23923 0 R /Parent 24815 0 R /Prev 23921 0 R /Title (show_objects) >> endobj 23923 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e219249) /S /GoTo >> /C [0 0 0] /Next 23924 0 R /Parent 24815 0 R /Prev 23922 0 R /Title (show_schematic) >> endobj 23924 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e219571) /S /GoTo >> /C [0 0 0] /Next 23925 0 R /Parent 24815 0 R /Prev 23923 0 R /Title (split_diff_pair_ports) >> endobj 23925 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e219761) /S /GoTo >> /C [0 0 0] /Next 23926 0 R /Parent 24815 0 R /Prev 23924 0 R /Title (start_gui) >> endobj 23926 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e219905) /S /GoTo >> /C [0 0 0] /Next 23927 0 R /Parent 24815 0 R /Prev 23925 0 R /Title (start_vcd) >> endobj 23927 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220097) /S /GoTo >> /C [0 0 0] /Next 23928 0 R /Parent 24815 0 R /Prev 23926 0 R /Title (startgroup) >> endobj 23928 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220348) /S /GoTo >> /C [0 0 0] /Next 23929 0 R /Parent 24815 0 R /Prev 23927 0 R /Title (step) >> endobj 23929 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220523) /S /GoTo >> /C [0 0 0] /Next 23930 0 R /Parent 24815 0 R /Prev 23928 0 R /Title (stop) >> endobj 23930 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220721) /S /GoTo >> /C [0 0 0] /Next 23931 0 R /Parent 24815 0 R /Prev 23929 0 R /Title (stop_gui) >> endobj 23931 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220865) /S /GoTo >> /C [0 0 0] /Next 23932 0 R /Parent 24815 0 R /Prev 23930 0 R /Title (stop_hw_hbm_amon) >> endobj 23932 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e221098) /S /GoTo >> /C [0 0 0] /Next 23933 0 R /Parent 24815 0 R /Prev 23931 0 R /Title (stop_hw_sio_scan) >> endobj 23933 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e221328) /S /GoTo >> /C [0 0 0] /Next 23934 0 R /Parent 24815 0 R /Prev 23932 0 R /Title (stop_hw_sio_sweep) >> endobj 23934 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e221558) /S /GoTo >> /C [0 0 0] /Next 23935 0 R /Parent 24815 0 R /Prev 23933 0 R /Title (stop_vcd) >> endobj 23935 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e221754) /S /GoTo >> /C [0 0 0] /Next 23936 0 R /Parent 24815 0 R /Prev 23934 0 R /Title (swap_locs) >> endobj 23936 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e221966) /S /GoTo >> /C [0 0 0] /Next 23937 0 R /Parent 24815 0 R /Prev 23935 0 R /Title (synth_design) >> endobj 23937 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e223108) /S /GoTo >> /C [0 0 0] /Next 23938 0 R /Parent 24815 0 R /Prev 23936 0 R /Title (synth_ip) >> endobj 23938 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e223375) /S /GoTo >> /C [0 0 0] /Next 23939 0 R /Parent 24815 0 R /Prev 23937 0 R /Title (tie_unused_pins) >> endobj 23939 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e223593) /S /GoTo >> /C [0 0 0] /Next 23940 0 R /Parent 24815 0 R /Prev 23938 0 R /Title (undo) >> endobj 23940 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e223834) /S /GoTo >> /C [0 0 0] /Next 23941 0 R /Parent 24815 0 R /Prev 23939 0 R /Title (ungroup_bd_cells) >> endobj 23941 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224074) /S /GoTo >> /C [0 0 0] /Next 23942 0 R /Parent 24815 0 R /Prev 23940 0 R /Title (unhighlight_objects) >> endobj 23942 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224363) /S /GoTo >> /C [0 0 0] /Next 23943 0 R /Parent 24815 0 R /Prev 23941 0 R /Title (unmark_objects) >> endobj 23943 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224593) /S /GoTo >> /C [0 0 0] /Next 23944 0 R /Parent 24815 0 R /Prev 23942 0 R /Title (unplace_cell) >> endobj 23944 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224786) /S /GoTo >> /C [0 0 0] /Next 23945 0 R /Parent 24815 0 R /Prev 23943 0 R /Title (unregister_proc) >> endobj 23945 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224973) /S /GoTo >> /C [0 0 0] /Next 23946 0 R /Parent 24815 0 R /Prev 23944 0 R /Title (unselect_objects) >> endobj 23946 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e225179) /S /GoTo >> /C [0 0 0] /Next 23947 0 R /Parent 24815 0 R /Prev 23945 0 R /Title (update_bd_boundaries) >> endobj 23947 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e225339) /S /GoTo >> /C [0 0 0] /Next 23948 0 R /Parent 24815 0 R /Prev 23946 0 R /Title (update_clock_routing) >> endobj 23948 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e225532) /S /GoTo >> /C [0 0 0] /Next 23949 0 R /Parent 24815 0 R /Prev 23947 0 R /Title (update_compile_order) >> endobj 23949 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e225734) /S /GoTo >> /C [0 0 0] /Next 23950 0 R /Parent 24815 0 R /Prev 23948 0 R /Title (update_design) >> endobj 23950 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e226066) /S /GoTo >> /C [0 0 0] /Next 23951 0 R /Parent 24815 0 R /Prev 23949 0 R /Title (update_files) >> endobj 23951 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e226357) /S /GoTo >> /C [0 0 0] /Next 23952 0 R /Parent 24815 0 R /Prev 23950 0 R /Title (update_hw_firmware) >> endobj 23952 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e226557) /S /GoTo >> /C [0 0 0] /Next 23953 0 R /Parent 24815 0 R /Prev 23951 0 R /Title (update_hw_gpio) >> endobj 23953 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e226717) /S /GoTo >> /C [0 0 0] /Next 23954 0 R /Parent 24815 0 R /Prev 23952 0 R /Title (update_ip_catalog) >> endobj 23954 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e227176) /S /GoTo >> /C [0 0 0] /Next 23955 0 R /Parent 24815 0 R /Prev 23953 0 R /Title (update_macro) >> endobj 23955 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e227488) /S /GoTo >> /C [0 0 0] /Next 23956 0 R /Parent 24815 0 R /Prev 23954 0 R /Title (update_module_reference) >> endobj 23956 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e227688) /S /GoTo >> /C [0 0 0] /Next 23957 0 R /Parent 24815 0 R /Prev 23955 0 R /Title (update_noc_qos) >> endobj 23957 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e227816) /S /GoTo >> /C [0 0 0] /Next 23958 0 R /Parent 24815 0 R /Prev 23956 0 R /Title (update_sw_parameters) >> endobj 23958 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e227996) /S /GoTo >> /C [0 0 0] /Next 23959 0 R /Parent 24815 0 R /Prev 23957 0 R /Title (update_timing) >> endobj 23959 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e228208) /S /GoTo >> /C [0 0 0] /Next 23960 0 R /Parent 24815 0 R /Prev 23958 0 R /Title (upgrade_bd_cells) >> endobj 23960 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e228431) /S /GoTo >> /C [0 0 0] /Next 23961 0 R /Parent 24815 0 R /Prev 23959 0 R /Title (upgrade_ip) >> endobj 23961 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e228715) /S /GoTo >> /C [0 0 0] /Next 23962 0 R /Parent 24815 0 R /Prev 23960 0 R /Title (upgrade_project) >> endobj 23962 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e228854) /S /GoTo >> /C [0 0 0] /Next 23963 0 R /Parent 24815 0 R /Prev 23961 0 R /Title (upload_hw_ila_data) >> endobj 23963 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e229127) /S /GoTo >> /C [0 0 0] /Next 23964 0 R /Parent 24815 0 R /Prev 23962 0 R /Title (validate_bd_design) >> endobj 23964 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e229374) /S /GoTo >> /C [0 0 0] /Next 23965 0 R /Parent 24815 0 R /Prev 23963 0 R /Title (validate_board_files) >> endobj 23965 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e229519) /S /GoTo >> /C [0 0 0] /Next 23966 0 R /Parent 24815 0 R /Prev 23964 0 R /Title (validate_cluster_configurations) >> endobj 23966 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e229753) /S /GoTo >> /C [0 0 0] /Next 23967 0 R /Parent 24815 0 R /Prev 23965 0 R /Title (validate_hw_platform) >> endobj 23967 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e229949) /S /GoTo >> /C [0 0 0] /Next 23968 0 R /Parent 24815 0 R /Prev 23966 0 R /Title (validate_ip) >> endobj 23968 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e230166) /S /GoTo >> /C [0 0 0] /Next 23969 0 R /Parent 24815 0 R /Prev 23967 0 R /Title (verify_hw_devices) >> endobj 23969 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e230502) /S /GoTo >> /C [0 0 0] /Next 23970 0 R /Parent 24815 0 R /Prev 23968 0 R /Title (version) >> endobj 23970 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e230683) /S /GoTo >> /C [0 0 0] /Next 23971 0 R /Parent 24815 0 R /Prev 23969 0 R /Title (wait_on_hw_ila) >> endobj 23971 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e230941) /S /GoTo >> /C [0 0 0] /Next 23972 0 R /Parent 24815 0 R /Prev 23970 0 R /Title (wait_on_hw_sio_scan) >> endobj 23972 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e231185) /S /GoTo >> /C [0 0 0] /Next 23973 0 R /Parent 24815 0 R /Prev 23971 0 R /Title (wait_on_hw_sio_sweep) >> endobj 23973 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e231429) /S /GoTo >> /C [0 0 0] /Next 23974 0 R /Parent 24815 0 R /Prev 23972 0 R /Title (wait_on_run) >> endobj 23974 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e231646) /S /GoTo >> /C [0 0 0] /Next 23975 0 R /Parent 24815 0 R /Prev 23973 0 R /Title (write_abstract_shell) >> endobj 23975 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e231806) /S /GoTo >> /C [0 0 0] /Next 23976 0 R /Parent 24815 0 R /Prev 23974 0 R /Title (write_bd_layout) >> endobj 23976 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e232158) /S /GoTo >> /C [0 0 0] /Next 23977 0 R /Parent 24815 0 R /Prev 23975 0 R /Title (write_bd_tcl) >> endobj 23977 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e232665) /S /GoTo >> /C [0 0 0] /Next 23978 0 R /Parent 24815 0 R /Prev 23976 0 R /Title (write_bitstream) >> endobj 23978 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e233195) /S /GoTo >> /C [0 0 0] /Next 23979 0 R /Parent 24815 0 R /Prev 23977 0 R /Title (write_bmm) >> endobj 23979 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e233405) /S /GoTo >> /C [0 0 0] /Next 23980 0 R /Parent 24815 0 R /Prev 23978 0 R /Title (write_bsdl) >> endobj 23980 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e233648) /S /GoTo >> /C [0 0 0] /Next 23981 0 R /Parent 24815 0 R /Prev 23979 0 R /Title (write_cfgmem) >> endobj 23981 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e234143) /S /GoTo >> /C [0 0 0] /Next 23982 0 R /Parent 24815 0 R /Prev 23980 0 R /Title (write_checkpoint) >> endobj 23982 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e234468) /S /GoTo >> /C [0 0 0] /Next 23983 0 R /Parent 24815 0 R /Prev 23981 0 R /Title (write_csv) >> endobj 23983 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e234682) /S /GoTo >> /C [0 0 0] /Next 23984 0 R /Parent 24815 0 R /Prev 23982 0 R /Title (write_debug_probes) >> endobj 23984 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e234953) /S /GoTo >> /C [0 0 0] /Next 23985 0 R /Parent 24815 0 R /Prev 23983 0 R /Title (write_device_image) >> endobj 23985 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e235165) /S /GoTo >> /C [0 0 0] /Next 23986 0 R /Parent 24815 0 R /Prev 23984 0 R /Title (write_edif) >> endobj 23986 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e235492) /S /GoTo >> /C [0 0 0] /Next 23987 0 R /Parent 24815 0 R /Prev 23985 0 R /Title (write_hw_ila_data) >> endobj 23987 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e235845) /S /GoTo >> /C [0 0 0] /Next 23988 0 R /Parent 24815 0 R /Prev 23986 0 R /Title (write_hw_platform) >> endobj 23988 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e236178) /S /GoTo >> /C [0 0 0] /Next 24836 0 R /Parent 24815 0 R /Prev 23987 0 R /Title (write_hw_platform_metadata) >> endobj 23989 0 obj << /Dests 23990 0 R >> endobj 23990 0 obj << /Kids [23991 0 R 23992 0 R 23993 0 R 23994 0 R 23995 0 R 23996 0 R 23997 0 R 23998 0 R 23999 0 R 24000 0 R 24001 0 R 24002 0 R 24003 0 R 24004 0 R 24005 0 R 24006 0 R 24007 0 R 24008 0 R 24009 0 R 24010 0 R 24011 0 R 24012 0 R 24013 0 R 24014 0 R 24015 0 R 24016 0 R 24017 0 R 24018 0 R 24019 0 R 24020 0 R 24021 0 R 24022 0 R 24023 0 R 24024 0 R 24025 0 R 24026 0 R 24027 0 R 24028 0 R 24029 0 R 24030 0 R] >> endobj 23991 0 obj << /Limits [(HandlingListsOfObjects) (_OPENTOPIC_TOC_PROCESSING_d114e123005)] /Names [(HandlingListsOfObjects) 24032 0 R (ScriptingInTcl) 24034 0 R (_OPENTOPIC_TOC_PROCESSING_d114e100273) 21777 0 R (_OPENTOPIC_TOC_PROCESSING_d114e100661) 21783 0 R (_OPENTOPIC_TOC_PROCESSING_d114e101054) 21787 0 R (_OPENTOPIC_TOC_PROCESSING_d114e101545) 21791 0 R (_OPENTOPIC_TOC_PROCESSING_d114e101937) 21794 0 R (_OPENTOPIC_TOC_PROCESSING_d114e102279) 21801 0 R (_OPENTOPIC_TOC_PROCESSING_d114e102682) 21805 0 R (_OPENTOPIC_TOC_PROCESSING_d114e103004) 21808 0 R (_OPENTOPIC_TOC_PROCESSING_d114e103372) 21812 0 R (_OPENTOPIC_TOC_PROCESSING_d114e103772) 21816 0 R (_OPENTOPIC_TOC_PROCESSING_d114e104175) 21820 0 R (_OPENTOPIC_TOC_PROCESSING_d114e104591) 21824 0 R (_OPENTOPIC_TOC_PROCESSING_d114e104987) 21827 0 R (_OPENTOPIC_TOC_PROCESSING_d114e105386) 21830 0 R (_OPENTOPIC_TOC_PROCESSING_d114e105779) 21833 0 R (_OPENTOPIC_TOC_PROCESSING_d114e106164) 21836 0 R (_OPENTOPIC_TOC_PROCESSING_d114e106565) 21839 0 R (_OPENTOPIC_TOC_PROCESSING_d114e106981) 21842 0 R (_OPENTOPIC_TOC_PROCESSING_d114e107367) 21845 0 R (_OPENTOPIC_TOC_PROCESSING_d114e107778) 21853 0 R (_OPENTOPIC_TOC_PROCESSING_d114e108068) 21856 0 R (_OPENTOPIC_TOC_PROCESSING_d114e108460) 21859 0 R (_OPENTOPIC_TOC_PROCESSING_d114e108829) 21862 0 R (_OPENTOPIC_TOC_PROCESSING_d114e109219) 21866 0 R (_OPENTOPIC_TOC_PROCESSING_d114e109556) 21869 0 R (_OPENTOPIC_TOC_PROCESSING_d114e109898) 21872 0 R (_OPENTOPIC_TOC_PROCESSING_d114e110273) 21875 0 R (_OPENTOPIC_TOC_PROCESSING_d114e110522) 21878 0 R (_OPENTOPIC_TOC_PROCESSING_d114e110946) 21881 0 R (_OPENTOPIC_TOC_PROCESSING_d114e111343) 21884 0 R (_OPENTOPIC_TOC_PROCESSING_d114e111715) 21887 0 R (_OPENTOPIC_TOC_PROCESSING_d114e112070) 21890 0 R (_OPENTOPIC_TOC_PROCESSING_d114e112383) 21893 0 R (_OPENTOPIC_TOC_PROCESSING_d114e112742) 21896 0 R (_OPENTOPIC_TOC_PROCESSING_d114e112979) 21899 0 R (_OPENTOPIC_TOC_PROCESSING_d114e113311) 21902 0 R (_OPENTOPIC_TOC_PROCESSING_d114e113680) 21906 0 R (_OPENTOPIC_TOC_PROCESSING_d114e114035) 21909 0 R (_OPENTOPIC_TOC_PROCESSING_d114e114475) 21912 0 R (_OPENTOPIC_TOC_PROCESSING_d114e115047) 21915 0 R (_OPENTOPIC_TOC_PROCESSING_d114e115511) 21918 0 R (_OPENTOPIC_TOC_PROCESSING_d114e115901) 21921 0 R (_OPENTOPIC_TOC_PROCESSING_d114e116274) 21924 0 R (_OPENTOPIC_TOC_PROCESSING_d114e116484) 21927 0 R (_OPENTOPIC_TOC_PROCESSING_d114e116803) 21930 0 R (_OPENTOPIC_TOC_PROCESSING_d114e117130) 21933 0 R (_OPENTOPIC_TOC_PROCESSING_d114e117395) 21936 0 R (_OPENTOPIC_TOC_PROCESSING_d114e117787) 21940 0 R (_OPENTOPIC_TOC_PROCESSING_d114e118331) 21943 0 R (_OPENTOPIC_TOC_PROCESSING_d114e118774) 21946 0 R (_OPENTOPIC_TOC_PROCESSING_d114e119147) 21950 0 R (_OPENTOPIC_TOC_PROCESSING_d114e119521) 21954 0 R (_OPENTOPIC_TOC_PROCESSING_d114e119985) 21958 0 R (_OPENTOPIC_TOC_PROCESSING_d114e120160) 21961 0 R (_OPENTOPIC_TOC_PROCESSING_d114e120357) 21964 0 R (_OPENTOPIC_TOC_PROCESSING_d114e120677) 21968 0 R (_OPENTOPIC_TOC_PROCESSING_d114e121081) 21971 0 R (_OPENTOPIC_TOC_PROCESSING_d114e121399) 21974 0 R (_OPENTOPIC_TOC_PROCESSING_d114e121728) 21977 0 R (_OPENTOPIC_TOC_PROCESSING_d114e121994) 21982 0 R (_OPENTOPIC_TOC_PROCESSING_d114e122325) 21986 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12239) 20746 0 R (_OPENTOPIC_TOC_PROCESSING_d114e122662) 21989 0 R (_OPENTOPIC_TOC_PROCESSING_d114e123005) 21992 0 R] >> endobj 23992 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e123322) (_OPENTOPIC_TOC_PROCESSING_d114e138001)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e123322) 21995 0 R (_OPENTOPIC_TOC_PROCESSING_d114e123567) 21998 0 R (_OPENTOPIC_TOC_PROCESSING_d114e123858) 22001 0 R (_OPENTOPIC_TOC_PROCESSING_d114e124231) 22004 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12458) 20750 0 R (_OPENTOPIC_TOC_PROCESSING_d114e124589) 22008 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12461) 20752 0 R (_OPENTOPIC_TOC_PROCESSING_d114e124991) 22011 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12528) 20754 0 R (_OPENTOPIC_TOC_PROCESSING_d114e125345) 22014 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12567) 20756 0 R (_OPENTOPIC_TOC_PROCESSING_d114e125716) 22017 0 R (_OPENTOPIC_TOC_PROCESSING_d114e125919) 22020 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12595) 20758 0 R (_OPENTOPIC_TOC_PROCESSING_d114e126091) 22023 0 R (_OPENTOPIC_TOC_PROCESSING_d114e126464) 22026 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12669) 20761 0 R (_OPENTOPIC_TOC_PROCESSING_d114e126786) 22030 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12705) 20763 0 R (_OPENTOPIC_TOC_PROCESSING_d114e127577) 22033 0 R (_OPENTOPIC_TOC_PROCESSING_d114e127857) 22036 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12793) 20765 0 R (_OPENTOPIC_TOC_PROCESSING_d114e128244) 22039 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12825) 20767 0 R (_OPENTOPIC_TOC_PROCESSING_d114e128569) 22042 0 R (_OPENTOPIC_TOC_PROCESSING_d114e128802) 22045 0 R (_OPENTOPIC_TOC_PROCESSING_d114e129209) 22048 0 R (_OPENTOPIC_TOC_PROCESSING_d114e129459) 22051 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12950) 20769 0 R (_OPENTOPIC_TOC_PROCESSING_d114e12953) 20771 0 R (_OPENTOPIC_TOC_PROCESSING_d114e129813) 22056 0 R (_OPENTOPIC_TOC_PROCESSING_d114e130248) 22059 0 R (_OPENTOPIC_TOC_PROCESSING_d114e130573) 22062 0 R (_OPENTOPIC_TOC_PROCESSING_d114e130798) 22065 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13085) 20778 0 R (_OPENTOPIC_TOC_PROCESSING_d114e131105) 22068 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13135) 20780 0 R (_OPENTOPIC_TOC_PROCESSING_d114e131394) 22074 0 R (_OPENTOPIC_TOC_PROCESSING_d114e131782) 22077 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13199) 20784 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132048) 22080 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132257) 22083 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132475) 22086 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132687) 22089 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132920) 22092 0 R (_OPENTOPIC_TOC_PROCESSING_d114e133162) 22095 0 R (_OPENTOPIC_TOC_PROCESSING_d114e133475) 22098 0 R (_OPENTOPIC_TOC_PROCESSING_d114e133718) 22101 0 R (_OPENTOPIC_TOC_PROCESSING_d114e134402) 22104 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13441) 20795 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13462) 20797 0 R (_OPENTOPIC_TOC_PROCESSING_d114e134677) 22107 0 R (_OPENTOPIC_TOC_PROCESSING_d114e134886) 22110 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13530) 20799 0 R (_OPENTOPIC_TOC_PROCESSING_d114e135597) 22113 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13592) 20805 0 R (_OPENTOPIC_TOC_PROCESSING_d114e136178) 22117 0 R (_OPENTOPIC_TOC_PROCESSING_d114e136387) 22120 0 R (_OPENTOPIC_TOC_PROCESSING_d114e136803) 22123 0 R (_OPENTOPIC_TOC_PROCESSING_d114e136985) 22126 0 R (_OPENTOPIC_TOC_PROCESSING_d114e137208) 22129 0 R (_OPENTOPIC_TOC_PROCESSING_d114e137399) 22132 0 R (_OPENTOPIC_TOC_PROCESSING_d114e137697) 22135 0 R (_OPENTOPIC_TOC_PROCESSING_d114e138001) 22138 0 R] >> endobj 23993 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e138230) (_OPENTOPIC_TOC_PROCESSING_d114e154633)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e138230) 22141 0 R (_OPENTOPIC_TOC_PROCESSING_d114e138449) 22144 0 R (_OPENTOPIC_TOC_PROCESSING_d114e138752) 22148 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13892) 20812 0 R (_OPENTOPIC_TOC_PROCESSING_d114e138969) 22151 0 R (_OPENTOPIC_TOC_PROCESSING_d114e139243) 22154 0 R (_OPENTOPIC_TOC_PROCESSING_d114e13942) 20817 0 R (_OPENTOPIC_TOC_PROCESSING_d114e139491) 22157 0 R (_OPENTOPIC_TOC_PROCESSING_d114e139700) 22160 0 R (_OPENTOPIC_TOC_PROCESSING_d114e139928) 22163 0 R (_OPENTOPIC_TOC_PROCESSING_d114e140160) 22170 0 R (_OPENTOPIC_TOC_PROCESSING_d114e140355) 22173 0 R (_OPENTOPIC_TOC_PROCESSING_d114e140695) 22176 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14076) 20819 0 R (_OPENTOPIC_TOC_PROCESSING_d114e140950) 22179 0 R (_OPENTOPIC_TOC_PROCESSING_d114e141172) 22183 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14126) 20823 0 R (_OPENTOPIC_TOC_PROCESSING_d114e141424) 22186 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14159) 20825 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14162) 20827 0 R (_OPENTOPIC_TOC_PROCESSING_d114e141668) 22189 0 R (_OPENTOPIC_TOC_PROCESSING_d114e141906) 22192 0 R (_OPENTOPIC_TOC_PROCESSING_d114e142082) 22195 0 R (_OPENTOPIC_TOC_PROCESSING_d114e142292) 22198 0 R (_OPENTOPIC_TOC_PROCESSING_d114e142549) 22201 0 R (_OPENTOPIC_TOC_PROCESSING_d114e142809) 22204 0 R (_OPENTOPIC_TOC_PROCESSING_d114e143112) 22207 0 R (_OPENTOPIC_TOC_PROCESSING_d114e143342) 22210 0 R (_OPENTOPIC_TOC_PROCESSING_d114e143667) 22213 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14370) 20830 0 R (_OPENTOPIC_TOC_PROCESSING_d114e143911) 22216 0 R (_OPENTOPIC_TOC_PROCESSING_d114e144201) 22219 0 R (_OPENTOPIC_TOC_PROCESSING_d114e144556) 22222 0 R (_OPENTOPIC_TOC_PROCESSING_d114e144816) 22225 0 R (_OPENTOPIC_TOC_PROCESSING_d114e145029) 22228 0 R (_OPENTOPIC_TOC_PROCESSING_d114e145314) 22231 0 R (_OPENTOPIC_TOC_PROCESSING_d114e145554) 22234 0 R (_OPENTOPIC_TOC_PROCESSING_d114e145830) 22237 0 R (_OPENTOPIC_TOC_PROCESSING_d114e146689) 22243 0 R (_OPENTOPIC_TOC_PROCESSING_d114e146925) 22250 0 R (_OPENTOPIC_TOC_PROCESSING_d114e147744) 22253 0 R (_OPENTOPIC_TOC_PROCESSING_d114e147976) 22256 0 R (_OPENTOPIC_TOC_PROCESSING_d114e148609) 22259 0 R (_OPENTOPIC_TOC_PROCESSING_d114e148906) 22262 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149162) 22265 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149389) 22268 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149516) 22271 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149667) 22274 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149993) 22277 0 R (_OPENTOPIC_TOC_PROCESSING_d114e150371) 22280 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151030) 22283 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151239) 22286 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151465) 22289 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151940) 22293 0 R (_OPENTOPIC_TOC_PROCESSING_d114e152179) 22296 0 R (_OPENTOPIC_TOC_PROCESSING_d114e152379) 22299 0 R (_OPENTOPIC_TOC_PROCESSING_d114e152641) 22302 0 R (_OPENTOPIC_TOC_PROCESSING_d114e152912) 22305 0 R (_OPENTOPIC_TOC_PROCESSING_d114e153176) 22308 0 R (_OPENTOPIC_TOC_PROCESSING_d114e153410) 22311 0 R (_OPENTOPIC_TOC_PROCESSING_d114e153952) 22314 0 R (_OPENTOPIC_TOC_PROCESSING_d114e154154) 22317 0 R (_OPENTOPIC_TOC_PROCESSING_d114e154359) 22322 0 R (_OPENTOPIC_TOC_PROCESSING_d114e154633) 22325 0 R] >> endobj 23994 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e154844) (_OPENTOPIC_TOC_PROCESSING_d114e171956)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e154844) 22328 0 R (_OPENTOPIC_TOC_PROCESSING_d114e155093) 22331 0 R (_OPENTOPIC_TOC_PROCESSING_d114e155368) 22334 0 R (_OPENTOPIC_TOC_PROCESSING_d114e155623) 22337 0 R (_OPENTOPIC_TOC_PROCESSING_d114e155978) 22340 0 R (_OPENTOPIC_TOC_PROCESSING_d114e156380) 22343 0 R (_OPENTOPIC_TOC_PROCESSING_d114e156707) 22346 0 R (_OPENTOPIC_TOC_PROCESSING_d114e156936) 22349 0 R (_OPENTOPIC_TOC_PROCESSING_d114e157127) 22352 0 R (_OPENTOPIC_TOC_PROCESSING_d114e157337) 22355 0 R (_OPENTOPIC_TOC_PROCESSING_d114e157579) 22362 0 R (_OPENTOPIC_TOC_PROCESSING_d114e157843) 22365 0 R (_OPENTOPIC_TOC_PROCESSING_d114e158121) 22372 0 R (_OPENTOPIC_TOC_PROCESSING_d114e158383) 22375 0 R (_OPENTOPIC_TOC_PROCESSING_d114e158584) 22382 0 R (_OPENTOPIC_TOC_PROCESSING_d114e158806) 22385 0 R (_OPENTOPIC_TOC_PROCESSING_d114e159091) 22388 0 R (_OPENTOPIC_TOC_PROCESSING_d114e159349) 22393 0 R (_OPENTOPIC_TOC_PROCESSING_d114e159605) 22396 0 R (_OPENTOPIC_TOC_PROCESSING_d114e159867) 22399 0 R (_OPENTOPIC_TOC_PROCESSING_d114e160123) 22402 0 R (_OPENTOPIC_TOC_PROCESSING_d114e160240) 22405 0 R (_OPENTOPIC_TOC_PROCESSING_d114e160480) 22408 0 R (_OPENTOPIC_TOC_PROCESSING_d114e160711) 22411 0 R (_OPENTOPIC_TOC_PROCESSING_d114e160933) 22414 0 R (_OPENTOPIC_TOC_PROCESSING_d114e161142) 22417 0 R (_OPENTOPIC_TOC_PROCESSING_d114e161404) 22420 0 R (_OPENTOPIC_TOC_PROCESSING_d114e161621) 22423 0 R (_OPENTOPIC_TOC_PROCESSING_d114e161824) 22426 0 R (_OPENTOPIC_TOC_PROCESSING_d114e162053) 22429 0 R (_OPENTOPIC_TOC_PROCESSING_d114e162271) 22432 0 R (_OPENTOPIC_TOC_PROCESSING_d114e162705) 22435 0 R (_OPENTOPIC_TOC_PROCESSING_d114e162962) 22438 0 R (_OPENTOPIC_TOC_PROCESSING_d114e163191) 22441 0 R (_OPENTOPIC_TOC_PROCESSING_d114e163332) 22444 0 R (_OPENTOPIC_TOC_PROCESSING_d114e163556) 22448 0 R (_OPENTOPIC_TOC_PROCESSING_d114e163760) 22452 0 R (_OPENTOPIC_TOC_PROCESSING_d114e164026) 22460 0 R (_OPENTOPIC_TOC_PROCESSING_d114e164307) 22463 0 R (_OPENTOPIC_TOC_PROCESSING_d114e164529) 22466 0 R (_OPENTOPIC_TOC_PROCESSING_d114e164759) 22469 0 R (_OPENTOPIC_TOC_PROCESSING_d114e164972) 22472 0 R (_OPENTOPIC_TOC_PROCESSING_d114e165185) 22475 0 R (_OPENTOPIC_TOC_PROCESSING_d114e165442) 22478 0 R (_OPENTOPIC_TOC_PROCESSING_d114e165640) 22481 0 R (_OPENTOPIC_TOC_PROCESSING_d114e165908) 22484 0 R (_OPENTOPIC_TOC_PROCESSING_d114e166047) 22487 0 R (_OPENTOPIC_TOC_PROCESSING_d114e166310) 22490 0 R (_OPENTOPIC_TOC_PROCESSING_d114e166590) 22493 0 R (_OPENTOPIC_TOC_PROCESSING_d114e166876) 22496 0 R (_OPENTOPIC_TOC_PROCESSING_d114e167140) 22499 0 R (_OPENTOPIC_TOC_PROCESSING_d114e167388) 22502 0 R (_OPENTOPIC_TOC_PROCESSING_d114e167721) 22505 0 R (_OPENTOPIC_TOC_PROCESSING_d114e167988) 22508 0 R (_OPENTOPIC_TOC_PROCESSING_d114e168489) 22513 0 R (_OPENTOPIC_TOC_PROCESSING_d114e168698) 22516 0 R (_OPENTOPIC_TOC_PROCESSING_d114e169260) 22519 0 R (_OPENTOPIC_TOC_PROCESSING_d114e169529) 22522 0 R (_OPENTOPIC_TOC_PROCESSING_d114e170124) 22525 0 R (_OPENTOPIC_TOC_PROCESSING_d114e170567) 22528 0 R (_OPENTOPIC_TOC_PROCESSING_d114e170969) 22531 0 R (_OPENTOPIC_TOC_PROCESSING_d114e171302) 22534 0 R (_OPENTOPIC_TOC_PROCESSING_d114e171569) 22537 0 R (_OPENTOPIC_TOC_PROCESSING_d114e171956) 22540 0 R] >> endobj 23995 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e172158) (_OPENTOPIC_TOC_PROCESSING_d114e195256)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e172158) 22543 0 R (_OPENTOPIC_TOC_PROCESSING_d114e172425) 22548 0 R (_OPENTOPIC_TOC_PROCESSING_d114e172766) 22551 0 R (_OPENTOPIC_TOC_PROCESSING_d114e173093) 22559 0 R (_OPENTOPIC_TOC_PROCESSING_d114e173430) 22562 0 R (_OPENTOPIC_TOC_PROCESSING_d114e173825) 22565 0 R (_OPENTOPIC_TOC_PROCESSING_d114e174079) 22568 0 R (_OPENTOPIC_TOC_PROCESSING_d114e175265) 22572 0 R (_OPENTOPIC_TOC_PROCESSING_d114e175594) 22575 0 R (_OPENTOPIC_TOC_PROCESSING_d114e176184) 22578 0 R (_OPENTOPIC_TOC_PROCESSING_d114e176405) 22581 0 R (_OPENTOPIC_TOC_PROCESSING_d114e176654) 22584 0 R (_OPENTOPIC_TOC_PROCESSING_d114e177299) 22587 0 R (_OPENTOPIC_TOC_PROCESSING_d114e177505) 22590 0 R (_OPENTOPIC_TOC_PROCESSING_d114e178048) 22593 0 R (_OPENTOPIC_TOC_PROCESSING_d114e178332) 22596 0 R (_OPENTOPIC_TOC_PROCESSING_d114e178604) 22600 0 R (_OPENTOPIC_TOC_PROCESSING_d114e178892) 22603 0 R (_OPENTOPIC_TOC_PROCESSING_d114e179164) 22610 0 R (_OPENTOPIC_TOC_PROCESSING_d114e179451) 22614 0 R (_OPENTOPIC_TOC_PROCESSING_d114e179651) 22617 0 R (_OPENTOPIC_TOC_PROCESSING_d114e179975) 22620 0 R (_OPENTOPIC_TOC_PROCESSING_d114e180260) 22623 0 R (_OPENTOPIC_TOC_PROCESSING_d114e180639) 22627 0 R (_OPENTOPIC_TOC_PROCESSING_d114e181154) 22630 0 R (_OPENTOPIC_TOC_PROCESSING_d114e181379) 22633 0 R (_OPENTOPIC_TOC_PROCESSING_d114e181928) 22636 0 R (_OPENTOPIC_TOC_PROCESSING_d114e182227) 22639 0 R (_OPENTOPIC_TOC_PROCESSING_d114e182465) 22642 0 R (_OPENTOPIC_TOC_PROCESSING_d114e182811) 22645 0 R (_OPENTOPIC_TOC_PROCESSING_d114e183292) 22648 0 R (_OPENTOPIC_TOC_PROCESSING_d114e183596) 22651 0 R (_OPENTOPIC_TOC_PROCESSING_d114e183960) 22656 0 R (_OPENTOPIC_TOC_PROCESSING_d114e184419) 22659 0 R (_OPENTOPIC_TOC_PROCESSING_d114e184976) 22662 0 R (_OPENTOPIC_TOC_PROCESSING_d114e185334) 22666 0 R (_OPENTOPIC_TOC_PROCESSING_d114e185899) 22673 0 R (_OPENTOPIC_TOC_PROCESSING_d114e186276) 22677 0 R (_OPENTOPIC_TOC_PROCESSING_d114e186794) 22681 0 R (_OPENTOPIC_TOC_PROCESSING_d114e187007) 22684 0 R (_OPENTOPIC_TOC_PROCESSING_d114e187308) 22692 0 R (_OPENTOPIC_TOC_PROCESSING_d114e187494) 22695 0 R (_OPENTOPIC_TOC_PROCESSING_d114e187723) 22698 0 R (_OPENTOPIC_TOC_PROCESSING_d114e188130) 22701 0 R (_OPENTOPIC_TOC_PROCESSING_d114e188333) 22704 0 R (_OPENTOPIC_TOC_PROCESSING_d114e188840) 22707 0 R (_OPENTOPIC_TOC_PROCESSING_d114e189239) 22710 0 R (_OPENTOPIC_TOC_PROCESSING_d114e190356) 22714 0 R (_OPENTOPIC_TOC_PROCESSING_d114e191210) 22718 0 R (_OPENTOPIC_TOC_PROCESSING_d114e191437) 22721 0 R (_OPENTOPIC_TOC_PROCESSING_d114e192077) 22724 0 R (_OPENTOPIC_TOC_PROCESSING_d114e192352) 22727 0 R (_OPENTOPIC_TOC_PROCESSING_d114e192713) 22730 0 R (_OPENTOPIC_TOC_PROCESSING_d114e192904) 22733 0 R (_OPENTOPIC_TOC_PROCESSING_d114e193111) 22736 0 R (_OPENTOPIC_TOC_PROCESSING_d114e193341) 22739 0 R (_OPENTOPIC_TOC_PROCESSING_d114e193613) 22742 0 R (_OPENTOPIC_TOC_PROCESSING_d114e193814) 22749 0 R (_OPENTOPIC_TOC_PROCESSING_d114e194045) 22752 0 R (_OPENTOPIC_TOC_PROCESSING_d114e194281) 22755 0 R (_OPENTOPIC_TOC_PROCESSING_d114e194482) 22758 0 R (_OPENTOPIC_TOC_PROCESSING_d114e194684) 22761 0 R (_OPENTOPIC_TOC_PROCESSING_d114e195057) 22764 0 R (_OPENTOPIC_TOC_PROCESSING_d114e195256) 22767 0 R] >> endobj 23996 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e195715) (_OPENTOPIC_TOC_PROCESSING_d114e212901)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e195715) 22770 0 R (_OPENTOPIC_TOC_PROCESSING_d114e195928) 22773 0 R (_OPENTOPIC_TOC_PROCESSING_d114e196166) 22776 0 R (_OPENTOPIC_TOC_PROCESSING_d114e196403) 22779 0 R (_OPENTOPIC_TOC_PROCESSING_d114e196554) 22782 0 R (_OPENTOPIC_TOC_PROCESSING_d114e196795) 22785 0 R (_OPENTOPIC_TOC_PROCESSING_d114e196975) 22788 0 R (_OPENTOPIC_TOC_PROCESSING_d114e197345) 22791 0 R (_OPENTOPIC_TOC_PROCESSING_d114e197566) 22794 0 R (_OPENTOPIC_TOC_PROCESSING_d114e197787) 22797 0 R (_OPENTOPIC_TOC_PROCESSING_d114e198087) 22800 0 R (_OPENTOPIC_TOC_PROCESSING_d114e198447) 22803 0 R (_OPENTOPIC_TOC_PROCESSING_d114e198737) 22806 0 R (_OPENTOPIC_TOC_PROCESSING_d114e199024) 22809 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19905) 20908 0 R (_OPENTOPIC_TOC_PROCESSING_d114e199213) 22812 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19926) 20910 0 R (_OPENTOPIC_TOC_PROCESSING_d114e199451) 22820 0 R (_OPENTOPIC_TOC_PROCESSING_d114e200291) 22823 0 R (_OPENTOPIC_TOC_PROCESSING_d114e200575) 22826 0 R (_OPENTOPIC_TOC_PROCESSING_d114e200815) 22829 0 R (_OPENTOPIC_TOC_PROCESSING_d114e201056) 22834 0 R (_OPENTOPIC_TOC_PROCESSING_d114e201661) 22837 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20167) 20913 0 R (_OPENTOPIC_TOC_PROCESSING_d114e201914) 22840 0 R (_OPENTOPIC_TOC_PROCESSING_d114e202154) 22843 0 R (_OPENTOPIC_TOC_PROCESSING_d114e202442) 22846 0 R (_OPENTOPIC_TOC_PROCESSING_d114e202766) 22849 0 R (_OPENTOPIC_TOC_PROCESSING_d114e202978) 22852 0 R (_OPENTOPIC_TOC_PROCESSING_d114e203289) 22855 0 R (_OPENTOPIC_TOC_PROCESSING_d114e203469) 22858 0 R (_OPENTOPIC_TOC_PROCESSING_d114e203704) 22861 0 R (_OPENTOPIC_TOC_PROCESSING_d114e204043) 22864 0 R (_OPENTOPIC_TOC_PROCESSING_d114e204291) 22867 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20460) 20916 0 R (_OPENTOPIC_TOC_PROCESSING_d114e204742) 22870 0 R (_OPENTOPIC_TOC_PROCESSING_d114e205185) 22873 0 R (_OPENTOPIC_TOC_PROCESSING_d114e205416) 22876 0 R (_OPENTOPIC_TOC_PROCESSING_d114e205620) 22879 0 R (_OPENTOPIC_TOC_PROCESSING_d114e206089) 22882 0 R (_OPENTOPIC_TOC_PROCESSING_d114e206305) 22885 0 R (_OPENTOPIC_TOC_PROCESSING_d114e206612) 22888 0 R (_OPENTOPIC_TOC_PROCESSING_d114e207021) 22891 0 R (_OPENTOPIC_TOC_PROCESSING_d114e207295) 22894 0 R (_OPENTOPIC_TOC_PROCESSING_d114e207755) 22897 0 R (_OPENTOPIC_TOC_PROCESSING_d114e208139) 22900 0 R (_OPENTOPIC_TOC_PROCESSING_d114e208336) 22903 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20836) 20919 0 R (_OPENTOPIC_TOC_PROCESSING_d114e208619) 22906 0 R (_OPENTOPIC_TOC_PROCESSING_d114e208905) 22909 0 R (_OPENTOPIC_TOC_PROCESSING_d114e209337) 22912 0 R (_OPENTOPIC_TOC_PROCESSING_d114e209524) 22915 0 R (_OPENTOPIC_TOC_PROCESSING_d114e209809) 22918 0 R (_OPENTOPIC_TOC_PROCESSING_d114e210275) 22921 0 R (_OPENTOPIC_TOC_PROCESSING_d114e210572) 22924 0 R (_OPENTOPIC_TOC_PROCESSING_d114e210868) 22927 0 R (_OPENTOPIC_TOC_PROCESSING_d114e211078) 22930 0 R (_OPENTOPIC_TOC_PROCESSING_d114e211298) 22933 0 R (_OPENTOPIC_TOC_PROCESSING_d114e211490) 22936 0 R (_OPENTOPIC_TOC_PROCESSING_d114e211695) 22939 0 R (_OPENTOPIC_TOC_PROCESSING_d114e212228) 22942 0 R (_OPENTOPIC_TOC_PROCESSING_d114e212442) 22945 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21284) 20922 0 R (_OPENTOPIC_TOC_PROCESSING_d114e212901) 22948 0 R] >> endobj 23997 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e213386) (_OPENTOPIC_TOC_PROCESSING_d114e229519)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e213386) 22951 0 R (_OPENTOPIC_TOC_PROCESSING_d114e213995) 22954 0 R (_OPENTOPIC_TOC_PROCESSING_d114e214478) 22957 0 R (_OPENTOPIC_TOC_PROCESSING_d114e214910) 22962 0 R (_OPENTOPIC_TOC_PROCESSING_d114e215165) 22965 0 R (_OPENTOPIC_TOC_PROCESSING_d114e215450) 22968 0 R (_OPENTOPIC_TOC_PROCESSING_d114e215695) 22971 0 R (_OPENTOPIC_TOC_PROCESSING_d114e216006) 22974 0 R (_OPENTOPIC_TOC_PROCESSING_d114e216200) 22977 0 R (_OPENTOPIC_TOC_PROCESSING_d114e216638) 22980 0 R (_OPENTOPIC_TOC_PROCESSING_d114e216901) 22983 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21741) 20925 0 R (_OPENTOPIC_TOC_PROCESSING_d114e217439) 22986 0 R (_OPENTOPIC_TOC_PROCESSING_d114e217726) 22989 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218049) 22992 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218387) 22995 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218727) 22998 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218992) 23002 0 R (_OPENTOPIC_TOC_PROCESSING_d114e219249) 23005 0 R (_OPENTOPIC_TOC_PROCESSING_d114e219571) 23008 0 R (_OPENTOPIC_TOC_PROCESSING_d114e219761) 23011 0 R (_OPENTOPIC_TOC_PROCESSING_d114e219905) 23014 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220097) 23017 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220348) 23020 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220523) 23023 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220721) 23026 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220865) 23029 0 R (_OPENTOPIC_TOC_PROCESSING_d114e221098) 23037 0 R (_OPENTOPIC_TOC_PROCESSING_d114e221328) 23040 0 R (_OPENTOPIC_TOC_PROCESSING_d114e221558) 23043 0 R (_OPENTOPIC_TOC_PROCESSING_d114e221754) 23046 0 R (_OPENTOPIC_TOC_PROCESSING_d114e221966) 23049 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22234) 20931 0 R (_OPENTOPIC_TOC_PROCESSING_d114e223108) 23052 0 R (_OPENTOPIC_TOC_PROCESSING_d114e223375) 23055 0 R (_OPENTOPIC_TOC_PROCESSING_d114e223593) 23058 0 R (_OPENTOPIC_TOC_PROCESSING_d114e223834) 23061 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224074) 23064 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224363) 23067 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224593) 23070 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224786) 23073 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224973) 23076 0 R (_OPENTOPIC_TOC_PROCESSING_d114e225179) 23079 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22519) 20936 0 R (_OPENTOPIC_TOC_PROCESSING_d114e225339) 23082 0 R (_OPENTOPIC_TOC_PROCESSING_d114e225532) 23085 0 R (_OPENTOPIC_TOC_PROCESSING_d114e225734) 23088 0 R (_OPENTOPIC_TOC_PROCESSING_d114e226066) 23091 0 R (_OPENTOPIC_TOC_PROCESSING_d114e226357) 23094 0 R (_OPENTOPIC_TOC_PROCESSING_d114e226557) 23097 0 R (_OPENTOPIC_TOC_PROCESSING_d114e226717) 23100 0 R (_OPENTOPIC_TOC_PROCESSING_d114e227176) 23103 0 R (_OPENTOPIC_TOC_PROCESSING_d114e227488) 23106 0 R (_OPENTOPIC_TOC_PROCESSING_d114e227688) 23109 0 R (_OPENTOPIC_TOC_PROCESSING_d114e227816) 23112 0 R (_OPENTOPIC_TOC_PROCESSING_d114e227996) 23115 0 R (_OPENTOPIC_TOC_PROCESSING_d114e228208) 23118 0 R (_OPENTOPIC_TOC_PROCESSING_d114e228431) 23121 0 R (_OPENTOPIC_TOC_PROCESSING_d114e228715) 23124 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22874) 20939 0 R (_OPENTOPIC_TOC_PROCESSING_d114e228854) 23127 0 R (_OPENTOPIC_TOC_PROCESSING_d114e229127) 23130 0 R (_OPENTOPIC_TOC_PROCESSING_d114e229374) 23133 0 R (_OPENTOPIC_TOC_PROCESSING_d114e229519) 23136 0 R] >> endobj 23998 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e229753) (_OPENTOPIC_TOC_PROCESSING_d114e27379)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e229753) 23139 0 R (_OPENTOPIC_TOC_PROCESSING_d114e229949) 23142 0 R (_OPENTOPIC_TOC_PROCESSING_d114e230166) 23145 0 R (_OPENTOPIC_TOC_PROCESSING_d114e230502) 23149 0 R (_OPENTOPIC_TOC_PROCESSING_d114e230683) 23152 0 R (_OPENTOPIC_TOC_PROCESSING_d114e230941) 23155 0 R (_OPENTOPIC_TOC_PROCESSING_d114e231185) 23158 0 R (_OPENTOPIC_TOC_PROCESSING_d114e231429) 23161 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23144) 20942 0 R (_OPENTOPIC_TOC_PROCESSING_d114e231646) 23164 0 R (_OPENTOPIC_TOC_PROCESSING_d114e231806) 23167 0 R (_OPENTOPIC_TOC_PROCESSING_d114e232158) 23170 0 R (_OPENTOPIC_TOC_PROCESSING_d114e232665) 23173 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23285) 20945 0 R (_OPENTOPIC_TOC_PROCESSING_d114e233195) 23176 0 R (_OPENTOPIC_TOC_PROCESSING_d114e233405) 23179 0 R (_OPENTOPIC_TOC_PROCESSING_d114e233648) 23182 0 R (_OPENTOPIC_TOC_PROCESSING_d114e234143) 23185 0 R (_OPENTOPIC_TOC_PROCESSING_d114e234468) 23188 0 R (_OPENTOPIC_TOC_PROCESSING_d114e234682) 23191 0 R (_OPENTOPIC_TOC_PROCESSING_d114e234953) 23194 0 R (_OPENTOPIC_TOC_PROCESSING_d114e235165) 23197 0 R (_OPENTOPIC_TOC_PROCESSING_d114e235492) 23200 0 R (_OPENTOPIC_TOC_PROCESSING_d114e235845) 23203 0 R (_OPENTOPIC_TOC_PROCESSING_d114e236178) 23209 0 R (_OPENTOPIC_TOC_PROCESSING_d114e236390) 23215 0 R (_OPENTOPIC_TOC_PROCESSING_d114e236673) 23218 0 R (_OPENTOPIC_TOC_PROCESSING_d114e236954) 23221 0 R (_OPENTOPIC_TOC_PROCESSING_d114e237266) 23224 0 R (_OPENTOPIC_TOC_PROCESSING_d114e237511) 23227 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23772) 20948 0 R (_OPENTOPIC_TOC_PROCESSING_d114e237835) 23230 0 R (_OPENTOPIC_TOC_PROCESSING_d114e238377) 23233 0 R (_OPENTOPIC_TOC_PROCESSING_d114e238592) 23236 0 R (_OPENTOPIC_TOC_PROCESSING_d114e238869) 23239 0 R (_OPENTOPIC_TOC_PROCESSING_d114e239147) 23242 0 R (_OPENTOPIC_TOC_PROCESSING_d114e239350) 23245 0 R (_OPENTOPIC_TOC_PROCESSING_d114e239915) 23248 0 R (_OPENTOPIC_TOC_PROCESSING_d114e240277) 23253 0 R (_OPENTOPIC_TOC_PROCESSING_d114e240594) 23256 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24081) 20951 0 R (_OPENTOPIC_TOC_PROCESSING_d114e240915) 23259 0 R (_OPENTOPIC_TOC_PROCESSING_d114e241416) 23262 0 R (_OPENTOPIC_TOC_PROCESSING_d114e241833) 23265 0 R (_OPENTOPIC_TOC_PROCESSING_d114e242148) 23269 0 R (_OPENTOPIC_TOC_PROCESSING_d114e242602) 23272 0 R (_OPENTOPIC_TOC_PROCESSING_d114e242741) 23275 0 R (_OPENTOPIC_TOC_PROCESSING_d114e243491) 23278 0 R (_OPENTOPIC_TOC_PROCESSING_d114e243512) 23280 0 R (_OPENTOPIC_TOC_PROCESSING_d114e243543) 23283 0 R (_OPENTOPIC_TOC_PROCESSING_d114e243654) 23289 0 R (_OPENTOPIC_TOC_PROCESSING_d114e243786) 23296 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24382) 20954 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24663) 20957 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25046) 20960 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25273) 20963 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25518) 20966 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25760) 20969 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26093) 20972 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26427) 20975 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26659) 20978 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26901) 20981 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27142) 20984 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27379) 20987 0 R] >> endobj 23999 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e27599) (_OPENTOPIC_TOC_PROCESSING_d114e45061)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e27599) 20990 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27831) 20993 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28293) 20996 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28671) 20999 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28993) 21002 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29287) 21005 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29649) 21008 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30114) 21011 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30295) 21014 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30575) 21017 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30917) 21020 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31109) 21024 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31312) 21027 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31895) 21030 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32099) 21033 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32318) 21036 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32515) 21039 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32692) 21042 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32904) 21045 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33113) 21048 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33291) 21051 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33479) 21054 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33646) 21057 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33901) 21060 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34072) 21063 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34316) 21069 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34548) 21072 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34811) 21075 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35040) 21078 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35288) 21081 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35508) 21084 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36119) 21090 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36454) 21093 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36646) 21096 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36785) 21099 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36971) 21104 0 R (_OPENTOPIC_TOC_PROCESSING_d114e37788) 21109 0 R (_OPENTOPIC_TOC_PROCESSING_d114e38084) 21112 0 R (_OPENTOPIC_TOC_PROCESSING_d114e38383) 21115 0 R (_OPENTOPIC_TOC_PROCESSING_d114e38600) 21118 0 R (_OPENTOPIC_TOC_PROCESSING_d114e38892) 21121 0 R (_OPENTOPIC_TOC_PROCESSING_d114e39188) 21124 0 R (_OPENTOPIC_TOC_PROCESSING_d114e39441) 21128 0 R (_OPENTOPIC_TOC_PROCESSING_d114e39720) 21131 0 R (_OPENTOPIC_TOC_PROCESSING_d114e40007) 21134 0 R (_OPENTOPIC_TOC_PROCESSING_d114e40432) 21137 0 R (_OPENTOPIC_TOC_PROCESSING_d114e40735) 21140 0 R (_OPENTOPIC_TOC_PROCESSING_d114e41049) 21143 0 R (_OPENTOPIC_TOC_PROCESSING_d114e41346) 21146 0 R (_OPENTOPIC_TOC_PROCESSING_d114e41494) 21149 0 R (_OPENTOPIC_TOC_PROCESSING_d114e41745) 21152 0 R (_OPENTOPIC_TOC_PROCESSING_d114e41997) 21155 0 R (_OPENTOPIC_TOC_PROCESSING_d114e42278) 21158 0 R (_OPENTOPIC_TOC_PROCESSING_d114e42659) 21161 0 R (_OPENTOPIC_TOC_PROCESSING_d114e42920) 21164 0 R (_OPENTOPIC_TOC_PROCESSING_d114e43113) 21167 0 R (_OPENTOPIC_TOC_PROCESSING_d114e43383) 21170 0 R (_OPENTOPIC_TOC_PROCESSING_d114e43651) 21173 0 R (_OPENTOPIC_TOC_PROCESSING_d114e43811) 21176 0 R (_OPENTOPIC_TOC_PROCESSING_d114e44045) 21179 0 R (_OPENTOPIC_TOC_PROCESSING_d114e44325) 21182 0 R (_OPENTOPIC_TOC_PROCESSING_d114e44622) 21185 0 R (_OPENTOPIC_TOC_PROCESSING_d114e44758) 21188 0 R (_OPENTOPIC_TOC_PROCESSING_d114e45061) 21191 0 R] >> endobj 24000 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e45476) (_OPENTOPIC_TOC_PROCESSING_d114e64925)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e45476) 21194 0 R (_OPENTOPIC_TOC_PROCESSING_d114e45855) 21199 0 R (_OPENTOPIC_TOC_PROCESSING_d114e46106) 21203 0 R (_OPENTOPIC_TOC_PROCESSING_d114e46393) 21206 0 R (_OPENTOPIC_TOC_PROCESSING_d114e46722) 21209 0 R (_OPENTOPIC_TOC_PROCESSING_d114e47221) 21212 0 R (_OPENTOPIC_TOC_PROCESSING_d114e47462) 21215 0 R (_OPENTOPIC_TOC_PROCESSING_d114e47975) 21218 0 R (_OPENTOPIC_TOC_PROCESSING_d114e48351) 21221 0 R (_OPENTOPIC_TOC_PROCESSING_d114e48897) 21224 0 R (_OPENTOPIC_TOC_PROCESSING_d114e49248) 21227 0 R (_OPENTOPIC_TOC_PROCESSING_d114e49533) 21231 0 R (_OPENTOPIC_TOC_PROCESSING_d114e49959) 21234 0 R (_OPENTOPIC_TOC_PROCESSING_d114e50295) 21237 0 R (_OPENTOPIC_TOC_PROCESSING_d114e50601) 21240 0 R (_OPENTOPIC_TOC_PROCESSING_d114e50971) 21243 0 R (_OPENTOPIC_TOC_PROCESSING_d114e51266) 21246 0 R (_OPENTOPIC_TOC_PROCESSING_d114e51543) 21249 0 R (_OPENTOPIC_TOC_PROCESSING_d114e51792) 21252 0 R (_OPENTOPIC_TOC_PROCESSING_d114e52110) 21255 0 R (_OPENTOPIC_TOC_PROCESSING_d114e52440) 21258 0 R (_OPENTOPIC_TOC_PROCESSING_d114e52771) 21261 0 R (_OPENTOPIC_TOC_PROCESSING_d114e53015) 21264 0 R (_OPENTOPIC_TOC_PROCESSING_d114e53471) 21267 0 R (_OPENTOPIC_TOC_PROCESSING_d114e53721) 21270 0 R (_OPENTOPIC_TOC_PROCESSING_d114e53950) 21273 0 R (_OPENTOPIC_TOC_PROCESSING_d114e54262) 21276 0 R (_OPENTOPIC_TOC_PROCESSING_d114e54522) 21279 0 R (_OPENTOPIC_TOC_PROCESSING_d114e54754) 21282 0 R (_OPENTOPIC_TOC_PROCESSING_d114e55038) 21285 0 R (_OPENTOPIC_TOC_PROCESSING_d114e55361) 21288 0 R (_OPENTOPIC_TOC_PROCESSING_d114e55757) 21291 0 R (_OPENTOPIC_TOC_PROCESSING_d114e55933) 21294 0 R (_OPENTOPIC_TOC_PROCESSING_d114e56095) 21297 0 R (_OPENTOPIC_TOC_PROCESSING_d114e56390) 21301 0 R (_OPENTOPIC_TOC_PROCESSING_d114e56880) 21304 0 R (_OPENTOPIC_TOC_PROCESSING_d114e57306) 21307 0 R (_OPENTOPIC_TOC_PROCESSING_d114e57631) 21310 0 R (_OPENTOPIC_TOC_PROCESSING_d114e58005) 21313 0 R (_OPENTOPIC_TOC_PROCESSING_d114e58376) 21316 0 R (_OPENTOPIC_TOC_PROCESSING_d114e58604) 21323 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59089) 21326 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59317) 21329 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59913) 21332 0 R (_OPENTOPIC_TOC_PROCESSING_d114e60150) 21335 0 R (_OPENTOPIC_TOC_PROCESSING_d114e60375) 21338 0 R (_OPENTOPIC_TOC_PROCESSING_d114e60600) 21341 0 R (_OPENTOPIC_TOC_PROCESSING_d114e60816) 21344 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61096) 21347 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61378) 21350 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61592) 21353 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61842) 21356 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62109) 21359 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62382) 21362 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62672) 21365 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62910) 21368 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63164) 21371 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63436) 21374 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63713) 21377 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63988) 21380 0 R (_OPENTOPIC_TOC_PROCESSING_d114e64218) 21383 0 R (_OPENTOPIC_TOC_PROCESSING_d114e64432) 21386 0 R (_OPENTOPIC_TOC_PROCESSING_d114e64697) 21389 0 R (_OPENTOPIC_TOC_PROCESSING_d114e64925) 21392 0 R] >> endobj 24001 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e65121) (_OPENTOPIC_TOC_PROCESSING_d114e80064)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e65121) 21395 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65321) 21398 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65508) 21401 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65623) 21404 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65839) 21407 0 R (_OPENTOPIC_TOC_PROCESSING_d114e66113) 21410 0 R (_OPENTOPIC_TOC_PROCESSING_d114e66359) 21413 0 R (_OPENTOPIC_TOC_PROCESSING_d114e66542) 21416 0 R (_OPENTOPIC_TOC_PROCESSING_d114e66734) 21419 0 R (_OPENTOPIC_TOC_PROCESSING_d114e66931) 21422 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67128) 21425 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67324) 21428 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67641) 21431 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67849) 21434 0 R (_OPENTOPIC_TOC_PROCESSING_d114e68059) 21437 0 R (_OPENTOPIC_TOC_PROCESSING_d114e68285) 21440 0 R (_OPENTOPIC_TOC_PROCESSING_d114e68495) 21443 0 R (_OPENTOPIC_TOC_PROCESSING_d114e68698) 21446 0 R (_OPENTOPIC_TOC_PROCESSING_d114e68888) 21449 0 R (_OPENTOPIC_TOC_PROCESSING_d114e69088) 21452 0 R (_OPENTOPIC_TOC_PROCESSING_d114e69337) 21455 0 R (_OPENTOPIC_TOC_PROCESSING_d114e69519) 21458 0 R (_OPENTOPIC_TOC_PROCESSING_d114e69730) 21461 0 R (_OPENTOPIC_TOC_PROCESSING_d114e69933) 21464 0 R (_OPENTOPIC_TOC_PROCESSING_d114e70062) 21467 0 R (_OPENTOPIC_TOC_PROCESSING_d114e70262) 21470 0 R (_OPENTOPIC_TOC_PROCESSING_d114e70456) 21474 0 R (_OPENTOPIC_TOC_PROCESSING_d114e70675) 21477 0 R (_OPENTOPIC_TOC_PROCESSING_d114e70887) 21481 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71081) 21484 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71254) 21487 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71462) 21490 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71769) 21493 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71949) 21496 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72198) 21499 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72415) 21502 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72664) 21505 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72918) 21508 0 R (_OPENTOPIC_TOC_PROCESSING_d114e73174) 21511 0 R (_OPENTOPIC_TOC_PROCESSING_d114e73418) 21514 0 R (_OPENTOPIC_TOC_PROCESSING_d114e73629) 21517 0 R (_OPENTOPIC_TOC_PROCESSING_d114e73971) 21520 0 R (_OPENTOPIC_TOC_PROCESSING_d114e74264) 21523 0 R (_OPENTOPIC_TOC_PROCESSING_d114e74492) 21526 0 R (_OPENTOPIC_TOC_PROCESSING_d114e74755) 21529 0 R (_OPENTOPIC_TOC_PROCESSING_d114e74974) 21532 0 R (_OPENTOPIC_TOC_PROCESSING_d114e75238) 21535 0 R (_OPENTOPIC_TOC_PROCESSING_d114e75477) 21538 0 R (_OPENTOPIC_TOC_PROCESSING_d114e75641) 21541 0 R (_OPENTOPIC_TOC_PROCESSING_d114e75875) 21544 0 R (_OPENTOPIC_TOC_PROCESSING_d114e76208) 21547 0 R (_OPENTOPIC_TOC_PROCESSING_d114e76951) 21552 0 R (_OPENTOPIC_TOC_PROCESSING_d114e77246) 21555 0 R (_OPENTOPIC_TOC_PROCESSING_d114e77556) 21558 0 R (_OPENTOPIC_TOC_PROCESSING_d114e77920) 21561 0 R (_OPENTOPIC_TOC_PROCESSING_d114e78293) 21564 0 R (_OPENTOPIC_TOC_PROCESSING_d114e78538) 21567 0 R (_OPENTOPIC_TOC_PROCESSING_d114e78714) 21570 0 R (_OPENTOPIC_TOC_PROCESSING_d114e78878) 21573 0 R (_OPENTOPIC_TOC_PROCESSING_d114e79134) 21576 0 R (_OPENTOPIC_TOC_PROCESSING_d114e79354) 21579 0 R (_OPENTOPIC_TOC_PROCESSING_d114e79519) 21582 0 R (_OPENTOPIC_TOC_PROCESSING_d114e79842) 21585 0 R (_OPENTOPIC_TOC_PROCESSING_d114e80064) 21588 0 R] >> endobj 24002 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e80228) (d114e102741)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e80228) 21591 0 R (_OPENTOPIC_TOC_PROCESSING_d114e80392) 21594 0 R (_OPENTOPIC_TOC_PROCESSING_d114e80763) 21597 0 R (_OPENTOPIC_TOC_PROCESSING_d114e81252) 21600 0 R (_OPENTOPIC_TOC_PROCESSING_d114e81630) 21603 0 R (_OPENTOPIC_TOC_PROCESSING_d114e82015) 21606 0 R (_OPENTOPIC_TOC_PROCESSING_d114e82325) 21609 0 R (_OPENTOPIC_TOC_PROCESSING_d114e82725) 21612 0 R (_OPENTOPIC_TOC_PROCESSING_d114e83123) 21615 0 R (_OPENTOPIC_TOC_PROCESSING_d114e83506) 21618 0 R (_OPENTOPIC_TOC_PROCESSING_d114e83906) 21621 0 R (_OPENTOPIC_TOC_PROCESSING_d114e84300) 21624 0 R (_OPENTOPIC_TOC_PROCESSING_d114e84673) 21627 0 R (_OPENTOPIC_TOC_PROCESSING_d114e84889) 21630 0 R (_OPENTOPIC_TOC_PROCESSING_d114e85251) 21633 0 R (_OPENTOPIC_TOC_PROCESSING_d114e85629) 21636 0 R (_OPENTOPIC_TOC_PROCESSING_d114e86009) 21639 0 R (_OPENTOPIC_TOC_PROCESSING_d114e86384) 21642 0 R (_OPENTOPIC_TOC_PROCESSING_d114e86752) 21645 0 R (_OPENTOPIC_TOC_PROCESSING_d114e87116) 21648 0 R (_OPENTOPIC_TOC_PROCESSING_d114e87485) 21651 0 R (_OPENTOPIC_TOC_PROCESSING_d114e87845) 21654 0 R (_OPENTOPIC_TOC_PROCESSING_d114e88197) 21657 0 R (_OPENTOPIC_TOC_PROCESSING_d114e88543) 21660 0 R (_OPENTOPIC_TOC_PROCESSING_d114e88889) 21663 0 R (_OPENTOPIC_TOC_PROCESSING_d114e89252) 21666 0 R (_OPENTOPIC_TOC_PROCESSING_d114e89645) 21669 0 R (_OPENTOPIC_TOC_PROCESSING_d114e90047) 21672 0 R (_OPENTOPIC_TOC_PROCESSING_d114e90439) 21675 0 R (_OPENTOPIC_TOC_PROCESSING_d114e90833) 21678 0 R (_OPENTOPIC_TOC_PROCESSING_d114e91196) 21682 0 R (_OPENTOPIC_TOC_PROCESSING_d114e91722) 21685 0 R (_OPENTOPIC_TOC_PROCESSING_d114e92117) 21688 0 R (_OPENTOPIC_TOC_PROCESSING_d114e92477) 21691 0 R (_OPENTOPIC_TOC_PROCESSING_d114e92908) 21694 0 R (_OPENTOPIC_TOC_PROCESSING_d114e93234) 21698 0 R (_OPENTOPIC_TOC_PROCESSING_d114e93550) 21706 0 R (_OPENTOPIC_TOC_PROCESSING_d114e93740) 21710 0 R (_OPENTOPIC_TOC_PROCESSING_d114e94123) 21714 0 R (_OPENTOPIC_TOC_PROCESSING_d114e94495) 21718 0 R (_OPENTOPIC_TOC_PROCESSING_d114e94801) 21721 0 R (_OPENTOPIC_TOC_PROCESSING_d114e95194) 21724 0 R (_OPENTOPIC_TOC_PROCESSING_d114e95550) 21727 0 R (_OPENTOPIC_TOC_PROCESSING_d114e95948) 21730 0 R (_OPENTOPIC_TOC_PROCESSING_d114e96243) 21733 0 R (_OPENTOPIC_TOC_PROCESSING_d114e96751) 21736 0 R (_OPENTOPIC_TOC_PROCESSING_d114e97093) 21739 0 R (_OPENTOPIC_TOC_PROCESSING_d114e97460) 21743 0 R (_OPENTOPIC_TOC_PROCESSING_d114e97748) 21747 0 R (_OPENTOPIC_TOC_PROCESSING_d114e98008) 21751 0 R (_OPENTOPIC_TOC_PROCESSING_d114e98176) 21754 0 R (_OPENTOPIC_TOC_PROCESSING_d114e98455) 21757 0 R (_OPENTOPIC_TOC_PROCESSING_d114e98828) 21761 0 R (_OPENTOPIC_TOC_PROCESSING_d114e99201) 21765 0 R (_OPENTOPIC_TOC_PROCESSING_d114e99548) 21768 0 R (_OPENTOPIC_TOC_PROCESSING_d114e99907) 21774 0 R (_OPENTOPIC_TOC_PROCESSING_titlepg01) 20744 0 R (d114e100332) 21778 0 R (d114e100720) 21784 0 R (d114e101113) 21788 0 R (d114e101604) 21792 0 R (d114e101996) 21795 0 R (d114e102338) 21802 0 R (d114e102741) 21806 0 R] >> endobj 24003 0 obj << /Limits [(d114e103063) (d114e125775)] /Names [(d114e103063) 21809 0 R (d114e103431) 21813 0 R (d114e103831) 21817 0 R (d114e104234) 21821 0 R (d114e104650) 21825 0 R (d114e105046) 21828 0 R (d114e105445) 21831 0 R (d114e105838) 21834 0 R (d114e106223) 21837 0 R (d114e106624) 21840 0 R (d114e107040) 21843 0 R (d114e107426) 21846 0 R (d114e107837) 21854 0 R (d114e108127) 21857 0 R (d114e108519) 21860 0 R (d114e108888) 21863 0 R (d114e109278) 21867 0 R (d114e109615) 21870 0 R (d114e109957) 21873 0 R (d114e110332) 21876 0 R (d114e110581) 21879 0 R (d114e111005) 21882 0 R (d114e111402) 21885 0 R (d114e111774) 21888 0 R (d114e112129) 21891 0 R (d114e112442) 21894 0 R (d114e112801) 21897 0 R (d114e113038) 21900 0 R (d114e113370) 21903 0 R (d114e113730) 21907 0 R (d114e114094) 21910 0 R (d114e114534) 21913 0 R (d114e115106) 21916 0 R (d114e115570) 21919 0 R (d114e115960) 21922 0 R (d114e116333) 21925 0 R (d114e116543) 21928 0 R (d114e116862) 21931 0 R (d114e117189) 21934 0 R (d114e117454) 21937 0 R (d114e117846) 21941 0 R (d114e118390) 21944 0 R (d114e118833) 21947 0 R (d114e119206) 21951 0 R (d114e119580) 21955 0 R (d114e120044) 21959 0 R (d114e120219) 21962 0 R (d114e120416) 21965 0 R (d114e120736) 21969 0 R (d114e121140) 21972 0 R (d114e121458) 21975 0 R (d114e121787) 21978 0 R (d114e122053) 21983 0 R (d114e122384) 21987 0 R (d114e122721) 21990 0 R (d114e123064) 21993 0 R (d114e123381) 21996 0 R (d114e123617) 21999 0 R (d114e123917) 22002 0 R (d114e124290) 22005 0 R (d114e124648) 22009 0 R (d114e125050) 22012 0 R (d114e125404) 22015 0 R (d114e125775) 22018 0 R] >> endobj 24004 0 obj << /Limits [(d114e125978) (d114e143970)] /Names [(d114e125978) 22021 0 R (d114e126150) 22024 0 R (d114e126523) 22027 0 R (d114e126836) 22031 0 R (d114e127636) 22034 0 R (d114e127916) 22037 0 R (d114e128303) 22040 0 R (d114e128628) 22043 0 R (d114e128861) 22046 0 R (d114e129268) 22049 0 R (d114e129509) 22052 0 R (d114e129863) 22057 0 R (d114e130298) 22060 0 R (d114e130623) 22063 0 R (d114e130848) 22066 0 R (d114e131155) 22069 0 R (d114e131453) 22075 0 R (d114e131841) 22078 0 R (d114e132107) 22081 0 R (d114e132316) 22084 0 R (d114e132534) 22087 0 R (d114e132746) 22090 0 R (d114e132970) 22093 0 R (d114e133221) 22096 0 R (d114e133534) 22099 0 R (d114e133768) 22102 0 R (d114e134452) 22105 0 R (d114e134727) 22108 0 R (d114e134936) 22111 0 R (d114e135647) 22114 0 R (d114e136228) 22118 0 R (d114e136446) 22121 0 R (d114e136853) 22124 0 R (d114e137044) 22127 0 R (d114e137267) 22130 0 R (d114e137458) 22133 0 R (d114e137756) 22136 0 R (d114e138060) 22139 0 R (d114e138280) 22142 0 R (d114e138499) 22145 0 R (d114e138811) 22149 0 R (d114e139028) 22152 0 R (d114e139293) 22155 0 R (d114e139541) 22158 0 R (d114e139759) 22161 0 R (d114e139987) 22164 0 R (d114e140210) 22171 0 R (d114e140405) 22174 0 R (d114e140745) 22177 0 R (d114e141000) 22180 0 R (d114e141231) 22184 0 R (d114e141474) 22187 0 R (d114e141727) 22190 0 R (d114e14180) 20828 0 R (d114e141956) 22193 0 R (d114e142141) 22196 0 R (d114e142342) 22199 0 R (d114e142608) 22202 0 R (d114e142859) 22205 0 R (d114e143171) 22208 0 R (d114e143392) 22211 0 R (d114e143726) 22214 0 R (d114e14391) 20832 0 R (d114e143970) 22217 0 R] >> endobj 24005 0 obj << /Limits [(d114e144251) (d114e159917)] /Names [(d114e144251) 22220 0 R (d114e144615) 22223 0 R (d114e144875) 22226 0 R (d114e14489) 20834 0 R (d114e145088) 22229 0 R (d114e14524) 20836 0 R (d114e145373) 22232 0 R (d114e14545) 20838 0 R (d114e145604) 22235 0 R (d114e14580) 20840 0 R (d114e145880) 22238 0 R (d114e14661) 20842 0 R (d114e146739) 22244 0 R (d114e146975) 22251 0 R (d114e14741) 20844 0 R (d114e147794) 22254 0 R (d114e14782) 20846 0 R (d114e148026) 22257 0 R (d114e148659) 22260 0 R (d114e148956) 22263 0 R (d114e149212) 22266 0 R (d114e149439) 22269 0 R (d114e149566) 22272 0 R (d114e149717) 22275 0 R (d114e150043) 22278 0 R (d114e150430) 22281 0 R (d114e15044) 20848 0 R (d114e151080) 22284 0 R (d114e15127) 20850 0 R (d114e151298) 22287 0 R (d114e151515) 22290 0 R (d114e151990) 22294 0 R (d114e152238) 22297 0 R (d114e152438) 22300 0 R (d114e15250) 20852 0 R (d114e152700) 22303 0 R (d114e152971) 22306 0 R (d114e153235) 22309 0 R (d114e153460) 22312 0 R (d114e154011) 22315 0 R (d114e154204) 22318 0 R (d114e154409) 22323 0 R (d114e154692) 22326 0 R (d114e154894) 22329 0 R (d114e155152) 22332 0 R (d114e155427) 22335 0 R (d114e155682) 22338 0 R (d114e156028) 22341 0 R (d114e156439) 22344 0 R (d114e156766) 22347 0 R (d114e156986) 22350 0 R (d114e157177) 22353 0 R (d114e157387) 22356 0 R (d114e157629) 22363 0 R (d114e157893) 22366 0 R (d114e158171) 22373 0 R (d114e158433) 22376 0 R (d114e158634) 22383 0 R (d114e158856) 22386 0 R (d114e15887) 20854 0 R (d114e159137) 22389 0 R (d114e159399) 22394 0 R (d114e159655) 22397 0 R (d114e159917) 22400 0 R] >> endobj 24006 0 obj << /Limits [(d114e160173) (d114e175644)] /Names [(d114e160173) 22403 0 R (d114e16027) 20856 0 R (d114e160290) 22406 0 R (d114e160539) 22409 0 R (d114e160770) 22412 0 R (d114e160992) 22415 0 R (d114e161192) 22418 0 R (d114e161454) 22421 0 R (d114e161671) 22424 0 R (d114e161874) 22427 0 R (d114e162103) 22430 0 R (d114e162330) 22433 0 R (d114e162764) 22436 0 R (d114e163012) 22439 0 R (d114e16323) 20858 0 R (d114e163241) 22442 0 R (d114e163382) 22445 0 R (d114e16344) 20860 0 R (d114e163606) 22449 0 R (d114e16371) 20862 0 R (d114e163810) 22453 0 R (d114e164076) 22461 0 R (d114e16412) 20864 0 R (d114e164357) 22464 0 R (d114e164579) 22467 0 R (d114e164809) 22470 0 R (d114e165022) 22473 0 R (d114e16508) 20866 0 R (d114e165235) 22476 0 R (d114e165492) 22479 0 R (d114e165690) 22482 0 R (d114e165958) 22485 0 R (d114e166097) 22488 0 R (d114e166360) 22491 0 R (d114e166640) 22494 0 R (d114e166926) 22497 0 R (d114e167190) 22500 0 R (d114e167438) 22503 0 R (d114e167780) 22506 0 R (d114e168047) 22509 0 R (d114e168548) 22514 0 R (d114e168748) 22517 0 R (d114e169319) 22520 0 R (d114e169579) 22523 0 R (d114e170174) 22526 0 R (d114e170617) 22529 0 R (d114e171028) 22532 0 R (d114e171352) 22535 0 R (d114e171619) 22538 0 R (d114e172015) 22541 0 R (d114e17212) 20868 0 R (d114e172217) 22544 0 R (d114e172475) 22549 0 R (d114e17278) 20870 0 R (d114e172816) 22552 0 R (d114e173152) 22560 0 R (d114e17333) 20872 0 R (d114e173480) 22563 0 R (d114e17371) 20874 0 R (d114e173875) 22566 0 R (d114e174129) 22569 0 R (d114e17434) 20876 0 R (d114e175315) 22573 0 R (d114e175644) 22576 0 R] >> endobj 24007 0 obj << /Limits [(d114e176234) (d114e194095)] /Names [(d114e176234) 22579 0 R (d114e176455) 22582 0 R (d114e176704) 22585 0 R (d114e177358) 22588 0 R (d114e177564) 22591 0 R (d114e178098) 22594 0 R (d114e17838) 20878 0 R (d114e178382) 22597 0 R (d114e178654) 22601 0 R (d114e17873) 20880 0 R (d114e178942) 22604 0 R (d114e179210) 22611 0 R (d114e179510) 22615 0 R (d114e17953) 20882 0 R (d114e179701) 22618 0 R (d114e180034) 22621 0 R (d114e180319) 22624 0 R (d114e180689) 22628 0 R (d114e181213) 22631 0 R (d114e181429) 22634 0 R (d114e181987) 22637 0 R (d114e182277) 22640 0 R (d114e182515) 22643 0 R (d114e182861) 22646 0 R (d114e18288) 20884 0 R (d114e183342) 22649 0 R (d114e183646) 22652 0 R (d114e184019) 22657 0 R (d114e184469) 22660 0 R (d114e18479) 20886 0 R (d114e185026) 22663 0 R (d114e185384) 22667 0 R (d114e185958) 22674 0 R (d114e186326) 22678 0 R (d114e186853) 22682 0 R (d114e187066) 22685 0 R (d114e187367) 22693 0 R (d114e187544) 22696 0 R (d114e187782) 22699 0 R (d114e18817) 20888 0 R (d114e188189) 22702 0 R (d114e188383) 22705 0 R (d114e18842) 20890 0 R (d114e188899) 22708 0 R (d114e189289) 22711 0 R (d114e190406) 22715 0 R (d114e19061) 20892 0 R (d114e19096) 20894 0 R (d114e191260) 22719 0 R (d114e191496) 22722 0 R (d114e19188) 20896 0 R (d114e192136) 22725 0 R (d114e19226) 20898 0 R (d114e192402) 22728 0 R (d114e19247) 20900 0 R (d114e192763) 22731 0 R (d114e19286) 20902 0 R (d114e192954) 22734 0 R (d114e193161) 22737 0 R (d114e193391) 22740 0 R (d114e193663) 22743 0 R (d114e19369) 20904 0 R (d114e193864) 22750 0 R (d114e194095) 22753 0 R] >> endobj 24008 0 obj << /Limits [(d114e194331) (d114e211540)] /Names [(d114e194331) 22756 0 R (d114e194532) 22759 0 R (d114e194734) 22762 0 R (d114e195116) 22765 0 R (d114e195306) 22768 0 R (d114e195774) 22771 0 R (d114e195978) 22774 0 R (d114e196225) 22777 0 R (d114e196453) 22780 0 R (d114e196604) 22783 0 R (d114e196845) 22786 0 R (d114e197025) 22789 0 R (d114e197395) 22792 0 R (d114e197616) 22795 0 R (d114e197837) 22798 0 R (d114e198137) 22801 0 R (d114e198497) 22804 0 R (d114e19857) 20906 0 R (d114e198787) 22807 0 R (d114e199083) 22810 0 R (d114e199263) 22813 0 R (d114e199501) 22821 0 R (d114e19985) 20911 0 R (d114e200341) 22824 0 R (d114e200625) 22827 0 R (d114e200865) 22830 0 R (d114e201106) 22835 0 R (d114e201711) 22838 0 R (d114e201964) 22841 0 R (d114e20217) 20914 0 R (d114e202213) 22844 0 R (d114e202492) 22847 0 R (d114e202825) 22850 0 R (d114e203037) 22853 0 R (d114e203339) 22856 0 R (d114e203519) 22859 0 R (d114e203763) 22862 0 R (d114e204102) 22865 0 R (d114e204350) 22868 0 R (d114e204801) 22871 0 R (d114e20519) 20917 0 R (d114e205235) 22874 0 R (d114e205466) 22877 0 R (d114e205670) 22880 0 R (d114e206139) 22883 0 R (d114e206355) 22886 0 R (d114e206662) 22889 0 R (d114e207071) 22892 0 R (d114e207345) 22895 0 R (d114e207805) 22898 0 R (d114e208189) 22901 0 R (d114e208386) 22904 0 R (d114e208669) 22907 0 R (d114e20895) 20920 0 R (d114e208955) 22910 0 R (d114e209387) 22913 0 R (d114e209574) 22916 0 R (d114e209859) 22919 0 R (d114e210334) 22922 0 R (d114e210622) 22925 0 R (d114e210918) 22928 0 R (d114e211128) 22931 0 R (d114e211348) 22934 0 R (d114e211540) 22937 0 R] >> endobj 24009 0 obj << /Limits [(d114e211745) (d114e228765)] /Names [(d114e211745) 22940 0 R (d114e212278) 22943 0 R (d114e212492) 22946 0 R (d114e212951) 22949 0 R (d114e21343) 20923 0 R (d114e213436) 22952 0 R (d114e214045) 22955 0 R (d114e214528) 22958 0 R (d114e214960) 22963 0 R (d114e215224) 22966 0 R (d114e215500) 22969 0 R (d114e215745) 22972 0 R (d114e216056) 22975 0 R (d114e216250) 22978 0 R (d114e216697) 22981 0 R (d114e216951) 22984 0 R (d114e217498) 22987 0 R (d114e217776) 22990 0 R (d114e21800) 20926 0 R (d114e218099) 22993 0 R (d114e218446) 22996 0 R (d114e218777) 22999 0 R (d114e219042) 23003 0 R (d114e219299) 23006 0 R (d114e219621) 23009 0 R (d114e219811) 23012 0 R (d114e219955) 23015 0 R (d114e220156) 23018 0 R (d114e220398) 23021 0 R (d114e220582) 23024 0 R (d114e220771) 23027 0 R (d114e220915) 23030 0 R (d114e221148) 23038 0 R (d114e221378) 23041 0 R (d114e221608) 23044 0 R (d114e221804) 23047 0 R (d114e222025) 23050 0 R (d114e22284) 20932 0 R (d114e223158) 23053 0 R (d114e223425) 23056 0 R (d114e223652) 23059 0 R (d114e223893) 23062 0 R (d114e224124) 23065 0 R (d114e224413) 23068 0 R (d114e224643) 23071 0 R (d114e224845) 23074 0 R (d114e225023) 23077 0 R (d114e225238) 23080 0 R (d114e225389) 23083 0 R (d114e225582) 23086 0 R (d114e22569) 20937 0 R (d114e225784) 23089 0 R (d114e226125) 23092 0 R (d114e226407) 23095 0 R (d114e226616) 23098 0 R (d114e226776) 23101 0 R (d114e227226) 23104 0 R (d114e227547) 23107 0 R (d114e227738) 23110 0 R (d114e227866) 23113 0 R (d114e228046) 23116 0 R (d114e228267) 23119 0 R (d114e228490) 23122 0 R (d114e228765) 23125 0 R] >> endobj 24010 0 obj << /Limits [(d114e228913) (d114e27438)] /Names [(d114e228913) 23128 0 R (d114e229186) 23131 0 R (d114e22924) 20940 0 R (d114e229436) 23134 0 R (d114e229569) 23137 0 R (d114e229812) 23140 0 R (d114e229999) 23143 0 R (d114e230225) 23146 0 R (d114e230561) 23150 0 R (d114e230733) 23153 0 R (d114e230991) 23156 0 R (d114e231235) 23159 0 R (d114e231479) 23162 0 R (d114e231705) 23165 0 R (d114e231865) 23168 0 R (d114e23194) 20943 0 R (d114e232217) 23171 0 R (d114e232715) 23174 0 R (d114e233254) 23177 0 R (d114e23344) 20946 0 R (d114e233464) 23180 0 R (d114e233698) 23183 0 R (d114e234202) 23186 0 R (d114e234527) 23189 0 R (d114e234741) 23192 0 R (d114e235003) 23195 0 R (d114e235224) 23198 0 R (d114e235551) 23201 0 R (d114e235904) 23204 0 R (d114e236237) 23210 0 R (d114e236449) 23216 0 R (d114e236732) 23219 0 R (d114e237004) 23222 0 R (d114e237325) 23225 0 R (d114e237570) 23228 0 R (d114e237885) 23231 0 R (d114e23831) 20949 0 R (d114e238436) 23234 0 R (d114e238642) 23237 0 R (d114e238928) 23240 0 R (d114e239197) 23243 0 R (d114e239409) 23246 0 R (d114e239965) 23249 0 R (d114e240336) 23254 0 R (d114e240644) 23257 0 R (d114e240974) 23260 0 R (d114e24140) 20952 0 R (d114e241475) 23263 0 R (d114e241883) 23266 0 R (d114e242198) 23270 0 R (d114e242652) 23273 0 R (d114e242800) 23276 0 R (d114e24441) 20955 0 R (d114e24722) 20958 0 R (d114e25105) 20961 0 R (d114e25332) 20964 0 R (d114e25577) 20967 0 R (d114e25819) 20970 0 R (d114e26152) 20973 0 R (d114e26486) 20976 0 R (d114e26718) 20979 0 R (d114e26960) 20982 0 R (d114e27201) 20985 0 R (d114e27438) 20988 0 R] >> endobj 24011 0 obj << /Limits [(d114e27658) (d114e45120)] /Names [(d114e27658) 20991 0 R (d114e27890) 20994 0 R (d114e28352) 20997 0 R (d114e28730) 21000 0 R (d114e29043) 21003 0 R (d114e29346) 21006 0 R (d114e29708) 21009 0 R (d114e30164) 21012 0 R (d114e30345) 21015 0 R (d114e30634) 21018 0 R (d114e30967) 21021 0 R (d114e31159) 21025 0 R (d114e31362) 21028 0 R (d114e31945) 21031 0 R (d114e32158) 21034 0 R (d114e32368) 21037 0 R (d114e32565) 21040 0 R (d114e32742) 21043 0 R (d114e32954) 21046 0 R (d114e33163) 21049 0 R (d114e33341) 21052 0 R (d114e33529) 21055 0 R (d114e33696) 21058 0 R (d114e33951) 21061 0 R (d114e34122) 21064 0 R (d114e34366) 21070 0 R (d114e34598) 21073 0 R (d114e34861) 21076 0 R (d114e35090) 21079 0 R (d114e35338) 21082 0 R (d114e35558) 21085 0 R (d114e36169) 21091 0 R (d114e36504) 21094 0 R (d114e36696) 21097 0 R (d114e36835) 21100 0 R (d114e37021) 21105 0 R (d114e37838) 21110 0 R (d114e38134) 21113 0 R (d114e38433) 21116 0 R (d114e38659) 21119 0 R (d114e38951) 21122 0 R (d114e39247) 21125 0 R (d114e39491) 21129 0 R (d114e39779) 21132 0 R (d114e40057) 21135 0 R (d114e40482) 21138 0 R (d114e40794) 21141 0 R (d114e41108) 21144 0 R (d114e41405) 21147 0 R (d114e41553) 21150 0 R (d114e41804) 21153 0 R (d114e42056) 21156 0 R (d114e42337) 21159 0 R (d114e42718) 21162 0 R (d114e42979) 21165 0 R (d114e43172) 21168 0 R (d114e43442) 21171 0 R (d114e43710) 21174 0 R (d114e43870) 21177 0 R (d114e44104) 21180 0 R (d114e44384) 21183 0 R (d114e44681) 21186 0 R (d114e44808) 21189 0 R (d114e45120) 21192 0 R] >> endobj 24012 0 obj << /Limits [(d114e45526) (d114e64984)] /Names [(d114e45526) 21195 0 R (d114e45905) 21200 0 R (d114e46165) 21204 0 R (d114e46452) 21207 0 R (d114e46772) 21210 0 R (d114e47280) 21213 0 R (d114e47512) 21216 0 R (d114e48034) 21219 0 R (d114e48410) 21222 0 R (d114e48947) 21225 0 R (d114e49298) 21228 0 R (d114e49592) 21232 0 R (d114e50009) 21235 0 R (d114e50345) 21238 0 R (d114e50651) 21241 0 R (d114e51030) 21244 0 R (d114e51325) 21247 0 R (d114e51602) 21250 0 R (d114e51851) 21253 0 R (d114e52169) 21256 0 R (d114e52499) 21259 0 R (d114e52830) 21262 0 R (d114e53074) 21265 0 R (d114e53521) 21268 0 R (d114e53771) 21271 0 R (d114e54000) 21274 0 R (d114e54312) 21277 0 R (d114e54581) 21280 0 R (d114e54804) 21283 0 R (d114e55088) 21286 0 R (d114e55420) 21289 0 R (d114e55807) 21292 0 R (d114e55992) 21295 0 R (d114e56145) 21298 0 R (d114e56449) 21302 0 R (d114e56939) 21305 0 R (d114e57356) 21308 0 R (d114e57690) 21311 0 R (d114e58064) 21314 0 R (d114e58435) 21317 0 R (d114e58654) 21324 0 R (d114e59148) 21327 0 R (d114e59376) 21330 0 R (d114e59972) 21333 0 R (d114e60209) 21336 0 R (d114e60434) 21339 0 R (d114e60659) 21342 0 R (d114e60875) 21345 0 R (d114e61155) 21348 0 R (d114e61437) 21351 0 R (d114e61651) 21354 0 R (d114e61901) 21357 0 R (d114e62168) 21360 0 R (d114e62441) 21363 0 R (d114e62731) 21366 0 R (d114e62969) 21369 0 R (d114e63223) 21372 0 R (d114e63495) 21375 0 R (d114e63772) 21378 0 R (d114e64047) 21381 0 R (d114e64277) 21384 0 R (d114e64491) 21387 0 R (d114e64756) 21390 0 R (d114e64984) 21393 0 R] >> endobj 24013 0 obj << /Limits [(d114e65180) (d114e80114)] /Names [(d114e65180) 21396 0 R (d114e65371) 21399 0 R (d114e65558) 21402 0 R (d114e65682) 21405 0 R (d114e65889) 21408 0 R (d114e66172) 21411 0 R (d114e66409) 21414 0 R (d114e66592) 21417 0 R (d114e66784) 21420 0 R (d114e66981) 21423 0 R (d114e67178) 21426 0 R (d114e67383) 21429 0 R (d114e67691) 21432 0 R (d114e67899) 21435 0 R (d114e68118) 21438 0 R (d114e68335) 21441 0 R (d114e68545) 21444 0 R (d114e68748) 21447 0 R (d114e68938) 21450 0 R (d114e69138) 21453 0 R (d114e69387) 21456 0 R (d114e69569) 21459 0 R (d114e69780) 21462 0 R (d114e69983) 21465 0 R (d114e70112) 21468 0 R (d114e70312) 21471 0 R (d114e70506) 21475 0 R (d114e70725) 21478 0 R (d114e70937) 21482 0 R (d114e71131) 21485 0 R (d114e71304) 21488 0 R (d114e71512) 21491 0 R (d114e71819) 21494 0 R (d114e71999) 21497 0 R (d114e72257) 21500 0 R (d114e72474) 21503 0 R (d114e72723) 21506 0 R (d114e72977) 21509 0 R (d114e73224) 21512 0 R (d114e73468) 21515 0 R (d114e73679) 21518 0 R (d114e74021) 21521 0 R (d114e74314) 21524 0 R (d114e74542) 21527 0 R (d114e74805) 21530 0 R (d114e75033) 21533 0 R (d114e75288) 21536 0 R (d114e75527) 21539 0 R (d114e75700) 21542 0 R (d114e75934) 21545 0 R (d114e76267) 21548 0 R (d114e77010) 21553 0 R (d114e77305) 21556 0 R (d114e77615) 21559 0 R (d114e77970) 21562 0 R (d114e78343) 21565 0 R (d114e78588) 21568 0 R (d114e78764) 21571 0 R (d114e78937) 21574 0 R (d114e79193) 21577 0 R (d114e79404) 21580 0 R (d114e79569) 21583 0 R (d114e79892) 21586 0 R (d114e80114) 21589 0 R] >> endobj 24014 0 obj << /Limits [(d114e80278) (unique_102)] /Names [(d114e80278) 21592 0 R (d114e80442) 21595 0 R (d114e80822) 21598 0 R (d114e81311) 21601 0 R (d114e81689) 21604 0 R (d114e82074) 21607 0 R (d114e82384) 21610 0 R (d114e82784) 21613 0 R (d114e83182) 21616 0 R (d114e83565) 21619 0 R (d114e83965) 21622 0 R (d114e84359) 21625 0 R (d114e84732) 21628 0 R (d114e84948) 21631 0 R (d114e85310) 21634 0 R (d114e85688) 21637 0 R (d114e86068) 21640 0 R (d114e86443) 21643 0 R (d114e86811) 21646 0 R (d114e87175) 21649 0 R (d114e87544) 21652 0 R (d114e87904) 21655 0 R (d114e88256) 21658 0 R (d114e88602) 21661 0 R (d114e88948) 21664 0 R (d114e89311) 21667 0 R (d114e89704) 21670 0 R (d114e90106) 21673 0 R (d114e90498) 21676 0 R (d114e90892) 21679 0 R (d114e91255) 21683 0 R (d114e91781) 21686 0 R (d114e92176) 21689 0 R (d114e92536) 21692 0 R (d114e92958) 21695 0 R (d114e93284) 21699 0 R (d114e93600) 21707 0 R (d114e93799) 21711 0 R (d114e94182) 21715 0 R (d114e94554) 21719 0 R (d114e94860) 21722 0 R (d114e95253) 21725 0 R (d114e95609) 21728 0 R (d114e96007) 21731 0 R (d114e96302) 21734 0 R (d114e96810) 21737 0 R (d114e97152) 21740 0 R (d114e97519) 21744 0 R (d114e97807) 21748 0 R (d114e98058) 21752 0 R (d114e98235) 21755 0 R (d114e98514) 21758 0 R (d114e98887) 21762 0 R (d114e99260) 21766 0 R (d114e99607) 21769 0 R (d114e99966) 21775 0 R (d8e204) 20768 0 R (d8e398) 20824 0 R (d8e75) 20749 0 R (unique_1) 20745 0 R (unique_10) 21083 0 R (unique_100) 21214 0 R (unique_101) 21424 0 R (unique_102) 21427 0 R] >> endobj 24015 0 obj << /Limits [(unique_103) (unique_15)] /Names [(unique_103) 21720 0 R (unique_104) 21723 0 R (unique_105) 21726 0 R (unique_106) 22431 0 R (unique_107) 22574 0 R (unique_108) 22729 0 R (unique_109) 22732 0 R (unique_10_Connect_42_ul_ac4_ptv_5jb) 21087 0 R (unique_10_Connect_42_ul_drc_flc_xmb) 21088 0 R (unique_10_Connect_42_ul_wrt_rtv_5jb) 21086 0 R (unique_11) 21103 0 R (unique_110) 21473 0 R (unique_111) 21976 0 R (unique_111_Connect_42_section_jf1_w1r_4hb) 21979 0 R (unique_111_Connect_42_ul_kf1_w1r_4hb) 21980 0 R (unique_112) 22316 0 R (unique_112_Connect_42_section_q14_v1r_4hb) 22319 0 R (unique_112_Connect_42_ul_r14_v1r_4hb) 22320 0 R (unique_113) 21010 0 R (unique_114) 21114 0 R (unique_115) 21290 0 R (unique_116) 21406 0 R (unique_117) 21525 0 R (unique_118) 21569 0 R (unique_119) 21575 0 R (unique_11_Connect_42_ul_n1w_4sz_y1b) 21107 0 R (unique_11_Connect_42_ul_nzn_jsc_g4b) 21106 0 R (unique_12) 21193 0 R (unique_120) 21578 0 R (unique_121) 21587 0 R (unique_122) 21590 0 R (unique_123) 22091 0 R (unique_124) 22206 0 R (unique_125) 22267 0 R (unique_126) 22270 0 R (unique_127) 22273 0 R (unique_128) 22285 0 R (unique_129) 22288 0 R (unique_129_Connect_42_ul_il1_kh2_y3b) 22291 0 R (unique_12_Connect_42_ul_hdj_51m_hlb) 21196 0 R (unique_12_Connect_42_ul_mfm_tnl_hlb) 21197 0 R (unique_13) 21239 0 R (unique_130) 22292 0 R (unique_131) 22295 0 R (unique_132) 22307 0 R (unique_133) 22313 0 R (unique_134) 22321 0 R (unique_135) 22324 0 R (unique_136) 22327 0 R (unique_137) 22330 0 R (unique_138) 22333 0 R (unique_139) 22336 0 R (unique_14) 21322 0 R (unique_140) 22401 0 R (unique_141) 23163 0 R (unique_142) 23166 0 R (unique_143) 23172 0 R (unique_144) 23175 0 R (unique_145) 23178 0 R (unique_146) 23181 0 R (unique_147) 23184 0 R (unique_148) 23187 0 R (unique_149) 23193 0 R (unique_15) 21328 0 R] >> endobj 24016 0 obj << /Limits [(unique_150) (unique_195)] /Names [(unique_150) 23196 0 R (unique_151) 23202 0 R (unique_151_Connect_42_section_w32_vtc_ccb) 23205 0 R (unique_151_Connect_42_section_zch_ll1_nfb) 23206 0 R (unique_151_Connect_42_ul_adh_ll1_nfb) 23207 0 R (unique_152) 23208 0 R (unique_152_Connect_42_section_w32_vtc_ccb) 23211 0 R (unique_152_Connect_42_section_zch_ll1_nfb) 23212 0 R (unique_152_Connect_42_ul_adh_ll1_nfb) 23213 0 R (unique_153) 23226 0 R (unique_154) 23229 0 R (unique_155) 23238 0 R (unique_156) 23252 0 R (unique_157) 23255 0 R (unique_158) 23258 0 R (unique_159) 23261 0 R (unique_16) 21546 0 R (unique_160) 20912 0 R (unique_161) 20941 0 R (unique_162) 21278 0 R (unique_163) 21293 0 R (unique_164) 21460 0 R (unique_165) 21463 0 R (unique_166) 21483 0 R (unique_167) 21935 0 R (unique_167_Connect_42_ul_kgh_chz_kfb) 21938 0 R (unique_168) 21957 0 R (unique_169) 22252 0 R (unique_16_Connect_42_ol_gst_qrx_3pb) 21550 0 R (unique_16_Connect_42_ul_m3w_3dm_jpb) 21549 0 R (unique_17) 21560 0 R (unique_170) 22422 0 R (unique_171) 22440 0 R (unique_172) 22799 0 R (unique_173) 23045 0 R (unique_174) 23069 0 R (unique_175) 21223 0 R (unique_176) 21226 0 R (unique_176_Connect_42_ul_a2r_cyd_y3b) 21229 0 R (unique_177) 21528 0 R (unique_178) 21742 0 R (unique_178_Connect_42_ul_bzh_cyd_y3b) 21745 0 R (unique_179) 21746 0 R (unique_179_Connect_42_ul_dpx_byd_y3b) 21749 0 R (unique_18) 21632 0 R (unique_180) 21753 0 R (unique_181) 21895 0 R (unique_182) 21994 0 R (unique_183) 22058 0 R (unique_184) 22175 0 R (unique_185) 22345 0 R (unique_186) 22443 0 R (unique_186_Connect_42_ul_vql_byd_y3b) 22446 0 R (unique_187) 22447 0 R (unique_187_Connect_42_ul_qbz_cyd_y3b) 22450 0 R (unique_188) 22872 0 R (unique_189) 23001 0 R (unique_19) 22064 0 R (unique_190) 23004 0 R (unique_191) 23010 0 R (unique_192) 23016 0 R (unique_193) 23025 0 R (unique_194) 23057 0 R (unique_195) 23063 0 R] >> endobj 24017 0 obj << /Limits [(unique_196) (unique_239_Connect_42_ul_ukf_gdq_lhb)] /Names [(unique_196) 23066 0 R (unique_197) 23075 0 R (unique_198) 20930 0 R (unique_198_Connect_42_section_tkf_gdq_lhb) 20933 0 R (unique_198_Connect_42_ul_ukf_gdq_lhb) 20934 0 R (unique_199) 20935 0 R (unique_1_Connect_42_section_q4b_2zh_jbb) 20747 0 R (unique_1_Connect_42_table_sld_cf5_wcb) 20748 0 R (unique_2) 21145 0 R (unique_20) 22067 0 R (unique_200) 21013 0 R (unique_201) 21038 0 R (unique_202) 21041 0 R (unique_203) 21062 0 R (unique_203_Connect_42_section_dkp_2gr_lhb) 21065 0 R (unique_203_Connect_42_section_tkf_gdq_lhb) 21066 0 R (unique_203_Connect_42_ul_ukf_gdq_lhb) 21067 0 R (unique_204) 21068 0 R (unique_205) 21071 0 R (unique_206) 21074 0 R (unique_207) 21077 0 R (unique_208) 21095 0 R (unique_209) 21130 0 R (unique_20_Connect_42_section_hcq_t2t_v4b) 22072 0 R (unique_20_Connect_42_section_kpg_lsc_x4b) 22070 0 R (unique_20_Connect_42_section_sx3_fct_v4b) 22071 0 R (unique_21) 22100 0 R (unique_210) 21230 0 R (unique_211) 21233 0 R (unique_212) 21236 0 R (unique_213) 21242 0 R (unique_214) 21245 0 R (unique_215) 21248 0 R (unique_216) 21251 0 R (unique_217) 21254 0 R (unique_218) 21257 0 R (unique_219) 21358 0 R (unique_22) 22255 0 R (unique_220) 21361 0 R (unique_221) 21364 0 R (unique_222) 21367 0 R (unique_223) 21370 0 R (unique_224) 21373 0 R (unique_225) 21433 0 R (unique_226) 21436 0 R (unique_227) 21439 0 R (unique_228) 21442 0 R (unique_229) 21445 0 R (unique_23) 22310 0 R (unique_230) 21501 0 R (unique_231) 21513 0 R (unique_232) 21519 0 R (unique_233) 21522 0 R (unique_234) 21534 0 R (unique_235) 21684 0 R (unique_236) 21756 0 R (unique_236_Connect_42_note_ofobjects) 21759 0 R (unique_237) 21760 0 R (unique_237_Connect_42_note_ofobjects) 21763 0 R (unique_238) 21764 0 R (unique_239) 21767 0 R (unique_239_Connect_42_section_i3w_ybq_lhb) 21770 0 R (unique_239_Connect_42_section_tkf_gdq_lhb) 21771 0 R (unique_239_Connect_42_ul_ukf_gdq_lhb) 21772 0 R] >> endobj 24018 0 obj << /Limits [(unique_24) (unique_274)] /Names [(unique_24) 22524 0 R (unique_240) 21773 0 R (unique_241) 21776 0 R (unique_241_Connect_42_section_i3w_ybq_lhb) 21779 0 R (unique_241_Connect_42_section_tkf_gdq_lhb) 21780 0 R (unique_241_Connect_42_ul_ukf_gdq_lhb) 21781 0 R (unique_242) 21782 0 R (unique_242_Connect_42_note_ofobjects) 21785 0 R (unique_243) 21786 0 R (unique_243_Connect_42_note_ofobjects) 21789 0 R (unique_244) 21790 0 R (unique_245) 21793 0 R (unique_245_Connect_42_arguments) 21797 0 R (unique_245_Connect_42_description) 21796 0 R (unique_245_Connect_42_examples) 21798 0 R (unique_245_Connect_42_see-also) 21799 0 R (unique_246) 21800 0 R (unique_246_Connect_42_note_ofobjects) 21803 0 R (unique_247) 21804 0 R (unique_248) 21807 0 R (unique_248_Connect_42_note_ofobjects_1) 21810 0 R (unique_249) 21811 0 R (unique_249_Connect_42_note_ofobjects_1) 21814 0 R (unique_25) 22567 0 R (unique_250) 21815 0 R (unique_250_Connect_42_note_ofobjects) 21818 0 R (unique_251) 21819 0 R (unique_251_Connect_42_note_ofobjects) 21822 0 R (unique_252) 21823 0 R (unique_253) 21826 0 R (unique_254) 21829 0 R (unique_255) 21832 0 R (unique_256) 21835 0 R (unique_257) 21838 0 R (unique_258) 21841 0 R (unique_259) 21844 0 R (unique_259_Connect_42_section_eqr_cjt_v4b) 21849 0 R (unique_259_Connect_42_section_h33_rft_v4b) 21847 0 R (unique_259_Connect_42_section_mxx_yft_v4b) 21848 0 R (unique_259_Connect_42_section_ry3_wmw_w4b) 21850 0 R (unique_259_Connect_42_ul_hgr_wmw_w4b) 21851 0 R (unique_25_Connect_42_ul_qt4_wqw_xy) 22570 0 R (unique_26) 22583 0 R (unique_260) 21852 0 R (unique_261) 21855 0 R (unique_262) 21858 0 R (unique_263) 21861 0 R (unique_263_Connect_42_note_ofobjects) 21864 0 R (unique_264) 22125 0 R (unique_265) 22203 0 R (unique_266) 22209 0 R (unique_267) 22242 0 R (unique_267_Connect_42_section_c3y_gbr_lhb) 22245 0 R (unique_267_Connect_42_section_d3y_gbr_lhb) 22246 0 R (unique_267_Connect_42_section_tkf_gdq_lhb) 22247 0 R (unique_267_Connect_42_ul_ukf_gdq_lhb) 22248 0 R (unique_268) 22276 0 R (unique_269) 22279 0 R (unique_27) 22626 0 R (unique_270) 22298 0 R (unique_271) 22301 0 R (unique_272) 22304 0 R (unique_273) 22339 0 R (unique_274) 22342 0 R] >> endobj 24019 0 obj << /Limits [(unique_275) (unique_301_Connect_42_examples)] /Names [(unique_275) 22351 0 R (unique_276) 22354 0 R (unique_276_Connect_42_section_tkf_gdq_lhb) 22359 0 R (unique_276_Connect_42_section_ttg_xgr_lhb) 22358 0 R (unique_276_Connect_42_section_z5g_xgr_lhb) 22357 0 R (unique_276_Connect_42_ul_ukf_gdq_lhb) 22360 0 R (unique_277) 22361 0 R (unique_278) 22364 0 R (unique_278_Connect_42_section_tkf_gdq_lhb) 22369 0 R (unique_278_Connect_42_section_ttg_xgr_lhb) 22368 0 R (unique_278_Connect_42_section_z5g_xgr_lhb) 22367 0 R (unique_278_Connect_42_ul_ukf_gdq_lhb) 22370 0 R (unique_279) 22371 0 R (unique_28) 22661 0 R (unique_280) 22374 0 R (unique_280_Connect_42_arguments) 22378 0 R (unique_280_Connect_42_description) 22377 0 R (unique_280_Connect_42_examples) 22379 0 R (unique_280_Connect_42_see-also) 22380 0 R (unique_281) 22381 0 R (unique_282) 22384 0 R (unique_283) 22387 0 R (unique_283_Connect_42_section_ry3_wmw_w4b) 22390 0 R (unique_283_Connect_42_ul_hgr_wmw_w4b) 22391 0 R (unique_284) 22392 0 R (unique_285) 22395 0 R (unique_286) 22398 0 R (unique_287) 22451 0 R (unique_287_Connect_42_section_om3_gdr_lhb) 22454 0 R (unique_287_Connect_42_section_pm3_gdr_lhb) 22455 0 R (unique_287_Connect_42_section_qm3_gdr_lhb) 22456 0 R (unique_287_Connect_42_section_tkf_gdq_lhb) 22457 0 R (unique_287_Connect_42_ul_ukf_gdq_lhb) 22458 0 R (unique_288) 22459 0 R (unique_289) 22462 0 R (unique_28_Connect_42_ul_fmk_cfx_cdb) 22664 0 R (unique_29) 22665 0 R (unique_290) 22465 0 R (unique_291) 22468 0 R (unique_292) 22471 0 R (unique_293) 22592 0 R (unique_294) 22595 0 R (unique_294_Connect_42_ul_ukf_gdq_lhb) 22598 0 R (unique_295) 22599 0 R (unique_296) 22602 0 R (unique_296_Connect_42_arguments) 22606 0 R (unique_296_Connect_42_description) 22605 0 R (unique_296_Connect_42_examples) 22607 0 R (unique_296_Connect_42_see-also) 22608 0 R (unique_297) 22609 0 R (unique_297_Connect_42_ul_hgr_wmw_w4b) 22612 0 R (unique_298) 22613 0 R (unique_299) 22735 0 R (unique_29_Connect_42_ol_kcq_fmh_gnb) 22670 0 R (unique_29_Connect_42_ol_l3j_rxh_phb) 22668 0 R (unique_29_Connect_42_ul_ldg_5j3_gnb) 22669 0 R (unique_29_Connect_42_ul_qb5_gkh_gnb) 22671 0 R (unique_3) 21315 0 R (unique_30) 22851 0 R (unique_300) 22738 0 R (unique_301) 22741 0 R (unique_301_Connect_42_arguments) 22745 0 R (unique_301_Connect_42_description) 22744 0 R (unique_301_Connect_42_examples) 22746 0 R] >> endobj 24020 0 obj << /Limits [(unique_301_Connect_42_see-also) (unique_344)] /Names [(unique_301_Connect_42_see-also) 22747 0 R (unique_302) 22748 0 R (unique_303) 22751 0 R (unique_304) 22811 0 R (unique_304_Connect_42_section_c3y_gbr_lhb) 22815 0 R (unique_304_Connect_42_section_d3y_gbr_lhb) 22816 0 R (unique_304_Connect_42_section_hxd_hcr_lhb) 22814 0 R (unique_304_Connect_42_section_tkf_gdq_lhb) 22817 0 R (unique_304_Connect_42_ul_ukf_gdq_lhb) 22818 0 R (unique_305) 22825 0 R (unique_306) 22828 0 R (unique_306_Connect_42_section_tkf_gdq_lhb) 22831 0 R (unique_306_Connect_42_ul_ukf_gdq_lhb) 22832 0 R (unique_307) 22833 0 R (unique_308) 22836 0 R (unique_309) 22839 0 R (unique_31) 23129 0 R (unique_310) 22842 0 R (unique_311) 22845 0 R (unique_312) 22866 0 R (unique_313) 22869 0 R (unique_314) 22914 0 R (unique_315) 23028 0 R (unique_315_Connect_42_section_c3y_gbr_lhb) 23032 0 R (unique_315_Connect_42_section_cff_dfr_lhb) 23031 0 R (unique_315_Connect_42_section_d3y_gbr_lhb) 23033 0 R (unique_315_Connect_42_section_tkf_gdq_lhb) 23034 0 R (unique_315_Connect_42_ul_ukf_gdq_lhb) 23035 0 R (unique_316) 23036 0 R (unique_317) 23039 0 R (unique_318) 23093 0 R (unique_319) 23096 0 R (unique_32) 23247 0 R (unique_320) 23126 0 R (unique_321) 23144 0 R (unique_321_Connect_42_ul_ynf_b2s_1x) 23147 0 R (unique_322) 23151 0 R (unique_323) 23154 0 R (unique_324) 23157 0 R (unique_325) 23199 0 R (unique_326) 23214 0 R (unique_327) 23217 0 R (unique_328) 23220 0 R (unique_329) 21080 0 R (unique_32_Connect_42_section_gtc_v1r_4hb) 23250 0 R (unique_32_Connect_42_ul_htc_v1r_4hb) 23251 0 R (unique_33) 23268 0 R (unique_330) 21136 0 R (unique_331) 21148 0 R (unique_332) 21263 0 R (unique_333) 21266 0 R (unique_334) 21451 0 R (unique_335) 21551 0 R (unique_336) 21593 0 R (unique_337) 21874 0 R (unique_338) 21877 0 R (unique_339) 21880 0 R (unique_34) 23274 0 R (unique_340) 22076 0 R (unique_341) 22200 0 R (unique_342) 22622 0 R (unique_342_Connect_42_ul_yvr_v3v_f4b) 22625 0 R (unique_343) 22790 0 R (unique_344) 23051 0 R] >> endobj 24021 0 obj << /Limits [(unique_345) (unique_398)] /Names [(unique_345) 23099 0 R (unique_346) 23105 0 R (unique_347) 23120 0 R (unique_348) 23141 0 R (unique_349) 23232 0 R (unique_35) 20751 0 R (unique_350) 20995 0 R (unique_351) 21007 0 R (unique_352) 21032 0 R (unique_353) 21117 0 R (unique_354) 21120 0 R (unique_355) 21142 0 R (unique_356) 21154 0 R (unique_357) 21157 0 R (unique_358) 21160 0 R (unique_359) 21163 0 R (unique_36) 20753 0 R (unique_360) 21166 0 R (unique_361) 21169 0 R (unique_362) 21172 0 R (unique_363) 21175 0 R (unique_364) 21178 0 R (unique_365) 21181 0 R (unique_366) 21184 0 R (unique_367) 21337 0 R (unique_368) 21340 0 R (unique_369) 21409 0 R (unique_37) 20755 0 R (unique_370) 21504 0 R (unique_371) 21507 0 R (unique_372) 21531 0 R (unique_373) 21537 0 R (unique_374) 21557 0 R (unique_375) 21596 0 R (unique_376) 21599 0 R (unique_377) 21602 0 R (unique_378) 21605 0 R (unique_379) 21608 0 R (unique_38) 20757 0 R (unique_380) 21611 0 R (unique_381) 21614 0 R (unique_382) 21617 0 R (unique_383) 21620 0 R (unique_384) 21623 0 R (unique_385) 21626 0 R (unique_386) 21729 0 R (unique_387) 22019 0 R (unique_388) 22047 0 R (unique_389) 22088 0 R (unique_38_Connect_42_ul_odb_jsb_fdb) 20759 0 R (unique_39) 20760 0 R (unique_390) 22094 0 R (unique_391) 22097 0 R (unique_392) 22159 0 R (unique_393) 22162 0 R (unique_393_Connect_42_section_bdz_21y_x3b) 22165 0 R (unique_393_Connect_42_section_cdz_21y_x3b) 22166 0 R (unique_393_Connect_42_section_ddz_21y_x3b) 22167 0 R (unique_393_Connect_42_ul_edz_21y_x3b) 22168 0 R (unique_394) 22182 0 R (unique_395) 22194 0 R (unique_396) 22404 0 R (unique_397) 22504 0 R (unique_398) 22507 0 R] >> endobj 24022 0 obj << /Limits [(unique_398_Connect_42_section_msy_clq_jfb) (unique_440_Connect_42_ul_xhn_5xj_mfb)] /Names [(unique_398_Connect_42_section_msy_clq_jfb) 22510 0 R (unique_398_Connect_42_section_nsy_clq_jfb) 22511 0 R (unique_399) 22848 0 R (unique_3_Connect_42_section_gpq_sfg_tpb) 21321 0 R (unique_3_Connect_42_section_tdk_cfg_tpb) 21319 0 R (unique_3_Connect_42_section_x1n_4fg_tpb) 21320 0 R (unique_3_Connect_42_section_xpy_y2g_tpb) 21318 0 R (unique_4) 21697 0 R (unique_40) 20762 0 R (unique_400) 23060 0 R (unique_401) 23078 0 R (unique_402) 23117 0 R (unique_403) 23169 0 R (unique_404) 21898 0 R (unique_405) 21901 0 R (unique_405_Connect_42_ul_kdt_rb4_zw) 21904 0 R (unique_406) 22754 0 R (unique_407) 22757 0 R (unique_408) 21133 0 R (unique_409) 21187 0 R (unique_41) 20764 0 R (unique_410) 21272 0 R (unique_411) 21284 0 R (unique_412) 21516 0 R (unique_413) 21908 0 R (unique_414) 22419 0 R (unique_415) 22474 0 R (unique_416) 22477 0 R (unique_417) 22486 0 R (unique_418) 22489 0 R (unique_419) 22492 0 R (unique_42) 20766 0 R (unique_420) 22495 0 R (unique_421) 22498 0 R (unique_422) 22796 0 R (unique_423) 22802 0 R (unique_424) 23054 0 R (unique_425) 21019 0 R (unique_425_Connect_42_section_h1z_2jm_wbb) 21022 0 R (unique_426) 21275 0 R (unique_427) 21296 0 R (unique_427_Connect_42_ul_ojx_sk1_hx) 21299 0 R (unique_428) 21306 0 R (unique_429) 21309 0 R (unique_43) 20770 0 R (unique_430) 21379 0 R (unique_431) 21480 0 R (unique_432) 21495 0 R (unique_433) 21554 0 R (unique_434) 21584 0 R (unique_435) 21629 0 R (unique_436) 21677 0 R (unique_436_Connect_42_ul_kdt_rb4_zw) 21680 0 R (unique_437) 21681 0 R (unique_438) 21687 0 R (unique_439) 21690 0 R (unique_43_Connect_42_ol_h4l_rvb_fdb) 20772 0 R (unique_43_Connect_42_ul_i4l_rvb_fdb) 20774 0 R (unique_43_Connect_42_ul_j4l_rvb_fdb) 20775 0 R (unique_43_Connect_42_ul_jhw_x1q_hz) 20773 0 R (unique_43_Connect_42_ul_k4l_rvb_fdb) 20776 0 R (unique_44) 20777 0 R (unique_440) 21705 0 R (unique_440_Connect_42_ul_xhn_5xj_mfb) 21708 0 R] >> endobj 24023 0 obj << /Limits [(unique_441) (unique_481)] /Names [(unique_441) 21717 0 R (unique_442) 21732 0 R (unique_443) 21735 0 R (unique_444) 21738 0 R (unique_444_Connect_42_note_ofobjects) 21741 0 R (unique_445) 21865 0 R (unique_446) 21868 0 R (unique_447) 21871 0 R (unique_448) 21883 0 R (unique_449) 21886 0 R (unique_45) 20779 0 R (unique_450) 21889 0 R (unique_451) 21892 0 R (unique_452) 21911 0 R (unique_453) 21914 0 R (unique_454) 21920 0 R (unique_455) 21926 0 R (unique_456) 21929 0 R (unique_457) 21932 0 R (unique_458) 21939 0 R (unique_459) 21942 0 R (unique_45_Connect_42_note_N10043_N10021_N1000F_N10001) 20782 0 R (unique_45_Connect_42_ul_mtq_cwb_fdb) 20781 0 R (unique_46) 20783 0 R (unique_460) 21945 0 R (unique_460_Connect_42_note_ofobjects) 21948 0 R (unique_461) 21949 0 R (unique_461_Connect_42_note_ofobjects) 21952 0 R (unique_462) 21953 0 R (unique_462_Connect_42_note_ofobjects) 21956 0 R (unique_463) 21963 0 R (unique_463_Connect_42_ul_bbx_yl1_hx) 21966 0 R (unique_464) 21967 0 R (unique_465) 21970 0 R (unique_466) 21973 0 R (unique_467) 21981 0 R (unique_467_Connect_42_ul_my2_hmb_hx) 21984 0 R (unique_468) 21985 0 R (unique_469) 21988 0 R (unique_46_Connect_42_note_N100CB_N100C3_N1009A_N1000F_N10001) 20787 0 R (unique_46_Connect_42_note_N100E4_N100D5_N1009A_N1000F_N10001) 20788 0 R (unique_46_Connect_42_note_N1010B_N100FD_N1009A_N1000F_N10001) 20789 0 R (unique_46_Connect_42_section_N1001C_N1000F_N10001) 20785 0 R (unique_46_Connect_42_section_N1009A_N1000F_N10001) 20786 0 R (unique_46_Connect_42_section_N1010E_N1000F_N10001) 20790 0 R (unique_46_Connect_42_section_N1015E_N1000F_N10001) 20791 0 R (unique_46_Connect_42_section_N10176_N1000F_N10001) 20792 0 R (unique_46_Connect_42_section_N1018C_N1000F_N10001) 20793 0 R (unique_47) 20794 0 R (unique_470) 22000 0 R (unique_471) 22003 0 R (unique_471_Connect_42_note_ofobjects) 22006 0 R (unique_472) 22007 0 R (unique_473) 22010 0 R (unique_474) 22013 0 R (unique_475) 22022 0 R (unique_476) 22025 0 R (unique_476_Connect_42_note_ofobjects) 22028 0 R (unique_477) 22029 0 R (unique_478) 22035 0 R (unique_479) 22044 0 R (unique_48) 20796 0 R (unique_480) 22131 0 R (unique_481) 22134 0 R] >> endobj 24024 0 obj << /Limits [(unique_482) (unique_51_Connect_42_ul_gf2_d1c_fdb)] /Names [(unique_482) 22655 0 R (unique_483) 22726 0 R (unique_484) 22775 0 R (unique_485) 22976 0 R (unique_486) 23264 0 R (unique_486_Connect_42_section_dzk_hgz_x1b) 23267 0 R (unique_487) 21457 0 R (unique_488) 21469 0 R (unique_488_Connect_42_ul_pfc_sl1_hx) 21472 0 R (unique_489) 21476 0 R (unique_489_Connect_42_ul_whs_bmb_hx) 21479 0 R (unique_49) 20798 0 R (unique_490) 22997 0 R (unique_490_Connect_42_ul_ojx_sk1_hx) 23000 0 R (unique_491) 21260 0 R (unique_492) 21287 0 R (unique_493) 21448 0 R (unique_494) 22169 0 R (unique_495) 22258 0 R (unique_496) 22480 0 R (unique_497) 22805 0 R (unique_498) 22961 0 R (unique_499) 23007 0 R (unique_49_Connect_42_ul_mnf_jzb_fdb) 20800 0 R (unique_49_Connect_42_ul_nnf_jzb_fdb) 20801 0 R (unique_49_Connect_42_ul_onf_jzb_fdb) 20802 0 R (unique_49_Connect_42_ul_pnf_jzb_fdb) 20803 0 R (unique_4_Connect_42_section_ekd_ntr_lpb) 21703 0 R (unique_4_Connect_42_section_idk_xsr_lpb) 21701 0 R (unique_4_Connect_42_section_qdv_b1t_mpb) 21700 0 R (unique_4_Connect_42_section_xtx_jtr_lpb) 21702 0 R (unique_4_Connect_42_ul_sg4_ntr_lpb) 21704 0 R (unique_5) 22550 0 R (unique_50) 20804 0 R (unique_500) 23138 0 R (unique_501) 21466 0 R (unique_502) 22264 0 R (unique_503) 22644 0 R (unique_504) 22647 0 R (unique_505) 22766 0 R (unique_506) 22787 0 R (unique_507) 22953 0 R (unique_508) 22970 0 R (unique_509) 22982 0 R (unique_50_Connect_42_table_unx_pzb_fdb) 20809 0 R (unique_50_Connect_42_ul_rnx_pzb_fdb) 20806 0 R (unique_50_Connect_42_ul_snx_pzb_fdb) 20807 0 R (unique_50_Connect_42_ul_tnx_pzb_fdb) 20808 0 R (unique_50_Connect_42_ul_vnx_pzb_fdb) 20810 0 R (unique_51) 20811 0 R (unique_510) 20921 0 R (unique_511) 21004 0 R (unique_512) 21023 0 R (unique_513) 21035 0 R (unique_514) 21044 0 R (unique_515) 21198 0 R (unique_515_Connect_42_ul_xg4_hnj_mfb) 21201 0 R (unique_516) 21217 0 R (unique_517) 21300 0 R (unique_518) 21312 0 R (unique_519) 21334 0 R (unique_51_Connect_42_ul_ef2_d1c_fdb) 20813 0 R (unique_51_Connect_42_ul_ff2_d1c_fdb) 20814 0 R (unique_51_Connect_42_ul_gf2_d1c_fdb) 20815 0 R] >> endobj 24025 0 obj << /Limits [(unique_52) (unique_56_Connect_42_drc_commands)] /Names [(unique_52) 20816 0 R (unique_520) 21352 0 R (unique_521) 21382 0 R (unique_522) 21385 0 R (unique_523) 21415 0 R (unique_524) 21430 0 R (unique_525) 21486 0 R (unique_526) 21563 0 R (unique_527) 22055 0 R (unique_528) 22073 0 R (unique_529) 22079 0 R (unique_53) 20818 0 R (unique_530) 22082 0 R (unique_531) 22085 0 R (unique_532) 22109 0 R (unique_533) 22137 0 R (unique_534) 22143 0 R (unique_534_Connect_42_section_d54_vnb_lhb) 22146 0 R (unique_535) 22172 0 R (unique_536) 22185 0 R (unique_537) 22188 0 R (unique_538) 22197 0 R (unique_539) 22212 0 R (unique_53_Connect_42_image_qvt_q1c_fdb) 20821 0 R (unique_53_Connect_42_ul_pvt_q1c_fdb) 20820 0 R (unique_54) 20822 0 R (unique_540) 22215 0 R (unique_541) 22221 0 R (unique_542) 22348 0 R (unique_543) 22410 0 R (unique_544) 22434 0 R (unique_545) 22501 0 R (unique_546) 22536 0 R (unique_547) 22772 0 R (unique_548) 22778 0 R (unique_549) 22854 0 R (unique_55) 20826 0 R (unique_550) 22857 0 R (unique_551) 22860 0 R (unique_552) 22967 0 R (unique_553) 22979 0 R (unique_554) 23084 0 R (unique_555) 23087 0 R (unique_556) 23090 0 R (unique_557) 23111 0 R (unique_558) 23160 0 R (unique_559) 23223 0 R (unique_56) 20829 0 R (unique_560) 21139 0 R (unique_561) 21151 0 R (unique_562) 21540 0 R (unique_563) 23244 0 R (unique_564) 21303 0 R (unique_565) 21923 0 R (unique_566) 22128 0 R (unique_567) 22635 0 R (unique_568) 22769 0 R (unique_569) 22964 0 R (unique_56_Connect_42_board_commands) 20831 0 R (unique_56_Connect_42_cluster_configuration_commands) 20833 0 R (unique_56_Connect_42_configuration_commands) 20835 0 R (unique_56_Connect_42_createperipheral_commands) 20837 0 R (unique_56_Connect_42_debug_commands) 20839 0 R (unique_56_Connect_42_drc_commands) 20841 0 R] >> endobj 24026 0 obj << /Limits [(unique_56_Connect_42_feasibility_commands) (unique_595_Connect_42_section_e4n_1js_cdb)] /Names [(unique_56_Connect_42_feasibility_commands) 20843 0 R (unique_56_Connect_42_fileio_commands) 20845 0 R (unique_56_Connect_42_floorplan_commands) 20847 0 R (unique_56_Connect_42_guicontrol_commands) 20849 0 R (unique_56_Connect_42_hardware_commands) 20851 0 R (unique_56_Connect_42_ipflow_commands) 20853 0 R (unique_56_Connect_42_ipintegrator_commands) 20855 0 R (unique_56_Connect_42_lint_commands) 20857 0 R (unique_56_Connect_42_memory_commands) 20859 0 R (unique_56_Connect_42_methodology_commands) 20861 0 R (unique_56_Connect_42_netlist_commands) 20863 0 R (unique_56_Connect_42_object_commands) 20865 0 R (unique_56_Connect_42_partition_commands) 20867 0 R (unique_56_Connect_42_pinplanning_commands) 20869 0 R (unique_56_Connect_42_platform_commands) 20871 0 R (unique_56_Connect_42_power_commands) 20873 0 R (unique_56_Connect_42_project_commands) 20875 0 R (unique_56_Connect_42_projutils_commands) 20877 0 R (unique_56_Connect_42_propertyandparameter_commands) 20879 0 R (unique_56_Connect_42_report_commands) 20881 0 R (unique_56_Connect_42_sdc_commands) 20883 0 R (unique_56_Connect_42_simulation_commands) 20885 0 R (unique_56_Connect_42_sysgen_commands) 20887 0 R (unique_56_Connect_42_timing_commands) 20889 0 R (unique_56_Connect_42_toollaunch_commands) 20891 0 R (unique_56_Connect_42_tools_commands) 20893 0 R (unique_56_Connect_42_vitis_commands) 20895 0 R (unique_56_Connect_42_vivado_preferences_commands) 20897 0 R (unique_56_Connect_42_waiver_commands) 20899 0 R (unique_56_Connect_42_waveform_commands) 20901 0 R (unique_56_Connect_42_xdc_commands) 20903 0 R (unique_56_Connect_42_xilinxtclstore_commands) 20905 0 R (unique_57) 20998 0 R (unique_570) 21016 0 R (unique_571) 21026 0 R (unique_572) 21412 0 R (unique_573) 21489 0 R (unique_574) 21492 0 R (unique_575) 21905 0 R (unique_576) 21960 0 R (unique_577) 22218 0 R (unique_578) 22515 0 R (unique_579) 22518 0 R (unique_58) 21343 0 R (unique_580) 22521 0 R (unique_581) 22527 0 R (unique_582) 22530 0 R (unique_583) 22533 0 R (unique_584) 22542 0 R (unique_584_Connect_42_section_cwr_tzy_4hb) 22545 0 R (unique_584_Connect_42_ul_dwr_tzy_4hb) 22546 0 R (unique_585) 22547 0 R (unique_586) 22558 0 R (unique_587) 22561 0 R (unique_588) 22571 0 R (unique_589) 22580 0 R (unique_59) 21346 0 R (unique_590) 22589 0 R (unique_591) 22616 0 R (unique_592) 22619 0 R (unique_593) 22632 0 R (unique_594) 22638 0 R (unique_595) 22650 0 R (unique_595_Connect_42_section_e4n_1js_cdb) 22653 0 R] >> endobj 24027 0 obj << /Limits [(unique_595_Connect_42_ul_f4n_1js_cdb) (unique_637)] /Names [(unique_595_Connect_42_ul_f4n_1js_cdb) 22654 0 R (unique_596) 22658 0 R (unique_597) 22672 0 R (unique_597_Connect_42_ul_vhq_pyw_x3b) 22675 0 R (unique_598) 22676 0 R (unique_598_Connect_42_ul_cmg_dhs_kfb) 22679 0 R (unique_599) 22683 0 R (unique_599_Connect_42_section_a5l_23b_bpb) 22687 0 R (unique_599_Connect_42_section_ebm_33b_bpb) 22688 0 R (unique_599_Connect_42_section_hfm_c3b_bpb) 22686 0 R (unique_599_Connect_42_section_zvn_nzr_cpb) 22689 0 R (unique_599_Connect_42_ul_hgr_wmw_w4b) 22690 0 R (unique_5_Connect_42_section_ayv_sfx_3pb) 22555 0 R (unique_5_Connect_42_section_eks_tfx_3pb) 22556 0 R (unique_5_Connect_42_section_m4y_pfx_3pb) 22553 0 R (unique_5_Connect_42_section_szm_w4w_3pb) 22554 0 R (unique_5_Connect_42_ul_hlz_tfx_3pb) 22557 0 R (unique_6) 22691 0 R (unique_60) 21635 0 R (unique_600) 22697 0 R (unique_601) 22703 0 R (unique_602) 22706 0 R (unique_603) 22709 0 R (unique_603_Connect_42_ul_nrr_lrc_2bb) 22712 0 R (unique_604) 22713 0 R (unique_604_Connect_42_ul_nrr_lrc_2bb) 22716 0 R (unique_605) 22717 0 R (unique_606) 22720 0 R (unique_607) 22760 0 R (unique_608) 22763 0 R (unique_609) 22784 0 R (unique_61) 21638 0 R (unique_610) 22793 0 R (unique_611) 22947 0 R (unique_612) 23148 0 R (unique_613) 20959 0 R (unique_614) 20980 0 R (unique_615) 20986 0 R (unique_616) 20992 0 R (unique_617) 21190 0 R (unique_618) 21220 0 R (unique_619) 21349 0 R (unique_62) 21641 0 R (unique_620) 21376 0 R (unique_621) 21750 0 R (unique_622) 22050 0 R (unique_622_Connect_42_section_u24_gdt_cdb) 22054 0 R (unique_622_Connect_42_ul_c3l_gdt_cdb) 22053 0 R (unique_623) 22881 0 R (unique_624) 22884 0 R (unique_625) 22887 0 R (unique_626) 22890 0 R (unique_627) 22893 0 R (unique_628) 22896 0 R (unique_629) 22902 0 R (unique_63) 21644 0 R (unique_630) 22908 0 R (unique_631) 22911 0 R (unique_632) 22917 0 R (unique_633) 22923 0 R (unique_634) 22926 0 R (unique_635) 22929 0 R (unique_636) 22935 0 R (unique_637) 22938 0 R] >> endobj 24028 0 obj << /Limits [(unique_638) (unique_69)] /Names [(unique_638) 22941 0 R (unique_639) 22944 0 R (unique_64) 21647 0 R (unique_640) 22950 0 R (unique_641) 22956 0 R (unique_641_Connect_42_section_b4w_4mb_z1b) 22959 0 R (unique_641_Connect_42_ul_c4w_4mb_z1b) 22960 0 R (unique_642) 22973 0 R (unique_643) 22988 0 R (unique_644) 20909 0 R (unique_645) 20915 0 R (unique_646) 20924 0 R (unique_646_Connect_42_ul_afn_pml_l4b) 20929 0 R (unique_646_Connect_42_ul_y2n_pml_l4b) 20927 0 R (unique_646_Connect_42_ul_z2n_pml_l4b) 20928 0 R (unique_647) 21029 0 R (unique_648) 21047 0 R (unique_649) 21050 0 R (unique_65) 21650 0 R (unique_650) 21053 0 R (unique_651) 21089 0 R (unique_652) 21355 0 R (unique_653) 21388 0 R (unique_654) 21391 0 R (unique_655) 21394 0 R (unique_656) 21397 0 R (unique_657) 21498 0 R (unique_658) 21543 0 R (unique_659) 21566 0 R (unique_66) 21653 0 R (unique_660) 21572 0 R (unique_661) 21917 0 R (unique_662) 21991 0 R (unique_663) 21997 0 R (unique_664) 22016 0 R (unique_665) 22032 0 R (unique_666) 22112 0 R (unique_666_Connect_42_ul_drc_flc_xmb) 22115 0 R (unique_667) 22116 0 R (unique_668) 22147 0 R (unique_669) 22150 0 R (unique_67) 21656 0 R (unique_670) 22153 0 R (unique_671) 22156 0 R (unique_672) 22224 0 R (unique_673) 22227 0 R (unique_674) 22233 0 R (unique_675) 22282 0 R (unique_676) 22413 0 R (unique_677) 22416 0 R (unique_678) 22428 0 R (unique_679) 22437 0 R (unique_68) 21659 0 R (unique_680) 22512 0 R (unique_681) 22539 0 R (unique_682) 22577 0 R (unique_683) 22586 0 R (unique_684) 22629 0 R (unique_685) 22680 0 R (unique_686) 22694 0 R (unique_687) 22700 0 R (unique_688) 22723 0 R (unique_689) 22781 0 R (unique_69) 21662 0 R] >> endobj 24029 0 obj << /Limits [(unique_690) (unique_744)] /Names [(unique_690) 22808 0 R (unique_691) 22822 0 R (unique_692) 22991 0 R (unique_693) 22994 0 R (unique_694) 23013 0 R (unique_695) 23019 0 R (unique_696) 23022 0 R (unique_697) 23042 0 R (unique_698) 21325 0 R (unique_699) 21092 0 R (unique_7) 23123 0 R (unique_70) 21665 0 R (unique_700) 21108 0 R (unique_701) 21111 0 R (unique_702) 22899 0 R (unique_703) 22905 0 R (unique_704) 23114 0 R (unique_705) 22103 0 R (unique_706) 22106 0 R (unique_707) 22119 0 R (unique_708) 22122 0 R (unique_709) 22140 0 R (unique_71) 21668 0 R (unique_710) 22236 0 R (unique_710_Connect_42_ul_dsx_4wz_jhb) 22240 0 R (unique_710_Connect_42_ul_rn2_kvz_jhb) 22239 0 R (unique_710_Connect_42_ul_wmx_qwz_jhb) 22241 0 R (unique_711) 22249 0 R (unique_712) 22407 0 R (unique_713) 22641 0 R (unique_714) 22819 0 R (unique_715) 23048 0 R (unique_716) 23072 0 R (unique_717) 23081 0 R (unique_718) 23108 0 R (unique_719) 23235 0 R (unique_72) 21671 0 R (unique_720) 21400 0 R (unique_721) 20944 0 R (unique_722) 20947 0 R (unique_723) 20950 0 R (unique_724) 20953 0 R (unique_725) 20956 0 R (unique_726) 21056 0 R (unique_727) 21331 0 R (unique_728) 21403 0 R (unique_729) 22038 0 R (unique_73) 21674 0 R (unique_730) 22041 0 R (unique_731) 22191 0 R (unique_732) 22230 0 R (unique_733) 22483 0 R (unique_734) 22863 0 R (unique_735) 22875 0 R (unique_736) 20962 0 R (unique_737) 20965 0 R (unique_738) 20968 0 R (unique_739) 20971 0 R (unique_74) 23132 0 R (unique_740) 20974 0 R (unique_741) 20977 0 R (unique_742) 20983 0 R (unique_743) 20989 0 R (unique_744) 21269 0 R] >> endobj 24030 0 obj << /Limits [(unique_745) (xxsim)] /Names [(unique_745) 21454 0 R (unique_746) 22878 0 R (unique_747) 22920 0 R (unique_748) 22932 0 R (unique_749) 22985 0 R (unique_75) 21693 0 R (unique_750) 23102 0 R (unique_751) 20907 0 R (unique_752) 22261 0 R (unique_753) 23277 0 R (unique_754) 23279 0 R (unique_754_Connect_42_section_N10011_N1000E_N10001) 23281 0 R (unique_755) 23282 0 R (unique_755_Connect_42_note_N1006F_N10011_N1000E_N10001) 23287 0 R (unique_755_Connect_42_section_N10011_N1000E_N10001) 23284 0 R (unique_755_Connect_42_ul_uv3_qxb_wy) 23285 0 R (unique_755_Connect_42_ul_v3b_5xb_wy) 23286 0 R (unique_756) 23288 0 R (unique_756_Connect_42_section_N10011_N1000E_N10001) 23290 0 R (unique_756_Connect_42_section_N1004E_N1000E_N10001) 23291 0 R (unique_756_Connect_42_section_N100A0_N1000E_N10001) 23293 0 R (unique_756_Connect_42_section_N100BD_N1000E_N10001) 23294 0 R (unique_756_Connect_42_ul_gkt_vvt_z1b) 23292 0 R (unique_757) 23295 0 R (unique_757_Connect_42_section_N10011_N1000E_N10001) 23297 0 R (unique_757_Connect_42_section_nd1_sk2_sy) 23298 0 R (unique_757_Connect_42_section_x4j_fpk_3y) 23299 0 R (unique_75_Connect_42_ul_epd_pql_hlb) 21696 0 R (unique_76) 22425 0 R (unique_77) 23135 0 R (unique_78) 21098 0 R (unique_78_Connect_42_section_cwr_tzy_4hb) 21101 0 R (unique_78_Connect_42_ul_dwr_tzy_4hb) 21102 0 R (unique_79) 20938 0 R (unique_8) 23271 0 R (unique_80) 21281 0 R (unique_81) 21581 0 R (unique_82) 23241 0 R (unique_83) 21001 0 R (unique_84) 21123 0 R (unique_84_Connect_42_section_h1z_2jm_wbb) 21126 0 R (unique_85) 21127 0 R (unique_86) 21202 0 R (unique_87) 21205 0 R (unique_88) 21418 0 R (unique_89) 21421 0 R (unique_9) 21059 0 R (unique_90) 21510 0 R (unique_91) 21709 0 R (unique_91_Connect_42_note_ofobjects) 21712 0 R (unique_92) 21713 0 R (unique_92_Connect_42_note_ofobjects) 21716 0 R (unique_93) 22061 0 R (unique_94) 22178 0 R (unique_94_Connect_42_ol_s2q_grw_z1b) 22181 0 R (unique_95) 22564 0 R (unique_96) 23190 0 R (unique_97) 20918 0 R (unique_98) 21208 0 R (unique_99) 21211 0 R (xHandlingListsOfObjects) 24033 0 R (xScriptingInTcl) 24035 0 R (xTclCommandCategories) 24038 0 R (xTclCommandsListedbyCategory) 24039 0 R (xTclInitializationScripts) 24037 0 R (xTclJournalFiles) 24036 0 R (xadd_bp) 24040 0 R (xadd_cells_to_pblock) 24041 0 R (xadd_condition) 24042 0 R (xadd_drc_checks) 24043 0 R (xadd_files) 24044 0 R (xadd_force) 24045 0 R (xadd_hw_hbm_pc) 24046 0 R (xadd_hw_probe_enum) 24047 0 R (xadd_peripheral_interface) 24048 0 R (xadd_to_power_rail) 24049 0 R (xadd_wave) 24050 0 R (xadd_wave_divider) 24051 0 R (xadd_wave_group) 24052 0 R (xadd_wave_marker) 24053 0 R (xadd_wave_virtual_bus) 24054 0 R (xall_clocks) 24055 0 R (xall_cpus) 24056 0 R (xall_dsps) 24057 0 R (xall_fanin) 24058 0 R (xall_fanout) 24059 0 R (xall_ffs) 24060 0 R (xall_hsios) 24061 0 R (xall_inputs) 24062 0 R (xall_latches) 24063 0 R (xall_outputs) 24064 0 R (xall_rams) 24065 0 R (xall_registers) 24066 0 R (xapply_bd_automation) 24067 0 R (xapply_board_connection) 24068 0 R (xapply_hw_ila_trigger) 24069 0 R (xarchive_project) 24070 0 R (xassign_bd_address) 24071 0 R (xauto_detect_xpm) 24072 0 R (xboot_hw_device) 24073 0 R (xcalc_config_time) 24074 0 R (xcan_resolve_reference) 24075 0 R (xcheck_syntax) 24076 0 R (xcheck_timing) 24077 0 R (xcheckpoint_vcd) 24078 0 R (xclose_bd_design) 24079 0 R (xclose_design) 24080 0 R (xclose_hw_manager) 24081 0 R (xclose_hw_target) 24082 0 R (xclose_project) 24083 0 R (xclose_saif) 24084 0 R (xclose_sim) 24085 0 R (xclose_vcd) 24086 0 R (xclose_wave_config) 24087 0 R (xcombine_hw_platforms) 24088 0 R (xcommit_hw_hbm) 24089 0 R (xcommit_hw_mig) 24090 0 R (xcommit_hw_sio) 24091 0 R (xcommit_hw_sysmon) 24092 0 R (xcommit_hw_vio) 24093 0 R (xcompile_c) 24094 0 R (xcompile_simlib) 24095 0 R (xconfig_compile_simlib) 24096 0 R (xconfig_design_analysis) 24097 0 R (xconfig_hw_sio_gts) 24098 0 R (xconfig_implementation) 24099 0 R (xconfig_ip_cache) 24100 0 R (xconfig_timing_analysis) 24101 0 R (xconfig_timing_corners) 24102 0 R (xconfig_webtalk) 24103 0 R (xconnect_bd_intf_net) 24104 0 R (xconnect_bd_net) 24105 0 R (xconnect_debug_cores) 24106 0 R (xconnect_debug_port) 24107 0 R (xconnect_hw_server) 24108 0 R (xconnect_net) 24109 0 R (xconvert_ips) 24110 0 R (xconvert_ngc) 24111 0 R (xcopy_bd_objs) 24112 0 R (xcopy_constraints) 24113 0 R (xcopy_ip) 24114 0 R (xcopy_run) 24115 0 R (xcreate_bd_addr_seg) 24116 0 R (xcreate_bd_cell) 24117 0 R (xcreate_bd_design) 24118 0 R (xcreate_bd_intf_net) 24119 0 R (xcreate_bd_intf_pin) 24120 0 R (xcreate_bd_intf_port) 24121 0 R (xcreate_bd_intf_tlm_port) 24122 0 R (xcreate_bd_net) 24123 0 R (xcreate_bd_pin) 24124 0 R (xcreate_bd_port) 24125 0 R (xcreate_bd_tlm_port) 24126 0 R (xcreate_cell) 24127 0 R (xcreate_clock) 24128 0 R (xcreate_cluster_configuration) 24129 0 R (xcreate_dashboard_gadget) 24130 0 R (xcreate_debug_core) 24131 0 R (xcreate_debug_port) 24132 0 R (xcreate_drc_check) 24133 0 R (xcreate_drc_ruledeck) 24134 0 R (xcreate_drc_violation) 24135 0 R (xcreate_fileset) 24136 0 R (xcreate_generated_clock) 24137 0 R (xcreate_gui_custom_command) 24138 0 R (xcreate_gui_custom_command_arg) 24139 0 R (xcreate_hw_axi_txn) 24140 0 R (xcreate_hw_bitstream) 24141 0 R (xcreate_hw_cfgmem) 24142 0 R (xcreate_hw_device) 24143 0 R (xcreate_hw_probe) 24144 0 R (xcreate_hw_sio_link) 24145 0 R (xcreate_hw_sio_linkgroup) 24146 0 R (xcreate_hw_sio_scan) 24147 0 R (xcreate_hw_sio_sweep) 24148 0 R (xcreate_hw_target) 24149 0 R (xcreate_interface) 24150 0 R (xcreate_ip) 24151 0 R (xcreate_ip_run) 24152 0 R (xcreate_macro) 24153 0 R (xcreate_net) 24154 0 R (xcreate_partition_def) 24155 0 R (xcreate_pblock) 24156 0 R (xcreate_peripheral) 24157 0 R (xcreate_pin) 24158 0 R (xcreate_port) 24159 0 R (xcreate_port_on_reconfigurable_module) 24160 0 R (xcreate_power_rail) 24161 0 R (xcreate_pr_configuration) 24162 0 R (xcreate_project) 24163 0 R (xcreate_property) 24164 0 R (xcreate_reconfig_module) 24165 0 R (xcreate_report_config) 24166 0 R (xcreate_run) 24167 0 R (xcreate_single_pass_run) 24168 0 R (xcreate_slack_histogram) 24169 0 R (xcreate_sysgen) 24170 0 R (xcreate_waiver) 24171 0 R (xcreate_wave_config) 24172 0 R (xcreate_xps) 24173 0 R (xcurrent_bd_design) 24174 0 R (xcurrent_bd_instance) 24175 0 R (xcurrent_board) 24176 0 R (xcurrent_board_part) 24177 0 R (xcurrent_design) 24178 0 R (xcurrent_fileset) 24179 0 R (xcurrent_frame) 24180 0 R (xcurrent_hw_cfgmem) 24181 0 R (xcurrent_hw_device) 24182 0 R (xcurrent_hw_ila) 24183 0 R (xcurrent_hw_ila_data) 24184 0 R (xcurrent_hw_server) 24185 0 R (xcurrent_hw_target) 24186 0 R (xcurrent_instance) 24187 0 R (xcurrent_pr_configuration) 24188 0 R (xcurrent_project) 24189 0 R (xcurrent_run) 24190 0 R (xcurrent_scope) 24191 0 R (xcurrent_sim) 24192 0 R (xcurrent_time) 24193 0 R (xcurrent_vcd) 24194 0 R (xcurrent_vivado_preferences) 24195 0 R (xcurrent_wave_config) 24196 0 R (xdecrypt_bitstream) 24197 0 R (xdelete_bd_objs) 24198 0 R (xdelete_clock_networks_results) 24199 0 R (xdelete_dashboard_gadgets) 24200 0 R (xdelete_debug_core) 24201 0 R (xdelete_debug_port) 24202 0 R (xdelete_drc_check) 24203 0 R (xdelete_drc_ruledeck) 24204 0 R (xdelete_fileset) 24205 0 R (xdelete_hw_axi_txn) 24206 0 R (xdelete_hw_bitstream) 24207 0 R (xdelete_hw_cfgmem) 24208 0 R (xdelete_hw_probe) 24209 0 R (xdelete_hw_target) 24210 0 R (xdelete_interface) 24211 0 R (xdelete_ip_run) 24212 0 R (xdelete_macros) 24213 0 R (xdelete_partition_defs) 24214 0 R (xdelete_pblocks) 24215 0 R (xdelete_power_rails) 24216 0 R (xdelete_power_results) 24217 0 R (xdelete_pr_configurations) 24218 0 R (xdelete_qor_suggestions) 24219 0 R (xdelete_reconfig_modules) 24220 0 R (xdelete_report_configs) 24221 0 R (xdelete_rpm) 24222 0 R (xdelete_runs) 24223 0 R (xdelete_timing_results) 24224 0 R (xdelete_utilization_results) 24225 0 R (xdelete_waivers) 24226 0 R (xdescribe) 24227 0 R (xdetect_hw_sio_links) 24228 0 R (xdisconnect_bd_intf_net) 24229 0 R (xdisconnect_bd_net) 24230 0 R (xdisconnect_debug_port) 24231 0 R (xdisconnect_hw_server) 24232 0 R (xdisconnect_net) 24233 0 R (xdisplay_hw_ila_data) 24234 0 R (xdisplay_hw_sio_scan) 24235 0 R (xencrypt) 24236 0 R (xendgroup) 24237 0 R (xexclude_bd_addr_seg) 24238 0 R (xexecute_hw_svf) 24239 0 R (xexport_as_example_design) 24240 0 R (xexport_bd_synth) 24241 0 R (xexport_ip_user_files) 24242 0 R (xexport_simulation) 24243 0 R (xextract_files) 24244 0 R (xfilter) 24245 0 R (xfind_bd_objs) 24246 0 R (xfind_routing_path) 24247 0 R (xfind_top) 24248 0 R (xflush_vcd) 24249 0 R (xgenerate_base_platform) 24250 0 R (xgenerate_hier_access) 24251 0 R (xgenerate_mem_files) 24252 0 R (xgenerate_pblock) 24253 0 R (xgenerate_peripheral) 24254 0 R (xgenerate_reports) 24255 0 R (xgenerate_rl_platform) 24256 0 R (xgenerate_shx_platform) 24257 0 R (xgenerate_target) 24258 0 R (xget_bd_addr_segs) 24259 0 R (xget_bd_addr_spaces) 24260 0 R (xget_bd_cells) 24261 0 R (xget_bd_designs) 24262 0 R (xget_bd_intf_nets) 24263 0 R (xget_bd_intf_pins) 24264 0 R (xget_bd_intf_ports) 24265 0 R (xget_bd_nets) 24266 0 R (xget_bd_pins) 24267 0 R (xget_bd_ports) 24268 0 R (xget_bd_regs) 24269 0 R (xget_bel_pins) 24270 0 R (xget_bels) 24271 0 R (xget_board_bus_nets) 24272 0 R (xget_board_buses) 24273 0 R (xget_board_component_interfaces) 24274 0 R (xget_board_component_modes) 24275 0 R (xget_board_component_pins) 24276 0 R (xget_board_components) 24277 0 R (xget_board_interface_ports) 24278 0 R (xget_board_ip_preferences) 24279 0 R (xget_board_jumpers) 24280 0 R (xget_board_parameters) 24281 0 R (xget_board_part_interfaces) 24282 0 R (xget_board_part_pins) 24283 0 R (xget_board_parts) 24284 0 R (xget_boards) 24285 0 R (xget_cdc_violations) 24286 0 R (xget_cells) 24287 0 R (xget_cfgmem_parts) 24288 0 R (xget_clock_regions) 24289 0 R (xget_clocks) 24290 0 R (xget_cluster_configurations) 24291 0 R (xget_constant_paths) 24292 0 R (xget_dashboard_gadgets) 24293 0 R (xget_debug_cores) 24294 0 R (xget_debug_ports) 24295 0 R (xget_designs) 24296 0 R (xget_drc_checks) 24297 0 R (xget_drc_ruledecks) 24298 0 R (xget_drc_violations) 24299 0 R (xget_example_designs) 24300 0 R (xget_files) 24301 0 R (xget_filesets) 24302 0 R (xget_generated_clocks) 24303 0 R (xget_gui_custom_command_args) 24304 0 R (xget_gui_custom_commands) 24305 0 R (xget_hierarchy_separator) 24306 0 R (xget_highlighted_objects) 24307 0 R (xget_hw_axi_txns) 24308 0 R (xget_hw_axis) 24309 0 R (xget_hw_cfgmems) 24310 0 R (xget_hw_ddrmcs) 24311 0 R (xget_hw_devices) 24312 0 R (xget_hw_hbms) 24313 0 R (xget_hw_ila_datas) 24314 0 R (xget_hw_ilas) 24315 0 R (xget_hw_migs) 24316 0 R (xget_hw_pcies) 24317 0 R (xget_hw_probes) 24318 0 R (xget_hw_servers) 24319 0 R (xget_hw_sio_commons) 24320 0 R (xget_hw_sio_gtgroups) 24321 0 R (xget_hw_sio_gts) 24322 0 R (xget_hw_sio_iberts) 24323 0 R (xget_hw_sio_linkgroups) 24324 0 R (xget_hw_sio_links) 24325 0 R (xget_hw_sio_plls) 24326 0 R (xget_hw_sio_rxs) 24327 0 R (xget_hw_sio_scans) 24328 0 R (xget_hw_sio_sweeps) 24329 0 R (xget_hw_sio_txs) 24330 0 R (xget_hw_softmcs) 24331 0 R (xget_hw_sysmon_reg) 24332 0 R (xget_hw_sysmons) 24333 0 R (xget_hw_targets) 24334 0 R (xget_hw_vios) 24335 0 R (xget_interfaces) 24336 0 R (xget_io_standards) 24337 0 R (xget_iobanks) 24338 0 R (xget_ip_upgrade_results) 24339 0 R (xget_ipdefs) 24340 0 R (xget_ips) 24341 0 R (xget_lib_cells) 24342 0 R (xget_lib_pins) 24343 0 R (xget_libs) 24344 0 R (xget_macros) 24345 0 R (xget_marked_objects) 24346 0 R (xget_methodology_checks) 24347 0 R (xget_methodology_violations) 24348 0 R (xget_msg_config) 24349 0 R (xget_net_delays) 24350 0 R (xget_nets) 24351 0 R (xget_nodes) 24352 0 R (xget_objects) 24353 0 R (xget_package_pins) 24354 0 R (xget_param) 24355 0 R (xget_partition_defs) 24356 0 R (xget_parts) 24357 0 R (xget_path_groups) 24358 0 R (xget_pblocks) 24359 0 R (xget_pins) 24360 0 R (xget_pips) 24361 0 R (xget_pkgpin_bytegroups) 24362 0 R (xget_pkgpin_nibbles) 24363 0 R (xget_ports) 24364 0 R (xget_power_rails) 24365 0 R (xget_pplocs) 24366 0 R (xget_pr_configurations) 24367 0 R (xget_primitives) 24368 0 R (xget_projects) 24369 0 R (xget_property) 24370 0 R (xget_qor_suggestions) 24371 0 R (xget_reconfig_modules) 24372 0 R (xget_report_configs) 24373 0 R (xget_runs) 24374 0 R (xget_scopes) 24375 0 R (xget_selected_objects) 24376 0 R (xget_simulators) 24377 0 R (xget_site_pins) 24378 0 R (xget_site_pips) 24379 0 R (xget_sites) 24380 0 R (xget_slrs) 24381 0 R (xget_speed_models) 24382 0 R (xget_stacks) 24383 0 R (xget_template_bd_designs) 24384 0 R (xget_tiles) 24385 0 R (xget_timing_arcs) 24386 0 R (xget_timing_paths) 24387 0 R (xget_value) 24388 0 R (xget_waivers) 24389 0 R (xget_wave_configs) 24390 0 R (xget_waves) 24391 0 R (xget_wires) 24392 0 R (xgroup_bd_cells) 24393 0 R (xgroup_path) 24394 0 R (xhelp) 24395 0 R (xhighlight_objects) 24396 0 R (ximplement_debug_core) 24397 0 R (ximplement_mig_cores) 24398 0 R (ximplement_xphy_cores) 24399 0 R (ximport_files) 24400 0 R (ximport_ip) 24401 0 R (ximport_synplify) 24402 0 R (ximport_xise) 24403 0 R (ximport_xst) 24404 0 R (xinclude_bd_addr_seg) 24405 0 R (xinfer_diff_pairs) 24406 0 R (xinstantiate_example_design) 24407 0 R (xinstantiate_template_bd_design) 24408 0 R (xiphys_opt_design) 24409 0 R (xlaunch_chipscope_analyzer) 24410 0 R (xlaunch_impact) 24411 0 R (xlaunch_runs) 24412 0 R (xlaunch_simulation) 24413 0 R (xlimit_vcd) 24414 0 R (xlink_design) 24415 0 R (xlist_features) 24416 0 R (xlist_hw_samples) 24417 0 R (xlist_param) 24418 0 R (xlist_property) 24419 0 R (xlist_property_value) 24420 0 R (xlist_targets) 24421 0 R (xload_features) 24422 0 R (xlock_design) 24423 0 R (xlog_saif) 24424 0 R (xlog_vcd) 24425 0 R (xlog_wave) 24426 0 R (xltrace) 24427 0 R (xmake_bd_intf_pins_external) 24428 0 R (xmake_bd_pins_external) 24429 0 R (xmake_diff_pair_ports) 24430 0 R (xmake_wrapper) 24431 0 R (xmark_objects) 24432 0 R (xmodify_debug_ports) 24433 0 R (xmove_bd_cells) 24434 0 R (xmove_dashboard_gadget) 24435 0 R (xmove_files) 24436 0 R (xmove_wave) 24437 0 R (xopen_bd_design) 24438 0 R (xopen_checkpoint) 24439 0 R (xopen_example_project) 24440 0 R (xopen_hw_manager) 24441 0 R (xopen_hw_platform) 24442 0 R (xopen_hw_target) 24443 0 R (xopen_io_design) 24444 0 R (xopen_project) 24445 0 R (xopen_report) 24446 0 R (xopen_run) 24447 0 R (xopen_saif) 24448 0 R (xopen_vcd) 24449 0 R (xopen_wave_config) 24450 0 R (xopen_wave_database) 24451 0 R (xopt_design) 24452 0 R (xpause_hw_hbm_amon) 24453 0 R (xphys_opt_design) 24454 0 R (xplace_cell) 24455 0 R (xplace_design) 24456 0 R (xplace_ports) 24457 0 R (xplatform_verify) 24458 0 R (xpower_opt_design) 24459 0 R (xpr_recombine) 24460 0 R (xpr_subdivide) 24461 0 R (xpr_verify) 24462 0 R (xprogram_hw_cfgmem) 24463 0 R (xprogram_hw_devices) 24464 0 R (xptrace) 24465 0 R (xread_bd) 24466 0 R (xread_checkpoint) 24467 0 R (xread_csv) 24468 0 R (xread_edif) 24469 0 R (xread_hw_ila_data) 24470 0 R (xread_hw_sio_scan) 24471 0 R (xread_hw_sio_sweep) 24472 0 R (xread_ip) 24473 0 R (xread_iphys_opt_tcl) 24474 0 R (xread_mem) 24475 0 R (xread_qor_suggestions) 24476 0 R (xread_saif) 24477 0 R (xread_schematic) 24478 0 R (xread_twx) 24479 0 R (xread_verilog) 24480 0 R (xread_vhdl) 24481 0 R (xread_xdc) 24482 0 R (xreadback_hw_cfgmem) 24483 0 R (xreadback_hw_device) 24484 0 R (xredo) 24485 0 R (xrefresh_design) 24486 0 R (xrefresh_hw_axi) 24487 0 R (xrefresh_hw_ddrmc) 24488 0 R (xrefresh_hw_device) 24489 0 R (xrefresh_hw_hbm) 24490 0 R (xrefresh_hw_mig) 24491 0 R (xrefresh_hw_pcie) 24492 0 R (xrefresh_hw_server) 24493 0 R (xrefresh_hw_sio) 24494 0 R (xrefresh_hw_softmc) 24495 0 R (xrefresh_hw_sysmon) 24496 0 R (xrefresh_hw_target) 24497 0 R (xrefresh_hw_vio) 24498 0 R (xrefresh_meminit) 24499 0 R (xregenerate_bd_layout) 24500 0 R (xregister_proc) 24501 0 R (xreimport_files) 24502 0 R (xrelaunch_sim) 24503 0 R (xremove_bps) 24504 0 R (xremove_cell) 24505 0 R (xremove_cells_from_pblock) 24506 0 R (xremove_cluster_configurations) 24507 0 R (xremove_conditions) 24508 0 R (xremove_drc_checks) 24509 0 R (xremove_files) 24510 0 R (xremove_forces) 24511 0 R (xremove_from_power_rail) 24512 0 R (xremove_gui_custom_command_args) 24513 0 R (xremove_gui_custom_commands) 24514 0 R (xremove_hw_hbm_pc) 24515 0 R (xremove_hw_probe_enum) 24516 0 R (xremove_hw_sio_link) 24517 0 R (xremove_hw_sio_linkgroup) 24518 0 R (xremove_hw_sio_scan) 24519 0 R (xremove_hw_sio_sweep) 24520 0 R (xremove_net) 24521 0 R (xremove_pin) 24522 0 R (xremove_port) 24523 0 R (xremove_wave) 24524 0 R (xrename_cell) 24525 0 R (xrename_net) 24526 0 R (xrename_pin) 24527 0 R (xrename_port) 24528 0 R (xrename_ref) 24529 0 R (xreorder_files) 24530 0 R (xreplace_bd_cell) 24531 0 R (xreport_bd_diffs) 24532 0 R (xreport_bps) 24533 0 R (xreport_bus_skew) 24534 0 R (xreport_carry_chains) 24535 0 R (xreport_cdc) 24536 0 R (xreport_clock_interaction) 24537 0 R (xreport_clock_networks) 24538 0 R (xreport_clock_utilization) 24539 0 R (xreport_clocks) 24540 0 R (xreport_compile_order) 24541 0 R (xreport_conditions) 24542 0 R (xreport_config_implementation) 24543 0 R (xreport_config_timing) 24544 0 R (xreport_constant_paths) 24545 0 R (xreport_control_sets) 24546 0 R (xreport_datasheet) 24547 0 R (xreport_debug_core) 24548 0 R (xreport_design_analysis) 24549 0 R (xreport_disable_timing) 24550 0 R (xreport_drc) 24551 0 R (xreport_drivers) 24552 0 R (xreport_environment) 24553 0 R (xreport_exceptions) 24554 0 R (xreport_frames) 24555 0 R (xreport_high_fanout_nets) 24556 0 R (xreport_hw_axi_txn) 24557 0 R (xreport_hw_ddrmc) 24558 0 R (xreport_hw_mig) 24559 0 R (xreport_hw_pcie) 24560 0 R (xreport_hw_softmc) 24561 0 R (xreport_hw_targets) 24562 0 R (xreport_incremental_reuse) 24563 0 R (xreport_io) 24564 0 R (xreport_ip_status) 24565 0 R (xreport_methodology) 24566 0 R (xreport_objects) 24567 0 R (xreport_operating_conditions) 24568 0 R (xreport_param) 24569 0 R (xreport_phys_opt) 24570 0 R (xreport_pipeline_analysis) 24571 0 R (xreport_power) 24572 0 R (xreport_power_opt) 24573 0 R (xreport_pr_configuration_analysis) 24574 0 R (xreport_property) 24575 0 R (xreport_pulse_width) 24576 0 R (xreport_qor_assessment) 24577 0 R (xreport_qor_suggestions) 24578 0 R (xreport_ram_utilization) 24579 0 R (xreport_route_status) 24580 0 R (xreport_scopes) 24581 0 R (xreport_sim_device) 24582 0 R (xreport_sim_version) 24583 0 R (xreport_simlib_info) 24584 0 R (xreport_ssn) 24585 0 R (xreport_stacks) 24586 0 R (xreport_switching_activity) 24587 0 R (xreport_synchronizer_mtbf) 24588 0 R (xreport_timing) 24589 0 R (xreport_timing_summary) 24590 0 R (xreport_transformed_primitives) 24591 0 R (xreport_utilization) 24592 0 R (xreport_values) 24593 0 R (xreport_waivers) 24594 0 R (xreset_drc) 24595 0 R (xreset_drc_check) 24596 0 R (xreset_hw_axi) 24597 0 R (xreset_hw_ila) 24598 0 R (xreset_hw_pcie) 24599 0 R (xreset_hw_vio_activity) 24600 0 R (xreset_hw_vio_outputs) 24601 0 R (xreset_methodology) 24602 0 R (xreset_methodology_check) 24603 0 R (xreset_msg_config) 24604 0 R (xreset_msg_count) 24605 0 R (xreset_operating_conditions) 24606 0 R (xreset_param) 24607 0 R (xreset_project) 24608 0 R (xreset_property) 24609 0 R (xreset_runs) 24610 0 R (xreset_simulation) 24611 0 R (xreset_ssn) 24612 0 R (xreset_switching_activity) 24613 0 R (xreset_target) 24614 0 R (xreset_timing) 24615 0 R (xresize_net_bus) 24616 0 R (xresize_pblock) 24617 0 R (xresize_pin_bus) 24618 0 R (xresize_port_bus) 24619 0 R (xrestart) 24620 0 R (xresume_hw_hbm_amon) 24621 0 R (xroute_design) 24622 0 R (xrun) 24623 0 R (xrun_hw_axi) 24624 0 R (xrun_hw_hbm_amon) 24625 0 R (xrun_hw_ila) 24626 0 R (xrun_hw_sio_scan) 24627 0 R (xrun_hw_sio_sweep) 24628 0 R (xrun_state_hw_jtag) 24629 0 R (xruntest_hw_jtag) 24630 0 R (xsave_bd_design) 24631 0 R (xsave_bd_design_as) 24632 0 R (xsave_constraints) 24633 0 R (xsave_constraints_as) 24634 0 R (xsave_project_as) 24635 0 R (xsave_wave_config) 24636 0 R (xscan_dr_hw_jtag) 24637 0 R (xscan_ir_hw_jtag) 24638 0 R (xselect_objects) 24639 0 R (xselect_wave_objects) 24640 0 R (xset_bus_skew) 24641 0 R (xset_case_analysis) 24642 0 R (xset_clock_groups) 24643 0 R (xset_clock_latency) 24644 0 R (xset_clock_sense) 24645 0 R (xset_clock_uncertainty) 24646 0 R (xset_data_check) 24647 0 R (xset_delay_model) 24648 0 R (xset_disable_timing) 24649 0 R (xset_external_delay) 24650 0 R (xset_false_path) 24651 0 R (xset_hierarchy_separator) 24652 0 R (xset_hw_sysmon_reg) 24653 0 R (xset_input_delay) 24654 0 R (xset_input_jitter) 24655 0 R (xset_load) 24656 0 R (xset_logic_dc) 24657 0 R (xset_logic_one) 24658 0 R (xset_logic_unconnected) 24659 0 R (xset_logic_zero) 24660 0 R (xset_max_delay) 24661 0 R (xset_max_time_borrow) 24662 0 R (xset_min_delay) 24663 0 R (xset_msg_config) 24664 0 R (xset_multicycle_path) 24665 0 R (xset_operating_conditions) 24666 0 R (xset_output_delay) 24667 0 R (xset_package_pin_val) 24668 0 R (xset_param) 24669 0 R (xset_part) 24670 0 R (xset_power_opt) 24671 0 R (xset_propagated_clock) 24672 0 R (xset_property) 24673 0 R (xset_speed_grade) 24674 0 R (xset_switching_activity) 24675 0 R (xset_system_jitter) 24676 0 R (xset_units) 24677 0 R (xset_value) 24678 0 R (xsetup_ip_static_library) 24679 0 R (xsetup_pr_configurations) 24680 0 R (xshow_objects) 24681 0 R (xshow_schematic) 24682 0 R (xsplit_diff_pair_ports) 24683 0 R (xstart_gui) 24684 0 R (xstart_vcd) 24685 0 R (xstartgroup) 24686 0 R (xstep) 24687 0 R (xstop) 24688 0 R (xstop_gui) 24689 0 R (xstop_hw_hbm_amon) 24690 0 R (xstop_hw_sio_scan) 24691 0 R (xstop_hw_sio_sweep) 24692 0 R (xstop_vcd) 24693 0 R (xswap_locs) 24694 0 R (xsynth_design) 24695 0 R (xsynth_ip) 24696 0 R (xtie_unused_pins) 24697 0 R (xundo) 24698 0 R (xungroup_bd_cells) 24699 0 R (xunhighlight_objects) 24700 0 R (xunmark_objects) 24701 0 R (xunplace_cell) 24702 0 R (xunregister_proc) 24703 0 R (xunselect_objects) 24704 0 R (xupdate_bd_boundaries) 24705 0 R (xupdate_clock_routing) 24706 0 R (xupdate_compile_order) 24707 0 R (xupdate_design) 24708 0 R (xupdate_files) 24709 0 R (xupdate_hw_firmware) 24710 0 R (xupdate_hw_gpio) 24711 0 R (xupdate_ip_catalog) 24712 0 R (xupdate_macro) 24713 0 R (xupdate_module_reference) 24714 0 R (xupdate_noc_qos) 24715 0 R (xupdate_sw_parameters) 24716 0 R (xupdate_timing) 24717 0 R (xupgrade_bd_cells) 24718 0 R (xupgrade_ip) 24719 0 R (xupgrade_project) 24720 0 R (xupload_hw_ila_data) 24721 0 R (xvalidate_bd_design) 24722 0 R (xvalidate_board_files) 24723 0 R (xvalidate_cluster_configurations) 24724 0 R (xvalidate_hw_platform) 24725 0 R (xvalidate_ip) 24726 0 R (xverify_hw_devices) 24727 0 R (xversion) 24728 0 R (xwait_on_hw_ila) 24729 0 R (xwait_on_hw_sio_scan) 24730 0 R (xwait_on_hw_sio_sweep) 24731 0 R (xwait_on_run) 24732 0 R (xwrite_abstract_shell) 24733 0 R (xwrite_bd_layout) 24734 0 R (xwrite_bd_tcl) 24735 0 R (xwrite_bitstream) 24736 0 R (xwrite_bmm) 24737 0 R (xwrite_bsdl) 24738 0 R (xwrite_cfgmem) 24739 0 R (xwrite_checkpoint) 24740 0 R (xwrite_csv) 24741 0 R (xwrite_debug_probes) 24742 0 R (xwrite_device_image) 24743 0 R (xwrite_edif) 24744 0 R (xwrite_hw_ila_data) 24745 0 R (xwrite_hw_platform) 24746 0 R (xwrite_hw_platform_metadata) 24747 0 R (xwrite_hw_sio_scan) 24748 0 R (xwrite_hw_sio_sweep) 24749 0 R (xwrite_hw_svf) 24750 0 R (xwrite_hwdef) 24751 0 R (xwrite_ibis) 24752 0 R (xwrite_inferred_xdc) 24753 0 R (xwrite_ip_tcl) 24754 0 R (xwrite_iphys_opt_tcl) 24755 0 R (xwrite_mem_info) 24756 0 R (xwrite_peripheral) 24757 0 R (xwrite_project_tcl) 24758 0 R (xwrite_qor_suggestions) 24759 0 R (xwrite_schematic) 24760 0 R (xwrite_sdf) 24761 0 R (xwrite_verilog) 24762 0 R (xwrite_vhdl) 24763 0 R (xwrite_waivers) 24764 0 R (xwrite_xdc) 24765 0 R (xwrite_xsim_coverage) 24766 0 R (xxsim) 24767 0 R] >> endobj 24031 0 obj << /Author (Xilinx, Inc.) /CreationDate (D:20210608081201-08'00') /Creator (AH XSL Formatter V6.6 MR11 for Linux64 : 6.6.13.42545 \(2020-02-03T11:23+09\)) /Keywords (tcl,Vivado,SDC,XDC,constraints) /ModDate (D:20210608093940+06'00') /PostProcess (v2020.2.0.1) /Producer (Xilinx, Inc. via ABCpdf) /Subject (Describes the Vivado tools Tcl command interface used to define physical and timing constraints in d\ esigns. The Vivado tools Tcl shell provides the power and flexibility of the Tcl language to control \ the tools. Using Tcl to implement designs, you can edit the design and modify object properties.) /Title (Vivado Design Suite Tcl Command Reference Guide) /Trapped /False /XilinxDocID (UG835) >> endobj 24032 0 obj [159 0 R /XYZ 0 711 0] endobj 24033 0 obj [159 0 R /XYZ 0 711 0] endobj 24034 0 obj [88 0 R /XYZ 0 492.4115 0] endobj 24035 0 obj [88 0 R /XYZ 0 492.4115 0] endobj 24036 0 obj [81 0 R /XYZ 0 525.6077 0] endobj 24037 0 obj [88 0 R /XYZ 0 450.2115 0] endobj 24038 0 obj [188 0 R /XYZ 0 572.6 0] endobj 24039 0 obj [188 0 R /XYZ 0 310.8 0] endobj 24040 0 obj [1379 0 R /XYZ 0 542.3 0] endobj 24041 0 obj [1404 0 R /XYZ 0 697 0] endobj 24042 0 obj [1425 0 R /XYZ 0 697 0] endobj 24043 0 obj [1453 0 R /XYZ 0 697 0] endobj 24044 0 obj [1497 0 R /XYZ 0 697 0] endobj 24045 0 obj [1536 0 R /XYZ 0 697 0] endobj 24046 0 obj [1570 0 R /XYZ 0 697 0] endobj 24047 0 obj [1605 0 R /XYZ 0 697 0] endobj 24048 0 obj [1637 0 R /XYZ 0 697 0] endobj 24049 0 obj [1659 0 R /XYZ 0 697 0] endobj 24050 0 obj [1669 0 R /XYZ 0 697 0] endobj 24051 0 obj [1699 0 R /XYZ 0 697 0] endobj 24052 0 obj [1720 0 R /XYZ 0 697 0] endobj 24053 0 obj [1741 0 R /XYZ 0 697 0] endobj 24054 0 obj [1762 0 R /XYZ 0 697 0] endobj 24055 0 obj [1790 0 R /XYZ 0 697 0] endobj 24056 0 obj [1813 0 R /XYZ 0 697 0] endobj 24057 0 obj [1839 0 R /XYZ 0 697 0] endobj 24058 0 obj [1865 0 R /XYZ 0 697 0] endobj 24059 0 obj [1894 0 R /XYZ 0 697 0] endobj 24060 0 obj [1924 0 R /XYZ 0 697 0] endobj 24061 0 obj [1946 0 R /XYZ 0 697 0] endobj 24062 0 obj [1972 0 R /XYZ 0 697 0] endobj 24063 0 obj [1998 0 R /XYZ 0 697 0] endobj 24064 0 obj [2023 0 R /XYZ 0 697 0] endobj 24065 0 obj [2046 0 R /XYZ 0 697 0] endobj 24066 0 obj [2069 0 R /XYZ 0 697 0] endobj 24067 0 obj [2101 0 R /XYZ 0 697 0] endobj 24068 0 obj [2141 0 R /XYZ 0 697 0] endobj 24069 0 obj [2174 0 R /XYZ 0 697 0] endobj 24070 0 obj [2205 0 R /XYZ 0 697 0] endobj 24071 0 obj [2231 0 R /XYZ 0 697 0] endobj 24072 0 obj [2267 0 R /XYZ 0 697 0] endobj 24073 0 obj [2287 0 R /XYZ 0 697 0] endobj 24074 0 obj [2319 0 R /XYZ 0 697 0] endobj 24075 0 obj [2346 0 R /XYZ 0 697 0] endobj 24076 0 obj [2366 0 R /XYZ 0 697 0] endobj 24077 0 obj [2384 0 R /XYZ 0 697 0] endobj 24078 0 obj [2432 0 R /XYZ 0 697 0] endobj 24079 0 obj [2452 0 R /XYZ 0 697 0] endobj 24080 0 obj [2474 0 R /XYZ 0 697 0] endobj 24081 0 obj [2492 0 R /XYZ 0 697 0] endobj 24082 0 obj [2511 0 R /XYZ 0 697 0] endobj 24083 0 obj [2531 0 R /XYZ 0 697 0] endobj 24084 0 obj [2549 0 R /XYZ 0 697 0] endobj 24085 0 obj [2568 0 R /XYZ 0 697 0] endobj 24086 0 obj [2587 0 R /XYZ 0 697 0] endobj 24087 0 obj [2605 0 R /XYZ 0 697 0] endobj 24088 0 obj [2628 0 R /XYZ 0 697 0] endobj 24089 0 obj [2639 0 R /XYZ 0 697 0] endobj 24090 0 obj [2666 0 R /XYZ 0 697 0] endobj 24091 0 obj [2690 0 R /XYZ 0 697 0] endobj 24092 0 obj [2720 0 R /XYZ 0 697 0] endobj 24093 0 obj [2744 0 R /XYZ 0 697 0] endobj 24094 0 obj [2770 0 R /XYZ 0 697 0] endobj 24095 0 obj [2790 0 R /XYZ 0 697 0] endobj 24096 0 obj [2831 0 R /XYZ 0 697 0] endobj 24097 0 obj [2856 0 R /XYZ 0 697 0] endobj 24098 0 obj [2874 0 R /XYZ 0 697 0] endobj 24099 0 obj [2883 0 R /XYZ 0 697 0] endobj 24100 0 obj [2901 0 R /XYZ 0 697 0] endobj 24101 0 obj [2951 0 R /XYZ 0 697 0] endobj 24102 0 obj [2978 0 R /XYZ 0 697 0] endobj 24103 0 obj [3004 0 R /XYZ 0 697 0] endobj 24104 0 obj [3020 0 R /XYZ 0 697 0] endobj 24105 0 obj [3042 0 R /XYZ 0 697 0] endobj 24106 0 obj [3064 0 R /XYZ 0 697 0] endobj 24107 0 obj [3096 0 R /XYZ 0 697 0] endobj 24108 0 obj [3121 0 R /XYZ 0 697 0] endobj 24109 0 obj [3151 0 R /XYZ 0 697 0] endobj 24110 0 obj [3192 0 R /XYZ 0 697 0] endobj 24111 0 obj [3220 0 R /XYZ 0 697 0] endobj 24112 0 obj [3242 0 R /XYZ 0 697 0] endobj 24113 0 obj [3270 0 R /XYZ 0 697 0] endobj 24114 0 obj [3279 0 R /XYZ 0 697 0] endobj 24115 0 obj [3301 0 R /XYZ 0 697 0] endobj 24116 0 obj [3322 0 R /XYZ 0 697 0] endobj 24117 0 obj [3344 0 R /XYZ 0 697 0] endobj 24118 0 obj [3372 0 R /XYZ 0 697 0] endobj 24119 0 obj [3393 0 R /XYZ 0 697 0] endobj 24120 0 obj [3411 0 R /XYZ 0 697 0] endobj 24121 0 obj [3432 0 R /XYZ 0 697 0] endobj 24122 0 obj [3454 0 R /XYZ 0 697 0] endobj 24123 0 obj [3463 0 R /XYZ 0 697 0] endobj 24124 0 obj [3489 0 R /XYZ 0 697 0] endobj 24125 0 obj [3510 0 R /XYZ 0 697 0] endobj 24126 0 obj [3530 0 R /XYZ 0 697 0] endobj 24127 0 obj [3539 0 R /XYZ 0 697 0] endobj 24128 0 obj [3575 0 R /XYZ 0 697 0] endobj 24129 0 obj [3613 0 R /XYZ 0 697 0] endobj 24130 0 obj [3640 0 R /XYZ 0 697 0] endobj 24131 0 obj [3660 0 R /XYZ 0 697 0] endobj 24132 0 obj [3694 0 R /XYZ 0 697 0] endobj 24133 0 obj [3723 0 R /XYZ 0 697 0] endobj 24134 0 obj [3770 0 R /XYZ 0 697 0] endobj 24135 0 obj [3794 0 R /XYZ 0 697 0] endobj 24136 0 obj [3838 0 R /XYZ 0 697 0] endobj 24137 0 obj [3866 0 R /XYZ 0 697 0] endobj 24138 0 obj [3914 0 R /XYZ 0 697 0] endobj 24139 0 obj [3936 0 R /XYZ 0 697 0] endobj 24140 0 obj [3958 0 R /XYZ 0 697 0] endobj 24141 0 obj [3996 0 R /XYZ 0 697 0] endobj 24142 0 obj [4026 0 R /XYZ 0 697 0] endobj 24143 0 obj [4060 0 R /XYZ 0 697 0] endobj 24144 0 obj [4092 0 R /XYZ 0 697 0] endobj 24145 0 obj [4119 0 R /XYZ 0 697 0] endobj 24146 0 obj [4151 0 R /XYZ 0 697 0] endobj 24147 0 obj [4175 0 R /XYZ 0 697 0] endobj 24148 0 obj [4209 0 R /XYZ 0 697 0] endobj 24149 0 obj [4244 0 R /XYZ 0 697 0] endobj 24150 0 obj [4278 0 R /XYZ 0 697 0] endobj 24151 0 obj [4302 0 R /XYZ 0 697 0] endobj 24152 0 obj [4331 0 R /XYZ 0 697 0] endobj 24153 0 obj [4353 0 R /XYZ 0 697 0] endobj 24154 0 obj [4374 0 R /XYZ 0 697 0] endobj 24155 0 obj [4402 0 R /XYZ 0 697 0] endobj 24156 0 obj [4425 0 R /XYZ 0 697 0] endobj 24157 0 obj [4447 0 R /XYZ 0 697 0] endobj 24158 0 obj [4469 0 R /XYZ 0 697 0] endobj 24159 0 obj [4507 0 R /XYZ 0 697 0] endobj 24160 0 obj [4537 0 R /XYZ 0 697 0] endobj 24161 0 obj [4546 0 R /XYZ 0 697 0] endobj 24162 0 obj [4556 0 R /XYZ 0 697 0] endobj 24163 0 obj [4589 0 R /XYZ 0 697 0] endobj 24164 0 obj [4628 0 R /XYZ 0 697 0] endobj 24165 0 obj [4659 0 R /XYZ 0 697 0] endobj 24166 0 obj [4691 0 R /XYZ 0 697 0] endobj 24167 0 obj [4720 0 R /XYZ 0 697 0] endobj 24168 0 obj [4749 0 R /XYZ 0 697 0] endobj 24169 0 obj [4767 0 R /XYZ 0 697 0] endobj 24170 0 obj [4802 0 R /XYZ 0 697 0] endobj 24171 0 obj [4823 0 R /XYZ 0 697 0] endobj 24172 0 obj [4870 0 R /XYZ 0 697 0] endobj 24173 0 obj [4893 0 R /XYZ 0 697 0] endobj 24174 0 obj [4914 0 R /XYZ 0 697 0] endobj 24175 0 obj [4935 0 R /XYZ 0 697 0] endobj 24176 0 obj [4953 0 R /XYZ 0 697 0] endobj 24177 0 obj [4989 0 R /XYZ 0 697 0] endobj 24178 0 obj [5023 0 R /XYZ 0 697 0] endobj 24179 0 obj [5044 0 R /XYZ 0 697 0] endobj 24180 0 obj [5064 0 R /XYZ 0 697 0] endobj 24181 0 obj [5090 0 R /XYZ 0 697 0] endobj 24182 0 obj [5113 0 R /XYZ 0 697 0] endobj 24183 0 obj [5142 0 R /XYZ 0 697 0] endobj 24184 0 obj [5163 0 R /XYZ 0 697 0] endobj 24185 0 obj [5187 0 R /XYZ 0 697 0] endobj 24186 0 obj [5212 0 R /XYZ 0 697 0] endobj 24187 0 obj [5243 0 R /XYZ 0 697 0] endobj 24188 0 obj [5272 0 R /XYZ 0 697 0] endobj 24189 0 obj [5296 0 R /XYZ 0 697 0] endobj 24190 0 obj [5316 0 R /XYZ 0 697 0] endobj 24191 0 obj [5336 0 R /XYZ 0 697 0] endobj 24192 0 obj [5355 0 R /XYZ 0 697 0] endobj 24193 0 obj [5374 0 R /XYZ 0 697 0] endobj 24194 0 obj [5394 0 R /XYZ 0 697 0] endobj 24195 0 obj [5412 0 R /XYZ 0 697 0] endobj 24196 0 obj [5421 0 R /XYZ 0 697 0] endobj 24197 0 obj [5441 0 R /XYZ 0 697 0] endobj 24198 0 obj [5459 0 R /XYZ 0 697 0] endobj 24199 0 obj [5484 0 R /XYZ 0 697 0] endobj 24200 0 obj [5502 0 R /XYZ 0 697 0] endobj 24201 0 obj [5522 0 R /XYZ 0 697 0] endobj 24202 0 obj [5541 0 R /XYZ 0 697 0] endobj 24203 0 obj [5560 0 R /XYZ 0 697 0] endobj 24204 0 obj [5579 0 R /XYZ 0 697 0] endobj 24205 0 obj [5609 0 R /XYZ 0 697 0] endobj 24206 0 obj [5629 0 R /XYZ 0 697 0] endobj 24207 0 obj [5651 0 R /XYZ 0 697 0] endobj 24208 0 obj [5675 0 R /XYZ 0 697 0] endobj 24209 0 obj [5698 0 R /XYZ 0 697 0] endobj 24210 0 obj [5719 0 R /XYZ 0 697 0] endobj 24211 0 obj [5737 0 R /XYZ 0 697 0] endobj 24212 0 obj [5756 0 R /XYZ 0 697 0] endobj 24213 0 obj [5779 0 R /XYZ 0 697 0] endobj 24214 0 obj [5797 0 R /XYZ 0 697 0] endobj 24215 0 obj [5816 0 R /XYZ 0 697 0] endobj 24216 0 obj [5835 0 R /XYZ 0 697 0] endobj 24217 0 obj [5845 0 R /XYZ 0 697 0] endobj 24218 0 obj [5866 0 R /XYZ 0 697 0] endobj 24219 0 obj [5886 0 R /XYZ 0 697 0] endobj 24220 0 obj [5909 0 R /XYZ 0 697 0] endobj 24221 0 obj [5928 0 R /XYZ 0 697 0] endobj 24222 0 obj [5948 0 R /XYZ 0 697 0] endobj 24223 0 obj [5964 0 R /XYZ 0 697 0] endobj 24224 0 obj [5983 0 R /XYZ 0 697 0] endobj 24225 0 obj [6011 0 R /XYZ 0 697 0] endobj 24226 0 obj [6029 0 R /XYZ 0 697 0] endobj 24227 0 obj [6053 0 R /XYZ 0 697 0] endobj 24228 0 obj [6073 0 R /XYZ 0 697 0] endobj 24229 0 obj [6098 0 R /XYZ 0 697 0] endobj 24230 0 obj [6121 0 R /XYZ 0 697 0] endobj 24231 0 obj [6145 0 R /XYZ 0 697 0] endobj 24232 0 obj [6166 0 R /XYZ 0 697 0] endobj 24233 0 obj [6187 0 R /XYZ 0 697 0] endobj 24234 0 obj [6218 0 R /XYZ 0 697 0] endobj 24235 0 obj [6243 0 R /XYZ 0 697 0] endobj 24236 0 obj [6269 0 R /XYZ 0 697 0] endobj 24237 0 obj [6288 0 R /XYZ 0 697 0] endobj 24238 0 obj [6308 0 R /XYZ 0 697 0] endobj 24239 0 obj [6337 0 R /XYZ 0 697 0] endobj 24240 0 obj [6362 0 R /XYZ 0 697 0] endobj 24241 0 obj [6371 0 R /XYZ 0 697 0] endobj 24242 0 obj [6390 0 R /XYZ 0 697 0] endobj 24243 0 obj [6409 0 R /XYZ 0 697 0] endobj 24244 0 obj [6458 0 R /XYZ 0 697 0] endobj 24245 0 obj [6479 0 R /XYZ 0 697 0] endobj 24246 0 obj [6509 0 R /XYZ 0 697 0] endobj 24247 0 obj [6540 0 R /XYZ 0 697 0] endobj 24248 0 obj [6572 0 R /XYZ 0 697 0] endobj 24249 0 obj [6591 0 R /XYZ 0 697 0] endobj 24250 0 obj [6609 0 R /XYZ 0 697 0] endobj 24251 0 obj [6618 0 R /XYZ 0 697 0] endobj 24252 0 obj [6634 0 R /XYZ 0 697 0] endobj 24253 0 obj [6654 0 R /XYZ 0 697 0] endobj 24254 0 obj [6663 0 R /XYZ 0 697 0] endobj 24255 0 obj [6692 0 R /XYZ 0 697 0] endobj 24256 0 obj [6713 0 R /XYZ 0 697 0] endobj 24257 0 obj [6722 0 R /XYZ 0 697 0] endobj 24258 0 obj [6731 0 R /XYZ 0 697 0] endobj 24259 0 obj [6766 0 R /XYZ 0 697 0] endobj 24260 0 obj [6806 0 R /XYZ 0 697 0] endobj 24261 0 obj [6846 0 R /XYZ 0 697 0] endobj 24262 0 obj [6886 0 R /XYZ 0 697 0] endobj 24263 0 obj [6916 0 R /XYZ 0 697 0] endobj 24264 0 obj [6956 0 R /XYZ 0 697 0] endobj 24265 0 obj [6998 0 R /XYZ 0 697 0] endobj 24266 0 obj [7034 0 R /XYZ 0 697 0] endobj 24267 0 obj [7074 0 R /XYZ 0 697 0] endobj 24268 0 obj [7115 0 R /XYZ 0 697 0] endobj 24269 0 obj [7150 0 R /XYZ 0 697 0] endobj 24270 0 obj [7171 0 R /XYZ 0 697 0] endobj 24271 0 obj [7202 0 R /XYZ 0 697 0] endobj 24272 0 obj [7232 0 R /XYZ 0 697 0] endobj 24273 0 obj [7264 0 R /XYZ 0 697 0] endobj 24274 0 obj [7295 0 R /XYZ 0 697 0] endobj 24275 0 obj [7327 0 R /XYZ 0 697 0] endobj 24276 0 obj [7359 0 R /XYZ 0 697 0] endobj 24277 0 obj [7392 0 R /XYZ 0 697 0] endobj 24278 0 obj [7424 0 R /XYZ 0 697 0] endobj 24279 0 obj [7456 0 R /XYZ 0 697 0] endobj 24280 0 obj [7488 0 R /XYZ 0 697 0] endobj 24281 0 obj [7520 0 R /XYZ 0 697 0] endobj 24282 0 obj [7552 0 R /XYZ 0 697 0] endobj 24283 0 obj [7595 0 R /XYZ 0 697 0] endobj 24284 0 obj [7635 0 R /XYZ 0 697 0] endobj 24285 0 obj [7677 0 R /XYZ 0 697 0] endobj 24286 0 obj [7719 0 R /XYZ 0 697 0] endobj 24287 0 obj [7748 0 R /XYZ 0 697 0] endobj 24288 0 obj [7799 0 R /XYZ 0 697 0] endobj 24289 0 obj [7843 0 R /XYZ 0 697 0] endobj 24290 0 obj [7872 0 R /XYZ 0 697 0] endobj 24291 0 obj [7913 0 R /XYZ 0 697 0] endobj 24292 0 obj [7942 0 R /XYZ 0 697 0] endobj 24293 0 obj [7968 0 R /XYZ 0 697 0] endobj 24294 0 obj [7989 0 R /XYZ 0 697 0] endobj 24295 0 obj [8023 0 R /XYZ 0 697 0] endobj 24296 0 obj [8055 0 R /XYZ 0 697 0] endobj 24297 0 obj [8082 0 R /XYZ 0 697 0] endobj 24298 0 obj [8114 0 R /XYZ 0 697 0] endobj 24299 0 obj [8146 0 R /XYZ 0 697 0] endobj 24300 0 obj [8181 0 R /XYZ 0 697 0] endobj 24301 0 obj [8208 0 R /XYZ 0 697 0] endobj 24302 0 obj [8244 0 R /XYZ 0 697 0] endobj 24303 0 obj [8273 0 R /XYZ 0 697 0] endobj 24304 0 obj [8303 0 R /XYZ 0 697 0] endobj 24305 0 obj [8327 0 R /XYZ 0 697 0] endobj 24306 0 obj [8351 0 R /XYZ 0 697 0] endobj 24307 0 obj [8362 0 R /XYZ 0 697 0] endobj 24308 0 obj [8385 0 R /XYZ 0 697 0] endobj 24309 0 obj [8417 0 R /XYZ 0 697 0] endobj 24310 0 obj [8448 0 R /XYZ 0 697 0] endobj 24311 0 obj [8484 0 R /XYZ 0 697 0] endobj 24312 0 obj [8515 0 R /XYZ 0 697 0] endobj 24313 0 obj [8548 0 R /XYZ 0 697 0] endobj 24314 0 obj [8586 0 R /XYZ 0 697 0] endobj 24315 0 obj [8622 0 R /XYZ 0 697 0] endobj 24316 0 obj [8670 0 R /XYZ 0 697 0] endobj 24317 0 obj [8713 0 R /XYZ 0 697 0] endobj 24318 0 obj [8743 0 R /XYZ 0 697 0] endobj 24319 0 obj [8786 0 R /XYZ 0 697 0] endobj 24320 0 obj [8817 0 R /XYZ 0 697 0] endobj 24321 0 obj [8851 0 R /XYZ 0 697 0] endobj 24322 0 obj [8890 0 R /XYZ 0 697 0] endobj 24323 0 obj [8928 0 R /XYZ 0 697 0] endobj 24324 0 obj [8974 0 R /XYZ 0 697 0] endobj 24325 0 obj [9014 0 R /XYZ 0 697 0] endobj 24326 0 obj [9054 0 R /XYZ 0 697 0] endobj 24327 0 obj [9092 0 R /XYZ 0 697 0] endobj 24328 0 obj [9130 0 R /XYZ 0 697 0] endobj 24329 0 obj [9171 0 R /XYZ 0 697 0] endobj 24330 0 obj [9220 0 R /XYZ 0 697 0] endobj 24331 0 obj [9258 0 R /XYZ 0 697 0] endobj 24332 0 obj [9289 0 R /XYZ 0 697 0] endobj 24333 0 obj [9322 0 R /XYZ 0 697 0] endobj 24334 0 obj [9364 0 R /XYZ 0 697 0] endobj 24335 0 obj [9396 0 R /XYZ 0 697 0] endobj 24336 0 obj [9432 0 R /XYZ 0 697 0] endobj 24337 0 obj [9460 0 R /XYZ 0 697 0] endobj 24338 0 obj [9488 0 R /XYZ 0 697 0] endobj 24339 0 obj [9523 0 R /XYZ 0 697 0] endobj 24340 0 obj [9547 0 R /XYZ 0 697 0] endobj 24341 0 obj [9587 0 R /XYZ 0 697 0] endobj 24342 0 obj [9620 0 R /XYZ 0 697 0] endobj 24343 0 obj [9651 0 R /XYZ 0 697 0] endobj 24344 0 obj [9681 0 R /XYZ 0 697 0] endobj 24345 0 obj [9711 0 R /XYZ 0 697 0] endobj 24346 0 obj [9743 0 R /XYZ 0 697 0] endobj 24347 0 obj [9765 0 R /XYZ 0 697 0] endobj 24348 0 obj [9796 0 R /XYZ 0 697 0] endobj 24349 0 obj [9827 0 R /XYZ 0 697 0] endobj 24350 0 obj [9853 0 R /XYZ 0 697 0] endobj 24351 0 obj [9894 0 R /XYZ 0 697 0] endobj 24352 0 obj [9946 0 R /XYZ 0 697 0] endobj 24353 0 obj [9985 0 R /XYZ 0 697 0] endobj 24354 0 obj [10022 0 R /XYZ 0 697 0] endobj 24355 0 obj [10056 0 R /XYZ 0 697 0] endobj 24356 0 obj [10077 0 R /XYZ 0 697 0] endobj 24357 0 obj [10107 0 R /XYZ 0 697 0] endobj 24358 0 obj [10135 0 R /XYZ 0 697 0] endobj 24359 0 obj [10156 0 R /XYZ 0 697 0] endobj 24360 0 obj [10188 0 R /XYZ 0 697 0] endobj 24361 0 obj [10238 0 R /XYZ 0 697 0] endobj 24362 0 obj [10277 0 R /XYZ 0 697 0] endobj 24363 0 obj [10309 0 R /XYZ 0 697 0] endobj 24364 0 obj [10341 0 R /XYZ 0 697 0] endobj 24365 0 obj [10385 0 R /XYZ 0 697 0] endobj 24366 0 obj [10395 0 R /XYZ 0 697 0] endobj 24367 0 obj [10404 0 R /XYZ 0 697 0] endobj 24368 0 obj [10436 0 R /XYZ 0 697 0] endobj 24369 0 obj [10469 0 R /XYZ 0 697 0] endobj 24370 0 obj [10499 0 R /XYZ 0 697 0] endobj 24371 0 obj [10533 0 R /XYZ 0 697 0] endobj 24372 0 obj [10556 0 R /XYZ 0 697 0] endobj 24373 0 obj [10588 0 R /XYZ 0 697 0] endobj 24374 0 obj [10619 0 R /XYZ 0 697 0] endobj 24375 0 obj [10650 0 R /XYZ 0 697 0] endobj 24376 0 obj [10678 0 R /XYZ 0 697 0] endobj 24377 0 obj [10701 0 R /XYZ 0 697 0] endobj 24378 0 obj [10729 0 R /XYZ 0 697 0] endobj 24379 0 obj [10762 0 R /XYZ 0 697 0] endobj 24380 0 obj [10792 0 R /XYZ 0 697 0] endobj 24381 0 obj [10831 0 R /XYZ 0 697 0] endobj 24382 0 obj [10861 0 R /XYZ 0 697 0] endobj 24383 0 obj [10899 0 R /XYZ 0 697 0] endobj 24384 0 obj [10917 0 R /XYZ 0 697 0] endobj 24385 0 obj [10935 0 R /XYZ 0 697 0] endobj 24386 0 obj [10970 0 R /XYZ 0 697 0] endobj 24387 0 obj [10999 0 R /XYZ 0 697 0] endobj 24388 0 obj [11049 0 R /XYZ 0 697 0] endobj 24389 0 obj [11070 0 R /XYZ 0 697 0] endobj 24390 0 obj [11100 0 R /XYZ 0 697 0] endobj 24391 0 obj [11131 0 R /XYZ 0 697 0] endobj 24392 0 obj [11140 0 R /XYZ 0 697 0] endobj 24393 0 obj [11172 0 R /XYZ 0 697 0] endobj 24394 0 obj [11192 0 R /XYZ 0 697 0] endobj 24395 0 obj [11219 0 R /XYZ 0 697 0] endobj 24396 0 obj [11256 0 R /XYZ 0 697 0] endobj 24397 0 obj [11279 0 R /XYZ 0 697 0] endobj 24398 0 obj [11301 0 R /XYZ 0 697 0] endobj 24399 0 obj [11332 0 R /XYZ 0 697 0] endobj 24400 0 obj [11355 0 R /XYZ 0 697 0] endobj 24401 0 obj [11381 0 R /XYZ 0 697 0] endobj 24402 0 obj [11403 0 R /XYZ 0 697 0] endobj 24403 0 obj [11421 0 R /XYZ 0 697 0] endobj 24404 0 obj [11439 0 R /XYZ 0 697 0] endobj 24405 0 obj [11457 0 R /XYZ 0 697 0] endobj 24406 0 obj [11479 0 R /XYZ 0 697 0] endobj 24407 0 obj [11498 0 R /XYZ 0 697 0] endobj 24408 0 obj [11526 0 R /XYZ 0 697 0] endobj 24409 0 obj [11544 0 R /XYZ 0 697 0] endobj 24410 0 obj [11579 0 R /XYZ 0 697 0] endobj 24411 0 obj [11602 0 R /XYZ 0 697 0] endobj 24412 0 obj [11620 0 R /XYZ 0 697 0] endobj 24413 0 obj [11669 0 R /XYZ 0 697 0] endobj 24414 0 obj [11712 0 R /XYZ 0 697 0] endobj 24415 0 obj [11733 0 R /XYZ 0 697 0] endobj 24416 0 obj [11769 0 R /XYZ 0 697 0] endobj 24417 0 obj [11788 0 R /XYZ 0 697 0] endobj 24418 0 obj [11810 0 R /XYZ 0 697 0] endobj 24419 0 obj [11831 0 R /XYZ 0 697 0] endobj 24420 0 obj [11856 0 R /XYZ 0 697 0] endobj 24421 0 obj [11882 0 R /XYZ 0 697 0] endobj 24422 0 obj [11905 0 R /XYZ 0 697 0] endobj 24423 0 obj [11924 0 R /XYZ 0 697 0] endobj 24424 0 obj [11950 0 R /XYZ 0 697 0] endobj 24425 0 obj [11970 0 R /XYZ 0 697 0] endobj 24426 0 obj [11990 0 R /XYZ 0 697 0] endobj 24427 0 obj [12008 0 R /XYZ 0 697 0] endobj 24428 0 obj [12028 0 R /XYZ 0 697 0] endobj 24429 0 obj [12048 0 R /XYZ 0 697 0] endobj 24430 0 obj [12068 0 R /XYZ 0 697 0] endobj 24431 0 obj [12089 0 R /XYZ 0 697 0] endobj 24432 0 obj [12120 0 R /XYZ 0 697 0] endobj 24433 0 obj [12143 0 R /XYZ 0 697 0] endobj 24434 0 obj [12165 0 R /XYZ 0 697 0] endobj 24435 0 obj [12185 0 R /XYZ 0 697 0] endobj 24436 0 obj [12205 0 R /XYZ 0 697 0] endobj 24437 0 obj [12224 0 R /XYZ 0 697 0] endobj 24438 0 obj [12233 0 R /XYZ 0 697 0] endobj 24439 0 obj [12254 0 R /XYZ 0 697 0] endobj 24440 0 obj [12275 0 R /XYZ 0 697 0] endobj 24441 0 obj [12297 0 R /XYZ 0 697 0] endobj 24442 0 obj [12331 0 R /XYZ 0 697 0] endobj 24443 0 obj [12352 0 R /XYZ 0 697 0] endobj 24444 0 obj [12383 0 R /XYZ 0 697 0] endobj 24445 0 obj [12401 0 R /XYZ 0 697 0] endobj 24446 0 obj [12423 0 R /XYZ 0 697 0] endobj 24447 0 obj [12458 0 R /XYZ 0 697 0] endobj 24448 0 obj [12480 0 R /XYZ 0 697 0] endobj 24449 0 obj [12499 0 R /XYZ 0 697 0] endobj 24450 0 obj [12523 0 R /XYZ 0 697 0] endobj 24451 0 obj [12544 0 R /XYZ 0 697 0] endobj 24452 0 obj [12574 0 R /XYZ 0 697 0] endobj 24453 0 obj [12632 0 R /XYZ 0 697 0] endobj 24454 0 obj [12659 0 R /XYZ 0 697 0] endobj 24455 0 obj [12712 0 R /XYZ 0 697 0] endobj 24456 0 obj [12739 0 R /XYZ 0 697 0] endobj 24457 0 obj [12785 0 R /XYZ 0 697 0] endobj 24458 0 obj [12814 0 R /XYZ 0 697 0] endobj 24459 0 obj [12832 0 R /XYZ 0 697 0] endobj 24460 0 obj [12855 0 R /XYZ 0 697 0] endobj 24461 0 obj [12864 0 R /XYZ 0 697 0] endobj 24462 0 obj [12873 0 R /XYZ 0 697 0] endobj 24463 0 obj [12899 0 R /XYZ 0 697 0] endobj 24464 0 obj [12933 0 R /XYZ 0 697 0] endobj 24465 0 obj [12982 0 R /XYZ 0 697 0] endobj 24466 0 obj [13002 0 R /XYZ 0 697 0] endobj 24467 0 obj [13024 0 R /XYZ 0 697 0] endobj 24468 0 obj [13064 0 R /XYZ 0 697 0] endobj 24469 0 obj [13086 0 R /XYZ 0 697 0] endobj 24470 0 obj [13104 0 R /XYZ 0 697 0] endobj 24471 0 obj [13128 0 R /XYZ 0 697 0] endobj 24472 0 obj [13154 0 R /XYZ 0 697 0] endobj 24473 0 obj [13180 0 R /XYZ 0 697 0] endobj 24474 0 obj [13200 0 R /XYZ 0 697 0] endobj 24475 0 obj [13228 0 R /XYZ 0 697 0] endobj 24476 0 obj [13247 0 R /XYZ 0 697 0] endobj 24477 0 obj [13269 0 R /XYZ 0 697 0] endobj 24478 0 obj [13290 0 R /XYZ 0 697 0] endobj 24479 0 obj [13308 0 R /XYZ 0 697 0] endobj 24480 0 obj [13326 0 R /XYZ 0 697 0] endobj 24481 0 obj [13346 0 R /XYZ 0 697 0] endobj 24482 0 obj [13366 0 R /XYZ 0 697 0] endobj 24483 0 obj [13394 0 R /XYZ 0 697 0] endobj 24484 0 obj [13434 0 R /XYZ 0 697 0] endobj 24485 0 obj [13467 0 R /XYZ 0 697 0] endobj 24486 0 obj [13487 0 R /XYZ 0 697 0] endobj 24487 0 obj [13505 0 R /XYZ 0 697 0] endobj 24488 0 obj [13527 0 R /XYZ 0 697 0] endobj 24489 0 obj [13548 0 R /XYZ 0 697 0] endobj 24490 0 obj [13568 0 R /XYZ 0 697 0] endobj 24491 0 obj [13595 0 R /XYZ 0 697 0] endobj 24492 0 obj [13619 0 R /XYZ 0 697 0] endobj 24493 0 obj [13639 0 R /XYZ 0 697 0] endobj 24494 0 obj [13660 0 R /XYZ 0 697 0] endobj 24495 0 obj [13688 0 R /XYZ 0 697 0] endobj 24496 0 obj [13709 0 R /XYZ 0 697 0] endobj 24497 0 obj [13732 0 R /XYZ 0 697 0] endobj 24498 0 obj [13756 0 R /XYZ 0 697 0] endobj 24499 0 obj [13782 0 R /XYZ 0 697 0] endobj 24500 0 obj [13792 0 R /XYZ 0 697 0] endobj 24501 0 obj [13813 0 R /XYZ 0 697 0] endobj 24502 0 obj [13838 0 R /XYZ 0 697 0] endobj 24503 0 obj [13857 0 R /XYZ 0 697 0] endobj 24504 0 obj [13878 0 R /XYZ 0 697 0] endobj 24505 0 obj [13897 0 R /XYZ 0 697 0] endobj 24506 0 obj [13919 0 R /XYZ 0 697 0] endobj 24507 0 obj [13938 0 R /XYZ 0 697 0] endobj 24508 0 obj [13958 0 R /XYZ 0 697 0] endobj 24509 0 obj [13977 0 R /XYZ 0 697 0] endobj 24510 0 obj [14020 0 R /XYZ 0 697 0] endobj 24511 0 obj [14041 0 R /XYZ 0 697 0] endobj 24512 0 obj [14060 0 R /XYZ 0 697 0] endobj 24513 0 obj [14070 0 R /XYZ 0 697 0] endobj 24514 0 obj [14092 0 R /XYZ 0 697 0] endobj 24515 0 obj [14114 0 R /XYZ 0 697 0] endobj 24516 0 obj [14140 0 R /XYZ 0 697 0] endobj 24517 0 obj [14165 0 R /XYZ 0 697 0] endobj 24518 0 obj [14189 0 R /XYZ 0 697 0] endobj 24519 0 obj [14213 0 R /XYZ 0 697 0] endobj 24520 0 obj [14236 0 R /XYZ 0 697 0] endobj 24521 0 obj [14259 0 R /XYZ 0 697 0] endobj 24522 0 obj [14283 0 R /XYZ 0 697 0] endobj 24523 0 obj [14301 0 R /XYZ 0 697 0] endobj 24524 0 obj [14329 0 R /XYZ 0 697 0] endobj 24525 0 obj [14338 0 R /XYZ 0 697 0] endobj 24526 0 obj [14364 0 R /XYZ 0 697 0] endobj 24527 0 obj [14392 0 R /XYZ 0 697 0] endobj 24528 0 obj [14428 0 R /XYZ 0 697 0] endobj 24529 0 obj [14454 0 R /XYZ 0 697 0] endobj 24530 0 obj [14476 0 R /XYZ 0 697 0] endobj 24531 0 obj [14497 0 R /XYZ 0 697 0] endobj 24532 0 obj [14523 0 R /XYZ 0 697 0] endobj 24533 0 obj [14556 0 R /XYZ 0 697 0] endobj 24534 0 obj [14575 0 R /XYZ 0 697 0] endobj 24535 0 obj [14609 0 R /XYZ 0 697 0] endobj 24536 0 obj [14625 0 R /XYZ 0 697 0] endobj 24537 0 obj [14668 0 R /XYZ 0 697 0] endobj 24538 0 obj [14698 0 R /XYZ 0 697 0] endobj 24539 0 obj [14726 0 R /XYZ 0 697 0] endobj 24540 0 obj [14753 0 R /XYZ 0 697 0] endobj 24541 0 obj [14774 0 R /XYZ 0 697 0] endobj 24542 0 obj [14801 0 R /XYZ 0 697 0] endobj 24543 0 obj [14820 0 R /XYZ 0 697 0] endobj 24544 0 obj [14838 0 R /XYZ 0 697 0] endobj 24545 0 obj [14864 0 R /XYZ 0 697 0] endobj 24546 0 obj [14883 0 R /XYZ 0 697 0] endobj 24547 0 obj [14906 0 R /XYZ 0 697 0] endobj 24548 0 obj [14932 0 R /XYZ 0 697 0] endobj 24549 0 obj [14951 0 R /XYZ 0 697 0] endobj 24550 0 obj [15034 0 R /XYZ 0 697 0] endobj 24551 0 obj [15061 0 R /XYZ 0 697 0] endobj 24552 0 obj [15110 0 R /XYZ 0 697 0] endobj 24553 0 obj [15143 0 R /XYZ 0 697 0] endobj 24554 0 obj [15159 0 R /XYZ 0 697 0] endobj 24555 0 obj [15201 0 R /XYZ 0 697 0] endobj 24556 0 obj [15227 0 R /XYZ 0 697 0] endobj 24557 0 obj [15260 0 R /XYZ 0 697 0] endobj 24558 0 obj [15290 0 R /XYZ 0 697 0] endobj 24559 0 obj [15312 0 R /XYZ 0 697 0] endobj 24560 0 obj [15336 0 R /XYZ 0 697 0] endobj 24561 0 obj [15356 0 R /XYZ 0 697 0] endobj 24562 0 obj [15378 0 R /XYZ 0 697 0] endobj 24563 0 obj [15399 0 R /XYZ 0 697 0] endobj 24564 0 obj [15427 0 R /XYZ 0 697 0] endobj 24565 0 obj [15445 0 R /XYZ 0 697 0] endobj 24566 0 obj [15473 0 R /XYZ 0 697 0] endobj 24567 0 obj [15511 0 R /XYZ 0 697 0] endobj 24568 0 obj [15531 0 R /XYZ 0 697 0] endobj 24569 0 obj [15556 0 R /XYZ 0 697 0] endobj 24570 0 obj [15578 0 R /XYZ 0 697 0] endobj 24571 0 obj [15596 0 R /XYZ 0 697 0] endobj 24572 0 obj [15624 0 R /XYZ 0 697 0] endobj 24573 0 obj [15659 0 R /XYZ 0 697 0] endobj 24574 0 obj [15678 0 R /XYZ 0 697 0] endobj 24575 0 obj [15708 0 R /XYZ 0 697 0] endobj 24576 0 obj [15747 0 R /XYZ 0 697 0] endobj 24577 0 obj [15780 0 R /XYZ 0 697 0] endobj 24578 0 obj [15808 0 R /XYZ 0 697 0] endobj 24579 0 obj [15846 0 R /XYZ 0 697 0] endobj 24580 0 obj [15872 0 R /XYZ 0 697 0] endobj 24581 0 obj [15904 0 R /XYZ 0 697 0] endobj 24582 0 obj [15923 0 R /XYZ 0 697 0] endobj 24583 0 obj [15943 0 R /XYZ 0 697 0] endobj 24584 0 obj [15952 0 R /XYZ 0 697 0] endobj 24585 0 obj [15970 0 R /XYZ 0 697 0] endobj 24586 0 obj [16001 0 R /XYZ 0 697 0] endobj 24587 0 obj [16019 0 R /XYZ 0 697 0] endobj 24588 0 obj [16054 0 R /XYZ 0 697 0] endobj 24589 0 obj [16092 0 R /XYZ 0 697 0] endobj 24590 0 obj [16163 0 R /XYZ 0 697 0] endobj 24591 0 obj [16221 0 R /XYZ 0 697 0] endobj 24592 0 obj [16237 0 R /XYZ 0 697 0] endobj 24593 0 obj [16276 0 R /XYZ 0 697 0] endobj 24594 0 obj [16297 0 R /XYZ 0 697 0] endobj 24595 0 obj [16331 0 R /XYZ 0 697 0] endobj 24596 0 obj [16350 0 R /XYZ 0 697 0] endobj 24597 0 obj [16372 0 R /XYZ 0 697 0] endobj 24598 0 obj [16394 0 R /XYZ 0 697 0] endobj 24599 0 obj [16415 0 R /XYZ 0 697 0] endobj 24600 0 obj [16435 0 R /XYZ 0 697 0] endobj 24601 0 obj [16461 0 R /XYZ 0 697 0] endobj 24602 0 obj [16487 0 R /XYZ 0 697 0] endobj 24603 0 obj [16508 0 R /XYZ 0 697 0] endobj 24604 0 obj [16529 0 R /XYZ 0 697 0] endobj 24605 0 obj [16563 0 R /XYZ 0 697 0] endobj 24606 0 obj [16581 0 R /XYZ 0 697 0] endobj 24607 0 obj [16609 0 R /XYZ 0 697 0] endobj 24608 0 obj [16630 0 R /XYZ 0 697 0] endobj 24609 0 obj [16649 0 R /XYZ 0 697 0] endobj 24610 0 obj [16674 0 R /XYZ 0 697 0] endobj 24611 0 obj [16683 0 R /XYZ 0 697 0] endobj 24612 0 obj [16701 0 R /XYZ 0 697 0] endobj 24613 0 obj [16719 0 R /XYZ 0 697 0] endobj 24614 0 obj [16748 0 R /XYZ 0 697 0] endobj 24615 0 obj [16767 0 R /XYZ 0 697 0] endobj 24616 0 obj [16788 0 R /XYZ 0 697 0] endobj 24617 0 obj [16818 0 R /XYZ 0 697 0] endobj 24618 0 obj [16845 0 R /XYZ 0 697 0] endobj 24619 0 obj [16880 0 R /XYZ 0 697 0] endobj 24620 0 obj [16908 0 R /XYZ 0 697 0] endobj 24621 0 obj [16928 0 R /XYZ 0 697 0] endobj 24622 0 obj [16955 0 R /XYZ 0 697 0] endobj 24623 0 obj [17010 0 R /XYZ 0 697 0] endobj 24624 0 obj [17032 0 R /XYZ 0 697 0] endobj 24625 0 obj [17055 0 R /XYZ 0 697 0] endobj 24626 0 obj [17083 0 R /XYZ 0 697 0] endobj 24627 0 obj [17142 0 R /XYZ 0 697 0] endobj 24628 0 obj [17166 0 R /XYZ 0 697 0] endobj 24629 0 obj [17190 0 R /XYZ 0 697 0] endobj 24630 0 obj [17221 0 R /XYZ 0 697 0] endobj 24631 0 obj [17252 0 R /XYZ 0 697 0] endobj 24632 0 obj [17274 0 R /XYZ 0 697 0] endobj 24633 0 obj [17297 0 R /XYZ 0 697 0] endobj 24634 0 obj [17315 0 R /XYZ 0 697 0] endobj 24635 0 obj [17333 0 R /XYZ 0 697 0] endobj 24636 0 obj [17360 0 R /XYZ 0 697 0] endobj 24637 0 obj [17381 0 R /XYZ 0 697 0] endobj 24638 0 obj [17419 0 R /XYZ 0 697 0] endobj 24639 0 obj [17456 0 R /XYZ 0 697 0] endobj 24640 0 obj [17480 0 R /XYZ 0 697 0] endobj 24641 0 obj [17500 0 R /XYZ 0 697 0] endobj 24642 0 obj [17536 0 R /XYZ 0 697 0] endobj 24643 0 obj [17556 0 R /XYZ 0 697 0] endobj 24644 0 obj [17583 0 R /XYZ 0 697 0] endobj 24645 0 obj [17609 0 R /XYZ 0 697 0] endobj 24646 0 obj [17629 0 R /XYZ 0 697 0] endobj 24647 0 obj [17659 0 R /XYZ 0 697 0] endobj 24648 0 obj [17687 0 R /XYZ 0 697 0] endobj 24649 0 obj [17705 0 R /XYZ 0 697 0] endobj 24650 0 obj [17727 0 R /XYZ 0 697 0] endobj 24651 0 obj [17748 0 R /XYZ 0 697 0] endobj 24652 0 obj [17777 0 R /XYZ 0 697 0] endobj 24653 0 obj [17796 0 R /XYZ 0 697 0] endobj 24654 0 obj [17829 0 R /XYZ 0 697 0] endobj 24655 0 obj [17869 0 R /XYZ 0 697 0] endobj 24656 0 obj [17903 0 R /XYZ 0 697 0] endobj 24657 0 obj [17924 0 R /XYZ 0 697 0] endobj 24658 0 obj [17948 0 R /XYZ 0 697 0] endobj 24659 0 obj [17972 0 R /XYZ 0 697 0] endobj 24660 0 obj [17992 0 R /XYZ 0 697 0] endobj 24661 0 obj [18015 0 R /XYZ 0 697 0] endobj 24662 0 obj [18055 0 R /XYZ 0 697 0] endobj 24663 0 obj [18076 0 R /XYZ 0 697 0] endobj 24664 0 obj [18106 0 R /XYZ 0 697 0] endobj 24665 0 obj [18157 0 R /XYZ 0 697 0] endobj 24666 0 obj [18200 0 R /XYZ 0 697 0] endobj 24667 0 obj [18236 0 R /XYZ 0 697 0] endobj 24668 0 obj [18266 0 R /XYZ 0 697 0] endobj 24669 0 obj [18287 0 R /XYZ 0 697 0] endobj 24670 0 obj [18316 0 R /XYZ 0 697 0] endobj 24671 0 obj [18340 0 R /XYZ 0 697 0] endobj 24672 0 obj [18368 0 R /XYZ 0 697 0] endobj 24673 0 obj [18388 0 R /XYZ 0 697 0] endobj 24674 0 obj [18432 0 R /XYZ 0 697 0] endobj 24675 0 obj [18454 0 R /XYZ 0 697 0] endobj 24676 0 obj [18500 0 R /XYZ 0 697 0] endobj 24677 0 obj [18528 0 R /XYZ 0 697 0] endobj 24678 0 obj [18548 0 R /XYZ 0 697 0] endobj 24679 0 obj [18576 0 R /XYZ 0 697 0] endobj 24680 0 obj [18596 0 R /XYZ 0 697 0] endobj 24681 0 obj [18619 0 R /XYZ 0 697 0] endobj 24682 0 obj [18642 0 R /XYZ 0 697 0] endobj 24683 0 obj [18672 0 R /XYZ 0 697 0] endobj 24684 0 obj [18692 0 R /XYZ 0 697 0] endobj 24685 0 obj [18702 0 R /XYZ 0 697 0] endobj 24686 0 obj [18722 0 R /XYZ 0 697 0] endobj 24687 0 obj [18742 0 R /XYZ 0 697 0] endobj 24688 0 obj [18761 0 R /XYZ 0 697 0] endobj 24689 0 obj [18783 0 R /XYZ 0 697 0] endobj 24690 0 obj [18793 0 R /XYZ 0 697 0] endobj 24691 0 obj [18819 0 R /XYZ 0 697 0] endobj 24692 0 obj [18843 0 R /XYZ 0 697 0] endobj 24693 0 obj [18867 0 R /XYZ 0 697 0] endobj 24694 0 obj [18887 0 R /XYZ 0 697 0] endobj 24695 0 obj [18907 0 R /XYZ 0 697 0] endobj 24696 0 obj [18981 0 R /XYZ 0 697 0] endobj 24697 0 obj [19006 0 R /XYZ 0 697 0] endobj 24698 0 obj [19027 0 R /XYZ 0 697 0] endobj 24699 0 obj [19047 0 R /XYZ 0 697 0] endobj 24700 0 obj [19068 0 R /XYZ 0 697 0] endobj 24701 0 obj [19087 0 R /XYZ 0 697 0] endobj 24702 0 obj [19106 0 R /XYZ 0 697 0] endobj 24703 0 obj [19126 0 R /XYZ 0 697 0] endobj 24704 0 obj [19144 0 R /XYZ 0 697 0] endobj 24705 0 obj [19163 0 R /XYZ 0 697 0] endobj 24706 0 obj [19172 0 R /XYZ 0 697 0] endobj 24707 0 obj [19191 0 R /XYZ 0 697 0] endobj 24708 0 obj [19210 0 R /XYZ 0 697 0] endobj 24709 0 obj [19236 0 R /XYZ 0 697 0] endobj 24710 0 obj [19254 0 R /XYZ 0 697 0] endobj 24711 0 obj [19263 0 R /XYZ 0 697 0] endobj 24712 0 obj [19272 0 R /XYZ 0 697 0] endobj 24713 0 obj [19309 0 R /XYZ 0 697 0] endobj 24714 0 obj [19340 0 R /XYZ 0 697 0] endobj 24715 0 obj [19359 0 R /XYZ 0 697 0] endobj 24716 0 obj [19368 0 R /XYZ 0 697 0] endobj 24717 0 obj [19387 0 R /XYZ 0 697 0] endobj 24718 0 obj [19407 0 R /XYZ 0 697 0] endobj 24719 0 obj [19426 0 R /XYZ 0 697 0] endobj 24720 0 obj [19455 0 R /XYZ 0 697 0] endobj 24721 0 obj [19464 0 R /XYZ 0 697 0] endobj 24722 0 obj [19499 0 R /XYZ 0 697 0] endobj 24723 0 obj [19519 0 R /XYZ 0 697 0] endobj 24724 0 obj [19530 0 R /XYZ 0 697 0] endobj 24725 0 obj [19550 0 R /XYZ 0 697 0] endobj 24726 0 obj [19570 0 R /XYZ 0 697 0] endobj 24727 0 obj [19592 0 R /XYZ 0 697 0] endobj 24728 0 obj [19620 0 R /XYZ 0 697 0] endobj 24729 0 obj [19636 0 R /XYZ 0 697 0] endobj 24730 0 obj [19661 0 R /XYZ 0 697 0] endobj 24731 0 obj [19685 0 R /XYZ 0 697 0] endobj 24732 0 obj [19709 0 R /XYZ 0 697 0] endobj 24733 0 obj [19727 0 R /XYZ 0 697 0] endobj 24734 0 obj [19736 0 R /XYZ 0 697 0] endobj 24735 0 obj [19765 0 R /XYZ 0 697 0] endobj 24736 0 obj [19799 0 R /XYZ 0 697 0] endobj 24737 0 obj [19843 0 R /XYZ 0 697 0] endobj 24738 0 obj [19859 0 R /XYZ 0 697 0] endobj 24739 0 obj [19877 0 R /XYZ 0 697 0] endobj 24740 0 obj [19917 0 R /XYZ 0 697 0] endobj 24741 0 obj [19942 0 R /XYZ 0 697 0] endobj 24742 0 obj [19960 0 R /XYZ 0 697 0] endobj 24743 0 obj [19981 0 R /XYZ 0 697 0] endobj 24744 0 obj [19990 0 R /XYZ 0 697 0] endobj 24745 0 obj [20015 0 R /XYZ 0 697 0] endobj 24746 0 obj [20046 0 R /XYZ 0 697 0] endobj 24747 0 obj [20067 0 R /XYZ 0 697 0] endobj 24748 0 obj [20089 0 R /XYZ 0 697 0] endobj 24749 0 obj [20115 0 R /XYZ 0 697 0] endobj 24750 0 obj [20141 0 R /XYZ 0 697 0] endobj 24751 0 obj [20175 0 R /XYZ 0 697 0] endobj 24752 0 obj [20193 0 R /XYZ 0 697 0] endobj 24753 0 obj [20216 0 R /XYZ 0 697 0] endobj 24754 0 obj [20258 0 R /XYZ 0 697 0] endobj 24755 0 obj [20269 0 R /XYZ 0 697 0] endobj 24756 0 obj [20290 0 R /XYZ 0 697 0] endobj 24757 0 obj [20308 0 R /XYZ 0 697 0] endobj 24758 0 obj [20330 0 R /XYZ 0 697 0] endobj 24759 0 obj [20372 0 R /XYZ 0 697 0] endobj 24760 0 obj [20401 0 R /XYZ 0 697 0] endobj 24761 0 obj [20427 0 R /XYZ 0 697 0] endobj 24762 0 obj [20447 0 R /XYZ 0 697 0] endobj 24763 0 obj [20481 0 R /XYZ 0 697 0] endobj 24764 0 obj [20507 0 R /XYZ 0 697 0] endobj 24765 0 obj [20535 0 R /XYZ 0 697 0] endobj 24766 0 obj [20568 0 R /XYZ 0 697 0] endobj 24767 0 obj [20577 0 R /XYZ 0 697 0] endobj xref 0 24768 0000000000 65535 f 0000051732 00000 n 0000052210 00000 n 0000052420 00000 n 0000052684 00000 n 0000052758 00000 n 0000053819 00000 n 0000053894 00000 n 0000054720 00000 n 0000055048 00000 n 0000055248 00000 n 0000055459 00000 n 0000055666 00000 n 0000055872 00000 n 0000056079 00000 n 0000056283 00000 n 0000056487 00000 n 0000056690 00000 n 0000056892 00000 n 0000057095 00000 n 0000057307 00000 n 0000057511 00000 n 0000057721 00000 n 0000057920 00000 n 0000058127 00000 n 0000058334 00000 n 0000058532 00000 n 0000058737 00000 n 0000058947 00000 n 0000059153 00000 n 0000059355 00000 n 0000059556 00000 n 0000059768 00000 n 0000059978 00000 n 0000060179 00000 n 0000060384 00000 n 0000060593 00000 n 0000060799 00000 n 0000061004 00000 n 0000061210 00000 n 0000061415 00000 n 0000061612 00000 n 0000061802 00000 n 0000061870 00000 n 0000061948 00000 n 0000063013 00000 n 0000063091 00000 n 0000064156 00000 n 0000067579 00000 n 0000068142 00000 n 0000068401 00000 n 0000068648 00000 n 0000068849 00000 n 0000068924 00000 n 0000069986 00000 n 0000070062 00000 n 0000070890 00000 n 0000071219 00000 n 0000071287 00000 n 0000071365 00000 n 0000072430 00000 n 0000072508 00000 n 0000073573 00000 n 0000077732 00000 n 0000078237 00000 n 0000078512 00000 n 0000078779 00000 n 0000078980 00000 n 0000079056 00000 n 0000079885 00000 n 0000080214 00000 n 0000080282 00000 n 0000085098 00000 n 0000085574 00000 n 0000085849 00000 n 0000086103 00000 n 0000086304 00000 n 0000086380 00000 n 0000087207 00000 n 0000087536 00000 n 0000087604 00000 n 0000093075 00000 n 0000093538 00000 n 0000093739 00000 n 0000093816 00000 n 0000094648 00000 n 0000094977 00000 n 0000095045 00000 n 0000098615 00000 n 0000099078 00000 n 0000099279 00000 n 0000099356 00000 n 0000100187 00000 n 0000100516 00000 n 0000100584 00000 n 0000105055 00000 n 0000105549 00000 n 0000105750 00000 n 0000105827 00000 n 0000106660 00000 n 0000106989 00000 n 0000107058 00000 n 0000112034 00000 n 0000112527 00000 n 0000112797 00000 n 0000112999 00000 n 0000113077 00000 n 0000113911 00000 n 0000114241 00000 n 0000114310 00000 n 0000119039 00000 n 0000119495 00000 n 0000119697 00000 n 0000119775 00000 n 0000120607 00000 n 0000120938 00000 n 0000121007 00000 n 0000124302 00000 n 0000124786 00000 n 0000124988 00000 n 0000125066 00000 n 0000125901 00000 n 0000126232 00000 n 0000126301 00000 n 0000130196 00000 n 0000130638 00000 n 0000130840 00000 n 0000130918 00000 n 0000131751 00000 n 0000132082 00000 n 0000132151 00000 n 0000135238 00000 n 0000135680 00000 n 0000135882 00000 n 0000135960 00000 n 0000136793 00000 n 0000137124 00000 n 0000137193 00000 n 0000141203 00000 n 0000141659 00000 n 0000141861 00000 n 0000141939 00000 n 0000142772 00000 n 0000143103 00000 n 0000143172 00000 n 0000147407 00000 n 0000147849 00000 n 0000148051 00000 n 0000148129 00000 n 0000148961 00000 n 0000149292 00000 n 0000149361 00000 n 0000154011 00000 n 0000154481 00000 n 0000154683 00000 n 0000154761 00000 n 0000155594 00000 n 0000155925 00000 n 0000155994 00000 n 0000161368 00000 n 0000161853 00000 n 0000162055 00000 n 0000162133 00000 n 0000162966 00000 n 0000163297 00000 n 0000163366 00000 n 0000168334 00000 n 0000168827 00000 n 0000169086 00000 n 0000169288 00000 n 0000169366 00000 n 0000170198 00000 n 0000170529 00000 n 0000170598 00000 n 0000175028 00000 n 0000175484 00000 n 0000175686 00000 n 0000175764 00000 n 0000176597 00000 n 0000176928 00000 n 0000176997 00000 n 0000183713 00000 n 0000184141 00000 n 0000184343 00000 n 0000184421 00000 n 0000185254 00000 n 0000185585 00000 n 0000185654 00000 n 0000187465 00000 n 0000187963 00000 n 0000188040 00000 n 0000189104 00000 n 0000189306 00000 n 0000189826 00000 n 0000189904 00000 n 0000190738 00000 n 0000191069 00000 n 0000191279 00000 n 0000191522 00000 n 0000191749 00000 n 0000191981 00000 n 0000192192 00000 n 0000192399 00000 n 0000192621 00000 n 0000192834 00000 n 0000193053 00000 n 0000193273 00000 n 0000193489 00000 n 0000193702 00000 n 0000193922 00000 n 0000194127 00000 n 0000194336 00000 n 0000194558 00000 n 0000194773 00000 n 0000194986 00000 n 0000195204 00000 n 0000195427 00000 n 0000195644 00000 n 0000195853 00000 n 0000196067 00000 n 0000196286 00000 n 0000196526 00000 n 0000196739 00000 n 0000196946 00000 n 0000197162 00000 n 0000197370 00000 n 0000197579 00000 n 0000197799 00000 n 0000198008 00000 n 0000198219 00000 n 0000198455 00000 n 0000198667 00000 n 0000198884 00000 n 0000199089 00000 n 0000199318 00000 n 0000199519 00000 n 0000199712 00000 n 0000199910 00000 n 0000200107 00000 n 0000200302 00000 n 0000200512 00000 n 0000200716 00000 n 0000200920 00000 n 0000201120 00000 n 0000201324 00000 n 0000201528 00000 n 0000201725 00000 n 0000201920 00000 n 0000202121 00000 n 0000202316 00000 n 0000202510 00000 n 0000202700 00000 n 0000202900 00000 n 0000203107 00000 n 0000203313 00000 n 0000203522 00000 n 0000203731 00000 n 0000203800 00000 n 0000203879 00000 n 0000204945 00000 n 0000205024 00000 n 0000206090 00000 n 0000208792 00000 n 0000209181 00000 n 0000209383 00000 n 0000210071 00000 n 0000210149 00000 n 0000210981 00000 n 0000211312 00000 n 0000211512 00000 n 0000211721 00000 n 0000211924 00000 n 0000212127 00000 n 0000212322 00000 n 0000212521 00000 n 0000212720 00000 n 0000212918 00000 n 0000213110 00000 n 0000213303 00000 n 0000213495 00000 n 0000213691 00000 n 0000213892 00000 n 0000214087 00000 n 0000214281 00000 n 0000214480 00000 n 0000214678 00000 n 0000214874 00000 n 0000215072 00000 n 0000215261 00000 n 0000215453 00000 n 0000215648 00000 n 0000215848 00000 n 0000216041 00000 n 0000216238 00000 n 0000216437 00000 n 0000216632 00000 n 0000216830 00000 n 0000217028 00000 n 0000217226 00000 n 0000217417 00000 n 0000217606 00000 n 0000217802 00000 n 0000218003 00000 n 0000218203 00000 n 0000218404 00000 n 0000218604 00000 n 0000218806 00000 n 0000219007 00000 n 0000219202 00000 n 0000219401 00000 n 0000219596 00000 n 0000219818 00000 n 0000220022 00000 n 0000220216 00000 n 0000220418 00000 n 0000220617 00000 n 0000220813 00000 n 0000221013 00000 n 0000221215 00000 n 0000221412 00000 n 0000221608 00000 n 0000221801 00000 n 0000221994 00000 n 0000222183 00000 n 0000222371 00000 n 0000222567 00000 n 0000222751 00000 n 0000222937 00000 n 0000223121 00000 n 0000223309 00000 n 0000223510 00000 n 0000223700 00000 n 0000223894 00000 n 0000224083 00000 n 0000224276 00000 n 0000224465 00000 n 0000224654 00000 n 0000224850 00000 n 0000225050 00000 n 0000225246 00000 n 0000225442 00000 n 0000225627 00000 n 0000225813 00000 n 0000226001 00000 n 0000226195 00000 n 0000226383 00000 n 0000226579 00000 n 0000226775 00000 n 0000226964 00000 n 0000227160 00000 n 0000227229 00000 n 0000229970 00000 n 0000230359 00000 n 0000230561 00000 n 0000231441 00000 n 0000231519 00000 n 0000232351 00000 n 0000232682 00000 n 0000232888 00000 n 0000233079 00000 n 0000233278 00000 n 0000233471 00000 n 0000233672 00000 n 0000233868 00000 n 0000234053 00000 n 0000234243 00000 n 0000234430 00000 n 0000234624 00000 n 0000234823 00000 n 0000235021 00000 n 0000235215 00000 n 0000235412 00000 n 0000235607 00000 n 0000235806 00000 n 0000235992 00000 n 0000236180 00000 n 0000236372 00000 n 0000236568 00000 n 0000236779 00000 n 0000236988 00000 n 0000237181 00000 n 0000237371 00000 n 0000237564 00000 n 0000237768 00000 n 0000237978 00000 n 0000238167 00000 n 0000238370 00000 n 0000238570 00000 n 0000238770 00000 n 0000238968 00000 n 0000239169 00000 n 0000239367 00000 n 0000239559 00000 n 0000239741 00000 n 0000239952 00000 n 0000240158 00000 n 0000240353 00000 n 0000240546 00000 n 0000240740 00000 n 0000240930 00000 n 0000241121 00000 n 0000241305 00000 n 0000241486 00000 n 0000241682 00000 n 0000241876 00000 n 0000242073 00000 n 0000242265 00000 n 0000242463 00000 n 0000242658 00000 n 0000242854 00000 n 0000243050 00000 n 0000243242 00000 n 0000243431 00000 n 0000243621 00000 n 0000243814 00000 n 0000244007 00000 n 0000244205 00000 n 0000244403 00000 n 0000244600 00000 n 0000244800 00000 n 0000244997 00000 n 0000245192 00000 n 0000245388 00000 n 0000245587 00000 n 0000245787 00000 n 0000245986 00000 n 0000246186 00000 n 0000246378 00000 n 0000246572 00000 n 0000246766 00000 n 0000246960 00000 n 0000247160 00000 n 0000247353 00000 n 0000247550 00000 n 0000247748 00000 n 0000247948 00000 n 0000248143 00000 n 0000248339 00000 n 0000248536 00000 n 0000248735 00000 n 0000248936 00000 n 0000249136 00000 n 0000249331 00000 n 0000249522 00000 n 0000249715 00000 n 0000249910 00000 n 0000250102 00000 n 0000250297 00000 n 0000250490 00000 n 0000250685 00000 n 0000250877 00000 n 0000251073 00000 n 0000251265 00000 n 0000251457 00000 n 0000251649 00000 n 0000251843 00000 n 0000252038 00000 n 0000252231 00000 n 0000252426 00000 n 0000252616 00000 n 0000252811 00000 n 0000253011 00000 n 0000253205 00000 n 0000253274 00000 n 0000256280 00000 n 0000256669 00000 n 0000256871 00000 n 0000257847 00000 n 0000257925 00000 n 0000258758 00000 n 0000259089 00000 n 0000259284 00000 n 0000259479 00000 n 0000259676 00000 n 0000259873 00000 n 0000260068 00000 n 0000260263 00000 n 0000260456 00000 n 0000260647 00000 n 0000260838 00000 n 0000261035 00000 n 0000261237 00000 n 0000261439 00000 n 0000261633 00000 n 0000261831 00000 n 0000262029 00000 n 0000262226 00000 n 0000262423 00000 n 0000262620 00000 n 0000262817 00000 n 0000263016 00000 n 0000263215 00000 n 0000263405 00000 n 0000263598 00000 n 0000263792 00000 n 0000263991 00000 n 0000264192 00000 n 0000264394 00000 n 0000264591 00000 n 0000264786 00000 n 0000264984 00000 n 0000265181 00000 n 0000265379 00000 n 0000265574 00000 n 0000265776 00000 n 0000265983 00000 n 0000266188 00000 n 0000266397 00000 n 0000266602 00000 n 0000266807 00000 n 0000267010 00000 n 0000267212 00000 n 0000267412 00000 n 0000267606 00000 n 0000267803 00000 n 0000268001 00000 n 0000268193 00000 n 0000268386 00000 n 0000268580 00000 n 0000268781 00000 n 0000268981 00000 n 0000269180 00000 n 0000269366 00000 n 0000269558 00000 n 0000269745 00000 n 0000269940 00000 n 0000270137 00000 n 0000270335 00000 n 0000270530 00000 n 0000270725 00000 n 0000270921 00000 n 0000271113 00000 n 0000271310 00000 n 0000271507 00000 n 0000271704 00000 n 0000271903 00000 n 0000272098 00000 n 0000272292 00000 n 0000272484 00000 n 0000272675 00000 n 0000272874 00000 n 0000273075 00000 n 0000273273 00000 n 0000273470 00000 n 0000273668 00000 n 0000273861 00000 n 0000274070 00000 n 0000274266 00000 n 0000274467 00000 n 0000274664 00000 n 0000274857 00000 n 0000275053 00000 n 0000275252 00000 n 0000275455 00000 n 0000275655 00000 n 0000275854 00000 n 0000276057 00000 n 0000276259 00000 n 0000276467 00000 n 0000276664 00000 n 0000276858 00000 n 0000277053 00000 n 0000277260 00000 n 0000277454 00000 n 0000277655 00000 n 0000277854 00000 n 0000278049 00000 n 0000278252 00000 n 0000278462 00000 n 0000278659 00000 n 0000278856 00000 n 0000279055 00000 n 0000279257 00000 n 0000279456 00000 n 0000279658 00000 n 0000279856 00000 n 0000280047 00000 n 0000280233 00000 n 0000280429 00000 n 0000280623 00000 n 0000280816 00000 n 0000281015 00000 n 0000281208 00000 n 0000281403 00000 n 0000281600 00000 n 0000281796 00000 n 0000281994 00000 n 0000282196 00000 n 0000282265 00000 n 0000285510 00000 n 0000285899 00000 n 0000286101 00000 n 0000286837 00000 n 0000286915 00000 n 0000287748 00000 n 0000288079 00000 n 0000288272 00000 n 0000288466 00000 n 0000288661 00000 n 0000288859 00000 n 0000289057 00000 n 0000289257 00000 n 0000289447 00000 n 0000289646 00000 n 0000289840 00000 n 0000290045 00000 n 0000290256 00000 n 0000290455 00000 n 0000290650 00000 n 0000290847 00000 n 0000291046 00000 n 0000291238 00000 n 0000291433 00000 n 0000291630 00000 n 0000291826 00000 n 0000292024 00000 n 0000292215 00000 n 0000292402 00000 n 0000292591 00000 n 0000292779 00000 n 0000292984 00000 n 0000293194 00000 n 0000293395 00000 n 0000293594 00000 n 0000293801 00000 n 0000294012 00000 n 0000294218 00000 n 0000294420 00000 n 0000294614 00000 n 0000294814 00000 n 0000295008 00000 n 0000295215 00000 n 0000295416 00000 n 0000295618 00000 n 0000295819 00000 n 0000296021 00000 n 0000296224 00000 n 0000296431 00000 n 0000296626 00000 n 0000296824 00000 n 0000297017 00000 n 0000297209 00000 n 0000297407 00000 n 0000297607 00000 n 0000297803 00000 n 0000297995 00000 n 0000298198 00000 n 0000298405 00000 n 0000298602 00000 n 0000298800 00000 n 0000299004 00000 n 0000299195 00000 n 0000299387 00000 n 0000299578 00000 n 0000299768 00000 n 0000299963 00000 n 0000300158 00000 n 0000300349 00000 n 0000300540 00000 n 0000300731 00000 n 0000300922 00000 n 0000301113 00000 n 0000301304 00000 n 0000301491 00000 n 0000301678 00000 n 0000301869 00000 n 0000302063 00000 n 0000302259 00000 n 0000302452 00000 n 0000302654 00000 n 0000302856 00000 n 0000303050 00000 n 0000303246 00000 n 0000303445 00000 n 0000303641 00000 n 0000303841 00000 n 0000304040 00000 n 0000304240 00000 n 0000304433 00000 n 0000304626 00000 n 0000304821 00000 n 0000305024 00000 n 0000305219 00000 n 0000305288 00000 n 0000308091 00000 n 0000308466 00000 n 0000308668 00000 n 0000309756 00000 n 0000309834 00000 n 0000310666 00000 n 0000310997 00000 n 0000311196 00000 n 0000311396 00000 n 0000311598 00000 n 0000311799 00000 n 0000311994 00000 n 0000312180 00000 n 0000312371 00000 n 0000312564 00000 n 0000312753 00000 n 0000312946 00000 n 0000313150 00000 n 0000313351 00000 n 0000313560 00000 n 0000313765 00000 n 0000313969 00000 n 0000314168 00000 n 0000314373 00000 n 0000314577 00000 n 0000314773 00000 n 0000314973 00000 n 0000315178 00000 n 0000315372 00000 n 0000315563 00000 n 0000315749 00000 n 0000315952 00000 n 0000316147 00000 n 0000316350 00000 n 0000316547 00000 n 0000316738 00000 n 0000316935 00000 n 0000317136 00000 n 0000317331 00000 n 0000317526 00000 n 0000317723 00000 n 0000317924 00000 n 0000318128 00000 n 0000318332 00000 n 0000318527 00000 n 0000318726 00000 n 0000318932 00000 n 0000319142 00000 n 0000319344 00000 n 0000319535 00000 n 0000319730 00000 n 0000319924 00000 n 0000320118 00000 n 0000320310 00000 n 0000320507 00000 n 0000320698 00000 n 0000320890 00000 n 0000321083 00000 n 0000321272 00000 n 0000321463 00000 n 0000321658 00000 n 0000321857 00000 n 0000322052 00000 n 0000322250 00000 n 0000322451 00000 n 0000322647 00000 n 0000322843 00000 n 0000323037 00000 n 0000323234 00000 n 0000323432 00000 n 0000323626 00000 n 0000323821 00000 n 0000324016 00000 n 0000324206 00000 n 0000324394 00000 n 0000324585 00000 n 0000324781 00000 n 0000324973 00000 n 0000325176 00000 n 0000325366 00000 n 0000325554 00000 n 0000325748 00000 n 0000325939 00000 n 0000326128 00000 n 0000326319 00000 n 0000326517 00000 n 0000326720 00000 n 0000326927 00000 n 0000327127 00000 n 0000327322 00000 n 0000327518 00000 n 0000327720 00000 n 0000327925 00000 n 0000328120 00000 n 0000328321 00000 n 0000328519 00000 n 0000328714 00000 n 0000328908 00000 n 0000329116 00000 n 0000329321 00000 n 0000329516 00000 n 0000329724 00000 n 0000329925 00000 n 0000330123 00000 n 0000330322 00000 n 0000330528 00000 n 0000330734 00000 n 0000330939 00000 n 0000331134 00000 n 0000331340 00000 n 0000331540 00000 n 0000331740 00000 n 0000331935 00000 n 0000332130 00000 n 0000332333 00000 n 0000332528 00000 n 0000332730 00000 n 0000332932 00000 n 0000333129 00000 n 0000333325 00000 n 0000333527 00000 n 0000333726 00000 n 0000333932 00000 n 0000334136 00000 n 0000334337 00000 n 0000334545 00000 n 0000334746 00000 n 0000334947 00000 n 0000335157 00000 n 0000335358 00000 n 0000335561 00000 n 0000335763 00000 n 0000335964 00000 n 0000336165 00000 n 0000336364 00000 n 0000336570 00000 n 0000336766 00000 n 0000336964 00000 n 0000337033 00000 n 0000340461 00000 n 0000340850 00000 n 0000341052 00000 n 0000341804 00000 n 0000341882 00000 n 0000342715 00000 n 0000343046 00000 n 0000343246 00000 n 0000343450 00000 n 0000343653 00000 n 0000343853 00000 n 0000344051 00000 n 0000344252 00000 n 0000344455 00000 n 0000344654 00000 n 0000344856 00000 n 0000345056 00000 n 0000345260 00000 n 0000345456 00000 n 0000345647 00000 n 0000345839 00000 n 0000346035 00000 n 0000346223 00000 n 0000346411 00000 n 0000346606 00000 n 0000346806 00000 n 0000347008 00000 n 0000347206 00000 n 0000347403 00000 n 0000347604 00000 n 0000347801 00000 n 0000348008 00000 n 0000348208 00000 n 0000348405 00000 n 0000348595 00000 n 0000348787 00000 n 0000348983 00000 n 0000349190 00000 n 0000349394 00000 n 0000349599 00000 n 0000349793 00000 n 0000349995 00000 n 0000350184 00000 n 0000350388 00000 n 0000350590 00000 n 0000350785 00000 n 0000350981 00000 n 0000351183 00000 n 0000351375 00000 n 0000351568 00000 n 0000351762 00000 n 0000351951 00000 n 0000352146 00000 n 0000352333 00000 n 0000352532 00000 n 0000352722 00000 n 0000352912 00000 n 0000353108 00000 n 0000353302 00000 n 0000353493 00000 n 0000353693 00000 n 0000353884 00000 n 0000354082 00000 n 0000354277 00000 n 0000354473 00000 n 0000354665 00000 n 0000354869 00000 n 0000355064 00000 n 0000355258 00000 n 0000355445 00000 n 0000355630 00000 n 0000355823 00000 n 0000356018 00000 n 0000356213 00000 n 0000356403 00000 n 0000356604 00000 n 0000356793 00000 n 0000356986 00000 n 0000357188 00000 n 0000357376 00000 n 0000357569 00000 n 0000357757 00000 n 0000357942 00000 n 0000358135 00000 n 0000358320 00000 n 0000358512 00000 n 0000358700 00000 n 0000358890 00000 n 0000359081 00000 n 0000359274 00000 n 0000359462 00000 n 0000359655 00000 n 0000359857 00000 n 0000360045 00000 n 0000360239 00000 n 0000360438 00000 n 0000360507 00000 n 0000363459 00000 n 0000363850 00000 n 0000364052 00000 n 0000364945 00000 n 0000365023 00000 n 0000365855 00000 n 0000366186 00000 n 0000366380 00000 n 0000366573 00000 n 0000366762 00000 n 0000366956 00000 n 0000367152 00000 n 0000367347 00000 n 0000367535 00000 n 0000367725 00000 n 0000367921 00000 n 0000368120 00000 n 0000368317 00000 n 0000368516 00000 n 0000368712 00000 n 0000368912 00000 n 0000369107 00000 n 0000369295 00000 n 0000369491 00000 n 0000369680 00000 n 0000369880 00000 n 0000370074 00000 n 0000370267 00000 n 0000370463 00000 n 0000370653 00000 n 0000370849 00000 n 0000371046 00000 n 0000371244 00000 n 0000371443 00000 n 0000371638 00000 n 0000371829 00000 n 0000372018 00000 n 0000372214 00000 n 0000372407 00000 n 0000372602 00000 n 0000372788 00000 n 0000372978 00000 n 0000373176 00000 n 0000373373 00000 n 0000373573 00000 n 0000373772 00000 n 0000373965 00000 n 0000374161 00000 n 0000374358 00000 n 0000374559 00000 n 0000374754 00000 n 0000374942 00000 n 0000375135 00000 n 0000375337 00000 n 0000375536 00000 n 0000375743 00000 n 0000375949 00000 n 0000376157 00000 n 0000376373 00000 n 0000376580 00000 n 0000376788 00000 n 0000377001 00000 n 0000377203 00000 n 0000377404 00000 n 0000377601 00000 n 0000377799 00000 n 0000377991 00000 n 0000378187 00000 n 0000378392 00000 n 0000378588 00000 n 0000378798 00000 n 0000379005 00000 n 0000379216 00000 n 0000379415 00000 n 0000379629 00000 n 0000379836 00000 n 0000380042 00000 n 0000380247 00000 n 0000380450 00000 n 0000380653 00000 n 0000380854 00000 n 0000381057 00000 n 0000381249 00000 n 0000381448 00000 n 0000381646 00000 n 0000381851 00000 n 0000382047 00000 n 0000382242 00000 n 0000382440 00000 n 0000382641 00000 n 0000382828 00000 n 0000383023 00000 n 0000383229 00000 n 0000383423 00000 n 0000383620 00000 n 0000383813 00000 n 0000384026 00000 n 0000384223 00000 n 0000384422 00000 n 0000384624 00000 n 0000384827 00000 n 0000385030 00000 n 0000385231 00000 n 0000385430 00000 n 0000385622 00000 n 0000385809 00000 n 0000386011 00000 n 0000386214 00000 n 0000386407 00000 n 0000386608 00000 n 0000386678 00000 n 0000389862 00000 n 0000390257 00000 n 0000390460 00000 n 0000391529 00000 n 0000391608 00000 n 0000392441 00000 n 0000392773 00000 n 0000392983 00000 n 0000393183 00000 n 0000393379 00000 n 0000393569 00000 n 0000393768 00000 n 0000393966 00000 n 0000394158 00000 n 0000394345 00000 n 0000394535 00000 n 0000394736 00000 n 0000394931 00000 n 0000395122 00000 n 0000395314 00000 n 0000395507 00000 n 0000395701 00000 n 0000395895 00000 n 0000396099 00000 n 0000396290 00000 n 0000396484 00000 n 0000396670 00000 n 0000396867 00000 n 0000397078 00000 n 0000397274 00000 n 0000397463 00000 n 0000397654 00000 n 0000397846 00000 n 0000398044 00000 n 0000398242 00000 n 0000398441 00000 n 0000398637 00000 n 0000398840 00000 n 0000399036 00000 n 0000399231 00000 n 0000399423 00000 n 0000399624 00000 n 0000399820 00000 n 0000400009 00000 n 0000400203 00000 n 0000400396 00000 n 0000400591 00000 n 0000400786 00000 n 0000400985 00000 n 0000401180 00000 n 0000401381 00000 n 0000401586 00000 n 0000401784 00000 n 0000401985 00000 n 0000402171 00000 n 0000402358 00000 n 0000402553 00000 n 0000402744 00000 n 0000402933 00000 n 0000403129 00000 n 0000403321 00000 n 0000403509 00000 n 0000403700 00000 n 0000403895 00000 n 0000404092 00000 n 0000404283 00000 n 0000404474 00000 n 0000404668 00000 n 0000404861 00000 n 0000405055 00000 n 0000405247 00000 n 0000405443 00000 n 0000405633 00000 n 0000405834 00000 n 0000406032 00000 n 0000406223 00000 n 0000406422 00000 n 0000406622 00000 n 0000406815 00000 n 0000407002 00000 n 0000407194 00000 n 0000407382 00000 n 0000407572 00000 n 0000407766 00000 n 0000407965 00000 n 0000408154 00000 n 0000408342 00000 n 0000408531 00000 n 0000408720 00000 n 0000408908 00000 n 0000409100 00000 n 0000409290 00000 n 0000409479 00000 n 0000409679 00000 n 0000409861 00000 n 0000410048 00000 n 0000410238 00000 n 0000410429 00000 n 0000410628 00000 n 0000410822 00000 n 0000411016 00000 n 0000411208 00000 n 0000411407 00000 n 0000411602 00000 n 0000411797 00000 n 0000411992 00000 n 0000412186 00000 n 0000412385 00000 n 0000412580 00000 n 0000412770 00000 n 0000412964 00000 n 0000413149 00000 n 0000413339 00000 n 0000413536 00000 n 0000413747 00000 n 0000413936 00000 n 0000414121 00000 n 0000414306 00000 n 0000414493 00000 n 0000414682 00000 n 0000414875 00000 n 0000414945 00000 n 0000418141 00000 n 0000418536 00000 n 0000418739 00000 n 0000419448 00000 n 0000419527 00000 n 0000420361 00000 n 0000420693 00000 n 0000420884 00000 n 0000421083 00000 n 0000421268 00000 n 0000421457 00000 n 0000421647 00000 n 0000421840 00000 n 0000422044 00000 n 0000422248 00000 n 0000422450 00000 n 0000422653 00000 n 0000422856 00000 n 0000423058 00000 n 0000423256 00000 n 0000423452 00000 n 0000423658 00000 n 0000423861 00000 n 0000424064 00000 n 0000424271 00000 n 0000424474 00000 n 0000424671 00000 n 0000424875 00000 n 0000425078 00000 n 0000425284 00000 n 0000425477 00000 n 0000425679 00000 n 0000425880 00000 n 0000426077 00000 n 0000426280 00000 n 0000426483 00000 n 0000426670 00000 n 0000426864 00000 n 0000427065 00000 n 0000427263 00000 n 0000427463 00000 n 0000427665 00000 n 0000427867 00000 n 0000428073 00000 n 0000428268 00000 n 0000428470 00000 n 0000428664 00000 n 0000428861 00000 n 0000429060 00000 n 0000429260 00000 n 0000429455 00000 n 0000429650 00000 n 0000429848 00000 n 0000430035 00000 n 0000430224 00000 n 0000430419 00000 n 0000430626 00000 n 0000430821 00000 n 0000431019 00000 n 0000431215 00000 n 0000431408 00000 n 0000431603 00000 n 0000431797 00000 n 0000431989 00000 n 0000432186 00000 n 0000432378 00000 n 0000432576 00000 n 0000432770 00000 n 0000432975 00000 n 0000433169 00000 n 0000433363 00000 n 0000433555 00000 n 0000433753 00000 n 0000433945 00000 n 0000434145 00000 n 0000434344 00000 n 0000434542 00000 n 0000434744 00000 n 0000434948 00000 n 0000435162 00000 n 0000435373 00000 n 0000435443 00000 n 0000438258 00000 n 0000438653 00000 n 0000438856 00000 n 0000439889 00000 n 0000439968 00000 n 0000440802 00000 n 0000441134 00000 n 0000441327 00000 n 0000441523 00000 n 0000441716 00000 n 0000441907 00000 n 0000442098 00000 n 0000442287 00000 n 0000442485 00000 n 0000442681 00000 n 0000442877 00000 n 0000443079 00000 n 0000443278 00000 n 0000443477 00000 n 0000443678 00000 n 0000443876 00000 n 0000444071 00000 n 0000444267 00000 n 0000444471 00000 n 0000444669 00000 n 0000444873 00000 n 0000445080 00000 n 0000445280 00000 n 0000445479 00000 n 0000445671 00000 n 0000445860 00000 n 0000446050 00000 n 0000446241 00000 n 0000446428 00000 n 0000446613 00000 n 0000446800 00000 n 0000446991 00000 n 0000447184 00000 n 0000447377 00000 n 0000447566 00000 n 0000447761 00000 n 0000447961 00000 n 0000448159 00000 n 0000448353 00000 n 0000448551 00000 n 0000448748 00000 n 0000448952 00000 n 0000449146 00000 n 0000449336 00000 n 0000449531 00000 n 0000449724 00000 n 0000449917 00000 n 0000450113 00000 n 0000450311 00000 n 0000450505 00000 n 0000450701 00000 n 0000450901 00000 n 0000451087 00000 n 0000451281 00000 n 0000451470 00000 n 0000451659 00000 n 0000451851 00000 n 0000452047 00000 n 0000452238 00000 n 0000452436 00000 n 0000452637 00000 n 0000452829 00000 n 0000453021 00000 n 0000453211 00000 n 0000453401 00000 n 0000453599 00000 n 0000453796 00000 n 0000453988 00000 n 0000454178 00000 n 0000454368 00000 n 0000454570 00000 n 0000454770 00000 n 0000454961 00000 n 0000455153 00000 n 0000455343 00000 n 0000455534 00000 n 0000455728 00000 n 0000455919 00000 n 0000456109 00000 n 0000456306 00000 n 0000456497 00000 n 0000456694 00000 n 0000456884 00000 n 0000457076 00000 n 0000457278 00000 n 0000457483 00000 n 0000457687 00000 n 0000457895 00000 n 0000458096 00000 n 0000458287 00000 n 0000458477 00000 n 0000458675 00000 n 0000458873 00000 n 0000459072 00000 n 0000459268 00000 n 0000459471 00000 n 0000459667 00000 n 0000459866 00000 n 0000460066 00000 n 0000460262 00000 n 0000460466 00000 n 0000460663 00000 n 0000460861 00000 n 0000461045 00000 n 0000461235 00000 n 0000461425 00000 n 0000461631 00000 n 0000461831 00000 n 0000462031 00000 n 0000462234 00000 n 0000462433 00000 n 0000462638 00000 n 0000462708 00000 n 0000466014 00000 n 0000466409 00000 n 0000466612 00000 n 0000466817 00000 n 0000466896 00000 n 0000467730 00000 n 0000468062 00000 n 0000468267 00000 n 0000468465 00000 n 0000468666 00000 n 0000468860 00000 n 0000469061 00000 n 0000469255 00000 n 0000469454 00000 n 0000469649 00000 n 0000469836 00000 n 0000470035 00000 n 0000470227 00000 n 0000470417 00000 n 0000470614 00000 n 0000470815 00000 n 0000471013 00000 n 0000471213 00000 n 0000471413 00000 n 0000471608 00000 n 0000471678 00000 n 0000473346 00000 n 0000473914 00000 n 0000473992 00000 n 0000475057 00000 n 0000475260 00000 n 0000475312 00000 n 0000475391 00000 n 0000476225 00000 n 0000476557 00000 n 0000476781 00000 n 0000476851 00000 n 0000476931 00000 n 0000477998 00000 n 0000478078 00000 n 0000479145 00000 n 0000482740 00000 n 0000483220 00000 n 0000483423 00000 n 0000483484 00000 n 0000483563 00000 n 0000484398 00000 n 0000484730 00000 n 0000484933 00000 n 0000485136 00000 n 0000485206 00000 n 0000489405 00000 n 0000489858 00000 n 0000490061 00000 n 0000490122 00000 n 0000490201 00000 n 0000491035 00000 n 0000491367 00000 n 0000491589 00000 n 0000491805 00000 n 0000491875 00000 n 0000496076 00000 n 0000496542 00000 n 0000496745 00000 n 0000496815 00000 n 0000496894 00000 n 0000497728 00000 n 0000498060 00000 n 0000498264 00000 n 0000498481 00000 n 0000498687 00000 n 0000498757 00000 n 0000502088 00000 n 0000502541 00000 n 0000502744 00000 n 0000502796 00000 n 0000502875 00000 n 0000503709 00000 n 0000504041 00000 n 0000504265 00000 n 0000504335 00000 n 0000508539 00000 n 0000509045 00000 n 0000509248 00000 n 0000509327 00000 n 0000510161 00000 n 0000510493 00000 n 0000510563 00000 n 0000515239 00000 n 0000515677 00000 n 0000515880 00000 n 0000515959 00000 n 0000516038 00000 n 0000516871 00000 n 0000517203 00000 n 0000517405 00000 n 0000517615 00000 n 0000517825 00000 n 0000518022 00000 n 0000518092 00000 n 0000520370 00000 n 0000520823 00000 n 0000521026 00000 n 0000521087 00000 n 0000521166 00000 n 0000522000 00000 n 0000522332 00000 n 0000522542 00000 n 0000522763 00000 n 0000522833 00000 n 0000527170 00000 n 0000527665 00000 n 0000527919 00000 n 0000528191 00000 n 0000528394 00000 n 0000528473 00000 n 0000529306 00000 n 0000529638 00000 n 0000529708 00000 n 0000534317 00000 n 0000534794 00000 n 0000534997 00000 n 0000535076 00000 n 0000535910 00000 n 0000536242 00000 n 0000536312 00000 n 0000540434 00000 n 0000540858 00000 n 0000541061 00000 n 0000541194 00000 n 0000541273 00000 n 0000542107 00000 n 0000542439 00000 n 0000542641 00000 n 0000542846 00000 n 0000543043 00000 n 0000543247 00000 n 0000543447 00000 n 0000543651 00000 n 0000543854 00000 n 0000544062 00000 n 0000544270 00000 n 0000544475 00000 n 0000544545 00000 n 0000546319 00000 n 0000546772 00000 n 0000546975 00000 n 0000547036 00000 n 0000547115 00000 n 0000547949 00000 n 0000548281 00000 n 0000548500 00000 n 0000548731 00000 n 0000548801 00000 n 0000553133 00000 n 0000553625 00000 n 0000553828 00000 n 0000553907 00000 n 0000554743 00000 n 0000555075 00000 n 0000555145 00000 n 0000561589 00000 n 0000562066 00000 n 0000562269 00000 n 0000562348 00000 n 0000563181 00000 n 0000563513 00000 n 0000563583 00000 n 0000567760 00000 n 0000568226 00000 n 0000568429 00000 n 0000568535 00000 n 0000568614 00000 n 0000569449 00000 n 0000569781 00000 n 0000569987 00000 n 0000570192 00000 n 0000570394 00000 n 0000570594 00000 n 0000570799 00000 n 0000571001 00000 n 0000571202 00000 n 0000571272 00000 n 0000573742 00000 n 0000574238 00000 n 0000574441 00000 n 0000574493 00000 n 0000574572 00000 n 0000575406 00000 n 0000575738 00000 n 0000575962 00000 n 0000576032 00000 n 0000580675 00000 n 0000581152 00000 n 0000581355 00000 n 0000581434 00000 n 0000582269 00000 n 0000582601 00000 n 0000582671 00000 n 0000587197 00000 n 0000587703 00000 n 0000587906 00000 n 0000587985 00000 n 0000588819 00000 n 0000589151 00000 n 0000589221 00000 n 0000593997 00000 n 0000594463 00000 n 0000594666 00000 n 0000594736 00000 n 0000594815 00000 n 0000595649 00000 n 0000595981 00000 n 0000596186 00000 n 0000596390 00000 n 0000596596 00000 n 0000596666 00000 n 0000598811 00000 n 0000599308 00000 n 0000599571 00000 n 0000599774 00000 n 0000599835 00000 n 0000599915 00000 n 0000600753 00000 n 0000601085 00000 n 0000601305 00000 n 0000601375 00000 n 0000606822 00000 n 0000607289 00000 n 0000607492 00000 n 0000607625 00000 n 0000607705 00000 n 0000608543 00000 n 0000608875 00000 n 0000609081 00000 n 0000609291 00000 n 0000609501 00000 n 0000609705 00000 n 0000609915 00000 n 0000610120 00000 n 0000610327 00000 n 0000610536 00000 n 0000610743 00000 n 0000610950 00000 n 0000611020 00000 n 0000614249 00000 n 0000614642 00000 n 0000614845 00000 n 0000614925 00000 n 0000615762 00000 n 0000616094 00000 n 0000616164 00000 n 0000617294 00000 n 0000617791 00000 n 0000617994 00000 n 0000618046 00000 n 0000618126 00000 n 0000618963 00000 n 0000619295 00000 n 0000619515 00000 n 0000619585 00000 n 0000624717 00000 n 0000625224 00000 n 0000625427 00000 n 0000625507 00000 n 0000626345 00000 n 0000626677 00000 n 0000626747 00000 n 0000632138 00000 n 0000632577 00000 n 0000632780 00000 n 0000632895 00000 n 0000632975 00000 n 0000633812 00000 n 0000634144 00000 n 0000634348 00000 n 0000634549 00000 n 0000634750 00000 n 0000634948 00000 n 0000635154 00000 n 0000635358 00000 n 0000635571 00000 n 0000635779 00000 n 0000635849 00000 n 0000638335 00000 n 0000638789 00000 n 0000638992 00000 n 0000639062 00000 n 0000639142 00000 n 0000639980 00000 n 0000640312 00000 n 0000640531 00000 n 0000640754 00000 n 0000640996 00000 n 0000641066 00000 n 0000645358 00000 n 0000645839 00000 n 0000646042 00000 n 0000646112 00000 n 0000646192 00000 n 0000647030 00000 n 0000647362 00000 n 0000647567 00000 n 0000647774 00000 n 0000647978 00000 n 0000648048 00000 n 0000651303 00000 n 0000651743 00000 n 0000651946 00000 n 0000652007 00000 n 0000652087 00000 n 0000652925 00000 n 0000653257 00000 n 0000653468 00000 n 0000653697 00000 n 0000653767 00000 n 0000656481 00000 n 0000656932 00000 n 0000657135 00000 n 0000657215 00000 n 0000658052 00000 n 0000658384 00000 n 0000658454 00000 n 0000662613 00000 n 0000663080 00000 n 0000663283 00000 n 0000663335 00000 n 0000663415 00000 n 0000664252 00000 n 0000664584 00000 n 0000664803 00000 n 0000664873 00000 n 0000668692 00000 n 0000669159 00000 n 0000669413 00000 n 0000669685 00000 n 0000669888 00000 n 0000669985 00000 n 0000670065 00000 n 0000670901 00000 n 0000671233 00000 n 0000671438 00000 n 0000671645 00000 n 0000671853 00000 n 0000672066 00000 n 0000672136 00000 n 0000676031 00000 n 0000676513 00000 n 0000676716 00000 n 0000676768 00000 n 0000676848 00000 n 0000677686 00000 n 0000678018 00000 n 0000678239 00000 n 0000678309 00000 n 0000682257 00000 n 0000682724 00000 n 0000682927 00000 n 0000683006 00000 n 0000683086 00000 n 0000683924 00000 n 0000684256 00000 n 0000684457 00000 n 0000684664 00000 n 0000684872 00000 n 0000685083 00000 n 0000685153 00000 n 0000688753 00000 n 0000689235 00000 n 0000689438 00000 n 0000689490 00000 n 0000689570 00000 n 0000690407 00000 n 0000690739 00000 n 0000690960 00000 n 0000691030 00000 n 0000694800 00000 n 0000695267 00000 n 0000695470 00000 n 0000695549 00000 n 0000695629 00000 n 0000696467 00000 n 0000696799 00000 n 0000697000 00000 n 0000697209 00000 n 0000697415 00000 n 0000697626 00000 n 0000697696 00000 n 0000701101 00000 n 0000701583 00000 n 0000701786 00000 n 0000701838 00000 n 0000701918 00000 n 0000702755 00000 n 0000703087 00000 n 0000703308 00000 n 0000703378 00000 n 0000707190 00000 n 0000707657 00000 n 0000707860 00000 n 0000707939 00000 n 0000708019 00000 n 0000708856 00000 n 0000709188 00000 n 0000709389 00000 n 0000709598 00000 n 0000709805 00000 n 0000710018 00000 n 0000710088 00000 n 0000712855 00000 n 0000713337 00000 n 0000713540 00000 n 0000713592 00000 n 0000713672 00000 n 0000714509 00000 n 0000714841 00000 n 0000715062 00000 n 0000715132 00000 n 0000719480 00000 n 0000719958 00000 n 0000720161 00000 n 0000720241 00000 n 0000721077 00000 n 0000721409 00000 n 0000721479 00000 n 0000725334 00000 n 0000725744 00000 n 0000725947 00000 n 0000726026 00000 n 0000726106 00000 n 0000726945 00000 n 0000727277 00000 n 0000727480 00000 n 0000727681 00000 n 0000727883 00000 n 0000728078 00000 n 0000728148 00000 n 0000729461 00000 n 0000729943 00000 n 0000730146 00000 n 0000730207 00000 n 0000730287 00000 n 0000731124 00000 n 0000731456 00000 n 0000731666 00000 n 0000731882 00000 n 0000731952 00000 n 0000735586 00000 n 0000736025 00000 n 0000736228 00000 n 0000736316 00000 n 0000736396 00000 n 0000737232 00000 n 0000737564 00000 n 0000737769 00000 n 0000737984 00000 n 0000738183 00000 n 0000738386 00000 n 0000738599 00000 n 0000738669 00000 n 0000740637 00000 n 0000741119 00000 n 0000741322 00000 n 0000741374 00000 n 0000741454 00000 n 0000742291 00000 n 0000742623 00000 n 0000742834 00000 n 0000742904 00000 n 0000746722 00000 n 0000747189 00000 n 0000747392 00000 n 0000747516 00000 n 0000747596 00000 n 0000748433 00000 n 0000748765 00000 n 0000748966 00000 n 0000749168 00000 n 0000749374 00000 n 0000749583 00000 n 0000749782 00000 n 0000749984 00000 n 0000750190 00000 n 0000750398 00000 n 0000750605 00000 n 0000750675 00000 n 0000752901 00000 n 0000753383 00000 n 0000753586 00000 n 0000753638 00000 n 0000753718 00000 n 0000754555 00000 n 0000754766 00000 n 0000755098 00000 n 0000755168 00000 n 0000759003 00000 n 0000759470 00000 n 0000759673 00000 n 0000759797 00000 n 0000759998 00000 n 0000760200 00000 n 0000760406 00000 n 0000760615 00000 n 0000760814 00000 n 0000761016 00000 n 0000761222 00000 n 0000761430 00000 n 0000761637 00000 n 0000761717 00000 n 0000762553 00000 n 0000762885 00000 n 0000762955 00000 n 0000765203 00000 n 0000765657 00000 n 0000765860 00000 n 0000765912 00000 n 0000766123 00000 n 0000766203 00000 n 0000767039 00000 n 0000767109 00000 n 0000767441 00000 n 0000771380 00000 n 0000771858 00000 n 0000772061 00000 n 0000772131 00000 n 0000772211 00000 n 0000773049 00000 n 0000773381 00000 n 0000777180 00000 n 0000777605 00000 n 0000777808 00000 n 0000777896 00000 n 0000778093 00000 n 0000778296 00000 n 0000778492 00000 n 0000778687 00000 n 0000778883 00000 n 0000778953 00000 n 0000779033 00000 n 0000779870 00000 n 0000780202 00000 n 0000781937 00000 n 0000782391 00000 n 0000782594 00000 n 0000782646 00000 n 0000782857 00000 n 0000782927 00000 n 0000783007 00000 n 0000783843 00000 n 0000784175 00000 n 0000788124 00000 n 0000788602 00000 n 0000788805 00000 n 0000788875 00000 n 0000788955 00000 n 0000789792 00000 n 0000790124 00000 n 0000793722 00000 n 0000794146 00000 n 0000794349 00000 n 0000794446 00000 n 0000794642 00000 n 0000794845 00000 n 0000795038 00000 n 0000795234 00000 n 0000795429 00000 n 0000795625 00000 n 0000795695 00000 n 0000795775 00000 n 0000796611 00000 n 0000796943 00000 n 0000798297 00000 n 0000798779 00000 n 0000798982 00000 n 0000799034 00000 n 0000799245 00000 n 0000799315 00000 n 0000799395 00000 n 0000800232 00000 n 0000800564 00000 n 0000804466 00000 n 0000804905 00000 n 0000805108 00000 n 0000805196 00000 n 0000805400 00000 n 0000805606 00000 n 0000805815 00000 n 0000806014 00000 n 0000806216 00000 n 0000806286 00000 n 0000806366 00000 n 0000807202 00000 n 0000807534 00000 n 0000809729 00000 n 0000810211 00000 n 0000810414 00000 n 0000810466 00000 n 0000810677 00000 n 0000810747 00000 n 0000810827 00000 n 0000811663 00000 n 0000811995 00000 n 0000815949 00000 n 0000816416 00000 n 0000816619 00000 n 0000816743 00000 n 0000816944 00000 n 0000817145 00000 n 0000817351 00000 n 0000817560 00000 n 0000817759 00000 n 0000817961 00000 n 0000818167 00000 n 0000818375 00000 n 0000818582 00000 n 0000818652 00000 n 0000818732 00000 n 0000819568 00000 n 0000819900 00000 n 0000821962 00000 n 0000822444 00000 n 0000822647 00000 n 0000822708 00000 n 0000822918 00000 n 0000823134 00000 n 0000823204 00000 n 0000823284 00000 n 0000824121 00000 n 0000824453 00000 n 0000828275 00000 n 0000828714 00000 n 0000828917 00000 n 0000829032 00000 n 0000829229 00000 n 0000829427 00000 n 0000829630 00000 n 0000829823 00000 n 0000830026 00000 n 0000830228 00000 n 0000830436 00000 n 0000830641 00000 n 0000830711 00000 n 0000830791 00000 n 0000831627 00000 n 0000831959 00000 n 0000834160 00000 n 0000834642 00000 n 0000834845 00000 n 0000834897 00000 n 0000835108 00000 n 0000835178 00000 n 0000835258 00000 n 0000836094 00000 n 0000836426 00000 n 0000840261 00000 n 0000840728 00000 n 0000840931 00000 n 0000841046 00000 n 0000841246 00000 n 0000841452 00000 n 0000841661 00000 n 0000841860 00000 n 0000842062 00000 n 0000842268 00000 n 0000842476 00000 n 0000842683 00000 n 0000842753 00000 n 0000842833 00000 n 0000843669 00000 n 0000844001 00000 n 0000846029 00000 n 0000846511 00000 n 0000846714 00000 n 0000846775 00000 n 0000846985 00000 n 0000847201 00000 n 0000847271 00000 n 0000847351 00000 n 0000848187 00000 n 0000848519 00000 n 0000852366 00000 n 0000852805 00000 n 0000853008 00000 n 0000853096 00000 n 0000853293 00000 n 0000853502 00000 n 0000853701 00000 n 0000853903 00000 n 0000854112 00000 n 0000854182 00000 n 0000854262 00000 n 0000855099 00000 n 0000855431 00000 n 0000857157 00000 n 0000857639 00000 n 0000857842 00000 n 0000857894 00000 n 0000858105 00000 n 0000858175 00000 n 0000858255 00000 n 0000859091 00000 n 0000859423 00000 n 0000863275 00000 n 0000863742 00000 n 0000863945 00000 n 0000864042 00000 n 0000864243 00000 n 0000864452 00000 n 0000864651 00000 n 0000864853 00000 n 0000865059 00000 n 0000865267 00000 n 0000865337 00000 n 0000865417 00000 n 0000866254 00000 n 0000866586 00000 n 0000868995 00000 n 0000869477 00000 n 0000869680 00000 n 0000869741 00000 n 0000869951 00000 n 0000870167 00000 n 0000870237 00000 n 0000870317 00000 n 0000871153 00000 n 0000871485 00000 n 0000876530 00000 n 0000877008 00000 n 0000877211 00000 n 0000877281 00000 n 0000877361 00000 n 0000878197 00000 n 0000878529 00000 n 0000882163 00000 n 0000882630 00000 n 0000882833 00000 n 0000882939 00000 n 0000883142 00000 n 0000883351 00000 n 0000883550 00000 n 0000883756 00000 n 0000883964 00000 n 0000884171 00000 n 0000884377 00000 n 0000884447 00000 n 0000884527 00000 n 0000885364 00000 n 0000885696 00000 n 0000888337 00000 n 0000888805 00000 n 0000889008 00000 n 0000889060 00000 n 0000889288 00000 n 0000889358 00000 n 0000889438 00000 n 0000890274 00000 n 0000890607 00000 n 0000894730 00000 n 0000895237 00000 n 0000895440 00000 n 0000895510 00000 n 0000895590 00000 n 0000896427 00000 n 0000896760 00000 n 0000902518 00000 n 0000902957 00000 n 0000903160 00000 n 0000903257 00000 n 0000903464 00000 n 0000903673 00000 n 0000903878 00000 n 0000904087 00000 n 0000904290 00000 n 0000904505 00000 n 0000904575 00000 n 0000904655 00000 n 0000905492 00000 n 0000905825 00000 n 0000909899 00000 n 0000910295 00000 n 0000910498 00000 n 0000910559 00000 n 0000910770 00000 n 0000910969 00000 n 0000911039 00000 n 0000911119 00000 n 0000911955 00000 n 0000912288 00000 n 0000913558 00000 n 0000914012 00000 n 0000914215 00000 n 0000914294 00000 n 0000914510 00000 n 0000914734 00000 n 0000914954 00000 n 0000915187 00000 n 0000915257 00000 n 0000915337 00000 n 0000916173 00000 n 0000916506 00000 n 0000920892 00000 n 0000921370 00000 n 0000921573 00000 n 0000921643 00000 n 0000921723 00000 n 0000922561 00000 n 0000922894 00000 n 0000927096 00000 n 0000927535 00000 n 0000927738 00000 n 0000927835 00000 n 0000928039 00000 n 0000928249 00000 n 0000928466 00000 n 0000928673 00000 n 0000928882 00000 n 0000929085 00000 n 0000929155 00000 n 0000929235 00000 n 0000930071 00000 n 0000930404 00000 n 0000932400 00000 n 0000932854 00000 n 0000933057 00000 n 0000933109 00000 n 0000933323 00000 n 0000933393 00000 n 0000933473 00000 n 0000934309 00000 n 0000934642 00000 n 0000938849 00000 n 0000939330 00000 n 0000939533 00000 n 0000939594 00000 n 0000939804 00000 n 0000940009 00000 n 0000940079 00000 n 0000940159 00000 n 0000940996 00000 n 0000941329 00000 n 0000945446 00000 n 0000945842 00000 n 0000946045 00000 n 0000946124 00000 n 0000946322 00000 n 0000946527 00000 n 0000946724 00000 n 0000946926 00000 n 0000946996 00000 n 0000947076 00000 n 0000947913 00000 n 0000948246 00000 n 0000949560 00000 n 0000950057 00000 n 0000950260 00000 n 0000950312 00000 n 0000950531 00000 n 0000950601 00000 n 0000950681 00000 n 0000951518 00000 n 0000951851 00000 n 0000957351 00000 n 0000957829 00000 n 0000958032 00000 n 0000958102 00000 n 0000958182 00000 n 0000959019 00000 n 0000959352 00000 n 0000963307 00000 n 0000963774 00000 n 0000963977 00000 n 0000964038 00000 n 0000964246 00000 n 0000964456 00000 n 0000964526 00000 n 0000964606 00000 n 0000965442 00000 n 0000965775 00000 n 0000968411 00000 n 0000968865 00000 n 0000969068 00000 n 0000969120 00000 n 0000969346 00000 n 0000969416 00000 n 0000969496 00000 n 0000970332 00000 n 0000970665 00000 n 0000975094 00000 n 0000975587 00000 n 0000975790 00000 n 0000975860 00000 n 0000975940 00000 n 0000976776 00000 n 0000977109 00000 n 0000981943 00000 n 0000982421 00000 n 0000982624 00000 n 0000982694 00000 n 0000982774 00000 n 0000983611 00000 n 0000983944 00000 n 0000987787 00000 n 0000988226 00000 n 0000988429 00000 n 0000988517 00000 n 0000988728 00000 n 0000988940 00000 n 0000989149 00000 n 0000989360 00000 n 0000989572 00000 n 0000989642 00000 n 0000989722 00000 n 0000990559 00000 n 0000990892 00000 n 0000992909 00000 n 0000993420 00000 n 0000993623 00000 n 0000993684 00000 n 0000993901 00000 n 0000994125 00000 n 0000994195 00000 n 0000994275 00000 n 0000995111 00000 n 0000995444 00000 n 0001000475 00000 n 0001000914 00000 n 0001001117 00000 n 0001001178 00000 n 0001001383 00000 n 0001001585 00000 n 0001001655 00000 n 0001001735 00000 n 0001002571 00000 n 0001002904 00000 n 0001004693 00000 n 0001005147 00000 n 0001005350 00000 n 0001005402 00000 n 0001005622 00000 n 0001005692 00000 n 0001005772 00000 n 0001006609 00000 n 0001006942 00000 n 0001011042 00000 n 0001011509 00000 n 0001011712 00000 n 0001011809 00000 n 0001012019 00000 n 0001012228 00000 n 0001012438 00000 n 0001012646 00000 n 0001012850 00000 n 0001013053 00000 n 0001013123 00000 n 0001013203 00000 n 0001014040 00000 n 0001014373 00000 n 0001018495 00000 n 0001018891 00000 n 0001019094 00000 n 0001019146 00000 n 0001019350 00000 n 0001019420 00000 n 0001019500 00000 n 0001020336 00000 n 0001020669 00000 n 0001021890 00000 n 0001022387 00000 n 0001022590 00000 n 0001022642 00000 n 0001022858 00000 n 0001022928 00000 n 0001023008 00000 n 0001023844 00000 n 0001024177 00000 n 0001028918 00000 n 0001029439 00000 n 0001029642 00000 n 0001029712 00000 n 0001029792 00000 n 0001030629 00000 n 0001030962 00000 n 0001035656 00000 n 0001036152 00000 n 0001036355 00000 n 0001036425 00000 n 0001036635 00000 n 0001036840 00000 n 0001037048 00000 n 0001037118 00000 n 0001037198 00000 n 0001038034 00000 n 0001038367 00000 n 0001041986 00000 n 0001042468 00000 n 0001042671 00000 n 0001042732 00000 n 0001042948 00000 n 0001043172 00000 n 0001043242 00000 n 0001043322 00000 n 0001044158 00000 n 0001044491 00000 n 0001048390 00000 n 0001048829 00000 n 0001049032 00000 n 0001049093 00000 n 0001049292 00000 n 0001049492 00000 n 0001049562 00000 n 0001049642 00000 n 0001050480 00000 n 0001050813 00000 n 0001052417 00000 n 0001052928 00000 n 0001053131 00000 n 0001053183 00000 n 0001053402 00000 n 0001053472 00000 n 0001053552 00000 n 0001054388 00000 n 0001054721 00000 n 0001059774 00000 n 0001060241 00000 n 0001060444 00000 n 0001060496 00000 n 0001060701 00000 n 0001060771 00000 n 0001060851 00000 n 0001061687 00000 n 0001062020 00000 n 0001063968 00000 n 0001064422 00000 n 0001064625 00000 n 0001064686 00000 n 0001064902 00000 n 0001065123 00000 n 0001065193 00000 n 0001065273 00000 n 0001066110 00000 n 0001066443 00000 n 0001070945 00000 n 0001071409 00000 n 0001071612 00000 n 0001071682 00000 n 0001071762 00000 n 0001072599 00000 n 0001072932 00000 n 0001077012 00000 n 0001077519 00000 n 0001077722 00000 n 0001077792 00000 n 0001077872 00000 n 0001078708 00000 n 0001079041 00000 n 0001084263 00000 n 0001084730 00000 n 0001084933 00000 n 0001085030 00000 n 0001085235 00000 n 0001085438 00000 n 0001085642 00000 n 0001085848 00000 n 0001086057 00000 n 0001086261 00000 n 0001086331 00000 n 0001086411 00000 n 0001087248 00000 n 0001087581 00000 n 0001091593 00000 n 0001091989 00000 n 0001092192 00000 n 0001092253 00000 n 0001092453 00000 n 0001092656 00000 n 0001092726 00000 n 0001092806 00000 n 0001093642 00000 n 0001093975 00000 n 0001095201 00000 n 0001095712 00000 n 0001095915 00000 n 0001095967 00000 n 0001096191 00000 n 0001096261 00000 n 0001096341 00000 n 0001097177 00000 n 0001097510 00000 n 0001101963 00000 n 0001102402 00000 n 0001102605 00000 n 0001102675 00000 n 0001102877 00000 n 0001103077 00000 n 0001103278 00000 n 0001103348 00000 n 0001103428 00000 n 0001104265 00000 n 0001104598 00000 n 0001106306 00000 n 0001106788 00000 n 0001106991 00000 n 0001107043 00000 n 0001107271 00000 n 0001107341 00000 n 0001107421 00000 n 0001108258 00000 n 0001108591 00000 n 0001112410 00000 n 0001112835 00000 n 0001113038 00000 n 0001113126 00000 n 0001113329 00000 n 0001113529 00000 n 0001113730 00000 n 0001113931 00000 n 0001114132 00000 n 0001114202 00000 n 0001114282 00000 n 0001115119 00000 n 0001115452 00000 n 0001117089 00000 n 0001117571 00000 n 0001117774 00000 n 0001117826 00000 n 0001118045 00000 n 0001118115 00000 n 0001118195 00000 n 0001119031 00000 n 0001119364 00000 n 0001123195 00000 n 0001123634 00000 n 0001123837 00000 n 0001123889 00000 n 0001124096 00000 n 0001124166 00000 n 0001124246 00000 n 0001125084 00000 n 0001125417 00000 n 0001127072 00000 n 0001127568 00000 n 0001127771 00000 n 0001127823 00000 n 0001128043 00000 n 0001128113 00000 n 0001128193 00000 n 0001129030 00000 n 0001129363 00000 n 0001133150 00000 n 0001133560 00000 n 0001133763 00000 n 0001133824 00000 n 0001134028 00000 n 0001134230 00000 n 0001134300 00000 n 0001134380 00000 n 0001135216 00000 n 0001135549 00000 n 0001136832 00000 n 0001137328 00000 n 0001137531 00000 n 0001137583 00000 n 0001137803 00000 n 0001137873 00000 n 0001137953 00000 n 0001138789 00000 n 0001139122 00000 n 0001143287 00000 n 0001143726 00000 n 0001143929 00000 n 0001143999 00000 n 0001144206 00000 n 0001144413 00000 n 0001144623 00000 n 0001144693 00000 n 0001144773 00000 n 0001145610 00000 n 0001145943 00000 n 0001147969 00000 n 0001148480 00000 n 0001148683 00000 n 0001148735 00000 n 0001148954 00000 n 0001149024 00000 n 0001149104 00000 n 0001149941 00000 n 0001150274 00000 n 0001155339 00000 n 0001155806 00000 n 0001156009 00000 n 0001156061 00000 n 0001156269 00000 n 0001156339 00000 n 0001156419 00000 n 0001157256 00000 n 0001157589 00000 n 0001159835 00000 n 0001160317 00000 n 0001160520 00000 n 0001160572 00000 n 0001160796 00000 n 0001160866 00000 n 0001160946 00000 n 0001161784 00000 n 0001162117 00000 n 0001165877 00000 n 0001166287 00000 n 0001166490 00000 n 0001166551 00000 n 0001166746 00000 n 0001166942 00000 n 0001167012 00000 n 0001167092 00000 n 0001167929 00000 n 0001168262 00000 n 0001169514 00000 n 0001169996 00000 n 0001170199 00000 n 0001170251 00000 n 0001170475 00000 n 0001170545 00000 n 0001170625 00000 n 0001171460 00000 n 0001171793 00000 n 0001175566 00000 n 0001176005 00000 n 0001176208 00000 n 0001176269 00000 n 0001176467 00000 n 0001176677 00000 n 0001176747 00000 n 0001176827 00000 n 0001177664 00000 n 0001177997 00000 n 0001179612 00000 n 0001180094 00000 n 0001180297 00000 n 0001180349 00000 n 0001180573 00000 n 0001180643 00000 n 0001180723 00000 n 0001181560 00000 n 0001181893 00000 n 0001185585 00000 n 0001185995 00000 n 0001186198 00000 n 0001186250 00000 n 0001186445 00000 n 0001186515 00000 n 0001186595 00000 n 0001187432 00000 n 0001187765 00000 n 0001188993 00000 n 0001189447 00000 n 0001189650 00000 n 0001189702 00000 n 0001189923 00000 n 0001189993 00000 n 0001190073 00000 n 0001190910 00000 n 0001191243 00000 n 0001195419 00000 n 0001195886 00000 n 0001196089 00000 n 0001196186 00000 n 0001196397 00000 n 0001196609 00000 n 0001196818 00000 n 0001197027 00000 n 0001197238 00000 n 0001197447 00000 n 0001197517 00000 n 0001197597 00000 n 0001198434 00000 n 0001198767 00000 n 0001201405 00000 n 0001201859 00000 n 0001202062 00000 n 0001202132 00000 n 0001202346 00000 n 0001202572 00000 n 0001202794 00000 n 0001202864 00000 n 0001202944 00000 n 0001203780 00000 n 0001204113 00000 n 0001207394 00000 n 0001207876 00000 n 0001208079 00000 n 0001208131 00000 n 0001208351 00000 n 0001208421 00000 n 0001208501 00000 n 0001209338 00000 n 0001209671 00000 n 0001213669 00000 n 0001214108 00000 n 0001214311 00000 n 0001214444 00000 n 0001214644 00000 n 0001214848 00000 n 0001215055 00000 n 0001215259 00000 n 0001215469 00000 n 0001215676 00000 n 0001215885 00000 n 0001216096 00000 n 0001216304 00000 n 0001216513 00000 n 0001216583 00000 n 0001216663 00000 n 0001217502 00000 n 0001217835 00000 n 0001219970 00000 n 0001220452 00000 n 0001220655 00000 n 0001220707 00000 n 0001220927 00000 n 0001220997 00000 n 0001221077 00000 n 0001221913 00000 n 0001222246 00000 n 0001226358 00000 n 0001226825 00000 n 0001227028 00000 n 0001227134 00000 n 0001227344 00000 n 0001227554 00000 n 0001227758 00000 n 0001227969 00000 n 0001228176 00000 n 0001228382 00000 n 0001228587 00000 n 0001228657 00000 n 0001228737 00000 n 0001229574 00000 n 0001229907 00000 n 0001232295 00000 n 0001232806 00000 n 0001233009 00000 n 0001233061 00000 n 0001233281 00000 n 0001233351 00000 n 0001233431 00000 n 0001234269 00000 n 0001234602 00000 n 0001239723 00000 n 0001240190 00000 n 0001240393 00000 n 0001240553 00000 n 0001240763 00000 n 0001240970 00000 n 0001241177 00000 n 0001241388 00000 n 0001241595 00000 n 0001241805 00000 n 0001242014 00000 n 0001242222 00000 n 0001242429 00000 n 0001242636 00000 n 0001242843 00000 n 0001243051 00000 n 0001243256 00000 n 0001243326 00000 n 0001243406 00000 n 0001244243 00000 n 0001244576 00000 n 0001247258 00000 n 0001247740 00000 n 0001247943 00000 n 0001247995 00000 n 0001248215 00000 n 0001248285 00000 n 0001248365 00000 n 0001249202 00000 n 0001249535 00000 n 0001253474 00000 n 0001253941 00000 n 0001254144 00000 n 0001254250 00000 n 0001254460 00000 n 0001254670 00000 n 0001254877 00000 n 0001255087 00000 n 0001255297 00000 n 0001255507 00000 n 0001255712 00000 n 0001255782 00000 n 0001255862 00000 n 0001256699 00000 n 0001257032 00000 n 0001259545 00000 n 0001260027 00000 n 0001260230 00000 n 0001260282 00000 n 0001260502 00000 n 0001260572 00000 n 0001260652 00000 n 0001261490 00000 n 0001261823 00000 n 0001265856 00000 n 0001266323 00000 n 0001266526 00000 n 0001266650 00000 n 0001266860 00000 n 0001267070 00000 n 0001267276 00000 n 0001267480 00000 n 0001267691 00000 n 0001267898 00000 n 0001268112 00000 n 0001268325 00000 n 0001268530 00000 n 0001268600 00000 n 0001268680 00000 n 0001269517 00000 n 0001269850 00000 n 0001273085 00000 n 0001273567 00000 n 0001273770 00000 n 0001273840 00000 n 0001274059 00000 n 0001274282 00000 n 0001274517 00000 n 0001274587 00000 n 0001274667 00000 n 0001275503 00000 n 0001275836 00000 n 0001280161 00000 n 0001280628 00000 n 0001280831 00000 n 0001280883 00000 n 0001281083 00000 n 0001281153 00000 n 0001281233 00000 n 0001282070 00000 n 0001282403 00000 n 0001284609 00000 n 0001285063 00000 n 0001285266 00000 n 0001285318 00000 n 0001285542 00000 n 0001285612 00000 n 0001285692 00000 n 0001286530 00000 n 0001286863 00000 n 0001291081 00000 n 0001291588 00000 n 0001291791 00000 n 0001291861 00000 n 0001291941 00000 n 0001292778 00000 n 0001293111 00000 n 0001297592 00000 n 0001298071 00000 n 0001298274 00000 n 0001298344 00000 n 0001298424 00000 n 0001299262 00000 n 0001299595 00000 n 0001304221 00000 n 0001304685 00000 n 0001304888 00000 n 0001304958 00000 n 0001305038 00000 n 0001305876 00000 n 0001306209 00000 n 0001310414 00000 n 0001310881 00000 n 0001311084 00000 n 0001311154 00000 n 0001311368 00000 n 0001311577 00000 n 0001311787 00000 n 0001311857 00000 n 0001311937 00000 n 0001312774 00000 n 0001313107 00000 n 0001315041 00000 n 0001315495 00000 n 0001315698 00000 n 0001315750 00000 n 0001315974 00000 n 0001316044 00000 n 0001316124 00000 n 0001316962 00000 n 0001317295 00000 n 0001321343 00000 n 0001321807 00000 n 0001322010 00000 n 0001322080 00000 n 0001322160 00000 n 0001322997 00000 n 0001323330 00000 n 0001326376 00000 n 0001326843 00000 n 0001327046 00000 n 0001327098 00000 n 0001327300 00000 n 0001327370 00000 n 0001327450 00000 n 0001328286 00000 n 0001328619 00000 n 0001331806 00000 n 0001332288 00000 n 0001332491 00000 n 0001332543 00000 n 0001332759 00000 n 0001332829 00000 n 0001332909 00000 n 0001333745 00000 n 0001334078 00000 n 0001338421 00000 n 0001338860 00000 n 0001339063 00000 n 0001339115 00000 n 0001339323 00000 n 0001339393 00000 n 0001339473 00000 n 0001340310 00000 n 0001340643 00000 n 0001342212 00000 n 0001342666 00000 n 0001342869 00000 n 0001342921 00000 n 0001343141 00000 n 0001343211 00000 n 0001343291 00000 n 0001344129 00000 n 0001344462 00000 n 0001347263 00000 n 0001347745 00000 n 0001347948 00000 n 0001348000 00000 n 0001348230 00000 n 0001348300 00000 n 0001348380 00000 n 0001349216 00000 n 0001349549 00000 n 0001353502 00000 n 0001353941 00000 n 0001354144 00000 n 0001354196 00000 n 0001354411 00000 n 0001354481 00000 n 0001354561 00000 n 0001355399 00000 n 0001355732 00000 n 0001357407 00000 n 0001357872 00000 n 0001358075 00000 n 0001358145 00000 n 0001358225 00000 n 0001359062 00000 n 0001359395 00000 n 0001364056 00000 n 0001364538 00000 n 0001364741 00000 n 0001364802 00000 n 0001365018 00000 n 0001365239 00000 n 0001365309 00000 n 0001365389 00000 n 0001366225 00000 n 0001366558 00000 n 0001372544 00000 n 0001373051 00000 n 0001373254 00000 n 0001373324 00000 n 0001373404 00000 n 0001374241 00000 n 0001374574 00000 n 0001379849 00000 n 0001380328 00000 n 0001380531 00000 n 0001380601 00000 n 0001380681 00000 n 0001381518 00000 n 0001381851 00000 n 0001385897 00000 n 0001386361 00000 n 0001386564 00000 n 0001386634 00000 n 0001386714 00000 n 0001387550 00000 n 0001387883 00000 n 0001393444 00000 n 0001393911 00000 n 0001394114 00000 n 0001394193 00000 n 0001394393 00000 n 0001394598 00000 n 0001394803 00000 n 0001395004 00000 n 0001395074 00000 n 0001395154 00000 n 0001395991 00000 n 0001396324 00000 n 0001400058 00000 n 0001400540 00000 n 0001400743 00000 n 0001400795 00000 n 0001401011 00000 n 0001401081 00000 n 0001401161 00000 n 0001401997 00000 n 0001402330 00000 n 0001406423 00000 n 0001406901 00000 n 0001407104 00000 n 0001407174 00000 n 0001407254 00000 n 0001408091 00000 n 0001408424 00000 n 0001412681 00000 n 0001413105 00000 n 0001413308 00000 n 0001413378 00000 n 0001413586 00000 n 0001413786 00000 n 0001413994 00000 n 0001414064 00000 n 0001414144 00000 n 0001414981 00000 n 0001415314 00000 n 0001416628 00000 n 0001417082 00000 n 0001417285 00000 n 0001417337 00000 n 0001417553 00000 n 0001417623 00000 n 0001417703 00000 n 0001418541 00000 n 0001418874 00000 n 0001423158 00000 n 0001423665 00000 n 0001423868 00000 n 0001423938 00000 n 0001424018 00000 n 0001424855 00000 n 0001425188 00000 n 0001430142 00000 n 0001430609 00000 n 0001430812 00000 n 0001430873 00000 n 0001431082 00000 n 0001431282 00000 n 0001431352 00000 n 0001431432 00000 n 0001432268 00000 n 0001432601 00000 n 0001434590 00000 n 0001435072 00000 n 0001435275 00000 n 0001435327 00000 n 0001435544 00000 n 0001435614 00000 n 0001435694 00000 n 0001436530 00000 n 0001436863 00000 n 0001441283 00000 n 0001441761 00000 n 0001441964 00000 n 0001442034 00000 n 0001442114 00000 n 0001442951 00000 n 0001443284 00000 n 0001446351 00000 n 0001446805 00000 n 0001447008 00000 n 0001447060 00000 n 0001447288 00000 n 0001447358 00000 n 0001447438 00000 n 0001448276 00000 n 0001448609 00000 n 0001452566 00000 n 0001453033 00000 n 0001453236 00000 n 0001453324 00000 n 0001453531 00000 n 0001453742 00000 n 0001453947 00000 n 0001454156 00000 n 0001454366 00000 n 0001454436 00000 n 0001454516 00000 n 0001455353 00000 n 0001455686 00000 n 0001459315 00000 n 0001459769 00000 n 0001459972 00000 n 0001460024 00000 n 0001460252 00000 n 0001460322 00000 n 0001460402 00000 n 0001461239 00000 n 0001461572 00000 n 0001465782 00000 n 0001466249 00000 n 0001466452 00000 n 0001466540 00000 n 0001466750 00000 n 0001466956 00000 n 0001467166 00000 n 0001467370 00000 n 0001467575 00000 n 0001467645 00000 n 0001467725 00000 n 0001468562 00000 n 0001468895 00000 n 0001472754 00000 n 0001473208 00000 n 0001473411 00000 n 0001473472 00000 n 0001473686 00000 n 0001473902 00000 n 0001473972 00000 n 0001474052 00000 n 0001474888 00000 n 0001475221 00000 n 0001479016 00000 n 0001479512 00000 n 0001479715 00000 n 0001479785 00000 n 0001479992 00000 n 0001480191 00000 n 0001480396 00000 n 0001480466 00000 n 0001480546 00000 n 0001481385 00000 n 0001481718 00000 n 0001486464 00000 n 0001486860 00000 n 0001487063 00000 n 0001487133 00000 n 0001487331 00000 n 0001487529 00000 n 0001487727 00000 n 0001487797 00000 n 0001487877 00000 n 0001488714 00000 n 0001489047 00000 n 0001490291 00000 n 0001490745 00000 n 0001490948 00000 n 0001491009 00000 n 0001491223 00000 n 0001491439 00000 n 0001491509 00000 n 0001491589 00000 n 0001492425 00000 n 0001492758 00000 n 0001496632 00000 n 0001497099 00000 n 0001497302 00000 n 0001497408 00000 n 0001497617 00000 n 0001497826 00000 n 0001498039 00000 n 0001498246 00000 n 0001498447 00000 n 0001498658 00000 n 0001498861 00000 n 0001498931 00000 n 0001499011 00000 n 0001499848 00000 n 0001500181 00000 n 0001503991 00000 n 0001504473 00000 n 0001504676 00000 n 0001504728 00000 n 0001504948 00000 n 0001505018 00000 n 0001505098 00000 n 0001505935 00000 n 0001506268 00000 n 0001511086 00000 n 0001511553 00000 n 0001511756 00000 n 0001511835 00000 n 0001512045 00000 n 0001512258 00000 n 0001512465 00000 n 0001512670 00000 n 0001512740 00000 n 0001512820 00000 n 0001513656 00000 n 0001513989 00000 n 0001517660 00000 n 0001518056 00000 n 0001518259 00000 n 0001518311 00000 n 0001518515 00000 n 0001518585 00000 n 0001518665 00000 n 0001519503 00000 n 0001519836 00000 n 0001521055 00000 n 0001521509 00000 n 0001521712 00000 n 0001521764 00000 n 0001521983 00000 n 0001522053 00000 n 0001522133 00000 n 0001522969 00000 n 0001523302 00000 n 0001527548 00000 n 0001528055 00000 n 0001528258 00000 n 0001528328 00000 n 0001528408 00000 n 0001529245 00000 n 0001529578 00000 n 0001535649 00000 n 0001536127 00000 n 0001536330 00000 n 0001536400 00000 n 0001536480 00000 n 0001537316 00000 n 0001537649 00000 n 0001541599 00000 n 0001542009 00000 n 0001542212 00000 n 0001542345 00000 n 0001542542 00000 n 0001542739 00000 n 0001542937 00000 n 0001543138 00000 n 0001543335 00000 n 0001543536 00000 n 0001543739 00000 n 0001543936 00000 n 0001544136 00000 n 0001544333 00000 n 0001544403 00000 n 0001544483 00000 n 0001545320 00000 n 0001545653 00000 n 0001547074 00000 n 0001547571 00000 n 0001547774 00000 n 0001547826 00000 n 0001548042 00000 n 0001548112 00000 n 0001548192 00000 n 0001549029 00000 n 0001549362 00000 n 0001554387 00000 n 0001554879 00000 n 0001555082 00000 n 0001555152 00000 n 0001555232 00000 n 0001556069 00000 n 0001556402 00000 n 0001560302 00000 n 0001560726 00000 n 0001560929 00000 n 0001561008 00000 n 0001561204 00000 n 0001561398 00000 n 0001561597 00000 n 0001561796 00000 n 0001561866 00000 n 0001561946 00000 n 0001562783 00000 n 0001563116 00000 n 0001564419 00000 n 0001564873 00000 n 0001565076 00000 n 0001565137 00000 n 0001565369 00000 n 0001565596 00000 n 0001565666 00000 n 0001565746 00000 n 0001566583 00000 n 0001566916 00000 n 0001571061 00000 n 0001571528 00000 n 0001571731 00000 n 0001571810 00000 n 0001572012 00000 n 0001572220 00000 n 0001572422 00000 n 0001572624 00000 n 0001572694 00000 n 0001572774 00000 n 0001573610 00000 n 0001573943 00000 n 0001577139 00000 n 0001577593 00000 n 0001577796 00000 n 0001577848 00000 n 0001578076 00000 n 0001578146 00000 n 0001578226 00000 n 0001579063 00000 n 0001579396 00000 n 0001583404 00000 n 0001583871 00000 n 0001584074 00000 n 0001584135 00000 n 0001584345 00000 n 0001584547 00000 n 0001584617 00000 n 0001584697 00000 n 0001585536 00000 n 0001585869 00000 n 0001589595 00000 n 0001589991 00000 n 0001590194 00000 n 0001590246 00000 n 0001590444 00000 n 0001590514 00000 n 0001590594 00000 n 0001591432 00000 n 0001591765 00000 n 0001592970 00000 n 0001593410 00000 n 0001593613 00000 n 0001593665 00000 n 0001593884 00000 n 0001593954 00000 n 0001594034 00000 n 0001594872 00000 n 0001595205 00000 n 0001597977 00000 n 0001598459 00000 n 0001598662 00000 n 0001598723 00000 n 0001598942 00000 n 0001599165 00000 n 0001599235 00000 n 0001599315 00000 n 0001600154 00000 n 0001600487 00000 n 0001604555 00000 n 0001605022 00000 n 0001605225 00000 n 0001605304 00000 n 0001605506 00000 n 0001605706 00000 n 0001605908 00000 n 0001606108 00000 n 0001606178 00000 n 0001606258 00000 n 0001607095 00000 n 0001607428 00000 n 0001609867 00000 n 0001610349 00000 n 0001610552 00000 n 0001610613 00000 n 0001610845 00000 n 0001611072 00000 n 0001611142 00000 n 0001611222 00000 n 0001612059 00000 n 0001612392 00000 n 0001616399 00000 n 0001616866 00000 n 0001617069 00000 n 0001617139 00000 n 0001617342 00000 n 0001617546 00000 n 0001617747 00000 n 0001617817 00000 n 0001617897 00000 n 0001618734 00000 n 0001619067 00000 n 0001621436 00000 n 0001621890 00000 n 0001622093 00000 n 0001622145 00000 n 0001622373 00000 n 0001622443 00000 n 0001622523 00000 n 0001623361 00000 n 0001623694 00000 n 0001627440 00000 n 0001627907 00000 n 0001628110 00000 n 0001628198 00000 n 0001628408 00000 n 0001628620 00000 n 0001628829 00000 n 0001629040 00000 n 0001629252 00000 n 0001629322 00000 n 0001629402 00000 n 0001630238 00000 n 0001630571 00000 n 0001633605 00000 n 0001634059 00000 n 0001634262 00000 n 0001634314 00000 n 0001634542 00000 n 0001634612 00000 n 0001634692 00000 n 0001635529 00000 n 0001635862 00000 n 0001639685 00000 n 0001640177 00000 n 0001640380 00000 n 0001640450 00000 n 0001640530 00000 n 0001641367 00000 n 0001641700 00000 n 0001646068 00000 n 0001646535 00000 n 0001646738 00000 n 0001646817 00000 n 0001647022 00000 n 0001647234 00000 n 0001647440 00000 n 0001647655 00000 n 0001647725 00000 n 0001647805 00000 n 0001648642 00000 n 0001648975 00000 n 0001652320 00000 n 0001652774 00000 n 0001652977 00000 n 0001653029 00000 n 0001653257 00000 n 0001653327 00000 n 0001653407 00000 n 0001654243 00000 n 0001654576 00000 n 0001658596 00000 n 0001659063 00000 n 0001659266 00000 n 0001659345 00000 n 0001659553 00000 n 0001659763 00000 n 0001659970 00000 n 0001660177 00000 n 0001660247 00000 n 0001660327 00000 n 0001661165 00000 n 0001661498 00000 n 0001664473 00000 n 0001664955 00000 n 0001665158 00000 n 0001665210 00000 n 0001665438 00000 n 0001665508 00000 n 0001665588 00000 n 0001666425 00000 n 0001666758 00000 n 0001670439 00000 n 0001670878 00000 n 0001671081 00000 n 0001671133 00000 n 0001671339 00000 n 0001671409 00000 n 0001671489 00000 n 0001672326 00000 n 0001672659 00000 n 0001674237 00000 n 0001674691 00000 n 0001674894 00000 n 0001674946 00000 n 0001675174 00000 n 0001675244 00000 n 0001675324 00000 n 0001676160 00000 n 0001676493 00000 n 0001680488 00000 n 0001680955 00000 n 0001681158 00000 n 0001681237 00000 n 0001681449 00000 n 0001681656 00000 n 0001681863 00000 n 0001682073 00000 n 0001682143 00000 n 0001682223 00000 n 0001683060 00000 n 0001683393 00000 n 0001687068 00000 n 0001687522 00000 n 0001687725 00000 n 0001687777 00000 n 0001688005 00000 n 0001688075 00000 n 0001688155 00000 n 0001688991 00000 n 0001689324 00000 n 0001693187 00000 n 0001693654 00000 n 0001693857 00000 n 0001693945 00000 n 0001694157 00000 n 0001694368 00000 n 0001694575 00000 n 0001694782 00000 n 0001694992 00000 n 0001695062 00000 n 0001695142 00000 n 0001695978 00000 n 0001696311 00000 n 0001699577 00000 n 0001700017 00000 n 0001700220 00000 n 0001700272 00000 n 0001700500 00000 n 0001700570 00000 n 0001700650 00000 n 0001701487 00000 n 0001701820 00000 n 0001704629 00000 n 0001705111 00000 n 0001705314 00000 n 0001705366 00000 n 0001705594 00000 n 0001705664 00000 n 0001705744 00000 n 0001706581 00000 n 0001706914 00000 n 0001710699 00000 n 0001711138 00000 n 0001711341 00000 n 0001711465 00000 n 0001711671 00000 n 0001711868 00000 n 0001712069 00000 n 0001712274 00000 n 0001712479 00000 n 0001712691 00000 n 0001712897 00000 n 0001713104 00000 n 0001713314 00000 n 0001713384 00000 n 0001713464 00000 n 0001714301 00000 n 0001714634 00000 n 0001716271 00000 n 0001716725 00000 n 0001716928 00000 n 0001716980 00000 n 0001717208 00000 n 0001717278 00000 n 0001717358 00000 n 0001718195 00000 n 0001718528 00000 n 0001722189 00000 n 0001722656 00000 n 0001722859 00000 n 0001722938 00000 n 0001723141 00000 n 0001723348 00000 n 0001723556 00000 n 0001723759 00000 n 0001723829 00000 n 0001723909 00000 n 0001724746 00000 n 0001725079 00000 n 0001728108 00000 n 0001728562 00000 n 0001728765 00000 n 0001728817 00000 n 0001729045 00000 n 0001729115 00000 n 0001729195 00000 n 0001730031 00000 n 0001730364 00000 n 0001734191 00000 n 0001734658 00000 n 0001734861 00000 n 0001734931 00000 n 0001735138 00000 n 0001735348 00000 n 0001735560 00000 n 0001735630 00000 n 0001735710 00000 n 0001736547 00000 n 0001736880 00000 n 0001740354 00000 n 0001740794 00000 n 0001740997 00000 n 0001741049 00000 n 0001741277 00000 n 0001741347 00000 n 0001741427 00000 n 0001742264 00000 n 0001742597 00000 n 0001745298 00000 n 0001745780 00000 n 0001745983 00000 n 0001746035 00000 n 0001746254 00000 n 0001746324 00000 n 0001746404 00000 n 0001747241 00000 n 0001747574 00000 n 0001751704 00000 n 0001752171 00000 n 0001752374 00000 n 0001752507 00000 n 0001752711 00000 n 0001752914 00000 n 0001753117 00000 n 0001753321 00000 n 0001753525 00000 n 0001753727 00000 n 0001753941 00000 n 0001754150 00000 n 0001754352 00000 n 0001754556 00000 n 0001754626 00000 n 0001754706 00000 n 0001755543 00000 n 0001755876 00000 n 0001759470 00000 n 0001759866 00000 n 0001760069 00000 n 0001760121 00000 n 0001760318 00000 n 0001760388 00000 n 0001760468 00000 n 0001761306 00000 n 0001761639 00000 n 0001762840 00000 n 0001763337 00000 n 0001763540 00000 n 0001763601 00000 n 0001763811 00000 n 0001764027 00000 n 0001764097 00000 n 0001764177 00000 n 0001765014 00000 n 0001765347 00000 n 0001770677 00000 n 0001771184 00000 n 0001771387 00000 n 0001771457 00000 n 0001771537 00000 n 0001772373 00000 n 0001772706 00000 n 0001777899 00000 n 0001778366 00000 n 0001778569 00000 n 0001778729 00000 n 0001778932 00000 n 0001779147 00000 n 0001779350 00000 n 0001779556 00000 n 0001779772 00000 n 0001779986 00000 n 0001780203 00000 n 0001780412 00000 n 0001780622 00000 n 0001780836 00000 n 0001781044 00000 n 0001781253 00000 n 0001781466 00000 n 0001781536 00000 n 0001781616 00000 n 0001782453 00000 n 0001782786 00000 n 0001785836 00000 n 0001786290 00000 n 0001786493 00000 n 0001786545 00000 n 0001786792 00000 n 0001786862 00000 n 0001786942 00000 n 0001787779 00000 n 0001788112 00000 n 0001792032 00000 n 0001792538 00000 n 0001792741 00000 n 0001792811 00000 n 0001792891 00000 n 0001793727 00000 n 0001794060 00000 n 0001797839 00000 n 0001798292 00000 n 0001798495 00000 n 0001798565 00000 n 0001798783 00000 n 0001799004 00000 n 0001799227 00000 n 0001799297 00000 n 0001799377 00000 n 0001800214 00000 n 0001800547 00000 n 0001803215 00000 n 0001803711 00000 n 0001803914 00000 n 0001803966 00000 n 0001804185 00000 n 0001804255 00000 n 0001804335 00000 n 0001805172 00000 n 0001805505 00000 n 0001810072 00000 n 0001810539 00000 n 0001810742 00000 n 0001810812 00000 n 0001811029 00000 n 0001811243 00000 n 0001811457 00000 n 0001811527 00000 n 0001811607 00000 n 0001812443 00000 n 0001812776 00000 n 0001816313 00000 n 0001816809 00000 n 0001817012 00000 n 0001817073 00000 n 0001817287 00000 n 0001817503 00000 n 0001817573 00000 n 0001817653 00000 n 0001818490 00000 n 0001818823 00000 n 0001822928 00000 n 0001823406 00000 n 0001823609 00000 n 0001823679 00000 n 0001823759 00000 n 0001824598 00000 n 0001824931 00000 n 0001828831 00000 n 0001829256 00000 n 0001829459 00000 n 0001829583 00000 n 0001829793 00000 n 0001829996 00000 n 0001830199 00000 n 0001830400 00000 n 0001830612 00000 n 0001830813 00000 n 0001831022 00000 n 0001831230 00000 n 0001831435 00000 n 0001831505 00000 n 0001831585 00000 n 0001832422 00000 n 0001832755 00000 n 0001834793 00000 n 0001835289 00000 n 0001835492 00000 n 0001835553 00000 n 0001835767 00000 n 0001835983 00000 n 0001836053 00000 n 0001836133 00000 n 0001836969 00000 n 0001837302 00000 n 0001841539 00000 n 0001842031 00000 n 0001842234 00000 n 0001842304 00000 n 0001842384 00000 n 0001843221 00000 n 0001843554 00000 n 0001848533 00000 n 0001848943 00000 n 0001849146 00000 n 0001849225 00000 n 0001849429 00000 n 0001849632 00000 n 0001849839 00000 n 0001850038 00000 n 0001850108 00000 n 0001850188 00000 n 0001851024 00000 n 0001851357 00000 n 0001852674 00000 n 0001853128 00000 n 0001853331 00000 n 0001853392 00000 n 0001853602 00000 n 0001853823 00000 n 0001853893 00000 n 0001853973 00000 n 0001854809 00000 n 0001855142 00000 n 0001859751 00000 n 0001860201 00000 n 0001860404 00000 n 0001860474 00000 n 0001860554 00000 n 0001861392 00000 n 0001861725 00000 n 0001866051 00000 n 0001866515 00000 n 0001866718 00000 n 0001866788 00000 n 0001866868 00000 n 0001867706 00000 n 0001868039 00000 n 0001872318 00000 n 0001872785 00000 n 0001872988 00000 n 0001873067 00000 n 0001873273 00000 n 0001873484 00000 n 0001873697 00000 n 0001873904 00000 n 0001873974 00000 n 0001874054 00000 n 0001874891 00000 n 0001875224 00000 n 0001879216 00000 n 0001879626 00000 n 0001879829 00000 n 0001879899 00000 n 0001880100 00000 n 0001880305 00000 n 0001880502 00000 n 0001880572 00000 n 0001880652 00000 n 0001881488 00000 n 0001881821 00000 n 0001883106 00000 n 0001883560 00000 n 0001883763 00000 n 0001883824 00000 n 0001884034 00000 n 0001884255 00000 n 0001884325 00000 n 0001884405 00000 n 0001885242 00000 n 0001885575 00000 n 0001889521 00000 n 0001889988 00000 n 0001890191 00000 n 0001890288 00000 n 0001890494 00000 n 0001890706 00000 n 0001890913 00000 n 0001891123 00000 n 0001891333 00000 n 0001891536 00000 n 0001891606 00000 n 0001891686 00000 n 0001892523 00000 n 0001892856 00000 n 0001895200 00000 n 0001895654 00000 n 0001895857 00000 n 0001895918 00000 n 0001896128 00000 n 0001896349 00000 n 0001896419 00000 n 0001896499 00000 n 0001897335 00000 n 0001897668 00000 n 0001902033 00000 n 0001902511 00000 n 0001902714 00000 n 0001902784 00000 n 0001902864 00000 n 0001903702 00000 n 0001904035 00000 n 0001908199 00000 n 0001908677 00000 n 0001908880 00000 n 0001908950 00000 n 0001909030 00000 n 0001909868 00000 n 0001910201 00000 n 0001914663 00000 n 0001915130 00000 n 0001915333 00000 n 0001915484 00000 n 0001915690 00000 n 0001915901 00000 n 0001916109 00000 n 0001916311 00000 n 0001916518 00000 n 0001916729 00000 n 0001916930 00000 n 0001917131 00000 n 0001917333 00000 n 0001917535 00000 n 0001917738 00000 n 0001917943 00000 n 0001918013 00000 n 0001918093 00000 n 0001918930 00000 n 0001919263 00000 n 0001921666 00000 n 0001922120 00000 n 0001922323 00000 n 0001922384 00000 n 0001922603 00000 n 0001922834 00000 n 0001922904 00000 n 0001922984 00000 n 0001923821 00000 n 0001924154 00000 n 0001928771 00000 n 0001929278 00000 n 0001929481 00000 n 0001929551 00000 n 0001929631 00000 n 0001930468 00000 n 0001930801 00000 n 0001936484 00000 n 0001936951 00000 n 0001937154 00000 n 0001937224 00000 n 0001937426 00000 n 0001937632 00000 n 0001937831 00000 n 0001937901 00000 n 0001937981 00000 n 0001938818 00000 n 0001939151 00000 n 0001941671 00000 n 0001942168 00000 n 0001942371 00000 n 0001942432 00000 n 0001942642 00000 n 0001942858 00000 n 0001942928 00000 n 0001943008 00000 n 0001943845 00000 n 0001944178 00000 n 0001949447 00000 n 0001949940 00000 n 0001950143 00000 n 0001950213 00000 n 0001950293 00000 n 0001951130 00000 n 0001951463 00000 n 0001956542 00000 n 0001957006 00000 n 0001957209 00000 n 0001957279 00000 n 0001957359 00000 n 0001958197 00000 n 0001958530 00000 n 0001962704 00000 n 0001963171 00000 n 0001963374 00000 n 0001963471 00000 n 0001963674 00000 n 0001963877 00000 n 0001964088 00000 n 0001964289 00000 n 0001964494 00000 n 0001964702 00000 n 0001964772 00000 n 0001964852 00000 n 0001965689 00000 n 0001966022 00000 n 0001969977 00000 n 0001970373 00000 n 0001970576 00000 n 0001970637 00000 n 0001970845 00000 n 0001971052 00000 n 0001971122 00000 n 0001971202 00000 n 0001972040 00000 n 0001972373 00000 n 0001973640 00000 n 0001974108 00000 n 0001974311 00000 n 0001974363 00000 n 0001974587 00000 n 0001974657 00000 n 0001974737 00000 n 0001975575 00000 n 0001975908 00000 n 0001980018 00000 n 0001980485 00000 n 0001980688 00000 n 0001980776 00000 n 0001980998 00000 n 0001981218 00000 n 0001981434 00000 n 0001981657 00000 n 0001981874 00000 n 0001981944 00000 n 0001982024 00000 n 0001982860 00000 n 0001983193 00000 n 0001986712 00000 n 0001987166 00000 n 0001987369 00000 n 0001987421 00000 n 0001987645 00000 n 0001987715 00000 n 0001987795 00000 n 0001988632 00000 n 0001988965 00000 n 0001992789 00000 n 0001993256 00000 n 0001993459 00000 n 0001993547 00000 n 0001993765 00000 n 0001993985 00000 n 0001994201 00000 n 0001994424 00000 n 0001994643 00000 n 0001994713 00000 n 0001994793 00000 n 0001995630 00000 n 0001995963 00000 n 0001998693 00000 n 0001999147 00000 n 0001999350 00000 n 0001999402 00000 n 0001999622 00000 n 0001999692 00000 n 0001999772 00000 n 0002000608 00000 n 0002000941 00000 n 0002005003 00000 n 0002005495 00000 n 0002005698 00000 n 0002005768 00000 n 0002005848 00000 n 0002006685 00000 n 0002007018 00000 n 0002011515 00000 n 0002011965 00000 n 0002012168 00000 n 0002012238 00000 n 0002012318 00000 n 0002013154 00000 n 0002013487 00000 n 0002017129 00000 n 0002017554 00000 n 0002017757 00000 n 0002017863 00000 n 0002018067 00000 n 0002018265 00000 n 0002018467 00000 n 0002018668 00000 n 0002018872 00000 n 0002019077 00000 n 0002019274 00000 n 0002019344 00000 n 0002019424 00000 n 0002020261 00000 n 0002020594 00000 n 0002022380 00000 n 0002022862 00000 n 0002023065 00000 n 0002023117 00000 n 0002023337 00000 n 0002023407 00000 n 0002023487 00000 n 0002024324 00000 n 0002024657 00000 n 0002028996 00000 n 0002029474 00000 n 0002029677 00000 n 0002029747 00000 n 0002029827 00000 n 0002030664 00000 n 0002030997 00000 n 0002035286 00000 n 0002035725 00000 n 0002035928 00000 n 0002036025 00000 n 0002036229 00000 n 0002036435 00000 n 0002036642 00000 n 0002036847 00000 n 0002037049 00000 n 0002037251 00000 n 0002037321 00000 n 0002037401 00000 n 0002038238 00000 n 0002038571 00000 n 0002040554 00000 n 0002041051 00000 n 0002041254 00000 n 0002041306 00000 n 0002041526 00000 n 0002041596 00000 n 0002041676 00000 n 0002042512 00000 n 0002042845 00000 n 0002048534 00000 n 0002049001 00000 n 0002049204 00000 n 0002049265 00000 n 0002049472 00000 n 0002049680 00000 n 0002049750 00000 n 0002049830 00000 n 0002050666 00000 n 0002050999 00000 n 0002054829 00000 n 0002055225 00000 n 0002055428 00000 n 0002055534 00000 n 0002055737 00000 n 0002055940 00000 n 0002056141 00000 n 0002056340 00000 n 0002056544 00000 n 0002056749 00000 n 0002056948 00000 n 0002057018 00000 n 0002057098 00000 n 0002057935 00000 n 0002058268 00000 n 0002059639 00000 n 0002060107 00000 n 0002060310 00000 n 0002060362 00000 n 0002060582 00000 n 0002060652 00000 n 0002060732 00000 n 0002061569 00000 n 0002061902 00000 n 0002066382 00000 n 0002066889 00000 n 0002067092 00000 n 0002067162 00000 n 0002067242 00000 n 0002068078 00000 n 0002068411 00000 n 0002073308 00000 n 0002073775 00000 n 0002073978 00000 n 0002074093 00000 n 0002074302 00000 n 0002074512 00000 n 0002074722 00000 n 0002074929 00000 n 0002075136 00000 n 0002075343 00000 n 0002075554 00000 n 0002075759 00000 n 0002075829 00000 n 0002075909 00000 n 0002076746 00000 n 0002077079 00000 n 0002079984 00000 n 0002080438 00000 n 0002080641 00000 n 0002080693 00000 n 0002080913 00000 n 0002080983 00000 n 0002081063 00000 n 0002081899 00000 n 0002082232 00000 n 0002086284 00000 n 0002086791 00000 n 0002086994 00000 n 0002087064 00000 n 0002087144 00000 n 0002087980 00000 n 0002088313 00000 n 0002093275 00000 n 0002093714 00000 n 0002093917 00000 n 0002093987 00000 n 0002094189 00000 n 0002094387 00000 n 0002094587 00000 n 0002094657 00000 n 0002094737 00000 n 0002095574 00000 n 0002095907 00000 n 0002097728 00000 n 0002098196 00000 n 0002098399 00000 n 0002098451 00000 n 0002098671 00000 n 0002098741 00000 n 0002098821 00000 n 0002099658 00000 n 0002099991 00000 n 0002104133 00000 n 0002104629 00000 n 0002104832 00000 n 0002104911 00000 n 0002105125 00000 n 0002105333 00000 n 0002105543 00000 n 0002105750 00000 n 0002105820 00000 n 0002105900 00000 n 0002106737 00000 n 0002107070 00000 n 0002112459 00000 n 0002112855 00000 n 0002113058 00000 n 0002113128 00000 n 0002113336 00000 n 0002113541 00000 n 0002113751 00000 n 0002113821 00000 n 0002113901 00000 n 0002114738 00000 n 0002115071 00000 n 0002116357 00000 n 0002116811 00000 n 0002117014 00000 n 0002117066 00000 n 0002117286 00000 n 0002117356 00000 n 0002117436 00000 n 0002118273 00000 n 0002118606 00000 n 0002122659 00000 n 0002123126 00000 n 0002123329 00000 n 0002123435 00000 n 0002123646 00000 n 0002123856 00000 n 0002124066 00000 n 0002124275 00000 n 0002124489 00000 n 0002124700 00000 n 0002124916 00000 n 0002124986 00000 n 0002125066 00000 n 0002125902 00000 n 0002126235 00000 n 0002129202 00000 n 0002129656 00000 n 0002129859 00000 n 0002129911 00000 n 0002130131 00000 n 0002130201 00000 n 0002130281 00000 n 0002131119 00000 n 0002131452 00000 n 0002135516 00000 n 0002135997 00000 n 0002136200 00000 n 0002136279 00000 n 0002136491 00000 n 0002136701 00000 n 0002136909 00000 n 0002137117 00000 n 0002137187 00000 n 0002137267 00000 n 0002138104 00000 n 0002138437 00000 n 0002142655 00000 n 0002143051 00000 n 0002143254 00000 n 0002143342 00000 n 0002143547 00000 n 0002143749 00000 n 0002143952 00000 n 0002144158 00000 n 0002144362 00000 n 0002144432 00000 n 0002144512 00000 n 0002145349 00000 n 0002145682 00000 n 0002147000 00000 n 0002147454 00000 n 0002147657 00000 n 0002147709 00000 n 0002147929 00000 n 0002147999 00000 n 0002148079 00000 n 0002148916 00000 n 0002149249 00000 n 0002153662 00000 n 0002154158 00000 n 0002154361 00000 n 0002154431 00000 n 0002154642 00000 n 0002154852 00000 n 0002155059 00000 n 0002155129 00000 n 0002155209 00000 n 0002156047 00000 n 0002156380 00000 n 0002161383 00000 n 0002161779 00000 n 0002161982 00000 n 0002162088 00000 n 0002162292 00000 n 0002162497 00000 n 0002162703 00000 n 0002162906 00000 n 0002163110 00000 n 0002163317 00000 n 0002163522 00000 n 0002163592 00000 n 0002163672 00000 n 0002164509 00000 n 0002164842 00000 n 0002166203 00000 n 0002166671 00000 n 0002166874 00000 n 0002166926 00000 n 0002167146 00000 n 0002167216 00000 n 0002167296 00000 n 0002168133 00000 n 0002168466 00000 n 0002172776 00000 n 0002173254 00000 n 0002173457 00000 n 0002173527 00000 n 0002173607 00000 n 0002174443 00000 n 0002174776 00000 n 0002178521 00000 n 0002178960 00000 n 0002179163 00000 n 0002179296 00000 n 0002179500 00000 n 0002179702 00000 n 0002179906 00000 n 0002180113 00000 n 0002180318 00000 n 0002180529 00000 n 0002180739 00000 n 0002180947 00000 n 0002181152 00000 n 0002181357 00000 n 0002181427 00000 n 0002181507 00000 n 0002182343 00000 n 0002182676 00000 n 0002185035 00000 n 0002185517 00000 n 0002185720 00000 n 0002185772 00000 n 0002185999 00000 n 0002186069 00000 n 0002186149 00000 n 0002186985 00000 n 0002187318 00000 n 0002191212 00000 n 0002191651 00000 n 0002191854 00000 n 0002191960 00000 n 0002192163 00000 n 0002192367 00000 n 0002192580 00000 n 0002192784 00000 n 0002192988 00000 n 0002193200 00000 n 0002193414 00000 n 0002193484 00000 n 0002193564 00000 n 0002194401 00000 n 0002194734 00000 n 0002196512 00000 n 0002196966 00000 n 0002197169 00000 n 0002197221 00000 n 0002197437 00000 n 0002197507 00000 n 0002197587 00000 n 0002198426 00000 n 0002198759 00000 n 0002203261 00000 n 0002203753 00000 n 0002203956 00000 n 0002204026 00000 n 0002204106 00000 n 0002204943 00000 n 0002205276 00000 n 0002209539 00000 n 0002210006 00000 n 0002210209 00000 n 0002210297 00000 n 0002210501 00000 n 0002210709 00000 n 0002210911 00000 n 0002211114 00000 n 0002211318 00000 n 0002211388 00000 n 0002211468 00000 n 0002212304 00000 n 0002212637 00000 n 0002215718 00000 n 0002216172 00000 n 0002216375 00000 n 0002216436 00000 n 0002216655 00000 n 0002216878 00000 n 0002216948 00000 n 0002217028 00000 n 0002217866 00000 n 0002218199 00000 n 0002222596 00000 n 0002223092 00000 n 0002223295 00000 n 0002223374 00000 n 0002223577 00000 n 0002223779 00000 n 0002223979 00000 n 0002224184 00000 n 0002224254 00000 n 0002224334 00000 n 0002225170 00000 n 0002225503 00000 n 0002228981 00000 n 0002229464 00000 n 0002229667 00000 n 0002229719 00000 n 0002229930 00000 n 0002230000 00000 n 0002230080 00000 n 0002230916 00000 n 0002231249 00000 n 0002235535 00000 n 0002236002 00000 n 0002236205 00000 n 0002236284 00000 n 0002236490 00000 n 0002236693 00000 n 0002236897 00000 n 0002237102 00000 n 0002237172 00000 n 0002237252 00000 n 0002238089 00000 n 0002238422 00000 n 0002240637 00000 n 0002241119 00000 n 0002241322 00000 n 0002241374 00000 n 0002241593 00000 n 0002241663 00000 n 0002241743 00000 n 0002242581 00000 n 0002242914 00000 n 0002247064 00000 n 0002247531 00000 n 0002247734 00000 n 0002247876 00000 n 0002248080 00000 n 0002248283 00000 n 0002248487 00000 n 0002248694 00000 n 0002248895 00000 n 0002249098 00000 n 0002249305 00000 n 0002249514 00000 n 0002249717 00000 n 0002249923 00000 n 0002250126 00000 n 0002250196 00000 n 0002250276 00000 n 0002251112 00000 n 0002251445 00000 n 0002254652 00000 n 0002255178 00000 n 0002255381 00000 n 0002255442 00000 n 0002255658 00000 n 0002255886 00000 n 0002255956 00000 n 0002256036 00000 n 0002256872 00000 n 0002257205 00000 n 0002262221 00000 n 0002262688 00000 n 0002262891 00000 n 0002262979 00000 n 0002263195 00000 n 0002263410 00000 n 0002263624 00000 n 0002263833 00000 n 0002264036 00000 n 0002264106 00000 n 0002264186 00000 n 0002265024 00000 n 0002265357 00000 n 0002268941 00000 n 0002269423 00000 n 0002269626 00000 n 0002269687 00000 n 0002269898 00000 n 0002270127 00000 n 0002270197 00000 n 0002270277 00000 n 0002271114 00000 n 0002271447 00000 n 0002275320 00000 n 0002275787 00000 n 0002275990 00000 n 0002276069 00000 n 0002276281 00000 n 0002276485 00000 n 0002276691 00000 n 0002276896 00000 n 0002276966 00000 n 0002277046 00000 n 0002277882 00000 n 0002278215 00000 n 0002280792 00000 n 0002281274 00000 n 0002281477 00000 n 0002281547 00000 n 0002281766 00000 n 0002281989 00000 n 0002282231 00000 n 0002282301 00000 n 0002282381 00000 n 0002283219 00000 n 0002283552 00000 n 0002287937 00000 n 0002288404 00000 n 0002288607 00000 n 0002288677 00000 n 0002288893 00000 n 0002289104 00000 n 0002289312 00000 n 0002289382 00000 n 0002289462 00000 n 0002290299 00000 n 0002290632 00000 n 0002294017 00000 n 0002294499 00000 n 0002294702 00000 n 0002294754 00000 n 0002294973 00000 n 0002295043 00000 n 0002295123 00000 n 0002295959 00000 n 0002296292 00000 n 0002300580 00000 n 0002301047 00000 n 0002301250 00000 n 0002301365 00000 n 0002301569 00000 n 0002301772 00000 n 0002301976 00000 n 0002302178 00000 n 0002302383 00000 n 0002302586 00000 n 0002302789 00000 n 0002302994 00000 n 0002303064 00000 n 0002303144 00000 n 0002303981 00000 n 0002304314 00000 n 0002307748 00000 n 0002308144 00000 n 0002308347 00000 n 0002308435 00000 n 0002308645 00000 n 0002308848 00000 n 0002309045 00000 n 0002309245 00000 n 0002309442 00000 n 0002309512 00000 n 0002309592 00000 n 0002310429 00000 n 0002310762 00000 n 0002312084 00000 n 0002312538 00000 n 0002312741 00000 n 0002312793 00000 n 0002313020 00000 n 0002313090 00000 n 0002313170 00000 n 0002314006 00000 n 0002314339 00000 n 0002318320 00000 n 0002318798 00000 n 0002319001 00000 n 0002319071 00000 n 0002319151 00000 n 0002319988 00000 n 0002320321 00000 n 0002324391 00000 n 0002324858 00000 n 0002325061 00000 n 0002325158 00000 n 0002325367 00000 n 0002325580 00000 n 0002325784 00000 n 0002325988 00000 n 0002326196 00000 n 0002326410 00000 n 0002326480 00000 n 0002326560 00000 n 0002327397 00000 n 0002327730 00000 n 0002330483 00000 n 0002330937 00000 n 0002331140 00000 n 0002331192 00000 n 0002331409 00000 n 0002331479 00000 n 0002331559 00000 n 0002332395 00000 n 0002332728 00000 n 0002335996 00000 n 0002336436 00000 n 0002336639 00000 n 0002336700 00000 n 0002336911 00000 n 0002337140 00000 n 0002337210 00000 n 0002337290 00000 n 0002338126 00000 n 0002338459 00000 n 0002341376 00000 n 0002341902 00000 n 0002342105 00000 n 0002342166 00000 n 0002342382 00000 n 0002342610 00000 n 0002342680 00000 n 0002342760 00000 n 0002343597 00000 n 0002343930 00000 n 0002349147 00000 n 0002349643 00000 n 0002349846 00000 n 0002349916 00000 n 0002350129 00000 n 0002350343 00000 n 0002350558 00000 n 0002350628 00000 n 0002350708 00000 n 0002351545 00000 n 0002351878 00000 n 0002355958 00000 n 0002356368 00000 n 0002356571 00000 n 0002356650 00000 n 0002356861 00000 n 0002357069 00000 n 0002357288 00000 n 0002357498 00000 n 0002357568 00000 n 0002357648 00000 n 0002358484 00000 n 0002358817 00000 n 0002360146 00000 n 0002360600 00000 n 0002360803 00000 n 0002360855 00000 n 0002361074 00000 n 0002361144 00000 n 0002361224 00000 n 0002362060 00000 n 0002362393 00000 n 0002366466 00000 n 0002366973 00000 n 0002367176 00000 n 0002367246 00000 n 0002367326 00000 n 0002368163 00000 n 0002368496 00000 n 0002374069 00000 n 0002374547 00000 n 0002374750 00000 n 0002374820 00000 n 0002374900 00000 n 0002375736 00000 n 0002376069 00000 n 0002380380 00000 n 0002380847 00000 n 0002381050 00000 n 0002381165 00000 n 0002381371 00000 n 0002381581 00000 n 0002381791 00000 n 0002381999 00000 n 0002382206 00000 n 0002382411 00000 n 0002382619 00000 n 0002382824 00000 n 0002382894 00000 n 0002382974 00000 n 0002383811 00000 n 0002384144 00000 n 0002387149 00000 n 0002387603 00000 n 0002387806 00000 n 0002387867 00000 n 0002388112 00000 n 0002388328 00000 n 0002388398 00000 n 0002388478 00000 n 0002389315 00000 n 0002389648 00000 n 0002393881 00000 n 0002394359 00000 n 0002394562 00000 n 0002394632 00000 n 0002394712 00000 n 0002395548 00000 n 0002395881 00000 n 0002399961 00000 n 0002400428 00000 n 0002400631 00000 n 0002400728 00000 n 0002400933 00000 n 0002401139 00000 n 0002401351 00000 n 0002401559 00000 n 0002401766 00000 n 0002401971 00000 n 0002402041 00000 n 0002402121 00000 n 0002402957 00000 n 0002403290 00000 n 0002405565 00000 n 0002406091 00000 n 0002406294 00000 n 0002406355 00000 n 0002406571 00000 n 0002406799 00000 n 0002406869 00000 n 0002406949 00000 n 0002407786 00000 n 0002408119 00000 n 0002412616 00000 n 0002413123 00000 n 0002413326 00000 n 0002413396 00000 n 0002413476 00000 n 0002414313 00000 n 0002414646 00000 n 0002418972 00000 n 0002419411 00000 n 0002419614 00000 n 0002419720 00000 n 0002419927 00000 n 0002420133 00000 n 0002420343 00000 n 0002420548 00000 n 0002420755 00000 n 0002420977 00000 n 0002421182 00000 n 0002421252 00000 n 0002421332 00000 n 0002422168 00000 n 0002422501 00000 n 0002424368 00000 n 0002424851 00000 n 0002425054 00000 n 0002425115 00000 n 0002425331 00000 n 0002425551 00000 n 0002425621 00000 n 0002425701 00000 n 0002426538 00000 n 0002426871 00000 n 0002431055 00000 n 0002431548 00000 n 0002431751 00000 n 0002431821 00000 n 0002431901 00000 n 0002432738 00000 n 0002433071 00000 n 0002438700 00000 n 0002439196 00000 n 0002439399 00000 n 0002439478 00000 n 0002439692 00000 n 0002439901 00000 n 0002440112 00000 n 0002440317 00000 n 0002440387 00000 n 0002440467 00000 n 0002441303 00000 n 0002441636 00000 n 0002446095 00000 n 0002446549 00000 n 0002446752 00000 n 0002446804 00000 n 0002447023 00000 n 0002447093 00000 n 0002447173 00000 n 0002448009 00000 n 0002448342 00000 n 0002452017 00000 n 0002452524 00000 n 0002452727 00000 n 0002452797 00000 n 0002452877 00000 n 0002453715 00000 n 0002454048 00000 n 0002458816 00000 n 0002459283 00000 n 0002459486 00000 n 0002459574 00000 n 0002459790 00000 n 0002460003 00000 n 0002460207 00000 n 0002460411 00000 n 0002460616 00000 n 0002460686 00000 n 0002460766 00000 n 0002461603 00000 n 0002461936 00000 n 0002464061 00000 n 0002464543 00000 n 0002464746 00000 n 0002464798 00000 n 0002465017 00000 n 0002465087 00000 n 0002465167 00000 n 0002466003 00000 n 0002466336 00000 n 0002470348 00000 n 0002470815 00000 n 0002471018 00000 n 0002471070 00000 n 0002471273 00000 n 0002471343 00000 n 0002471423 00000 n 0002472260 00000 n 0002472593 00000 n 0002474432 00000 n 0002474872 00000 n 0002475075 00000 n 0002475136 00000 n 0002475352 00000 n 0002475573 00000 n 0002475643 00000 n 0002475723 00000 n 0002476560 00000 n 0002476893 00000 n 0002481323 00000 n 0002481815 00000 n 0002482018 00000 n 0002482088 00000 n 0002482168 00000 n 0002483005 00000 n 0002483338 00000 n 0002488316 00000 n 0002488794 00000 n 0002488997 00000 n 0002489067 00000 n 0002489147 00000 n 0002489984 00000 n 0002490317 00000 n 0002494269 00000 n 0002494693 00000 n 0002494896 00000 n 0002494966 00000 n 0002495174 00000 n 0002495376 00000 n 0002495576 00000 n 0002495646 00000 n 0002495726 00000 n 0002496563 00000 n 0002496896 00000 n 0002498224 00000 n 0002498707 00000 n 0002498910 00000 n 0002498962 00000 n 0002499178 00000 n 0002499248 00000 n 0002499328 00000 n 0002500164 00000 n 0002500497 00000 n 0002504536 00000 n 0002505003 00000 n 0002505206 00000 n 0002505285 00000 n 0002505487 00000 n 0002505695 00000 n 0002505900 00000 n 0002506105 00000 n 0002506175 00000 n 0002506255 00000 n 0002507091 00000 n 0002507424 00000 n 0002509829 00000 n 0002510280 00000 n 0002510483 00000 n 0002510553 00000 n 0002510633 00000 n 0002511469 00000 n 0002511802 00000 n 0002516134 00000 n 0002516616 00000 n 0002516819 00000 n 0002516916 00000 n 0002517131 00000 n 0002517347 00000 n 0002517564 00000 n 0002517797 00000 n 0002518016 00000 n 0002518239 00000 n 0002518309 00000 n 0002518389 00000 n 0002519226 00000 n 0002519559 00000 n 0002525653 00000 n 0002526132 00000 n 0002526335 00000 n 0002526405 00000 n 0002526485 00000 n 0002527321 00000 n 0002527654 00000 n 0002533008 00000 n 0002533475 00000 n 0002533678 00000 n 0002533820 00000 n 0002534029 00000 n 0002534236 00000 n 0002534447 00000 n 0002534658 00000 n 0002534877 00000 n 0002535081 00000 n 0002535284 00000 n 0002535487 00000 n 0002535697 00000 n 0002535903 00000 n 0002536107 00000 n 0002536177 00000 n 0002536257 00000 n 0002537095 00000 n 0002537428 00000 n 0002540731 00000 n 0002541185 00000 n 0002541388 00000 n 0002541440 00000 n 0002541661 00000 n 0002541731 00000 n 0002541811 00000 n 0002542649 00000 n 0002542982 00000 n 0002547111 00000 n 0002547578 00000 n 0002547781 00000 n 0002547878 00000 n 0002548088 00000 n 0002548300 00000 n 0002548509 00000 n 0002548720 00000 n 0002548929 00000 n 0002549138 00000 n 0002549208 00000 n 0002549288 00000 n 0002550125 00000 n 0002550458 00000 n 0002553058 00000 n 0002553526 00000 n 0002553729 00000 n 0002553781 00000 n 0002554000 00000 n 0002554070 00000 n 0002554150 00000 n 0002554986 00000 n 0002555319 00000 n 0002559312 00000 n 0002559779 00000 n 0002559982 00000 n 0002560061 00000 n 0002560263 00000 n 0002560471 00000 n 0002560676 00000 n 0002560881 00000 n 0002560951 00000 n 0002561031 00000 n 0002561868 00000 n 0002562201 00000 n 0002564857 00000 n 0002565339 00000 n 0002565542 00000 n 0002565594 00000 n 0002565822 00000 n 0002565892 00000 n 0002565972 00000 n 0002566808 00000 n 0002567141 00000 n 0002570815 00000 n 0002571282 00000 n 0002571485 00000 n 0002571564 00000 n 0002571776 00000 n 0002571983 00000 n 0002572189 00000 n 0002572396 00000 n 0002572466 00000 n 0002572546 00000 n 0002573382 00000 n 0002573715 00000 n 0002576359 00000 n 0002576841 00000 n 0002577044 00000 n 0002577096 00000 n 0002577324 00000 n 0002577394 00000 n 0002577474 00000 n 0002578310 00000 n 0002578643 00000 n 0002582379 00000 n 0002582818 00000 n 0002583021 00000 n 0002583073 00000 n 0002583279 00000 n 0002583349 00000 n 0002583429 00000 n 0002584266 00000 n 0002584599 00000 n 0002587098 00000 n 0002587595 00000 n 0002587798 00000 n 0002587859 00000 n 0002588075 00000 n 0002588295 00000 n 0002588365 00000 n 0002588445 00000 n 0002589281 00000 n 0002589614 00000 n 0002594265 00000 n 0002594732 00000 n 0002594935 00000 n 0002595032 00000 n 0002595240 00000 n 0002595448 00000 n 0002595660 00000 n 0002595877 00000 n 0002596093 00000 n 0002596298 00000 n 0002596368 00000 n 0002596448 00000 n 0002597286 00000 n 0002597619 00000 n 0002601257 00000 n 0002601653 00000 n 0002601856 00000 n 0002601935 00000 n 0002602140 00000 n 0002602336 00000 n 0002602538 00000 n 0002602737 00000 n 0002602807 00000 n 0002602887 00000 n 0002603725 00000 n 0002604058 00000 n 0002605341 00000 n 0002605809 00000 n 0002606012 00000 n 0002606082 00000 n 0002606298 00000 n 0002606522 00000 n 0002606742 00000 n 0002606812 00000 n 0002606892 00000 n 0002607728 00000 n 0002608061 00000 n 0002612260 00000 n 0002612767 00000 n 0002612970 00000 n 0002613040 00000 n 0002613120 00000 n 0002613957 00000 n 0002614290 00000 n 0002618293 00000 n 0002618732 00000 n 0002618935 00000 n 0002619050 00000 n 0002619252 00000 n 0002619458 00000 n 0002619657 00000 n 0002619874 00000 n 0002620085 00000 n 0002620292 00000 n 0002620500 00000 n 0002620705 00000 n 0002620775 00000 n 0002620855 00000 n 0002621692 00000 n 0002622025 00000 n 0002624121 00000 n 0002624603 00000 n 0002624806 00000 n 0002624867 00000 n 0002625077 00000 n 0002625293 00000 n 0002625363 00000 n 0002625443 00000 n 0002626279 00000 n 0002626612 00000 n 0002630346 00000 n 0002630813 00000 n 0002631016 00000 n 0002631086 00000 n 0002631295 00000 n 0002631499 00000 n 0002631704 00000 n 0002631774 00000 n 0002631854 00000 n 0002632690 00000 n 0002633023 00000 n 0002634990 00000 n 0002635472 00000 n 0002635675 00000 n 0002635727 00000 n 0002635946 00000 n 0002636016 00000 n 0002636096 00000 n 0002636933 00000 n 0002637266 00000 n 0002641353 00000 n 0002641820 00000 n 0002642023 00000 n 0002642093 00000 n 0002642300 00000 n 0002642507 00000 n 0002642712 00000 n 0002642782 00000 n 0002642862 00000 n 0002643699 00000 n 0002644032 00000 n 0002646740 00000 n 0002647237 00000 n 0002647440 00000 n 0002647492 00000 n 0002647716 00000 n 0002647786 00000 n 0002647866 00000 n 0002648702 00000 n 0002649035 00000 n 0002653501 00000 n 0002653979 00000 n 0002654182 00000 n 0002654252 00000 n 0002654332 00000 n 0002655169 00000 n 0002655502 00000 n 0002658863 00000 n 0002659302 00000 n 0002659505 00000 n 0002659566 00000 n 0002659766 00000 n 0002659966 00000 n 0002660036 00000 n 0002660116 00000 n 0002660953 00000 n 0002661286 00000 n 0002663476 00000 n 0002663930 00000 n 0002664133 00000 n 0002664185 00000 n 0002664405 00000 n 0002664475 00000 n 0002664555 00000 n 0002665391 00000 n 0002665724 00000 n 0002669625 00000 n 0002670092 00000 n 0002670295 00000 n 0002670392 00000 n 0002670601 00000 n 0002670811 00000 n 0002671018 00000 n 0002671225 00000 n 0002671435 00000 n 0002671640 00000 n 0002671710 00000 n 0002671790 00000 n 0002672626 00000 n 0002672959 00000 n 0002675865 00000 n 0002676333 00000 n 0002676536 00000 n 0002676588 00000 n 0002676808 00000 n 0002676878 00000 n 0002676958 00000 n 0002677795 00000 n 0002678128 00000 n 0002682055 00000 n 0002682547 00000 n 0002682750 00000 n 0002682820 00000 n 0002682900 00000 n 0002683737 00000 n 0002684070 00000 n 0002688499 00000 n 0002688909 00000 n 0002689112 00000 n 0002689200 00000 n 0002689404 00000 n 0002689608 00000 n 0002689809 00000 n 0002690010 00000 n 0002690211 00000 n 0002690281 00000 n 0002690361 00000 n 0002691197 00000 n 0002691530 00000 n 0002692904 00000 n 0002693372 00000 n 0002693575 00000 n 0002693627 00000 n 0002693847 00000 n 0002693917 00000 n 0002693997 00000 n 0002694833 00000 n 0002695166 00000 n 0002699369 00000 n 0002699836 00000 n 0002700039 00000 n 0002700118 00000 n 0002700328 00000 n 0002700535 00000 n 0002700739 00000 n 0002700948 00000 n 0002701018 00000 n 0002701098 00000 n 0002701934 00000 n 0002702267 00000 n 0002705037 00000 n 0002705491 00000 n 0002705694 00000 n 0002705746 00000 n 0002705966 00000 n 0002706036 00000 n 0002706116 00000 n 0002706952 00000 n 0002707285 00000 n 0002710979 00000 n 0002711446 00000 n 0002711649 00000 n 0002711755 00000 n 0002711962 00000 n 0002712174 00000 n 0002712378 00000 n 0002712587 00000 n 0002712796 00000 n 0002712999 00000 n 0002713207 00000 n 0002713277 00000 n 0002713357 00000 n 0002714195 00000 n 0002714528 00000 n 0002717274 00000 n 0002717756 00000 n 0002717959 00000 n 0002718011 00000 n 0002718231 00000 n 0002718301 00000 n 0002718381 00000 n 0002719218 00000 n 0002719551 00000 n 0002723570 00000 n 0002724037 00000 n 0002724240 00000 n 0002724355 00000 n 0002724561 00000 n 0002724767 00000 n 0002724973 00000 n 0002725182 00000 n 0002725385 00000 n 0002725592 00000 n 0002725799 00000 n 0002726009 00000 n 0002726079 00000 n 0002726159 00000 n 0002726996 00000 n 0002727329 00000 n 0002730442 00000 n 0002730910 00000 n 0002731113 00000 n 0002731165 00000 n 0002731385 00000 n 0002731455 00000 n 0002731535 00000 n 0002732372 00000 n 0002732705 00000 n 0002736671 00000 n 0002737152 00000 n 0002737355 00000 n 0002737443 00000 n 0002737651 00000 n 0002737861 00000 n 0002738068 00000 n 0002738274 00000 n 0002738479 00000 n 0002738549 00000 n 0002738629 00000 n 0002739466 00000 n 0002739799 00000 n 0002744018 00000 n 0002744414 00000 n 0002744617 00000 n 0002744669 00000 n 0002744873 00000 n 0002744943 00000 n 0002745023 00000 n 0002745859 00000 n 0002746192 00000 n 0002747415 00000 n 0002747912 00000 n 0002748115 00000 n 0002748176 00000 n 0002748386 00000 n 0002748602 00000 n 0002748672 00000 n 0002748752 00000 n 0002749590 00000 n 0002749923 00000 n 0002754249 00000 n 0002754727 00000 n 0002754930 00000 n 0002755000 00000 n 0002755080 00000 n 0002755916 00000 n 0002756249 00000 n 0002759889 00000 n 0002760328 00000 n 0002760531 00000 n 0002760610 00000 n 0002760811 00000 n 0002761021 00000 n 0002761224 00000 n 0002761434 00000 n 0002761504 00000 n 0002761584 00000 n 0002762420 00000 n 0002762753 00000 n 0002764448 00000 n 0002764931 00000 n 0002765134 00000 n 0002765195 00000 n 0002765411 00000 n 0002765639 00000 n 0002765709 00000 n 0002765789 00000 n 0002766625 00000 n 0002766958 00000 n 0002771076 00000 n 0002771543 00000 n 0002771746 00000 n 0002771843 00000 n 0002772056 00000 n 0002772272 00000 n 0002772487 00000 n 0002772704 00000 n 0002772918 00000 n 0002773134 00000 n 0002773204 00000 n 0002773284 00000 n 0002774122 00000 n 0002774455 00000 n 0002777259 00000 n 0002777741 00000 n 0002777944 00000 n 0002777996 00000 n 0002778215 00000 n 0002778285 00000 n 0002778365 00000 n 0002779202 00000 n 0002779535 00000 n 0002783243 00000 n 0002783710 00000 n 0002783913 00000 n 0002783983 00000 n 0002784189 00000 n 0002784396 00000 n 0002784603 00000 n 0002784673 00000 n 0002784753 00000 n 0002785590 00000 n 0002785923 00000 n 0002787949 00000 n 0002788403 00000 n 0002788606 00000 n 0002788658 00000 n 0002788877 00000 n 0002788947 00000 n 0002789027 00000 n 0002789865 00000 n 0002790198 00000 n 0002794149 00000 n 0002794616 00000 n 0002794819 00000 n 0002794889 00000 n 0002795092 00000 n 0002795293 00000 n 0002795497 00000 n 0002795567 00000 n 0002795647 00000 n 0002796484 00000 n 0002796817 00000 n 0002799458 00000 n 0002799940 00000 n 0002800143 00000 n 0002800195 00000 n 0002800419 00000 n 0002800489 00000 n 0002800569 00000 n 0002801405 00000 n 0002801738 00000 n 0002805353 00000 n 0002805835 00000 n 0002806038 00000 n 0002806099 00000 n 0002806302 00000 n 0002806508 00000 n 0002806578 00000 n 0002806658 00000 n 0002807495 00000 n 0002807828 00000 n 0002811090 00000 n 0002811572 00000 n 0002811775 00000 n 0002811827 00000 n 0002812051 00000 n 0002812121 00000 n 0002812201 00000 n 0002813039 00000 n 0002813372 00000 n 0002817037 00000 n 0002817476 00000 n 0002817679 00000 n 0002817740 00000 n 0002817936 00000 n 0002818140 00000 n 0002818210 00000 n 0002818290 00000 n 0002819126 00000 n 0002819459 00000 n 0002821323 00000 n 0002821805 00000 n 0002822008 00000 n 0002822060 00000 n 0002822284 00000 n 0002822354 00000 n 0002822434 00000 n 0002823270 00000 n 0002823603 00000 n 0002827387 00000 n 0002827826 00000 n 0002828029 00000 n 0002828099 00000 n 0002828293 00000 n 0002828479 00000 n 0002828670 00000 n 0002828740 00000 n 0002828820 00000 n 0002829658 00000 n 0002829991 00000 n 0002831560 00000 n 0002832042 00000 n 0002832245 00000 n 0002832297 00000 n 0002832521 00000 n 0002832591 00000 n 0002832671 00000 n 0002833507 00000 n 0002833840 00000 n 0002837690 00000 n 0002838129 00000 n 0002838332 00000 n 0002838384 00000 n 0002838579 00000 n 0002838649 00000 n 0002838729 00000 n 0002839565 00000 n 0002839898 00000 n 0002841437 00000 n 0002841877 00000 n 0002842080 00000 n 0002842132 00000 n 0002842372 00000 n 0002842442 00000 n 0002842522 00000 n 0002843359 00000 n 0002843692 00000 n 0002846158 00000 n 0002846640 00000 n 0002846843 00000 n 0002846895 00000 n 0002847116 00000 n 0002847186 00000 n 0002847266 00000 n 0002848103 00000 n 0002848436 00000 n 0002852506 00000 n 0002852945 00000 n 0002853148 00000 n 0002853218 00000 n 0002853429 00000 n 0002853638 00000 n 0002853847 00000 n 0002853917 00000 n 0002853997 00000 n 0002854834 00000 n 0002855167 00000 n 0002857228 00000 n 0002857682 00000 n 0002857885 00000 n 0002857937 00000 n 0002858154 00000 n 0002858224 00000 n 0002858304 00000 n 0002859140 00000 n 0002859473 00000 n 0002863819 00000 n 0002864286 00000 n 0002864489 00000 n 0002864541 00000 n 0002864749 00000 n 0002864819 00000 n 0002864899 00000 n 0002865737 00000 n 0002866070 00000 n 0002868901 00000 n 0002869383 00000 n 0002869586 00000 n 0002869638 00000 n 0002869866 00000 n 0002869936 00000 n 0002870016 00000 n 0002870852 00000 n 0002871185 00000 n 0002874999 00000 n 0002875438 00000 n 0002875641 00000 n 0002875756 00000 n 0002875965 00000 n 0002876176 00000 n 0002876381 00000 n 0002876591 00000 n 0002876800 00000 n 0002877004 00000 n 0002877208 00000 n 0002877413 00000 n 0002877483 00000 n 0002877563 00000 n 0002878399 00000 n 0002878732 00000 n 0002881061 00000 n 0002881543 00000 n 0002881746 00000 n 0002881798 00000 n 0002882014 00000 n 0002882084 00000 n 0002882164 00000 n 0002883002 00000 n 0002883335 00000 n 0002887078 00000 n 0002887488 00000 n 0002887691 00000 n 0002887743 00000 n 0002887951 00000 n 0002888021 00000 n 0002888101 00000 n 0002888938 00000 n 0002889271 00000 n 0002890527 00000 n 0002891009 00000 n 0002891212 00000 n 0002891264 00000 n 0002891483 00000 n 0002891553 00000 n 0002891633 00000 n 0002892470 00000 n 0002892803 00000 n 0002896581 00000 n 0002896991 00000 n 0002897194 00000 n 0002897264 00000 n 0002897474 00000 n 0002897682 00000 n 0002897890 00000 n 0002897960 00000 n 0002898040 00000 n 0002898876 00000 n 0002899209 00000 n 0002900528 00000 n 0002901010 00000 n 0002901213 00000 n 0002901265 00000 n 0002901479 00000 n 0002901549 00000 n 0002901629 00000 n 0002902466 00000 n 0002902799 00000 n 0002906502 00000 n 0002906955 00000 n 0002907158 00000 n 0002907219 00000 n 0002907428 00000 n 0002907635 00000 n 0002907705 00000 n 0002907785 00000 n 0002908621 00000 n 0002908954 00000 n 0002910611 00000 n 0002911093 00000 n 0002911296 00000 n 0002911348 00000 n 0002911562 00000 n 0002911632 00000 n 0002911712 00000 n 0002912549 00000 n 0002912882 00000 n 0002916554 00000 n 0002917021 00000 n 0002917224 00000 n 0002917285 00000 n 0002917492 00000 n 0002917693 00000 n 0002917763 00000 n 0002917843 00000 n 0002918679 00000 n 0002919012 00000 n 0002921178 00000 n 0002921660 00000 n 0002921863 00000 n 0002921924 00000 n 0002922134 00000 n 0002922355 00000 n 0002922425 00000 n 0002922505 00000 n 0002923342 00000 n 0002923675 00000 n 0002927807 00000 n 0002928246 00000 n 0002928449 00000 n 0002928501 00000 n 0002928709 00000 n 0002928779 00000 n 0002928859 00000 n 0002929695 00000 n 0002930028 00000 n 0002931766 00000 n 0002932248 00000 n 0002932451 00000 n 0002932512 00000 n 0002932722 00000 n 0002932943 00000 n 0002933013 00000 n 0002933093 00000 n 0002933931 00000 n 0002934264 00000 n 0002938407 00000 n 0002938903 00000 n 0002939157 00000 n 0002939429 00000 n 0002939632 00000 n 0002939702 00000 n 0002939782 00000 n 0002940619 00000 n 0002940952 00000 n 0002945633 00000 n 0002946100 00000 n 0002946303 00000 n 0002946373 00000 n 0002946584 00000 n 0002946790 00000 n 0002946998 00000 n 0002947068 00000 n 0002947148 00000 n 0002947985 00000 n 0002948318 00000 n 0002950907 00000 n 0002951389 00000 n 0002951592 00000 n 0002951653 00000 n 0002951872 00000 n 0002952103 00000 n 0002952173 00000 n 0002952253 00000 n 0002953090 00000 n 0002953423 00000 n 0002957524 00000 n 0002957991 00000 n 0002958194 00000 n 0002958255 00000 n 0002958456 00000 n 0002958658 00000 n 0002958728 00000 n 0002958808 00000 n 0002959645 00000 n 0002959978 00000 n 0002961768 00000 n 0002962250 00000 n 0002962453 00000 n 0002962505 00000 n 0002962725 00000 n 0002962795 00000 n 0002962875 00000 n 0002963712 00000 n 0002964045 00000 n 0002968013 00000 n 0002968452 00000 n 0002968655 00000 n 0002968743 00000 n 0002968947 00000 n 0002969141 00000 n 0002969343 00000 n 0002969544 00000 n 0002969743 00000 n 0002969813 00000 n 0002969893 00000 n 0002970731 00000 n 0002971064 00000 n 0002972765 00000 n 0002973247 00000 n 0002973450 00000 n 0002973511 00000 n 0002973731 00000 n 0002973952 00000 n 0002974022 00000 n 0002974102 00000 n 0002974939 00000 n 0002975272 00000 n 0002979152 00000 n 0002979619 00000 n 0002979822 00000 n 0002979919 00000 n 0002980131 00000 n 0002980341 00000 n 0002980548 00000 n 0002980759 00000 n 0002980964 00000 n 0002981172 00000 n 0002981242 00000 n 0002981322 00000 n 0002982158 00000 n 0002982491 00000 n 0002984405 00000 n 0002984887 00000 n 0002985090 00000 n 0002985142 00000 n 0002985362 00000 n 0002985432 00000 n 0002985512 00000 n 0002986348 00000 n 0002986681 00000 n 0002990475 00000 n 0002990885 00000 n 0002991088 00000 n 0002991185 00000 n 0002991388 00000 n 0002991592 00000 n 0002991793 00000 n 0002991994 00000 n 0002992193 00000 n 0002992397 00000 n 0002992467 00000 n 0002992547 00000 n 0002993384 00000 n 0002993717 00000 n 0002995108 00000 n 0002995590 00000 n 0002995793 00000 n 0002995845 00000 n 0002996065 00000 n 0002996135 00000 n 0002996215 00000 n 0002997052 00000 n 0002997385 00000 n 0003001162 00000 n 0003001601 00000 n 0003001804 00000 n 0003001883 00000 n 0003002085 00000 n 0003002282 00000 n 0003002480 00000 n 0003002680 00000 n 0003002750 00000 n 0003002830 00000 n 0003003667 00000 n 0003004000 00000 n 0003005673 00000 n 0003006155 00000 n 0003006358 00000 n 0003006410 00000 n 0003006630 00000 n 0003006700 00000 n 0003006780 00000 n 0003007617 00000 n 0003007950 00000 n 0003011852 00000 n 0003012291 00000 n 0003012494 00000 n 0003012546 00000 n 0003012749 00000 n 0003012819 00000 n 0003012899 00000 n 0003013736 00000 n 0003014069 00000 n 0003015647 00000 n 0003016129 00000 n 0003016332 00000 n 0003016384 00000 n 0003016611 00000 n 0003016681 00000 n 0003016761 00000 n 0003017597 00000 n 0003017930 00000 n 0003021723 00000 n 0003022162 00000 n 0003022365 00000 n 0003022426 00000 n 0003022629 00000 n 0003022823 00000 n 0003022893 00000 n 0003022973 00000 n 0003023809 00000 n 0003024142 00000 n 0003025743 00000 n 0003026254 00000 n 0003026457 00000 n 0003026518 00000 n 0003026737 00000 n 0003026960 00000 n 0003027030 00000 n 0003027110 00000 n 0003027948 00000 n 0003028281 00000 n 0003032985 00000 n 0003033452 00000 n 0003033655 00000 n 0003033743 00000 n 0003033949 00000 n 0003034157 00000 n 0003034359 00000 n 0003034559 00000 n 0003034764 00000 n 0003034834 00000 n 0003034914 00000 n 0003035751 00000 n 0003036084 00000 n 0003038595 00000 n 0003039077 00000 n 0003039280 00000 n 0003039332 00000 n 0003039543 00000 n 0003039613 00000 n 0003039693 00000 n 0003040529 00000 n 0003040862 00000 n 0003044498 00000 n 0003044908 00000 n 0003045111 00000 n 0003045163 00000 n 0003045362 00000 n 0003045432 00000 n 0003045512 00000 n 0003046349 00000 n 0003046682 00000 n 0003047918 00000 n 0003048429 00000 n 0003048632 00000 n 0003048684 00000 n 0003048907 00000 n 0003048977 00000 n 0003049057 00000 n 0003049894 00000 n 0003050227 00000 n 0003055408 00000 n 0003055875 00000 n 0003056078 00000 n 0003056139 00000 n 0003056352 00000 n 0003056563 00000 n 0003056633 00000 n 0003056713 00000 n 0003057549 00000 n 0003057882 00000 n 0003060158 00000 n 0003060640 00000 n 0003060843 00000 n 0003060904 00000 n 0003061126 00000 n 0003061342 00000 n 0003061412 00000 n 0003061492 00000 n 0003062329 00000 n 0003062662 00000 n 0003066584 00000 n 0003067023 00000 n 0003067226 00000 n 0003067278 00000 n 0003067478 00000 n 0003067548 00000 n 0003067628 00000 n 0003068465 00000 n 0003068798 00000 n 0003070375 00000 n 0003070815 00000 n 0003071018 00000 n 0003071079 00000 n 0003071290 00000 n 0003071519 00000 n 0003071589 00000 n 0003071669 00000 n 0003072505 00000 n 0003072838 00000 n 0003075378 00000 n 0003075860 00000 n 0003076063 00000 n 0003076115 00000 n 0003076329 00000 n 0003076399 00000 n 0003076479 00000 n 0003077315 00000 n 0003077648 00000 n 0003081495 00000 n 0003081934 00000 n 0003082137 00000 n 0003082216 00000 n 0003082419 00000 n 0003082614 00000 n 0003082825 00000 n 0003083034 00000 n 0003083104 00000 n 0003083184 00000 n 0003084021 00000 n 0003084354 00000 n 0003086040 00000 n 0003086522 00000 n 0003086725 00000 n 0003086777 00000 n 0003087000 00000 n 0003087070 00000 n 0003087150 00000 n 0003087987 00000 n 0003088320 00000 n 0003092124 00000 n 0003092563 00000 n 0003092766 00000 n 0003092836 00000 n 0003093046 00000 n 0003093250 00000 n 0003093460 00000 n 0003093530 00000 n 0003093610 00000 n 0003094446 00000 n 0003094779 00000 n 0003096461 00000 n 0003096943 00000 n 0003097146 00000 n 0003097216 00000 n 0003097432 00000 n 0003097663 00000 n 0003097884 00000 n 0003097954 00000 n 0003098034 00000 n 0003098871 00000 n 0003099204 00000 n 0003103175 00000 n 0003103614 00000 n 0003103817 00000 n 0003103896 00000 n 0003104102 00000 n 0003104315 00000 n 0003104528 00000 n 0003104741 00000 n 0003104811 00000 n 0003104891 00000 n 0003105728 00000 n 0003106061 00000 n 0003107905 00000 n 0003108416 00000 n 0003108619 00000 n 0003108671 00000 n 0003108894 00000 n 0003108964 00000 n 0003109044 00000 n 0003109880 00000 n 0003110213 00000 n 0003115245 00000 n 0003115684 00000 n 0003115887 00000 n 0003115948 00000 n 0003116163 00000 n 0003116376 00000 n 0003116446 00000 n 0003116526 00000 n 0003117362 00000 n 0003117695 00000 n 0003119576 00000 n 0003120058 00000 n 0003120261 00000 n 0003120322 00000 n 0003120538 00000 n 0003120758 00000 n 0003120828 00000 n 0003120908 00000 n 0003121744 00000 n 0003122077 00000 n 0003125960 00000 n 0003126399 00000 n 0003126602 00000 n 0003126663 00000 n 0003126870 00000 n 0003127071 00000 n 0003127141 00000 n 0003127221 00000 n 0003128057 00000 n 0003128390 00000 n 0003130060 00000 n 0003130542 00000 n 0003130745 00000 n 0003130797 00000 n 0003131019 00000 n 0003131089 00000 n 0003131169 00000 n 0003132005 00000 n 0003132338 00000 n 0003136429 00000 n 0003136879 00000 n 0003137082 00000 n 0003137152 00000 n 0003137232 00000 n 0003138068 00000 n 0003138401 00000 n 0003139954 00000 n 0003140436 00000 n 0003140639 00000 n 0003140691 00000 n 0003140910 00000 n 0003140980 00000 n 0003141060 00000 n 0003141897 00000 n 0003142230 00000 n 0003146134 00000 n 0003146601 00000 n 0003146804 00000 n 0003146865 00000 n 0003147062 00000 n 0003147260 00000 n 0003147330 00000 n 0003147410 00000 n 0003148247 00000 n 0003148580 00000 n 0003150454 00000 n 0003150908 00000 n 0003151111 00000 n 0003151172 00000 n 0003151388 00000 n 0003151609 00000 n 0003151679 00000 n 0003151759 00000 n 0003152597 00000 n 0003152930 00000 n 0003156907 00000 n 0003157374 00000 n 0003157577 00000 n 0003157710 00000 n 0003157913 00000 n 0003158125 00000 n 0003158331 00000 n 0003158545 00000 n 0003158746 00000 n 0003158957 00000 n 0003159164 00000 n 0003159373 00000 n 0003159577 00000 n 0003159791 00000 n 0003159861 00000 n 0003159941 00000 n 0003160779 00000 n 0003161112 00000 n 0003164095 00000 n 0003164577 00000 n 0003164780 00000 n 0003164832 00000 n 0003165048 00000 n 0003165118 00000 n 0003165198 00000 n 0003166034 00000 n 0003166367 00000 n 0003170166 00000 n 0003170590 00000 n 0003170793 00000 n 0003170845 00000 n 0003171050 00000 n 0003171120 00000 n 0003171200 00000 n 0003172037 00000 n 0003172370 00000 n 0003173618 00000 n 0003174100 00000 n 0003174303 00000 n 0003174364 00000 n 0003174579 00000 n 0003174800 00000 n 0003174870 00000 n 0003174950 00000 n 0003175787 00000 n 0003176120 00000 n 0003180570 00000 n 0003181037 00000 n 0003181240 00000 n 0003181337 00000 n 0003181542 00000 n 0003181751 00000 n 0003181955 00000 n 0003182158 00000 n 0003182361 00000 n 0003182571 00000 n 0003182641 00000 n 0003182721 00000 n 0003183557 00000 n 0003183890 00000 n 0003185903 00000 n 0003186400 00000 n 0003186603 00000 n 0003186655 00000 n 0003186879 00000 n 0003186949 00000 n 0003187029 00000 n 0003187866 00000 n 0003188199 00000 n 0003193164 00000 n 0003193631 00000 n 0003193834 00000 n 0003193904 00000 n 0003194110 00000 n 0003194314 00000 n 0003194521 00000 n 0003194591 00000 n 0003194671 00000 n 0003195508 00000 n 0003195841 00000 n 0003198669 00000 n 0003199123 00000 n 0003199326 00000 n 0003199378 00000 n 0003199598 00000 n 0003199668 00000 n 0003199748 00000 n 0003200584 00000 n 0003200917 00000 n 0003204908 00000 n 0003205375 00000 n 0003205578 00000 n 0003205693 00000 n 0003205904 00000 n 0003206120 00000 n 0003206328 00000 n 0003206536 00000 n 0003206743 00000 n 0003206955 00000 n 0003207164 00000 n 0003207378 00000 n 0003207448 00000 n 0003207528 00000 n 0003208364 00000 n 0003208697 00000 n 0003211631 00000 n 0003212113 00000 n 0003212316 00000 n 0003212368 00000 n 0003212596 00000 n 0003212666 00000 n 0003212746 00000 n 0003213583 00000 n 0003213916 00000 n 0003217719 00000 n 0003218186 00000 n 0003218389 00000 n 0003218486 00000 n 0003218698 00000 n 0003218905 00000 n 0003219112 00000 n 0003219316 00000 n 0003219520 00000 n 0003219725 00000 n 0003219795 00000 n 0003219875 00000 n 0003220711 00000 n 0003221044 00000 n 0003223950 00000 n 0003224432 00000 n 0003224635 00000 n 0003224687 00000 n 0003224915 00000 n 0003224985 00000 n 0003225065 00000 n 0003225900 00000 n 0003226233 00000 n 0003229996 00000 n 0003230463 00000 n 0003230666 00000 n 0003230772 00000 n 0003230984 00000 n 0003231191 00000 n 0003231396 00000 n 0003231609 00000 n 0003231811 00000 n 0003232013 00000 n 0003232216 00000 n 0003232286 00000 n 0003232366 00000 n 0003233201 00000 n 0003233534 00000 n 0003236021 00000 n 0003236503 00000 n 0003236706 00000 n 0003236758 00000 n 0003236972 00000 n 0003237042 00000 n 0003237122 00000 n 0003237958 00000 n 0003238291 00000 n 0003242004 00000 n 0003242471 00000 n 0003242674 00000 n 0003242753 00000 n 0003242963 00000 n 0003243172 00000 n 0003243379 00000 n 0003243588 00000 n 0003243658 00000 n 0003243738 00000 n 0003244575 00000 n 0003244908 00000 n 0003247556 00000 n 0003248038 00000 n 0003248241 00000 n 0003248293 00000 n 0003248513 00000 n 0003248583 00000 n 0003248663 00000 n 0003249499 00000 n 0003249832 00000 n 0003253711 00000 n 0003254150 00000 n 0003254353 00000 n 0003254432 00000 n 0003254642 00000 n 0003254852 00000 n 0003255059 00000 n 0003255269 00000 n 0003255339 00000 n 0003255419 00000 n 0003256255 00000 n 0003256588 00000 n 0003258680 00000 n 0003259162 00000 n 0003259365 00000 n 0003259417 00000 n 0003259636 00000 n 0003259706 00000 n 0003259786 00000 n 0003260622 00000 n 0003260955 00000 n 0003265355 00000 n 0003265833 00000 n 0003266036 00000 n 0003266106 00000 n 0003266186 00000 n 0003267022 00000 n 0003267355 00000 n 0003271363 00000 n 0003271845 00000 n 0003272048 00000 n 0003272154 00000 n 0003272358 00000 n 0003272561 00000 n 0003272764 00000 n 0003272973 00000 n 0003273176 00000 n 0003273382 00000 n 0003273585 00000 n 0003273655 00000 n 0003273735 00000 n 0003274572 00000 n 0003274905 00000 n 0003278583 00000 n 0003279037 00000 n 0003279240 00000 n 0003279292 00000 n 0003279512 00000 n 0003279582 00000 n 0003279662 00000 n 0003280499 00000 n 0003280832 00000 n 0003285138 00000 n 0003285605 00000 n 0003285808 00000 n 0003285923 00000 n 0003286130 00000 n 0003286342 00000 n 0003286553 00000 n 0003286757 00000 n 0003286966 00000 n 0003287175 00000 n 0003287378 00000 n 0003287587 00000 n 0003287657 00000 n 0003287737 00000 n 0003288574 00000 n 0003288907 00000 n 0003292383 00000 n 0003292865 00000 n 0003293068 00000 n 0003293120 00000 n 0003293340 00000 n 0003293410 00000 n 0003293490 00000 n 0003294327 00000 n 0003294660 00000 n 0003298731 00000 n 0003299170 00000 n 0003299373 00000 n 0003299497 00000 n 0003299702 00000 n 0003299912 00000 n 0003300115 00000 n 0003300326 00000 n 0003300528 00000 n 0003300737 00000 n 0003300946 00000 n 0003301158 00000 n 0003301368 00000 n 0003301438 00000 n 0003301518 00000 n 0003302355 00000 n 0003302688 00000 n 0003304562 00000 n 0003305059 00000 n 0003305262 00000 n 0003305314 00000 n 0003305531 00000 n 0003305601 00000 n 0003305681 00000 n 0003306517 00000 n 0003306850 00000 n 0003312727 00000 n 0003313223 00000 n 0003313426 00000 n 0003313487 00000 n 0003313689 00000 n 0003313888 00000 n 0003313958 00000 n 0003314038 00000 n 0003314876 00000 n 0003315209 00000 n 0003319869 00000 n 0003320380 00000 n 0003320583 00000 n 0003320635 00000 n 0003320859 00000 n 0003320929 00000 n 0003321009 00000 n 0003321845 00000 n 0003322178 00000 n 0003327288 00000 n 0003327727 00000 n 0003327930 00000 n 0003328000 00000 n 0003328203 00000 n 0003328400 00000 n 0003328597 00000 n 0003328667 00000 n 0003328747 00000 n 0003329584 00000 n 0003329917 00000 n 0003331909 00000 n 0003332363 00000 n 0003332566 00000 n 0003332618 00000 n 0003332846 00000 n 0003332916 00000 n 0003332996 00000 n 0003333832 00000 n 0003334165 00000 n 0003338021 00000 n 0003338513 00000 n 0003338716 00000 n 0003338786 00000 n 0003338866 00000 n 0003339704 00000 n 0003340037 00000 n 0003344978 00000 n 0003345417 00000 n 0003345620 00000 n 0003345708 00000 n 0003345912 00000 n 0003346123 00000 n 0003346326 00000 n 0003346537 00000 n 0003346743 00000 n 0003346813 00000 n 0003346893 00000 n 0003347730 00000 n 0003348063 00000 n 0003350004 00000 n 0003350501 00000 n 0003350704 00000 n 0003350756 00000 n 0003350976 00000 n 0003351046 00000 n 0003351126 00000 n 0003351963 00000 n 0003352296 00000 n 0003357872 00000 n 0003358339 00000 n 0003358542 00000 n 0003358657 00000 n 0003358865 00000 n 0003359074 00000 n 0003359284 00000 n 0003359492 00000 n 0003359698 00000 n 0003359905 00000 n 0003360116 00000 n 0003360321 00000 n 0003360391 00000 n 0003360471 00000 n 0003361308 00000 n 0003361641 00000 n 0003364617 00000 n 0003365071 00000 n 0003365274 00000 n 0003365326 00000 n 0003365554 00000 n 0003365624 00000 n 0003365704 00000 n 0003366540 00000 n 0003366873 00000 n 0003370029 00000 n 0003370511 00000 n 0003370714 00000 n 0003370775 00000 n 0003371007 00000 n 0003371234 00000 n 0003371304 00000 n 0003371384 00000 n 0003372221 00000 n 0003372554 00000 n 0003376955 00000 n 0003377422 00000 n 0003377625 00000 n 0003377677 00000 n 0003377879 00000 n 0003377949 00000 n 0003378029 00000 n 0003378866 00000 n 0003379199 00000 n 0003381356 00000 n 0003381810 00000 n 0003382013 00000 n 0003382065 00000 n 0003382297 00000 n 0003382367 00000 n 0003382447 00000 n 0003383285 00000 n 0003383618 00000 n 0003387883 00000 n 0003388350 00000 n 0003388553 00000 n 0003388614 00000 n 0003388816 00000 n 0003389016 00000 n 0003389086 00000 n 0003389166 00000 n 0003390002 00000 n 0003390335 00000 n 0003394254 00000 n 0003394719 00000 n 0003394922 00000 n 0003394992 00000 n 0003395072 00000 n 0003395908 00000 n 0003396241 00000 n 0003400723 00000 n 0003401190 00000 n 0003401393 00000 n 0003401445 00000 n 0003401677 00000 n 0003401747 00000 n 0003401827 00000 n 0003402665 00000 n 0003402998 00000 n 0003408113 00000 n 0003408591 00000 n 0003408794 00000 n 0003408864 00000 n 0003408944 00000 n 0003409780 00000 n 0003410113 00000 n 0003414268 00000 n 0003414704 00000 n 0003414907 00000 n 0003414977 00000 n 0003415057 00000 n 0003415893 00000 n 0003416226 00000 n 0003420060 00000 n 0003420538 00000 n 0003420741 00000 n 0003420811 00000 n 0003420891 00000 n 0003421727 00000 n 0003422060 00000 n 0003426084 00000 n 0003426523 00000 n 0003426726 00000 n 0003426805 00000 n 0003427011 00000 n 0003427219 00000 n 0003427421 00000 n 0003427621 00000 n 0003427691 00000 n 0003427771 00000 n 0003428608 00000 n 0003428941 00000 n 0003431314 00000 n 0003431768 00000 n 0003431971 00000 n 0003432023 00000 n 0003432239 00000 n 0003432309 00000 n 0003432389 00000 n 0003433225 00000 n 0003433558 00000 n 0003437545 00000 n 0003438012 00000 n 0003438215 00000 n 0003438294 00000 n 0003438498 00000 n 0003438700 00000 n 0003438902 00000 n 0003439102 00000 n 0003439172 00000 n 0003439252 00000 n 0003440088 00000 n 0003440421 00000 n 0003443639 00000 n 0003444121 00000 n 0003444375 00000 n 0003444647 00000 n 0003444850 00000 n 0003444938 00000 n 0003445154 00000 n 0003445404 00000 n 0003445620 00000 n 0003445690 00000 n 0003445770 00000 n 0003446608 00000 n 0003446941 00000 n 0003451248 00000 n 0003451712 00000 n 0003451915 00000 n 0003451985 00000 n 0003452065 00000 n 0003452902 00000 n 0003453235 00000 n 0003457730 00000 n 0003458169 00000 n 0003458372 00000 n 0003458433 00000 n 0003458629 00000 n 0003458825 00000 n 0003458895 00000 n 0003458975 00000 n 0003459811 00000 n 0003460144 00000 n 0003462338 00000 n 0003462792 00000 n 0003462995 00000 n 0003463047 00000 n 0003463273 00000 n 0003463343 00000 n 0003463423 00000 n 0003464261 00000 n 0003464594 00000 n 0003468892 00000 n 0003469370 00000 n 0003469573 00000 n 0003469643 00000 n 0003469723 00000 n 0003470560 00000 n 0003470893 00000 n 0003474892 00000 n 0003475359 00000 n 0003475562 00000 n 0003475668 00000 n 0003475875 00000 n 0003476086 00000 n 0003476297 00000 n 0003476509 00000 n 0003476715 00000 n 0003476921 00000 n 0003477128 00000 n 0003477198 00000 n 0003477278 00000 n 0003478115 00000 n 0003478448 00000 n 0003480991 00000 n 0003481474 00000 n 0003481677 00000 n 0003481729 00000 n 0003481945 00000 n 0003482015 00000 n 0003482095 00000 n 0003482932 00000 n 0003483265 00000 n 0003487751 00000 n 0003488258 00000 n 0003488461 00000 n 0003488531 00000 n 0003488611 00000 n 0003489447 00000 n 0003489780 00000 n 0003494964 00000 n 0003495403 00000 n 0003495606 00000 n 0003495721 00000 n 0003495926 00000 n 0003496127 00000 n 0003496329 00000 n 0003496534 00000 n 0003496740 00000 n 0003496948 00000 n 0003497160 00000 n 0003497365 00000 n 0003497435 00000 n 0003497515 00000 n 0003498351 00000 n 0003498684 00000 n 0003501091 00000 n 0003501573 00000 n 0003501776 00000 n 0003501828 00000 n 0003502047 00000 n 0003502117 00000 n 0003502197 00000 n 0003503034 00000 n 0003503367 00000 n 0003507700 00000 n 0003508167 00000 n 0003508370 00000 n 0003508431 00000 n 0003508636 00000 n 0003508841 00000 n 0003508911 00000 n 0003508991 00000 n 0003509828 00000 n 0003510161 00000 n 0003512956 00000 n 0003513438 00000 n 0003513641 00000 n 0003513693 00000 n 0003513917 00000 n 0003513987 00000 n 0003514067 00000 n 0003514904 00000 n 0003515237 00000 n 0003519284 00000 n 0003519723 00000 n 0003519926 00000 n 0003519978 00000 n 0003520173 00000 n 0003520243 00000 n 0003520323 00000 n 0003521160 00000 n 0003521493 00000 n 0003523032 00000 n 0003523486 00000 n 0003523689 00000 n 0003523741 00000 n 0003523958 00000 n 0003524028 00000 n 0003524108 00000 n 0003524945 00000 n 0003525278 00000 n 0003528399 00000 n 0003528853 00000 n 0003529056 00000 n 0003529108 00000 n 0003529340 00000 n 0003529410 00000 n 0003529490 00000 n 0003530327 00000 n 0003530660 00000 n 0003534599 00000 n 0003535063 00000 n 0003535266 00000 n 0003535336 00000 n 0003535416 00000 n 0003536252 00000 n 0003536585 00000 n 0003538932 00000 n 0003539386 00000 n 0003539589 00000 n 0003539650 00000 n 0003539867 00000 n 0003540098 00000 n 0003540168 00000 n 0003540248 00000 n 0003541084 00000 n 0003541417 00000 n 0003545521 00000 n 0003545988 00000 n 0003546191 00000 n 0003546252 00000 n 0003546454 00000 n 0003546661 00000 n 0003546731 00000 n 0003546811 00000 n 0003547648 00000 n 0003547981 00000 n 0003550546 00000 n 0003551000 00000 n 0003551203 00000 n 0003551255 00000 n 0003551472 00000 n 0003551542 00000 n 0003551622 00000 n 0003552459 00000 n 0003552792 00000 n 0003555879 00000 n 0003556333 00000 n 0003556536 00000 n 0003556606 00000 n 0003556825 00000 n 0003557048 00000 n 0003557290 00000 n 0003557360 00000 n 0003557440 00000 n 0003558277 00000 n 0003558610 00000 n 0003563064 00000 n 0003563556 00000 n 0003563759 00000 n 0003563829 00000 n 0003563909 00000 n 0003564746 00000 n 0003565079 00000 n 0003569329 00000 n 0003569754 00000 n 0003569957 00000 n 0003570027 00000 n 0003570237 00000 n 0003570440 00000 n 0003570642 00000 n 0003570712 00000 n 0003570792 00000 n 0003571628 00000 n 0003571961 00000 n 0003573633 00000 n 0003574115 00000 n 0003574318 00000 n 0003574379 00000 n 0003574595 00000 n 0003574815 00000 n 0003574885 00000 n 0003574965 00000 n 0003575801 00000 n 0003576134 00000 n 0003580228 00000 n 0003580695 00000 n 0003580898 00000 n 0003580968 00000 n 0003581181 00000 n 0003581395 00000 n 0003581606 00000 n 0003581676 00000 n 0003581756 00000 n 0003582593 00000 n 0003582926 00000 n 0003585921 00000 n 0003586375 00000 n 0003586578 00000 n 0003586630 00000 n 0003586847 00000 n 0003586917 00000 n 0003586997 00000 n 0003587834 00000 n 0003588167 00000 n 0003591199 00000 n 0003591653 00000 n 0003591856 00000 n 0003591908 00000 n 0003592125 00000 n 0003592195 00000 n 0003592275 00000 n 0003593111 00000 n 0003593444 00000 n 0003596422 00000 n 0003596904 00000 n 0003597107 00000 n 0003597177 00000 n 0003597396 00000 n 0003597619 00000 n 0003597854 00000 n 0003597924 00000 n 0003598004 00000 n 0003598841 00000 n 0003599174 00000 n 0003603779 00000 n 0003604286 00000 n 0003604489 00000 n 0003604559 00000 n 0003604639 00000 n 0003605476 00000 n 0003605809 00000 n 0003611078 00000 n 0003611545 00000 n 0003611748 00000 n 0003611872 00000 n 0003612074 00000 n 0003612276 00000 n 0003612482 00000 n 0003612684 00000 n 0003612889 00000 n 0003613102 00000 n 0003613302 00000 n 0003613510 00000 n 0003613715 00000 n 0003613785 00000 n 0003613865 00000 n 0003614701 00000 n 0003615034 00000 n 0003617883 00000 n 0003618365 00000 n 0003618568 00000 n 0003618620 00000 n 0003618848 00000 n 0003618918 00000 n 0003618998 00000 n 0003619835 00000 n 0003620168 00000 n 0003624346 00000 n 0003624842 00000 n 0003625096 00000 n 0003625368 00000 n 0003625571 00000 n 0003625641 00000 n 0003625721 00000 n 0003626560 00000 n 0003626893 00000 n 0003631642 00000 n 0003632106 00000 n 0003632309 00000 n 0003632379 00000 n 0003632459 00000 n 0003633296 00000 n 0003633629 00000 n 0003637829 00000 n 0003638296 00000 n 0003638499 00000 n 0003638605 00000 n 0003638809 00000 n 0003639020 00000 n 0003639232 00000 n 0003639443 00000 n 0003639648 00000 n 0003639857 00000 n 0003640069 00000 n 0003640139 00000 n 0003640219 00000 n 0003641055 00000 n 0003641388 00000 n 0003643427 00000 n 0003643909 00000 n 0003644162 00000 n 0003644365 00000 n 0003644426 00000 n 0003644654 00000 n 0003644724 00000 n 0003644804 00000 n 0003645641 00000 n 0003645974 00000 n 0003650410 00000 n 0003650883 00000 n 0003651155 00000 n 0003651358 00000 n 0003651428 00000 n 0003651508 00000 n 0003652345 00000 n 0003652678 00000 n 0003657570 00000 n 0003658048 00000 n 0003658251 00000 n 0003658321 00000 n 0003658401 00000 n 0003659237 00000 n 0003659570 00000 n 0003663248 00000 n 0003663672 00000 n 0003663875 00000 n 0003663981 00000 n 0003664185 00000 n 0003664390 00000 n 0003664596 00000 n 0003664799 00000 n 0003664998 00000 n 0003665201 00000 n 0003665407 00000 n 0003665477 00000 n 0003665557 00000 n 0003666394 00000 n 0003666727 00000 n 0003668113 00000 n 0003668595 00000 n 0003668798 00000 n 0003668850 00000 n 0003669078 00000 n 0003669148 00000 n 0003669228 00000 n 0003670065 00000 n 0003670398 00000 n 0003674340 00000 n 0003674836 00000 n 0003675090 00000 n 0003675362 00000 n 0003675565 00000 n 0003675635 00000 n 0003675715 00000 n 0003676551 00000 n 0003676884 00000 n 0003681642 00000 n 0003682109 00000 n 0003682312 00000 n 0003682373 00000 n 0003682579 00000 n 0003682786 00000 n 0003682856 00000 n 0003682936 00000 n 0003683772 00000 n 0003684105 00000 n 0003688387 00000 n 0003688783 00000 n 0003688986 00000 n 0003689065 00000 n 0003689263 00000 n 0003689461 00000 n 0003689661 00000 n 0003689863 00000 n 0003689933 00000 n 0003690013 00000 n 0003690850 00000 n 0003691183 00000 n 0003692477 00000 n 0003692959 00000 n 0003693212 00000 n 0003693415 00000 n 0003693476 00000 n 0003693704 00000 n 0003693774 00000 n 0003693854 00000 n 0003694691 00000 n 0003695024 00000 n 0003699522 00000 n 0003699995 00000 n 0003700267 00000 n 0003700470 00000 n 0003700540 00000 n 0003700620 00000 n 0003701456 00000 n 0003701789 00000 n 0003706561 00000 n 0003706986 00000 n 0003707189 00000 n 0003707268 00000 n 0003707471 00000 n 0003707671 00000 n 0003707872 00000 n 0003708074 00000 n 0003708144 00000 n 0003708224 00000 n 0003709061 00000 n 0003709394 00000 n 0003710994 00000 n 0003711476 00000 n 0003711679 00000 n 0003711731 00000 n 0003711959 00000 n 0003712029 00000 n 0003712109 00000 n 0003712946 00000 n 0003713279 00000 n 0003717669 00000 n 0003718165 00000 n 0003718419 00000 n 0003718691 00000 n 0003718894 00000 n 0003718964 00000 n 0003719044 00000 n 0003719880 00000 n 0003720213 00000 n 0003724994 00000 n 0003725472 00000 n 0003725675 00000 n 0003725745 00000 n 0003725825 00000 n 0003726661 00000 n 0003726994 00000 n 0003731238 00000 n 0003731663 00000 n 0003731866 00000 n 0003731972 00000 n 0003732176 00000 n 0003732372 00000 n 0003732569 00000 n 0003732764 00000 n 0003732960 00000 n 0003733160 00000 n 0003733362 00000 n 0003733432 00000 n 0003733512 00000 n 0003734349 00000 n 0003734682 00000 n 0003736415 00000 n 0003736897 00000 n 0003737100 00000 n 0003737152 00000 n 0003737380 00000 n 0003737450 00000 n 0003737530 00000 n 0003738366 00000 n 0003738699 00000 n 0003743002 00000 n 0003743498 00000 n 0003743752 00000 n 0003744024 00000 n 0003744227 00000 n 0003744297 00000 n 0003744377 00000 n 0003745213 00000 n 0003745546 00000 n 0003750321 00000 n 0003750788 00000 n 0003750991 00000 n 0003751043 00000 n 0003751247 00000 n 0003751317 00000 n 0003751397 00000 n 0003752233 00000 n 0003752566 00000 n 0003756665 00000 n 0003757075 00000 n 0003757278 00000 n 0003757384 00000 n 0003757584 00000 n 0003757785 00000 n 0003757989 00000 n 0003758187 00000 n 0003758385 00000 n 0003758585 00000 n 0003758787 00000 n 0003758857 00000 n 0003758937 00000 n 0003759774 00000 n 0003760107 00000 n 0003761457 00000 n 0003761939 00000 n 0003762142 00000 n 0003762194 00000 n 0003762422 00000 n 0003762492 00000 n 0003762572 00000 n 0003763408 00000 n 0003763741 00000 n 0003767877 00000 n 0003768373 00000 n 0003768627 00000 n 0003768899 00000 n 0003769102 00000 n 0003769172 00000 n 0003769252 00000 n 0003770089 00000 n 0003770422 00000 n 0003775297 00000 n 0003775764 00000 n 0003775967 00000 n 0003776100 00000 n 0003776311 00000 n 0003776522 00000 n 0003776734 00000 n 0003776943 00000 n 0003777152 00000 n 0003777356 00000 n 0003777560 00000 n 0003777765 00000 n 0003777971 00000 n 0003778179 00000 n 0003778249 00000 n 0003778329 00000 n 0003779168 00000 n 0003779501 00000 n 0003782874 00000 n 0003783356 00000 n 0003783559 00000 n 0003783611 00000 n 0003783839 00000 n 0003783909 00000 n 0003783989 00000 n 0003784825 00000 n 0003785158 00000 n 0003789481 00000 n 0003789977 00000 n 0003790231 00000 n 0003790503 00000 n 0003790706 00000 n 0003790776 00000 n 0003790856 00000 n 0003791693 00000 n 0003792026 00000 n 0003796764 00000 n 0003797242 00000 n 0003797445 00000 n 0003797515 00000 n 0003797595 00000 n 0003798432 00000 n 0003798765 00000 n 0003802934 00000 n 0003803359 00000 n 0003803562 00000 n 0003803668 00000 n 0003803872 00000 n 0003804068 00000 n 0003804265 00000 n 0003804460 00000 n 0003804656 00000 n 0003804856 00000 n 0003805058 00000 n 0003805128 00000 n 0003805208 00000 n 0003806044 00000 n 0003806377 00000 n 0003808081 00000 n 0003808563 00000 n 0003808766 00000 n 0003808818 00000 n 0003809046 00000 n 0003809116 00000 n 0003809196 00000 n 0003810032 00000 n 0003810365 00000 n 0003814603 00000 n 0003815099 00000 n 0003815353 00000 n 0003815625 00000 n 0003815828 00000 n 0003815898 00000 n 0003815978 00000 n 0003816814 00000 n 0003817147 00000 n 0003821841 00000 n 0003822319 00000 n 0003822522 00000 n 0003822592 00000 n 0003822672 00000 n 0003823509 00000 n 0003823842 00000 n 0003827965 00000 n 0003828389 00000 n 0003828592 00000 n 0003828707 00000 n 0003828907 00000 n 0003829107 00000 n 0003829308 00000 n 0003829511 00000 n 0003829715 00000 n 0003829913 00000 n 0003830113 00000 n 0003830315 00000 n 0003830385 00000 n 0003830465 00000 n 0003831301 00000 n 0003831634 00000 n 0003833036 00000 n 0003833518 00000 n 0003833721 00000 n 0003833773 00000 n 0003834001 00000 n 0003834071 00000 n 0003834151 00000 n 0003834988 00000 n 0003835321 00000 n 0003839406 00000 n 0003839902 00000 n 0003840156 00000 n 0003840428 00000 n 0003840631 00000 n 0003840701 00000 n 0003840781 00000 n 0003841618 00000 n 0003841951 00000 n 0003846784 00000 n 0003847251 00000 n 0003847454 00000 n 0003847578 00000 n 0003847784 00000 n 0003847990 00000 n 0003848197 00000 n 0003848406 00000 n 0003848616 00000 n 0003848820 00000 n 0003849024 00000 n 0003849230 00000 n 0003849438 00000 n 0003849508 00000 n 0003849588 00000 n 0003850424 00000 n 0003850757 00000 n 0003853881 00000 n 0003854335 00000 n 0003854538 00000 n 0003854590 00000 n 0003854818 00000 n 0003854888 00000 n 0003854968 00000 n 0003855804 00000 n 0003856137 00000 n 0003860048 00000 n 0003860515 00000 n 0003860718 00000 n 0003860797 00000 n 0003861006 00000 n 0003861215 00000 n 0003861425 00000 n 0003861633 00000 n 0003861703 00000 n 0003861783 00000 n 0003862620 00000 n 0003862953 00000 n 0003865984 00000 n 0003866466 00000 n 0003866669 00000 n 0003866730 00000 n 0003866946 00000 n 0003867162 00000 n 0003867232 00000 n 0003867312 00000 n 0003868149 00000 n 0003868482 00000 n 0003872519 00000 n 0003873015 00000 n 0003873269 00000 n 0003873541 00000 n 0003873744 00000 n 0003873814 00000 n 0003873894 00000 n 0003874730 00000 n 0003875063 00000 n 0003879753 00000 n 0003880220 00000 n 0003880423 00000 n 0003880502 00000 n 0003880696 00000 n 0003880892 00000 n 0003881092 00000 n 0003881294 00000 n 0003881364 00000 n 0003881444 00000 n 0003882281 00000 n 0003882614 00000 n 0003886090 00000 n 0003886572 00000 n 0003886775 00000 n 0003886836 00000 n 0003887052 00000 n 0003887268 00000 n 0003887338 00000 n 0003887418 00000 n 0003888254 00000 n 0003888587 00000 n 0003893093 00000 n 0003893589 00000 n 0003893843 00000 n 0003894115 00000 n 0003894318 00000 n 0003894388 00000 n 0003894468 00000 n 0003895304 00000 n 0003895637 00000 n 0003900328 00000 n 0003900795 00000 n 0003900998 00000 n 0003901068 00000 n 0003901260 00000 n 0003901460 00000 n 0003901662 00000 n 0003901732 00000 n 0003901812 00000 n 0003902649 00000 n 0003902982 00000 n 0003906382 00000 n 0003906850 00000 n 0003907053 00000 n 0003907114 00000 n 0003907330 00000 n 0003907550 00000 n 0003907620 00000 n 0003907700 00000 n 0003908537 00000 n 0003908870 00000 n 0003913487 00000 n 0003913983 00000 n 0003914237 00000 n 0003914509 00000 n 0003914712 00000 n 0003914782 00000 n 0003914862 00000 n 0003915699 00000 n 0003916032 00000 n 0003920799 00000 n 0003921266 00000 n 0003921469 00000 n 0003921557 00000 n 0003921767 00000 n 0003921974 00000 n 0003922191 00000 n 0003922398 00000 n 0003922600 00000 n 0003922670 00000 n 0003922750 00000 n 0003923587 00000 n 0003923920 00000 n 0003927186 00000 n 0003927654 00000 n 0003927857 00000 n 0003927918 00000 n 0003928134 00000 n 0003928354 00000 n 0003928424 00000 n 0003928504 00000 n 0003929341 00000 n 0003929674 00000 n 0003934192 00000 n 0003934688 00000 n 0003934942 00000 n 0003935214 00000 n 0003935417 00000 n 0003935487 00000 n 0003935567 00000 n 0003936403 00000 n 0003936736 00000 n 0003941481 00000 n 0003941948 00000 n 0003942151 00000 n 0003942230 00000 n 0003942440 00000 n 0003942657 00000 n 0003942864 00000 n 0003943066 00000 n 0003943136 00000 n 0003943216 00000 n 0003944054 00000 n 0003944387 00000 n 0003947634 00000 n 0003948102 00000 n 0003948305 00000 n 0003948366 00000 n 0003948582 00000 n 0003948802 00000 n 0003948872 00000 n 0003948952 00000 n 0003949788 00000 n 0003950121 00000 n 0003954786 00000 n 0003955282 00000 n 0003955536 00000 n 0003955808 00000 n 0003956011 00000 n 0003956081 00000 n 0003956161 00000 n 0003956997 00000 n 0003957330 00000 n 0003962075 00000 n 0003962542 00000 n 0003962745 00000 n 0003962833 00000 n 0003963043 00000 n 0003963250 00000 n 0003963467 00000 n 0003963674 00000 n 0003963876 00000 n 0003963946 00000 n 0003964026 00000 n 0003964862 00000 n 0003965195 00000 n 0003968427 00000 n 0003968895 00000 n 0003969098 00000 n 0003969159 00000 n 0003969375 00000 n 0003969595 00000 n 0003969665 00000 n 0003969745 00000 n 0003970582 00000 n 0003970915 00000 n 0003975500 00000 n 0003975996 00000 n 0003976250 00000 n 0003976522 00000 n 0003976725 00000 n 0003976795 00000 n 0003976875 00000 n 0003977712 00000 n 0003978045 00000 n 0003982780 00000 n 0003983247 00000 n 0003983450 00000 n 0003983538 00000 n 0003983743 00000 n 0003983950 00000 n 0003984167 00000 n 0003984374 00000 n 0003984576 00000 n 0003984646 00000 n 0003984726 00000 n 0003985563 00000 n 0003985896 00000 n 0003988764 00000 n 0003989232 00000 n 0003989435 00000 n 0003989496 00000 n 0003989712 00000 n 0003989932 00000 n 0003990002 00000 n 0003990082 00000 n 0003990918 00000 n 0003991251 00000 n 0003995696 00000 n 0003996192 00000 n 0003996446 00000 n 0003996718 00000 n 0003996921 00000 n 0003996991 00000 n 0003997071 00000 n 0003997907 00000 n 0003998240 00000 n 0004002989 00000 n 0004003456 00000 n 0004003659 00000 n 0004003756 00000 n 0004003960 00000 n 0004004161 00000 n 0004004367 00000 n 0004004578 00000 n 0004004779 00000 n 0004004981 00000 n 0004005051 00000 n 0004005131 00000 n 0004005969 00000 n 0004006302 00000 n 0004009409 00000 n 0004009877 00000 n 0004010080 00000 n 0004010141 00000 n 0004010357 00000 n 0004010577 00000 n 0004010647 00000 n 0004010727 00000 n 0004011563 00000 n 0004011896 00000 n 0004016380 00000 n 0004016876 00000 n 0004017130 00000 n 0004017402 00000 n 0004017605 00000 n 0004017675 00000 n 0004017755 00000 n 0004018593 00000 n 0004018926 00000 n 0004023611 00000 n 0004024078 00000 n 0004024281 00000 n 0004024369 00000 n 0004024579 00000 n 0004024786 00000 n 0004025003 00000 n 0004025210 00000 n 0004025412 00000 n 0004025482 00000 n 0004025562 00000 n 0004026399 00000 n 0004026732 00000 n 0004029613 00000 n 0004030081 00000 n 0004030284 00000 n 0004030345 00000 n 0004030561 00000 n 0004030781 00000 n 0004030851 00000 n 0004030931 00000 n 0004031767 00000 n 0004032100 00000 n 0004036683 00000 n 0004037179 00000 n 0004037433 00000 n 0004037705 00000 n 0004037908 00000 n 0004037978 00000 n 0004038058 00000 n 0004038895 00000 n 0004039228 00000 n 0004043892 00000 n 0004044359 00000 n 0004044562 00000 n 0004044650 00000 n 0004044860 00000 n 0004045082 00000 n 0004045298 00000 n 0004045510 00000 n 0004045712 00000 n 0004045782 00000 n 0004045862 00000 n 0004046698 00000 n 0004047031 00000 n 0004050285 00000 n 0004050753 00000 n 0004050956 00000 n 0004051017 00000 n 0004051233 00000 n 0004051453 00000 n 0004051523 00000 n 0004051603 00000 n 0004052439 00000 n 0004052772 00000 n 0004057186 00000 n 0004057682 00000 n 0004057936 00000 n 0004058208 00000 n 0004058411 00000 n 0004058481 00000 n 0004058561 00000 n 0004059397 00000 n 0004059730 00000 n 0004064399 00000 n 0004064866 00000 n 0004065069 00000 n 0004065157 00000 n 0004065367 00000 n 0004065574 00000 n 0004065791 00000 n 0004065998 00000 n 0004066200 00000 n 0004066270 00000 n 0004066350 00000 n 0004067186 00000 n 0004067519 00000 n 0004070416 00000 n 0004070884 00000 n 0004071087 00000 n 0004071148 00000 n 0004071364 00000 n 0004071584 00000 n 0004071654 00000 n 0004071734 00000 n 0004072570 00000 n 0004072903 00000 n 0004077320 00000 n 0004077816 00000 n 0004078070 00000 n 0004078342 00000 n 0004078545 00000 n 0004078615 00000 n 0004078695 00000 n 0004079531 00000 n 0004079864 00000 n 0004084713 00000 n 0004085180 00000 n 0004085383 00000 n 0004085471 00000 n 0004085681 00000 n 0004085888 00000 n 0004086105 00000 n 0004086312 00000 n 0004086514 00000 n 0004086584 00000 n 0004086664 00000 n 0004087502 00000 n 0004087835 00000 n 0004090377 00000 n 0004090845 00000 n 0004091048 00000 n 0004091109 00000 n 0004091325 00000 n 0004091545 00000 n 0004091615 00000 n 0004091695 00000 n 0004092531 00000 n 0004092864 00000 n 0004097371 00000 n 0004097867 00000 n 0004098121 00000 n 0004098393 00000 n 0004098596 00000 n 0004098666 00000 n 0004098746 00000 n 0004099582 00000 n 0004099915 00000 n 0004104624 00000 n 0004105091 00000 n 0004105294 00000 n 0004105382 00000 n 0004105586 00000 n 0004105787 00000 n 0004105998 00000 n 0004106195 00000 n 0004106391 00000 n 0004106461 00000 n 0004106541 00000 n 0004107378 00000 n 0004107711 00000 n 0004110737 00000 n 0004111205 00000 n 0004111408 00000 n 0004111469 00000 n 0004111685 00000 n 0004111905 00000 n 0004111975 00000 n 0004112055 00000 n 0004112892 00000 n 0004113225 00000 n 0004117895 00000 n 0004118391 00000 n 0004118645 00000 n 0004118917 00000 n 0004119120 00000 n 0004119190 00000 n 0004119270 00000 n 0004120106 00000 n 0004120439 00000 n 0004124883 00000 n 0004125350 00000 n 0004125553 00000 n 0004125641 00000 n 0004125853 00000 n 0004126062 00000 n 0004126272 00000 n 0004126479 00000 n 0004126679 00000 n 0004126749 00000 n 0004126829 00000 n 0004127666 00000 n 0004127999 00000 n 0004131992 00000 n 0004132388 00000 n 0004132591 00000 n 0004132661 00000 n 0004132867 00000 n 0004133072 00000 n 0004133273 00000 n 0004133343 00000 n 0004133423 00000 n 0004134259 00000 n 0004134592 00000 n 0004135866 00000 n 0004136334 00000 n 0004136537 00000 n 0004136598 00000 n 0004136814 00000 n 0004137034 00000 n 0004137104 00000 n 0004137184 00000 n 0004138020 00000 n 0004138353 00000 n 0004142865 00000 n 0004143361 00000 n 0004143615 00000 n 0004143887 00000 n 0004144090 00000 n 0004144160 00000 n 0004144240 00000 n 0004145076 00000 n 0004145409 00000 n 0004150195 00000 n 0004150673 00000 n 0004150876 00000 n 0004150946 00000 n 0004151026 00000 n 0004151862 00000 n 0004152195 00000 n 0004156346 00000 n 0004156785 00000 n 0004156988 00000 n 0004157085 00000 n 0004157291 00000 n 0004157494 00000 n 0004157698 00000 n 0004157900 00000 n 0004158117 00000 n 0004158324 00000 n 0004158394 00000 n 0004158474 00000 n 0004159310 00000 n 0004159643 00000 n 0004161949 00000 n 0004162417 00000 n 0004162620 00000 n 0004162690 00000 n 0004162906 00000 n 0004163130 00000 n 0004163350 00000 n 0004163420 00000 n 0004163500 00000 n 0004164336 00000 n 0004164669 00000 n 0004169044 00000 n 0004169554 00000 n 0004169808 00000 n 0004170075 00000 n 0004170278 00000 n 0004170348 00000 n 0004170428 00000 n 0004171266 00000 n 0004171599 00000 n 0004176426 00000 n 0004176893 00000 n 0004177096 00000 n 0004177166 00000 n 0004177376 00000 n 0004177592 00000 n 0004177801 00000 n 0004177871 00000 n 0004177951 00000 n 0004178788 00000 n 0004179121 00000 n 0004183217 00000 n 0004183613 00000 n 0004183816 00000 n 0004183886 00000 n 0004184086 00000 n 0004184288 00000 n 0004184487 00000 n 0004184557 00000 n 0004184637 00000 n 0004185474 00000 n 0004185807 00000 n 0004187063 00000 n 0004187531 00000 n 0004187734 00000 n 0004187804 00000 n 0004188020 00000 n 0004188244 00000 n 0004188464 00000 n 0004188534 00000 n 0004188614 00000 n 0004189450 00000 n 0004189783 00000 n 0004194241 00000 n 0004194766 00000 n 0004195020 00000 n 0004195292 00000 n 0004195495 00000 n 0004195565 00000 n 0004195645 00000 n 0004196481 00000 n 0004196814 00000 n 0004201881 00000 n 0004202348 00000 n 0004202551 00000 n 0004202630 00000 n 0004202840 00000 n 0004203057 00000 n 0004203267 00000 n 0004203471 00000 n 0004203541 00000 n 0004203621 00000 n 0004204458 00000 n 0004204791 00000 n 0004208910 00000 n 0004209306 00000 n 0004209509 00000 n 0004209570 00000 n 0004209772 00000 n 0004209971 00000 n 0004210041 00000 n 0004210121 00000 n 0004210958 00000 n 0004211291 00000 n 0004212527 00000 n 0004213009 00000 n 0004213212 00000 n 0004213264 00000 n 0004213480 00000 n 0004213550 00000 n 0004213630 00000 n 0004214468 00000 n 0004214801 00000 n 0004219351 00000 n 0004219847 00000 n 0004220101 00000 n 0004220373 00000 n 0004220576 00000 n 0004220646 00000 n 0004220726 00000 n 0004221562 00000 n 0004221895 00000 n 0004226717 00000 n 0004227184 00000 n 0004227387 00000 n 0004227457 00000 n 0004227663 00000 n 0004227866 00000 n 0004228074 00000 n 0004228144 00000 n 0004228224 00000 n 0004229060 00000 n 0004229393 00000 n 0004232433 00000 n 0004232887 00000 n 0004233090 00000 n 0004233160 00000 n 0004233370 00000 n 0004233586 00000 n 0004233809 00000 n 0004233879 00000 n 0004233959 00000 n 0004234796 00000 n 0004235129 00000 n 0004239360 00000 n 0004239885 00000 n 0004240137 00000 n 0004240409 00000 n 0004240612 00000 n 0004240682 00000 n 0004240762 00000 n 0004241599 00000 n 0004241932 00000 n 0004247345 00000 n 0004247809 00000 n 0004248012 00000 n 0004248082 00000 n 0004248162 00000 n 0004248998 00000 n 0004249331 00000 n 0004253935 00000 n 0004254413 00000 n 0004254616 00000 n 0004254686 00000 n 0004254766 00000 n 0004255603 00000 n 0004255936 00000 n 0004260136 00000 n 0004260575 00000 n 0004260778 00000 n 0004260902 00000 n 0004261111 00000 n 0004261322 00000 n 0004261528 00000 n 0004261729 00000 n 0004261930 00000 n 0004262136 00000 n 0004262344 00000 n 0004262547 00000 n 0004262755 00000 n 0004262825 00000 n 0004262905 00000 n 0004263741 00000 n 0004264074 00000 n 0004265953 00000 n 0004266407 00000 n 0004266610 00000 n 0004266671 00000 n 0004266891 00000 n 0004267112 00000 n 0004267182 00000 n 0004267262 00000 n 0004268098 00000 n 0004268431 00000 n 0004272959 00000 n 0004273455 00000 n 0004273709 00000 n 0004273975 00000 n 0004274178 00000 n 0004274248 00000 n 0004274328 00000 n 0004275164 00000 n 0004275497 00000 n 0004280067 00000 n 0004280563 00000 n 0004280766 00000 n 0004280818 00000 n 0004281025 00000 n 0004281095 00000 n 0004281175 00000 n 0004282014 00000 n 0004282347 00000 n 0004287016 00000 n 0004287412 00000 n 0004287615 00000 n 0004287730 00000 n 0004287934 00000 n 0004288137 00000 n 0004288338 00000 n 0004288534 00000 n 0004288733 00000 n 0004288937 00000 n 0004289136 00000 n 0004289335 00000 n 0004289405 00000 n 0004289485 00000 n 0004290321 00000 n 0004290654 00000 n 0004292047 00000 n 0004292529 00000 n 0004292732 00000 n 0004292784 00000 n 0004293000 00000 n 0004293070 00000 n 0004293150 00000 n 0004293987 00000 n 0004294320 00000 n 0004298365 00000 n 0004298861 00000 n 0004299115 00000 n 0004299387 00000 n 0004299590 00000 n 0004299660 00000 n 0004299740 00000 n 0004300578 00000 n 0004300911 00000 n 0004305763 00000 n 0004306230 00000 n 0004306433 00000 n 0004306503 00000 n 0004306705 00000 n 0004306911 00000 n 0004307119 00000 n 0004307189 00000 n 0004307269 00000 n 0004308106 00000 n 0004308439 00000 n 0004312109 00000 n 0004312591 00000 n 0004312794 00000 n 0004312864 00000 n 0004313074 00000 n 0004313290 00000 n 0004313513 00000 n 0004313583 00000 n 0004313663 00000 n 0004314500 00000 n 0004314833 00000 n 0004319301 00000 n 0004319797 00000 n 0004320051 00000 n 0004320323 00000 n 0004320526 00000 n 0004320596 00000 n 0004320676 00000 n 0004321513 00000 n 0004321846 00000 n 0004326453 00000 n 0004326931 00000 n 0004327134 00000 n 0004327204 00000 n 0004327284 00000 n 0004328122 00000 n 0004328455 00000 n 0004332510 00000 n 0004332920 00000 n 0004333123 00000 n 0004333220 00000 n 0004333417 00000 n 0004333616 00000 n 0004333825 00000 n 0004334032 00000 n 0004334232 00000 n 0004334434 00000 n 0004334504 00000 n 0004334584 00000 n 0004335421 00000 n 0004335754 00000 n 0004337123 00000 n 0004337577 00000 n 0004337780 00000 n 0004337832 00000 n 0004338079 00000 n 0004338149 00000 n 0004338229 00000 n 0004339065 00000 n 0004339398 00000 n 0004343704 00000 n 0004344186 00000 n 0004344440 00000 n 0004344712 00000 n 0004344915 00000 n 0004344985 00000 n 0004345065 00000 n 0004345902 00000 n 0004346235 00000 n 0004350775 00000 n 0004351242 00000 n 0004351445 00000 n 0004351515 00000 n 0004351735 00000 n 0004351956 00000 n 0004352179 00000 n 0004352249 00000 n 0004352329 00000 n 0004353167 00000 n 0004353500 00000 n 0004356127 00000 n 0004356609 00000 n 0004356812 00000 n 0004356873 00000 n 0004357089 00000 n 0004357310 00000 n 0004357380 00000 n 0004357460 00000 n 0004358296 00000 n 0004358629 00000 n 0004362917 00000 n 0004363384 00000 n 0004363587 00000 n 0004363702 00000 n 0004363914 00000 n 0004364122 00000 n 0004364325 00000 n 0004364529 00000 n 0004364743 00000 n 0004364948 00000 n 0004365157 00000 n 0004365364 00000 n 0004365434 00000 n 0004365514 00000 n 0004366352 00000 n 0004366685 00000 n 0004369389 00000 n 0004369871 00000 n 0004370074 00000 n 0004370135 00000 n 0004370351 00000 n 0004370575 00000 n 0004370645 00000 n 0004370725 00000 n 0004371563 00000 n 0004371896 00000 n 0004375932 00000 n 0004376342 00000 n 0004376545 00000 n 0004376615 00000 n 0004376825 00000 n 0004377036 00000 n 0004377244 00000 n 0004377314 00000 n 0004377394 00000 n 0004378231 00000 n 0004378564 00000 n 0004379883 00000 n 0004380365 00000 n 0004380568 00000 n 0004380638 00000 n 0004380854 00000 n 0004381073 00000 n 0004381288 00000 n 0004381358 00000 n 0004381438 00000 n 0004382275 00000 n 0004382608 00000 n 0004387000 00000 n 0004387496 00000 n 0004387750 00000 n 0004388020 00000 n 0004388223 00000 n 0004388293 00000 n 0004388373 00000 n 0004389210 00000 n 0004389543 00000 n 0004394320 00000 n 0004394787 00000 n 0004394990 00000 n 0004395087 00000 n 0004395296 00000 n 0004395505 00000 n 0004395712 00000 n 0004395918 00000 n 0004396126 00000 n 0004396331 00000 n 0004396401 00000 n 0004396481 00000 n 0004397317 00000 n 0004397650 00000 n 0004400975 00000 n 0004401457 00000 n 0004401660 00000 n 0004401730 00000 n 0004401946 00000 n 0004402165 00000 n 0004402380 00000 n 0004402450 00000 n 0004402530 00000 n 0004403366 00000 n 0004403699 00000 n 0004407916 00000 n 0004408412 00000 n 0004408666 00000 n 0004408937 00000 n 0004409140 00000 n 0004409210 00000 n 0004409290 00000 n 0004410126 00000 n 0004410459 00000 n 0004415233 00000 n 0004415700 00000 n 0004415903 00000 n 0004415982 00000 n 0004416191 00000 n 0004416400 00000 n 0004416606 00000 n 0004416814 00000 n 0004416884 00000 n 0004416964 00000 n 0004417802 00000 n 0004418135 00000 n 0004421629 00000 n 0004422111 00000 n 0004422364 00000 n 0004422567 00000 n 0004422628 00000 n 0004422844 00000 n 0004422914 00000 n 0004422994 00000 n 0004423831 00000 n 0004424164 00000 n 0004428606 00000 n 0004429079 00000 n 0004429351 00000 n 0004429554 00000 n 0004429624 00000 n 0004429704 00000 n 0004430541 00000 n 0004430874 00000 n 0004435716 00000 n 0004436183 00000 n 0004436386 00000 n 0004436438 00000 n 0004436640 00000 n 0004436710 00000 n 0004436790 00000 n 0004437628 00000 n 0004437961 00000 n 0004439831 00000 n 0004440313 00000 n 0004440516 00000 n 0004440577 00000 n 0004440787 00000 n 0004441008 00000 n 0004441078 00000 n 0004441158 00000 n 0004441994 00000 n 0004442327 00000 n 0004446840 00000 n 0004447322 00000 n 0004447576 00000 n 0004447848 00000 n 0004448051 00000 n 0004448121 00000 n 0004448201 00000 n 0004449038 00000 n 0004449371 00000 n 0004453990 00000 n 0004454457 00000 n 0004454660 00000 n 0004454748 00000 n 0004454956 00000 n 0004455166 00000 n 0004455372 00000 n 0004455575 00000 n 0004455783 00000 n 0004455853 00000 n 0004455933 00000 n 0004456770 00000 n 0004457103 00000 n 0004460153 00000 n 0004460635 00000 n 0004460838 00000 n 0004460899 00000 n 0004461109 00000 n 0004461330 00000 n 0004461400 00000 n 0004461480 00000 n 0004462316 00000 n 0004462649 00000 n 0004467048 00000 n 0004467544 00000 n 0004467798 00000 n 0004468070 00000 n 0004468273 00000 n 0004468343 00000 n 0004468423 00000 n 0004469260 00000 n 0004469593 00000 n 0004474277 00000 n 0004474744 00000 n 0004474947 00000 n 0004475035 00000 n 0004475241 00000 n 0004475452 00000 n 0004475658 00000 n 0004475861 00000 n 0004476069 00000 n 0004476139 00000 n 0004476219 00000 n 0004477056 00000 n 0004477389 00000 n 0004480421 00000 n 0004480875 00000 n 0004481078 00000 n 0004481139 00000 n 0004481349 00000 n 0004481570 00000 n 0004481640 00000 n 0004481720 00000 n 0004482557 00000 n 0004482890 00000 n 0004487200 00000 n 0004487696 00000 n 0004487950 00000 n 0004488222 00000 n 0004488425 00000 n 0004488495 00000 n 0004488575 00000 n 0004489412 00000 n 0004489745 00000 n 0004494746 00000 n 0004495213 00000 n 0004495416 00000 n 0004495531 00000 n 0004495739 00000 n 0004495952 00000 n 0004496154 00000 n 0004496355 00000 n 0004496556 00000 n 0004496758 00000 n 0004496959 00000 n 0004497160 00000 n 0004497230 00000 n 0004497310 00000 n 0004498148 00000 n 0004498481 00000 n 0004502065 00000 n 0004502519 00000 n 0004502773 00000 n 0004502976 00000 n 0004503037 00000 n 0004503265 00000 n 0004503335 00000 n 0004503415 00000 n 0004504252 00000 n 0004504585 00000 n 0004508748 00000 n 0004509221 00000 n 0004509493 00000 n 0004509696 00000 n 0004509766 00000 n 0004509846 00000 n 0004510683 00000 n 0004511016 00000 n 0004515809 00000 n 0004516276 00000 n 0004516479 00000 n 0004516531 00000 n 0004516744 00000 n 0004516814 00000 n 0004516894 00000 n 0004517730 00000 n 0004518063 00000 n 0004520039 00000 n 0004520493 00000 n 0004520696 00000 n 0004520757 00000 n 0004520973 00000 n 0004521197 00000 n 0004521267 00000 n 0004521347 00000 n 0004522184 00000 n 0004522517 00000 n 0004526489 00000 n 0004526985 00000 n 0004527235 00000 n 0004527507 00000 n 0004527710 00000 n 0004527780 00000 n 0004527860 00000 n 0004528697 00000 n 0004529030 00000 n 0004534191 00000 n 0004534684 00000 n 0004534887 00000 n 0004534957 00000 n 0004535037 00000 n 0004535873 00000 n 0004536206 00000 n 0004541155 00000 n 0004541622 00000 n 0004541825 00000 n 0004541886 00000 n 0004542099 00000 n 0004542307 00000 n 0004542377 00000 n 0004542457 00000 n 0004543294 00000 n 0004543627 00000 n 0004546828 00000 n 0004547310 00000 n 0004547513 00000 n 0004547574 00000 n 0004547790 00000 n 0004548014 00000 n 0004548084 00000 n 0004548164 00000 n 0004549000 00000 n 0004549333 00000 n 0004553333 00000 n 0004553829 00000 n 0004554083 00000 n 0004554355 00000 n 0004554558 00000 n 0004554628 00000 n 0004554708 00000 n 0004555545 00000 n 0004555878 00000 n 0004560774 00000 n 0004561241 00000 n 0004561444 00000 n 0004561505 00000 n 0004561707 00000 n 0004561915 00000 n 0004561985 00000 n 0004562065 00000 n 0004562902 00000 n 0004563235 00000 n 0004566704 00000 n 0004567186 00000 n 0004567389 00000 n 0004567450 00000 n 0004567661 00000 n 0004567884 00000 n 0004567954 00000 n 0004568034 00000 n 0004568871 00000 n 0004569204 00000 n 0004573548 00000 n 0004574044 00000 n 0004574298 00000 n 0004574570 00000 n 0004574773 00000 n 0004574843 00000 n 0004574923 00000 n 0004575760 00000 n 0004576093 00000 n 0004580716 00000 n 0004581183 00000 n 0004581386 00000 n 0004581456 00000 n 0004581671 00000 n 0004581874 00000 n 0004582082 00000 n 0004582152 00000 n 0004582232 00000 n 0004583069 00000 n 0004583402 00000 n 0004586460 00000 n 0004586914 00000 n 0004587117 00000 n 0004587169 00000 n 0004587393 00000 n 0004587463 00000 n 0004587543 00000 n 0004588380 00000 n 0004588713 00000 n 0004592202 00000 n 0004592669 00000 n 0004592923 00000 n 0004593195 00000 n 0004593398 00000 n 0004593504 00000 n 0004593722 00000 n 0004593944 00000 n 0004594160 00000 n 0004594383 00000 n 0004594602 00000 n 0004594672 00000 n 0004594752 00000 n 0004595588 00000 n 0004595921 00000 n 0004599674 00000 n 0004600156 00000 n 0004600410 00000 n 0004600682 00000 n 0004600885 00000 n 0004600955 00000 n 0004601179 00000 n 0004601249 00000 n 0004601329 00000 n 0004602167 00000 n 0004602500 00000 n 0004606795 00000 n 0004607262 00000 n 0004607465 00000 n 0004607553 00000 n 0004607771 00000 n 0004607993 00000 n 0004608213 00000 n 0004608436 00000 n 0004608655 00000 n 0004608725 00000 n 0004608805 00000 n 0004609642 00000 n 0004609975 00000 n 0004612651 00000 n 0004613133 00000 n 0004613336 00000 n 0004613406 00000 n 0004613616 00000 n 0004613832 00000 n 0004614046 00000 n 0004614116 00000 n 0004614196 00000 n 0004615033 00000 n 0004615366 00000 n 0004619017 00000 n 0004619527 00000 n 0004619730 00000 n 0004619791 00000 n 0004620007 00000 n 0004620236 00000 n 0004620306 00000 n 0004620386 00000 n 0004621222 00000 n 0004621555 00000 n 0004625763 00000 n 0004626230 00000 n 0004626433 00000 n 0004626521 00000 n 0004626732 00000 n 0004626943 00000 n 0004627151 00000 n 0004627354 00000 n 0004627559 00000 n 0004627629 00000 n 0004627709 00000 n 0004628547 00000 n 0004628880 00000 n 0004631709 00000 n 0004632177 00000 n 0004632380 00000 n 0004632441 00000 n 0004632661 00000 n 0004632882 00000 n 0004632952 00000 n 0004633032 00000 n 0004633869 00000 n 0004634202 00000 n 0004638785 00000 n 0004639281 00000 n 0004639535 00000 n 0004639807 00000 n 0004640010 00000 n 0004640080 00000 n 0004640160 00000 n 0004640997 00000 n 0004641330 00000 n 0004645955 00000 n 0004646422 00000 n 0004646625 00000 n 0004646713 00000 n 0004646923 00000 n 0004647133 00000 n 0004647337 00000 n 0004647544 00000 n 0004647749 00000 n 0004647819 00000 n 0004647899 00000 n 0004648735 00000 n 0004649068 00000 n 0004652485 00000 n 0004652939 00000 n 0004653142 00000 n 0004653203 00000 n 0004653423 00000 n 0004653644 00000 n 0004653714 00000 n 0004653794 00000 n 0004654632 00000 n 0004654965 00000 n 0004658874 00000 n 0004659384 00000 n 0004659638 00000 n 0004659910 00000 n 0004660113 00000 n 0004660183 00000 n 0004660263 00000 n 0004661099 00000 n 0004661432 00000 n 0004666113 00000 n 0004666580 00000 n 0004666783 00000 n 0004666862 00000 n 0004667072 00000 n 0004667282 00000 n 0004667493 00000 n 0004667696 00000 n 0004667766 00000 n 0004667846 00000 n 0004668682 00000 n 0004669015 00000 n 0004673192 00000 n 0004673646 00000 n 0004673849 00000 n 0004673910 00000 n 0004674130 00000 n 0004674351 00000 n 0004674421 00000 n 0004674501 00000 n 0004675339 00000 n 0004675672 00000 n 0004679848 00000 n 0004680344 00000 n 0004680598 00000 n 0004680870 00000 n 0004681073 00000 n 0004681143 00000 n 0004681223 00000 n 0004682059 00000 n 0004682392 00000 n 0004687100 00000 n 0004687567 00000 n 0004687770 00000 n 0004687894 00000 n 0004688103 00000 n 0004688313 00000 n 0004688522 00000 n 0004688729 00000 n 0004688931 00000 n 0004689136 00000 n 0004689346 00000 n 0004689551 00000 n 0004689756 00000 n 0004689826 00000 n 0004689906 00000 n 0004690742 00000 n 0004691075 00000 n 0004693420 00000 n 0004693903 00000 n 0004694106 00000 n 0004694167 00000 n 0004694387 00000 n 0004694608 00000 n 0004694678 00000 n 0004694758 00000 n 0004695596 00000 n 0004695929 00000 n 0004700209 00000 n 0004700705 00000 n 0004700959 00000 n 0004701231 00000 n 0004701434 00000 n 0004701504 00000 n 0004701584 00000 n 0004702420 00000 n 0004702753 00000 n 0004707512 00000 n 0004707979 00000 n 0004708182 00000 n 0004708261 00000 n 0004708471 00000 n 0004708681 00000 n 0004708890 00000 n 0004709098 00000 n 0004709168 00000 n 0004709248 00000 n 0004710084 00000 n 0004710417 00000 n 0004713445 00000 n 0004713899 00000 n 0004714102 00000 n 0004714163 00000 n 0004714383 00000 n 0004714604 00000 n 0004714674 00000 n 0004714754 00000 n 0004715591 00000 n 0004715924 00000 n 0004719871 00000 n 0004720353 00000 n 0004720607 00000 n 0004720879 00000 n 0004721082 00000 n 0004721152 00000 n 0004721232 00000 n 0004722069 00000 n 0004722402 00000 n 0004727340 00000 n 0004727807 00000 n 0004728010 00000 n 0004728107 00000 n 0004728317 00000 n 0004728525 00000 n 0004728735 00000 n 0004728945 00000 n 0004729152 00000 n 0004729359 00000 n 0004729429 00000 n 0004729509 00000 n 0004730345 00000 n 0004730678 00000 n 0004733219 00000 n 0004733673 00000 n 0004733933 00000 n 0004734136 00000 n 0004734206 00000 n 0004734426 00000 n 0004734647 00000 n 0004734717 00000 n 0004734797 00000 n 0004735633 00000 n 0004735966 00000 n 0004740384 00000 n 0004740880 00000 n 0004741134 00000 n 0004741406 00000 n 0004741609 00000 n 0004741679 00000 n 0004741759 00000 n 0004742596 00000 n 0004742929 00000 n 0004747707 00000 n 0004748174 00000 n 0004748377 00000 n 0004748510 00000 n 0004748716 00000 n 0004748922 00000 n 0004749132 00000 n 0004749342 00000 n 0004749552 00000 n 0004749759 00000 n 0004749968 00000 n 0004750179 00000 n 0004750387 00000 n 0004750596 00000 n 0004750666 00000 n 0004750746 00000 n 0004751582 00000 n 0004751915 00000 n 0004755005 00000 n 0004755459 00000 n 0004755662 00000 n 0004755723 00000 n 0004755943 00000 n 0004756164 00000 n 0004756234 00000 n 0004756314 00000 n 0004757150 00000 n 0004757483 00000 n 0004761416 00000 n 0004761912 00000 n 0004762166 00000 n 0004762438 00000 n 0004762641 00000 n 0004762711 00000 n 0004762791 00000 n 0004763629 00000 n 0004763962 00000 n 0004768751 00000 n 0004769218 00000 n 0004769421 00000 n 0004769545 00000 n 0004769755 00000 n 0004769962 00000 n 0004770174 00000 n 0004770386 00000 n 0004770593 00000 n 0004770797 00000 n 0004771006 00000 n 0004771217 00000 n 0004771427 00000 n 0004771497 00000 n 0004771577 00000 n 0004772414 00000 n 0004772747 00000 n 0004775764 00000 n 0004776232 00000 n 0004776435 00000 n 0004776496 00000 n 0004776716 00000 n 0004776937 00000 n 0004777007 00000 n 0004777087 00000 n 0004777923 00000 n 0004778256 00000 n 0004782324 00000 n 0004782816 00000 n 0004783019 00000 n 0004783089 00000 n 0004783169 00000 n 0004784006 00000 n 0004784339 00000 n 0004788509 00000 n 0004788991 00000 n 0004789245 00000 n 0004789517 00000 n 0004789720 00000 n 0004789790 00000 n 0004789870 00000 n 0004790706 00000 n 0004791039 00000 n 0004795835 00000 n 0004796302 00000 n 0004796505 00000 n 0004796674 00000 n 0004796884 00000 n 0004797093 00000 n 0004797303 00000 n 0004797510 00000 n 0004797722 00000 n 0004797926 00000 n 0004798133 00000 n 0004798342 00000 n 0004798546 00000 n 0004798753 00000 n 0004798964 00000 n 0004799167 00000 n 0004799372 00000 n 0004799582 00000 n 0004799652 00000 n 0004799732 00000 n 0004800568 00000 n 0004800901 00000 n 0004803409 00000 n 0004803892 00000 n 0004804095 00000 n 0004804156 00000 n 0004804376 00000 n 0004804597 00000 n 0004804667 00000 n 0004804747 00000 n 0004805583 00000 n 0004805916 00000 n 0004810318 00000 n 0004810814 00000 n 0004811068 00000 n 0004811338 00000 n 0004811541 00000 n 0004811611 00000 n 0004811691 00000 n 0004812527 00000 n 0004812860 00000 n 0004817381 00000 n 0004817848 00000 n 0004818051 00000 n 0004818130 00000 n 0004818336 00000 n 0004818546 00000 n 0004818756 00000 n 0004818964 00000 n 0004819034 00000 n 0004819114 00000 n 0004819951 00000 n 0004820284 00000 n 0004824454 00000 n 0004824850 00000 n 0004825053 00000 n 0004825132 00000 n 0004825337 00000 n 0004825538 00000 n 0004825738 00000 n 0004825937 00000 n 0004826007 00000 n 0004826087 00000 n 0004826924 00000 n 0004827257 00000 n 0004828570 00000 n 0004829024 00000 n 0004829227 00000 n 0004829288 00000 n 0004829508 00000 n 0004829729 00000 n 0004829799 00000 n 0004829879 00000 n 0004830716 00000 n 0004831049 00000 n 0004835311 00000 n 0004835789 00000 n 0004835992 00000 n 0004836062 00000 n 0004836142 00000 n 0004836979 00000 n 0004837312 00000 n 0004841638 00000 n 0004842105 00000 n 0004842359 00000 n 0004842631 00000 n 0004842834 00000 n 0004842922 00000 n 0004843128 00000 n 0004843335 00000 n 0004843543 00000 n 0004843613 00000 n 0004843693 00000 n 0004844529 00000 n 0004844862 00000 n 0004847894 00000 n 0004848348 00000 n 0004848551 00000 n 0004848612 00000 n 0004848832 00000 n 0004849053 00000 n 0004849123 00000 n 0004849203 00000 n 0004850040 00000 n 0004850373 00000 n 0004854108 00000 n 0004854618 00000 n 0004854872 00000 n 0004855144 00000 n 0004855347 00000 n 0004855417 00000 n 0004855497 00000 n 0004856334 00000 n 0004856667 00000 n 0004861401 00000 n 0004861868 00000 n 0004862071 00000 n 0004862150 00000 n 0004862360 00000 n 0004862569 00000 n 0004862778 00000 n 0004862986 00000 n 0004863056 00000 n 0004863136 00000 n 0004863973 00000 n 0004864306 00000 n 0004868432 00000 n 0004868828 00000 n 0004869031 00000 n 0004869110 00000 n 0004869311 00000 n 0004869512 00000 n 0004869710 00000 n 0004869908 00000 n 0004869978 00000 n 0004870058 00000 n 0004870895 00000 n 0004871228 00000 n 0004872517 00000 n 0004872999 00000 n 0004873202 00000 n 0004873263 00000 n 0004873483 00000 n 0004873704 00000 n 0004873774 00000 n 0004873854 00000 n 0004874691 00000 n 0004875024 00000 n 0004879412 00000 n 0004879908 00000 n 0004880162 00000 n 0004880434 00000 n 0004880637 00000 n 0004880707 00000 n 0004880787 00000 n 0004881624 00000 n 0004881957 00000 n 0004886800 00000 n 0004887267 00000 n 0004887470 00000 n 0004887549 00000 n 0004887759 00000 n 0004887969 00000 n 0004888182 00000 n 0004888392 00000 n 0004888462 00000 n 0004888542 00000 n 0004889379 00000 n 0004889712 00000 n 0004891948 00000 n 0004892402 00000 n 0004892605 00000 n 0004892666 00000 n 0004892886 00000 n 0004893107 00000 n 0004893177 00000 n 0004893257 00000 n 0004894094 00000 n 0004894427 00000 n 0004898469 00000 n 0004898965 00000 n 0004899217 00000 n 0004899489 00000 n 0004899692 00000 n 0004899762 00000 n 0004899842 00000 n 0004900679 00000 n 0004901012 00000 n 0004905390 00000 n 0004905857 00000 n 0004906060 00000 n 0004906166 00000 n 0004906376 00000 n 0004906583 00000 n 0004906790 00000 n 0004907000 00000 n 0004907208 00000 n 0004907415 00000 n 0004907623 00000 n 0004907693 00000 n 0004907773 00000 n 0004908610 00000 n 0004908943 00000 n 0004912483 00000 n 0004912966 00000 n 0004913169 00000 n 0004913230 00000 n 0004913450 00000 n 0004913671 00000 n 0004913741 00000 n 0004913821 00000 n 0004914658 00000 n 0004914991 00000 n 0004919704 00000 n 0004920200 00000 n 0004920454 00000 n 0004920726 00000 n 0004920929 00000 n 0004920999 00000 n 0004921079 00000 n 0004921916 00000 n 0004922249 00000 n 0004926720 00000 n 0004927187 00000 n 0004927390 00000 n 0004927541 00000 n 0004927751 00000 n 0004927958 00000 n 0004928165 00000 n 0004928376 00000 n 0004928583 00000 n 0004928793 00000 n 0004929002 00000 n 0004929210 00000 n 0004929417 00000 n 0004929624 00000 n 0004929830 00000 n 0004930036 00000 n 0004930106 00000 n 0004930186 00000 n 0004931022 00000 n 0004931355 00000 n 0004934992 00000 n 0004935475 00000 n 0004935678 00000 n 0004935739 00000 n 0004935959 00000 n 0004936180 00000 n 0004936250 00000 n 0004936330 00000 n 0004937167 00000 n 0004937500 00000 n 0004941952 00000 n 0004942448 00000 n 0004942700 00000 n 0004942972 00000 n 0004943175 00000 n 0004943245 00000 n 0004943325 00000 n 0004944164 00000 n 0004944497 00000 n 0004949231 00000 n 0004949698 00000 n 0004949901 00000 n 0004950043 00000 n 0004950253 00000 n 0004950460 00000 n 0004950667 00000 n 0004950878 00000 n 0004951088 00000 n 0004951297 00000 n 0004951505 00000 n 0004951712 00000 n 0004951919 00000 n 0004952125 00000 n 0004952331 00000 n 0004952401 00000 n 0004952481 00000 n 0004953318 00000 n 0004953651 00000 n 0004957291 00000 n 0004957774 00000 n 0004957977 00000 n 0004958038 00000 n 0004958258 00000 n 0004958479 00000 n 0004958549 00000 n 0004958629 00000 n 0004959465 00000 n 0004959798 00000 n 0004964343 00000 n 0004964839 00000 n 0004965091 00000 n 0004965363 00000 n 0004965566 00000 n 0004965636 00000 n 0004965716 00000 n 0004966553 00000 n 0004966886 00000 n 0004971261 00000 n 0004971728 00000 n 0004971931 00000 n 0004972001 00000 n 0004972211 00000 n 0004972418 00000 n 0004972623 00000 n 0004972693 00000 n 0004972773 00000 n 0004973610 00000 n 0004973943 00000 n 0004978118 00000 n 0004978514 00000 n 0004978717 00000 n 0004978832 00000 n 0004979037 00000 n 0004979238 00000 n 0004979441 00000 n 0004979643 00000 n 0004979844 00000 n 0004980045 00000 n 0004980246 00000 n 0004980448 00000 n 0004980518 00000 n 0004980598 00000 n 0004981435 00000 n 0004981768 00000 n 0004983129 00000 n 0004983583 00000 n 0004983786 00000 n 0004983847 00000 n 0004984067 00000 n 0004984288 00000 n 0004984358 00000 n 0004984438 00000 n 0004985275 00000 n 0004985608 00000 n 0004989953 00000 n 0004990435 00000 n 0004990689 00000 n 0004990961 00000 n 0004991164 00000 n 0004991234 00000 n 0004991314 00000 n 0004992152 00000 n 0004992485 00000 n 0004997438 00000 n 0004997905 00000 n 0004998108 00000 n 0004998268 00000 n 0004998479 00000 n 0004998695 00000 n 0004998905 00000 n 0004999112 00000 n 0004999319 00000 n 0004999529 00000 n 0004999738 00000 n 0004999945 00000 n 0005000152 00000 n 0005000359 00000 n 0005000570 00000 n 0005000786 00000 n 0005000994 00000 n 0005001064 00000 n 0005001144 00000 n 0005001981 00000 n 0005002314 00000 n 0005004970 00000 n 0005005424 00000 n 0005005627 00000 n 0005005688 00000 n 0005005908 00000 n 0005006129 00000 n 0005006199 00000 n 0005006279 00000 n 0005007115 00000 n 0005007448 00000 n 0005011602 00000 n 0005012098 00000 n 0005012352 00000 n 0005012624 00000 n 0005012827 00000 n 0005012897 00000 n 0005012977 00000 n 0005013814 00000 n 0005014147 00000 n 0005019007 00000 n 0005019474 00000 n 0005019677 00000 n 0005019837 00000 n 0005020048 00000 n 0005020264 00000 n 0005020474 00000 n 0005020681 00000 n 0005020888 00000 n 0005021098 00000 n 0005021312 00000 n 0005021519 00000 n 0005021726 00000 n 0005021933 00000 n 0005022144 00000 n 0005022360 00000 n 0005022568 00000 n 0005022638 00000 n 0005022718 00000 n 0005023555 00000 n 0005023888 00000 n 0005027092 00000 n 0005027546 00000 n 0005027749 00000 n 0005027810 00000 n 0005028030 00000 n 0005028251 00000 n 0005028321 00000 n 0005028401 00000 n 0005029238 00000 n 0005029571 00000 n 0005033681 00000 n 0005034177 00000 n 0005034431 00000 n 0005034703 00000 n 0005034906 00000 n 0005034976 00000 n 0005035056 00000 n 0005035894 00000 n 0005036227 00000 n 0005041065 00000 n 0005041532 00000 n 0005041735 00000 n 0005041877 00000 n 0005042087 00000 n 0005042294 00000 n 0005042501 00000 n 0005042712 00000 n 0005042919 00000 n 0005043129 00000 n 0005043338 00000 n 0005043545 00000 n 0005043752 00000 n 0005043959 00000 n 0005044167 00000 n 0005044237 00000 n 0005044317 00000 n 0005045155 00000 n 0005045488 00000 n 0005048635 00000 n 0005049089 00000 n 0005049292 00000 n 0005049353 00000 n 0005049573 00000 n 0005049794 00000 n 0005049864 00000 n 0005049944 00000 n 0005050780 00000 n 0005051113 00000 n 0005055053 00000 n 0005055549 00000 n 0005055803 00000 n 0005056075 00000 n 0005056278 00000 n 0005056348 00000 n 0005056428 00000 n 0005057265 00000 n 0005057598 00000 n 0005062436 00000 n 0005062903 00000 n 0005063106 00000 n 0005063248 00000 n 0005063458 00000 n 0005063665 00000 n 0005063872 00000 n 0005064083 00000 n 0005064290 00000 n 0005064500 00000 n 0005064709 00000 n 0005064917 00000 n 0005065124 00000 n 0005065331 00000 n 0005065539 00000 n 0005065609 00000 n 0005065689 00000 n 0005066526 00000 n 0005066859 00000 n 0005069929 00000 n 0005070383 00000 n 0005070586 00000 n 0005070647 00000 n 0005070867 00000 n 0005071088 00000 n 0005071158 00000 n 0005071238 00000 n 0005072075 00000 n 0005072408 00000 n 0005076459 00000 n 0005076941 00000 n 0005077195 00000 n 0005077467 00000 n 0005077670 00000 n 0005077740 00000 n 0005077820 00000 n 0005078656 00000 n 0005078989 00000 n 0005083929 00000 n 0005084396 00000 n 0005084599 00000 n 0005084768 00000 n 0005084979 00000 n 0005085191 00000 n 0005085401 00000 n 0005085611 00000 n 0005085822 00000 n 0005086034 00000 n 0005086242 00000 n 0005086451 00000 n 0005086660 00000 n 0005086870 00000 n 0005087082 00000 n 0005087295 00000 n 0005087505 00000 n 0005087716 00000 n 0005087786 00000 n 0005087866 00000 n 0005088702 00000 n 0005089035 00000 n 0005091590 00000 n 0005092044 00000 n 0005092247 00000 n 0005092308 00000 n 0005092528 00000 n 0005092749 00000 n 0005092819 00000 n 0005092899 00000 n 0005093736 00000 n 0005094069 00000 n 0005098467 00000 n 0005098963 00000 n 0005099215 00000 n 0005099487 00000 n 0005099690 00000 n 0005099760 00000 n 0005099840 00000 n 0005100676 00000 n 0005101009 00000 n 0005105374 00000 n 0005105841 00000 n 0005106044 00000 n 0005106195 00000 n 0005106406 00000 n 0005106618 00000 n 0005106828 00000 n 0005107037 00000 n 0005107248 00000 n 0005107460 00000 n 0005107668 00000 n 0005107877 00000 n 0005108086 00000 n 0005108296 00000 n 0005108507 00000 n 0005108718 00000 n 0005108788 00000 n 0005108868 00000 n 0005109706 00000 n 0005110039 00000 n 0005113569 00000 n 0005113965 00000 n 0005114168 00000 n 0005114229 00000 n 0005114433 00000 n 0005114638 00000 n 0005114708 00000 n 0005114788 00000 n 0005115626 00000 n 0005115959 00000 n 0005117201 00000 n 0005117655 00000 n 0005117858 00000 n 0005117919 00000 n 0005118139 00000 n 0005118360 00000 n 0005118430 00000 n 0005118510 00000 n 0005119346 00000 n 0005119679 00000 n 0005123670 00000 n 0005124166 00000 n 0005124420 00000 n 0005124692 00000 n 0005124895 00000 n 0005124965 00000 n 0005125045 00000 n 0005125882 00000 n 0005126215 00000 n 0005131096 00000 n 0005131563 00000 n 0005131766 00000 n 0005131908 00000 n 0005132118 00000 n 0005132325 00000 n 0005132532 00000 n 0005132743 00000 n 0005132950 00000 n 0005133160 00000 n 0005133369 00000 n 0005133577 00000 n 0005133784 00000 n 0005133991 00000 n 0005134199 00000 n 0005134269 00000 n 0005134349 00000 n 0005135186 00000 n 0005135519 00000 n 0005138489 00000 n 0005138943 00000 n 0005139146 00000 n 0005139207 00000 n 0005139427 00000 n 0005139648 00000 n 0005139718 00000 n 0005139798 00000 n 0005140634 00000 n 0005140967 00000 n 0005145355 00000 n 0005145880 00000 n 0005146134 00000 n 0005146406 00000 n 0005146609 00000 n 0005146679 00000 n 0005146759 00000 n 0005147596 00000 n 0005147929 00000 n 0005153774 00000 n 0005154241 00000 n 0005154444 00000 n 0005154523 00000 n 0005154733 00000 n 0005154943 00000 n 0005155153 00000 n 0005155362 00000 n 0005155432 00000 n 0005155512 00000 n 0005156351 00000 n 0005156684 00000 n 0005159418 00000 n 0005159886 00000 n 0005160089 00000 n 0005160141 00000 n 0005160361 00000 n 0005160431 00000 n 0005160511 00000 n 0005161347 00000 n 0005161680 00000 n 0005165552 00000 n 0005166044 00000 n 0005166247 00000 n 0005166317 00000 n 0005166397 00000 n 0005167234 00000 n 0005167567 00000 n 0005172524 00000 n 0005172934 00000 n 0005173137 00000 n 0005173261 00000 n 0005173464 00000 n 0005173668 00000 n 0005173872 00000 n 0005174073 00000 n 0005174274 00000 n 0005174475 00000 n 0005174679 00000 n 0005174883 00000 n 0005175082 00000 n 0005175152 00000 n 0005175232 00000 n 0005176069 00000 n 0005176402 00000 n 0005177861 00000 n 0005178329 00000 n 0005178532 00000 n 0005178593 00000 n 0005178813 00000 n 0005179034 00000 n 0005179104 00000 n 0005179184 00000 n 0005180021 00000 n 0005180354 00000 n 0005184856 00000 n 0005185352 00000 n 0005185606 00000 n 0005185878 00000 n 0005186081 00000 n 0005186151 00000 n 0005186231 00000 n 0005187067 00000 n 0005187400 00000 n 0005192132 00000 n 0005192599 00000 n 0005192802 00000 n 0005192881 00000 n 0005193091 00000 n 0005193301 00000 n 0005193508 00000 n 0005193716 00000 n 0005193786 00000 n 0005193866 00000 n 0005194703 00000 n 0005195036 00000 n 0005199212 00000 n 0005199608 00000 n 0005199811 00000 n 0005199881 00000 n 0005200082 00000 n 0005200287 00000 n 0005200486 00000 n 0005200556 00000 n 0005200636 00000 n 0005201473 00000 n 0005201806 00000 n 0005203107 00000 n 0005203575 00000 n 0005203778 00000 n 0005203839 00000 n 0005204059 00000 n 0005204280 00000 n 0005204350 00000 n 0005204430 00000 n 0005205267 00000 n 0005205600 00000 n 0005210025 00000 n 0005210521 00000 n 0005210773 00000 n 0005211045 00000 n 0005211248 00000 n 0005211318 00000 n 0005211398 00000 n 0005212234 00000 n 0005212567 00000 n 0005216925 00000 n 0005217392 00000 n 0005217595 00000 n 0005217683 00000 n 0005217893 00000 n 0005218102 00000 n 0005218312 00000 n 0005218519 00000 n 0005218726 00000 n 0005218796 00000 n 0005218876 00000 n 0005219713 00000 n 0005220046 00000 n 0005223506 00000 n 0005223960 00000 n 0005224163 00000 n 0005224224 00000 n 0005224444 00000 n 0005224665 00000 n 0005224735 00000 n 0005224815 00000 n 0005225651 00000 n 0005225984 00000 n 0005230038 00000 n 0005230548 00000 n 0005230802 00000 n 0005231074 00000 n 0005231277 00000 n 0005231347 00000 n 0005231427 00000 n 0005232263 00000 n 0005232596 00000 n 0005237362 00000 n 0005237829 00000 n 0005238032 00000 n 0005238156 00000 n 0005238362 00000 n 0005238572 00000 n 0005238782 00000 n 0005238988 00000 n 0005239199 00000 n 0005239406 00000 n 0005239620 00000 n 0005239833 00000 n 0005240038 00000 n 0005240108 00000 n 0005240188 00000 n 0005241026 00000 n 0005241359 00000 n 0005244951 00000 n 0005245433 00000 n 0005245636 00000 n 0005245688 00000 n 0005245904 00000 n 0005245974 00000 n 0005246054 00000 n 0005246891 00000 n 0005247224 00000 n 0005251178 00000 n 0005251674 00000 n 0005251928 00000 n 0005252200 00000 n 0005252403 00000 n 0005252473 00000 n 0005252553 00000 n 0005253389 00000 n 0005253722 00000 n 0005258492 00000 n 0005258959 00000 n 0005259162 00000 n 0005259223 00000 n 0005259432 00000 n 0005259641 00000 n 0005259711 00000 n 0005259791 00000 n 0005260627 00000 n 0005260960 00000 n 0005263460 00000 n 0005263942 00000 n 0005264145 00000 n 0005264197 00000 n 0005264413 00000 n 0005264483 00000 n 0005264563 00000 n 0005265399 00000 n 0005265732 00000 n 0005269607 00000 n 0005270103 00000 n 0005270357 00000 n 0005270629 00000 n 0005270832 00000 n 0005270902 00000 n 0005270982 00000 n 0005271819 00000 n 0005272152 00000 n 0005276779 00000 n 0005277246 00000 n 0005277449 00000 n 0005277510 00000 n 0005277706 00000 n 0005277908 00000 n 0005277978 00000 n 0005278058 00000 n 0005278895 00000 n 0005279228 00000 n 0005282598 00000 n 0005283080 00000 n 0005283283 00000 n 0005283344 00000 n 0005283555 00000 n 0005283778 00000 n 0005283848 00000 n 0005283928 00000 n 0005284766 00000 n 0005285099 00000 n 0005289092 00000 n 0005289588 00000 n 0005289842 00000 n 0005290114 00000 n 0005290317 00000 n 0005290387 00000 n 0005290467 00000 n 0005291305 00000 n 0005291638 00000 n 0005296302 00000 n 0005296769 00000 n 0005296972 00000 n 0005297087 00000 n 0005297290 00000 n 0005297498 00000 n 0005297703 00000 n 0005297899 00000 n 0005298095 00000 n 0005298301 00000 n 0005298505 00000 n 0005298713 00000 n 0005298783 00000 n 0005298863 00000 n 0005299699 00000 n 0005300032 00000 n 0005303198 00000 n 0005303652 00000 n 0005303855 00000 n 0005303925 00000 n 0005304141 00000 n 0005304365 00000 n 0005304588 00000 n 0005304658 00000 n 0005304738 00000 n 0005305575 00000 n 0005305908 00000 n 0005309885 00000 n 0005310352 00000 n 0005310555 00000 n 0005310643 00000 n 0005310851 00000 n 0005311051 00000 n 0005311253 00000 n 0005311462 00000 n 0005311664 00000 n 0005311734 00000 n 0005311814 00000 n 0005312651 00000 n 0005312984 00000 n 0005316638 00000 n 0005317092 00000 n 0005317295 00000 n 0005317356 00000 n 0005317572 00000 n 0005317793 00000 n 0005317863 00000 n 0005317943 00000 n 0005318779 00000 n 0005319112 00000 n 0005323240 00000 n 0005323751 00000 n 0005324005 00000 n 0005324277 00000 n 0005324480 00000 n 0005324550 00000 n 0005324630 00000 n 0005325467 00000 n 0005325800 00000 n 0005331710 00000 n 0005332217 00000 n 0005332420 00000 n 0005332490 00000 n 0005332570 00000 n 0005333407 00000 n 0005333740 00000 n 0005338728 00000 n 0005339210 00000 n 0005339413 00000 n 0005339510 00000 n 0005339706 00000 n 0005339908 00000 n 0005340102 00000 n 0005340301 00000 n 0005340509 00000 n 0005340719 00000 n 0005340789 00000 n 0005340869 00000 n 0005341705 00000 n 0005342038 00000 n 0005345087 00000 n 0005345569 00000 n 0005345772 00000 n 0005345842 00000 n 0005346058 00000 n 0005346282 00000 n 0005346505 00000 n 0005346575 00000 n 0005346655 00000 n 0005347491 00000 n 0005347824 00000 n 0005352009 00000 n 0005352505 00000 n 0005352759 00000 n 0005353031 00000 n 0005353234 00000 n 0005353304 00000 n 0005353384 00000 n 0005354221 00000 n 0005354554 00000 n 0005359302 00000 n 0005359769 00000 n 0005359972 00000 n 0005360060 00000 n 0005360262 00000 n 0005360470 00000 n 0005360673 00000 n 0005360875 00000 n 0005361085 00000 n 0005361155 00000 n 0005361235 00000 n 0005362072 00000 n 0005362405 00000 n 0005365949 00000 n 0005366431 00000 n 0005366634 00000 n 0005366686 00000 n 0005366902 00000 n 0005366972 00000 n 0005367052 00000 n 0005367888 00000 n 0005368221 00000 n 0005372552 00000 n 0005373048 00000 n 0005373302 00000 n 0005373574 00000 n 0005373777 00000 n 0005373847 00000 n 0005373927 00000 n 0005374763 00000 n 0005375096 00000 n 0005379855 00000 n 0005380322 00000 n 0005380525 00000 n 0005380613 00000 n 0005380815 00000 n 0005381016 00000 n 0005381221 00000 n 0005381427 00000 n 0005381635 00000 n 0005381705 00000 n 0005381785 00000 n 0005382622 00000 n 0005382955 00000 n 0005385843 00000 n 0005386325 00000 n 0005386528 00000 n 0005386580 00000 n 0005386796 00000 n 0005386866 00000 n 0005386946 00000 n 0005387783 00000 n 0005388116 00000 n 0005392326 00000 n 0005392822 00000 n 0005393076 00000 n 0005393348 00000 n 0005393551 00000 n 0005393621 00000 n 0005393701 00000 n 0005394537 00000 n 0005394870 00000 n 0005399637 00000 n 0005400104 00000 n 0005400307 00000 n 0005400386 00000 n 0005400587 00000 n 0005400793 00000 n 0005400999 00000 n 0005401207 00000 n 0005401277 00000 n 0005401357 00000 n 0005402193 00000 n 0005402526 00000 n 0005405212 00000 n 0005405694 00000 n 0005405947 00000 n 0005406150 00000 n 0005406211 00000 n 0005406427 00000 n 0005406497 00000 n 0005406577 00000 n 0005407413 00000 n 0005407746 00000 n 0005412283 00000 n 0005412756 00000 n 0005413028 00000 n 0005413231 00000 n 0005413301 00000 n 0005413381 00000 n 0005414217 00000 n 0005414550 00000 n 0005419119 00000 n 0005419544 00000 n 0005419747 00000 n 0005419826 00000 n 0005420026 00000 n 0005420221 00000 n 0005420421 00000 n 0005420623 00000 n 0005420693 00000 n 0005420773 00000 n 0005421610 00000 n 0005421943 00000 n 0005423490 00000 n 0005423972 00000 n 0005424175 00000 n 0005424236 00000 n 0005424447 00000 n 0005424670 00000 n 0005424740 00000 n 0005424820 00000 n 0005425657 00000 n 0005425990 00000 n 0005429891 00000 n 0005430387 00000 n 0005430641 00000 n 0005430913 00000 n 0005431116 00000 n 0005431186 00000 n 0005431266 00000 n 0005432103 00000 n 0005432436 00000 n 0005437321 00000 n 0005437788 00000 n 0005437991 00000 n 0005438079 00000 n 0005438284 00000 n 0005438490 00000 n 0005438696 00000 n 0005438904 00000 n 0005439109 00000 n 0005439179 00000 n 0005439259 00000 n 0005440096 00000 n 0005440429 00000 n 0005443522 00000 n 0005444004 00000 n 0005444207 00000 n 0005444268 00000 n 0005444484 00000 n 0005444713 00000 n 0005444783 00000 n 0005444863 00000 n 0005445700 00000 n 0005446033 00000 n 0005449968 00000 n 0005450435 00000 n 0005450638 00000 n 0005450717 00000 n 0005450933 00000 n 0005451143 00000 n 0005451348 00000 n 0005451555 00000 n 0005451625 00000 n 0005451705 00000 n 0005452541 00000 n 0005452874 00000 n 0005455155 00000 n 0005455637 00000 n 0005455840 00000 n 0005455901 00000 n 0005456127 00000 n 0005456348 00000 n 0005456418 00000 n 0005456498 00000 n 0005457336 00000 n 0005457669 00000 n 0005461644 00000 n 0005462140 00000 n 0005462394 00000 n 0005462666 00000 n 0005462869 00000 n 0005462939 00000 n 0005463019 00000 n 0005463856 00000 n 0005464189 00000 n 0005468920 00000 n 0005469387 00000 n 0005469590 00000 n 0005469669 00000 n 0005469888 00000 n 0005470094 00000 n 0005470304 00000 n 0005470512 00000 n 0005470582 00000 n 0005470662 00000 n 0005471500 00000 n 0005471833 00000 n 0005474208 00000 n 0005474690 00000 n 0005474893 00000 n 0005474954 00000 n 0005475180 00000 n 0005475401 00000 n 0005475471 00000 n 0005475551 00000 n 0005476388 00000 n 0005476721 00000 n 0005481323 00000 n 0005481819 00000 n 0005482073 00000 n 0005482345 00000 n 0005482548 00000 n 0005482618 00000 n 0005482698 00000 n 0005483536 00000 n 0005483869 00000 n 0005488664 00000 n 0005489131 00000 n 0005489334 00000 n 0005489413 00000 n 0005489628 00000 n 0005489834 00000 n 0005490044 00000 n 0005490252 00000 n 0005490322 00000 n 0005490402 00000 n 0005491240 00000 n 0005491573 00000 n 0005494673 00000 n 0005495170 00000 n 0005495373 00000 n 0005495425 00000 n 0005495641 00000 n 0005495711 00000 n 0005495791 00000 n 0005496628 00000 n 0005496961 00000 n 0005502066 00000 n 0005502544 00000 n 0005502747 00000 n 0005502817 00000 n 0005502897 00000 n 0005503735 00000 n 0005504068 00000 n 0005508235 00000 n 0005508716 00000 n 0005508919 00000 n 0005508980 00000 n 0005509189 00000 n 0005509396 00000 n 0005509466 00000 n 0005509546 00000 n 0005510382 00000 n 0005510715 00000 n 0005514815 00000 n 0005515312 00000 n 0005515515 00000 n 0005515585 00000 n 0005515801 00000 n 0005516025 00000 n 0005516248 00000 n 0005516318 00000 n 0005516398 00000 n 0005517235 00000 n 0005517568 00000 n 0005522816 00000 n 0005523312 00000 n 0005523566 00000 n 0005523838 00000 n 0005524041 00000 n 0005524111 00000 n 0005524191 00000 n 0005525028 00000 n 0005525361 00000 n 0005530207 00000 n 0005530700 00000 n 0005530903 00000 n 0005530973 00000 n 0005531053 00000 n 0005531891 00000 n 0005532224 00000 n 0005537612 00000 n 0005538094 00000 n 0005538297 00000 n 0005538394 00000 n 0005538589 00000 n 0005538784 00000 n 0005538980 00000 n 0005539179 00000 n 0005539385 00000 n 0005539593 00000 n 0005539663 00000 n 0005539743 00000 n 0005540579 00000 n 0005540912 00000 n 0005543922 00000 n 0005544362 00000 n 0005544565 00000 n 0005544635 00000 n 0005544845 00000 n 0005545061 00000 n 0005545284 00000 n 0005545354 00000 n 0005545434 00000 n 0005546270 00000 n 0005546603 00000 n 0005550657 00000 n 0005551153 00000 n 0005551407 00000 n 0005551679 00000 n 0005551882 00000 n 0005551952 00000 n 0005552032 00000 n 0005552870 00000 n 0005553203 00000 n 0005558022 00000 n 0005558515 00000 n 0005558718 00000 n 0005558788 00000 n 0005558868 00000 n 0005559704 00000 n 0005560037 00000 n 0005565392 00000 n 0005565870 00000 n 0005566073 00000 n 0005566143 00000 n 0005566223 00000 n 0005567059 00000 n 0005567392 00000 n 0005571437 00000 n 0005571876 00000 n 0005572079 00000 n 0005572212 00000 n 0005572422 00000 n 0005572631 00000 n 0005572833 00000 n 0005573036 00000 n 0005573247 00000 n 0005573448 00000 n 0005573650 00000 n 0005573856 00000 n 0005574059 00000 n 0005574267 00000 n 0005574337 00000 n 0005574417 00000 n 0005575253 00000 n 0005575586 00000 n 0005578010 00000 n 0005578464 00000 n 0005578667 00000 n 0005578728 00000 n 0005578944 00000 n 0005579160 00000 n 0005579230 00000 n 0005579310 00000 n 0005580147 00000 n 0005580480 00000 n 0005584559 00000 n 0005585055 00000 n 0005585309 00000 n 0005585581 00000 n 0005585784 00000 n 0005585854 00000 n 0005585934 00000 n 0005586770 00000 n 0005587103 00000 n 0005591799 00000 n 0005592263 00000 n 0005592466 00000 n 0005592536 00000 n 0005592616 00000 n 0005593452 00000 n 0005593785 00000 n 0005598008 00000 n 0005598447 00000 n 0005598650 00000 n 0005598738 00000 n 0005598938 00000 n 0005599140 00000 n 0005599342 00000 n 0005599548 00000 n 0005599756 00000 n 0005599826 00000 n 0005599906 00000 n 0005600744 00000 n 0005601077 00000 n 0005602976 00000 n 0005603430 00000 n 0005603633 00000 n 0005603685 00000 n 0005603909 00000 n 0005603979 00000 n 0005604059 00000 n 0005604896 00000 n 0005605229 00000 n 0005609769 00000 n 0005610268 00000 n 0005610522 00000 n 0005610794 00000 n 0005610997 00000 n 0005611067 00000 n 0005611147 00000 n 0005611984 00000 n 0005612318 00000 n 0005617065 00000 n 0005617550 00000 n 0005617754 00000 n 0005617825 00000 n 0005617906 00000 n 0005618745 00000 n 0005619079 00000 n 0005622931 00000 n 0005623347 00000 n 0005623551 00000 n 0005623637 00000 n 0005623838 00000 n 0005624039 00000 n 0005624241 00000 n 0005624444 00000 n 0005624515 00000 n 0005624596 00000 n 0005625434 00000 n 0005625768 00000 n 0005627092 00000 n 0005627580 00000 n 0005627784 00000 n 0005627850 00000 n 0005628062 00000 n 0005628286 00000 n 0005628357 00000 n 0005628438 00000 n 0005629276 00000 n 0005629610 00000 n 0005633590 00000 n 0005634095 00000 n 0005634350 00000 n 0005634623 00000 n 0005634827 00000 n 0005634898 00000 n 0005634979 00000 n 0005635818 00000 n 0005636152 00000 n 0005640829 00000 n 0005641302 00000 n 0005641506 00000 n 0005641622 00000 n 0005641827 00000 n 0005642033 00000 n 0005642230 00000 n 0005642427 00000 n 0005642628 00000 n 0005642823 00000 n 0005643026 00000 n 0005643097 00000 n 0005643178 00000 n 0005644016 00000 n 0005644350 00000 n 0005647869 00000 n 0005648357 00000 n 0005648561 00000 n 0005648617 00000 n 0005648863 00000 n 0005648934 00000 n 0005649015 00000 n 0005649853 00000 n 0005650187 00000 n 0005654187 00000 n 0005654660 00000 n 0005654864 00000 n 0005654950 00000 n 0005655154 00000 n 0005655360 00000 n 0005655565 00000 n 0005655768 00000 n 0005655839 00000 n 0005655920 00000 n 0005656758 00000 n 0005657092 00000 n 0005658940 00000 n 0005659472 00000 n 0005659676 00000 n 0005659742 00000 n 0005659959 00000 n 0005660188 00000 n 0005660259 00000 n 0005660340 00000 n 0005661179 00000 n 0005661513 00000 n 0005666570 00000 n 0005667075 00000 n 0005667330 00000 n 0005667603 00000 n 0005667807 00000 n 0005667878 00000 n 0005667959 00000 n 0005668797 00000 n 0005669131 00000 n 0005673951 00000 n 0005674424 00000 n 0005674628 00000 n 0005674704 00000 n 0005674918 00000 n 0005675133 00000 n 0005675339 00000 n 0005675410 00000 n 0005675491 00000 n 0005676329 00000 n 0005676663 00000 n 0005679073 00000 n 0005679561 00000 n 0005679765 00000 n 0005679821 00000 n 0005680038 00000 n 0005680109 00000 n 0005680190 00000 n 0005681028 00000 n 0005681362 00000 n 0005685205 00000 n 0005685710 00000 n 0005685965 00000 n 0005686238 00000 n 0005686442 00000 n 0005686513 00000 n 0005686594 00000 n 0005687432 00000 n 0005687766 00000 n 0005692527 00000 n 0005693000 00000 n 0005693204 00000 n 0005693270 00000 n 0005693477 00000 n 0005693686 00000 n 0005693757 00000 n 0005693838 00000 n 0005694675 00000 n 0005695009 00000 n 0005698167 00000 n 0005698655 00000 n 0005698859 00000 n 0005698925 00000 n 0005699137 00000 n 0005699361 00000 n 0005699432 00000 n 0005699513 00000 n 0005700350 00000 n 0005700684 00000 n 0005704642 00000 n 0005705115 00000 n 0005705370 00000 n 0005705643 00000 n 0005705847 00000 n 0005705923 00000 n 0005706127 00000 n 0005706198 00000 n 0005706279 00000 n 0005707117 00000 n 0005707451 00000 n 0005711244 00000 n 0005711732 00000 n 0005711936 00000 n 0005712012 00000 n 0005712229 00000 n 0005712456 00000 n 0005712673 00000 n 0005712744 00000 n 0005712825 00000 n 0005713663 00000 n 0005713997 00000 n 0005718110 00000 n 0005718615 00000 n 0005718870 00000 n 0005719143 00000 n 0005719347 00000 n 0005719418 00000 n 0005719499 00000 n 0005720337 00000 n 0005720671 00000 n 0005725555 00000 n 0005726028 00000 n 0005726232 00000 n 0005726318 00000 n 0005726531 00000 n 0005726738 00000 n 0005726941 00000 n 0005727148 00000 n 0005727219 00000 n 0005727300 00000 n 0005728138 00000 n 0005728472 00000 n 0005731801 00000 n 0005732261 00000 n 0005732465 00000 n 0005732541 00000 n 0005732752 00000 n 0005732969 00000 n 0005733193 00000 n 0005733264 00000 n 0005733345 00000 n 0005734182 00000 n 0005734516 00000 n 0005738787 00000 n 0005739321 00000 n 0005739576 00000 n 0005739849 00000 n 0005740053 00000 n 0005740124 00000 n 0005740205 00000 n 0005741042 00000 n 0005741376 00000 n 0005746750 00000 n 0005747221 00000 n 0005747425 00000 n 0005747496 00000 n 0005747577 00000 n 0005748415 00000 n 0005748749 00000 n 0005753612 00000 n 0005754126 00000 n 0005754330 00000 n 0005754401 00000 n 0005754482 00000 n 0005755320 00000 n 0005755654 00000 n 0005760223 00000 n 0005760668 00000 n 0005760872 00000 n 0005760998 00000 n 0005761208 00000 n 0005761411 00000 n 0005761623 00000 n 0005761830 00000 n 0005762039 00000 n 0005762243 00000 n 0005762452 00000 n 0005762659 00000 n 0005762730 00000 n 0005762811 00000 n 0005763648 00000 n 0005763982 00000 n 0005765832 00000 n 0005766292 00000 n 0005766496 00000 n 0005766562 00000 n 0005766779 00000 n 0005766996 00000 n 0005767067 00000 n 0005767148 00000 n 0005767986 00000 n 0005768320 00000 n 0005772476 00000 n 0005772981 00000 n 0005773236 00000 n 0005773509 00000 n 0005773713 00000 n 0005773784 00000 n 0005773865 00000 n 0005774703 00000 n 0005775037 00000 n 0005780021 00000 n 0005780492 00000 n 0005780696 00000 n 0005780767 00000 n 0005780848 00000 n 0005781685 00000 n 0005782019 00000 n 0005786262 00000 n 0005786707 00000 n 0005786911 00000 n 0005787007 00000 n 0005787204 00000 n 0005787397 00000 n 0005787594 00000 n 0005787795 00000 n 0005787998 00000 n 0005788069 00000 n 0005788150 00000 n 0005788988 00000 n 0005789322 00000 n 0005791029 00000 n 0005791489 00000 n 0005791693 00000 n 0005791759 00000 n 0005791971 00000 n 0005792195 00000 n 0005792266 00000 n 0005792347 00000 n 0005793187 00000 n 0005793521 00000 n 0005797514 00000 n 0005798019 00000 n 0005798274 00000 n 0005798543 00000 n 0005798747 00000 n 0005798818 00000 n 0005798899 00000 n 0005799737 00000 n 0005800071 00000 n 0005804983 00000 n 0005805456 00000 n 0005805660 00000 n 0005805756 00000 n 0005805961 00000 n 0005806171 00000 n 0005806383 00000 n 0005806590 00000 n 0005806799 00000 n 0005806870 00000 n 0005806951 00000 n 0005807788 00000 n 0005808122 00000 n 0005811430 00000 n 0005811890 00000 n 0005812094 00000 n 0005812160 00000 n 0005812372 00000 n 0005812596 00000 n 0005812667 00000 n 0005812748 00000 n 0005813586 00000 n 0005813920 00000 n 0005817902 00000 n 0005818407 00000 n 0005818662 00000 n 0005818931 00000 n 0005819135 00000 n 0005819206 00000 n 0005819287 00000 n 0005820125 00000 n 0005820459 00000 n 0005825363 00000 n 0005825836 00000 n 0005826040 00000 n 0005826136 00000 n 0005826341 00000 n 0005826551 00000 n 0005826766 00000 n 0005826973 00000 n 0005827182 00000 n 0005827253 00000 n 0005827334 00000 n 0005828171 00000 n 0005828505 00000 n 0005831683 00000 n 0005832171 00000 n 0005832375 00000 n 0005832451 00000 n 0005832662 00000 n 0005832879 00000 n 0005833103 00000 n 0005833174 00000 n 0005833255 00000 n 0005834093 00000 n 0005834427 00000 n 0005839061 00000 n 0005839566 00000 n 0005839821 00000 n 0005840094 00000 n 0005840298 00000 n 0005840369 00000 n 0005840450 00000 n 0005841288 00000 n 0005841622 00000 n 0005846378 00000 n 0005846863 00000 n 0005847067 00000 n 0005847138 00000 n 0005847219 00000 n 0005848056 00000 n 0005848390 00000 n 0005852734 00000 n 0005853179 00000 n 0005853383 00000 n 0005853519 00000 n 0005853723 00000 n 0005853920 00000 n 0005854124 00000 n 0005854330 00000 n 0005854532 00000 n 0005854736 00000 n 0005854943 00000 n 0005855147 00000 n 0005855356 00000 n 0005855427 00000 n 0005855508 00000 n 0005856345 00000 n 0005856679 00000 n 0005858614 00000 n 0005859060 00000 n 0005859264 00000 n 0005859330 00000 n 0005859542 00000 n 0005859772 00000 n 0005859843 00000 n 0005859924 00000 n 0005860762 00000 n 0005861096 00000 n 0005864197 00000 n 0005864657 00000 n 0005864861 00000 n 0005864917 00000 n 0005865134 00000 n 0005865205 00000 n 0005865286 00000 n 0005866124 00000 n 0005866458 00000 n 0005870037 00000 n 0005870526 00000 n 0005870730 00000 n 0005870796 00000 n 0005871013 00000 n 0005871242 00000 n 0005871313 00000 n 0005871394 00000 n 0005872231 00000 n 0005872565 00000 n 0005876884 00000 n 0005877389 00000 n 0005877644 00000 n 0005877917 00000 n 0005878121 00000 n 0005878192 00000 n 0005878273 00000 n 0005879111 00000 n 0005879445 00000 n 0005884186 00000 n 0005884659 00000 n 0005884863 00000 n 0005884959 00000 n 0005885173 00000 n 0005885390 00000 n 0005885606 00000 n 0005885824 00000 n 0005886041 00000 n 0005886112 00000 n 0005886193 00000 n 0005887031 00000 n 0005887365 00000 n 0005889658 00000 n 0005890118 00000 n 0005890322 00000 n 0005890378 00000 n 0005890595 00000 n 0005890666 00000 n 0005890747 00000 n 0005891584 00000 n 0005891918 00000 n 0005896377 00000 n 0005896882 00000 n 0005897137 00000 n 0005897410 00000 n 0005897614 00000 n 0005897685 00000 n 0005897766 00000 n 0005898603 00000 n 0005898937 00000 n 0005903692 00000 n 0005904165 00000 n 0005904369 00000 n 0005904485 00000 n 0005904688 00000 n 0005904890 00000 n 0005905096 00000 n 0005905303 00000 n 0005905506 00000 n 0005905713 00000 n 0005905922 00000 n 0005905993 00000 n 0005906074 00000 n 0005906912 00000 n 0005907246 00000 n 0005910057 00000 n 0005910545 00000 n 0005910799 00000 n 0005911003 00000 n 0005911079 00000 n 0005911296 00000 n 0005911521 00000 n 0005911592 00000 n 0005911673 00000 n 0005912510 00000 n 0005912844 00000 n 0005917273 00000 n 0005917754 00000 n 0005918027 00000 n 0005918231 00000 n 0005918302 00000 n 0005918383 00000 n 0005919220 00000 n 0005919554 00000 n 0005924431 00000 n 0005924904 00000 n 0005925108 00000 n 0005925184 00000 n 0005925382 00000 n 0005925585 00000 n 0005925785 00000 n 0005925856 00000 n 0005925937 00000 n 0005926774 00000 n 0005927108 00000 n 0005929373 00000 n 0005929861 00000 n 0005930065 00000 n 0005930141 00000 n 0005930358 00000 n 0005930609 00000 n 0005930826 00000 n 0005930897 00000 n 0005930978 00000 n 0005931816 00000 n 0005932150 00000 n 0005936206 00000 n 0005936691 00000 n 0005936895 00000 n 0005936966 00000 n 0005937047 00000 n 0005937884 00000 n 0005938218 00000 n 0005941803 00000 n 0005942219 00000 n 0005942423 00000 n 0005942549 00000 n 0005942752 00000 n 0005942949 00000 n 0005943146 00000 n 0005943347 00000 n 0005943554 00000 n 0005943757 00000 n 0005943959 00000 n 0005944159 00000 n 0005944230 00000 n 0005944311 00000 n 0005945149 00000 n 0005945483 00000 n 0005946861 00000 n 0005947321 00000 n 0005947525 00000 n 0005947601 00000 n 0005947818 00000 n 0005948050 00000 n 0005948272 00000 n 0005948343 00000 n 0005948424 00000 n 0005949264 00000 n 0005949598 00000 n 0005953810 00000 n 0005954283 00000 n 0005954487 00000 n 0005954573 00000 n 0005954789 00000 n 0005955003 00000 n 0005955218 00000 n 0005955432 00000 n 0005955503 00000 n 0005955584 00000 n 0005956421 00000 n 0005956755 00000 n 0005960021 00000 n 0005960481 00000 n 0005960736 00000 n 0005960940 00000 n 0005961016 00000 n 0005961233 00000 n 0005961462 00000 n 0005961533 00000 n 0005961614 00000 n 0005962452 00000 n 0005962786 00000 n 0005967118 00000 n 0005967599 00000 n 0005967872 00000 n 0005968076 00000 n 0005968147 00000 n 0005968228 00000 n 0005969066 00000 n 0005969400 00000 n 0005974358 00000 n 0005974803 00000 n 0005975007 00000 n 0005975103 00000 n 0005975311 00000 n 0005975518 00000 n 0005975729 00000 n 0005975935 00000 n 0005976135 00000 n 0005976206 00000 n 0005976287 00000 n 0005977124 00000 n 0005977458 00000 n 0005979186 00000 n 0005979646 00000 n 0005979901 00000 n 0005980105 00000 n 0005980181 00000 n 0005980398 00000 n 0005980619 00000 n 0005980690 00000 n 0005980771 00000 n 0005981609 00000 n 0005981943 00000 n 0005986157 00000 n 0005986638 00000 n 0005986911 00000 n 0005987115 00000 n 0005987186 00000 n 0005987267 00000 n 0005988104 00000 n 0005988438 00000 n 0005993173 00000 n 0005993618 00000 n 0005993822 00000 n 0005993908 00000 n 0005994112 00000 n 0005994321 00000 n 0005994525 00000 n 0005994721 00000 n 0005994792 00000 n 0005994873 00000 n 0005995711 00000 n 0005996045 00000 n 0005998155 00000 n 0005998643 00000 n 0005998847 00000 n 0005998913 00000 n 0005999130 00000 n 0005999355 00000 n 0005999426 00000 n 0005999507 00000 n 0006000344 00000 n 0006000678 00000 n 0006004697 00000 n 0006005202 00000 n 0006005457 00000 n 0006005730 00000 n 0006005934 00000 n 0006006005 00000 n 0006006086 00000 n 0006006924 00000 n 0006007258 00000 n 0006011969 00000 n 0006012442 00000 n 0006012646 00000 n 0006012732 00000 n 0006012936 00000 n 0006013141 00000 n 0006013355 00000 n 0006013564 00000 n 0006013635 00000 n 0006013716 00000 n 0006014554 00000 n 0006014888 00000 n 0006017878 00000 n 0006018338 00000 n 0006018542 00000 n 0006018598 00000 n 0006018823 00000 n 0006018894 00000 n 0006018975 00000 n 0006019812 00000 n 0006020146 00000 n 0006023692 00000 n 0006024183 00000 n 0006024438 00000 n 0006024711 00000 n 0006024915 00000 n 0006024986 00000 n 0006025067 00000 n 0006025904 00000 n 0006026238 00000 n 0006031015 00000 n 0006031488 00000 n 0006031692 00000 n 0006031758 00000 n 0006031965 00000 n 0006032172 00000 n 0006032243 00000 n 0006032324 00000 n 0006033162 00000 n 0006033496 00000 n 0006035891 00000 n 0006036407 00000 n 0006036611 00000 n 0006036677 00000 n 0006036894 00000 n 0006037124 00000 n 0006037195 00000 n 0006037276 00000 n 0006038114 00000 n 0006038448 00000 n 0006042409 00000 n 0006042882 00000 n 0006043086 00000 n 0006043182 00000 n 0006043399 00000 n 0006043611 00000 n 0006043822 00000 n 0006044028 00000 n 0006044236 00000 n 0006044307 00000 n 0006044388 00000 n 0006045225 00000 n 0006045559 00000 n 0006048240 00000 n 0006048700 00000 n 0006048955 00000 n 0006049159 00000 n 0006049235 00000 n 0006049461 00000 n 0006049693 00000 n 0006049764 00000 n 0006049845 00000 n 0006050683 00000 n 0006051017 00000 n 0006055198 00000 n 0006055679 00000 n 0006055952 00000 n 0006056156 00000 n 0006056227 00000 n 0006056308 00000 n 0006057145 00000 n 0006057479 00000 n 0006062274 00000 n 0006062719 00000 n 0006062923 00000 n 0006062979 00000 n 0006063184 00000 n 0006063255 00000 n 0006063336 00000 n 0006064173 00000 n 0006064507 00000 n 0006066005 00000 n 0006066493 00000 n 0006066697 00000 n 0006066763 00000 n 0006066980 00000 n 0006067197 00000 n 0006067268 00000 n 0006067349 00000 n 0006068187 00000 n 0006068521 00000 n 0006072781 00000 n 0006073272 00000 n 0006073527 00000 n 0006073800 00000 n 0006074004 00000 n 0006074075 00000 n 0006074156 00000 n 0006074994 00000 n 0006075328 00000 n 0006080349 00000 n 0006080822 00000 n 0006081026 00000 n 0006081132 00000 n 0006081334 00000 n 0006081537 00000 n 0006081739 00000 n 0006081942 00000 n 0006082149 00000 n 0006082358 00000 n 0006082429 00000 n 0006082510 00000 n 0006083348 00000 n 0006083682 00000 n 0006086784 00000 n 0006087272 00000 n 0006087476 00000 n 0006087542 00000 n 0006087759 00000 n 0006087976 00000 n 0006088047 00000 n 0006088128 00000 n 0006088966 00000 n 0006089300 00000 n 0006093568 00000 n 0006094073 00000 n 0006094328 00000 n 0006094601 00000 n 0006094805 00000 n 0006094876 00000 n 0006094957 00000 n 0006095795 00000 n 0006096129 00000 n 0006100852 00000 n 0006101325 00000 n 0006101529 00000 n 0006101605 00000 n 0006101808 00000 n 0006102015 00000 n 0006102224 00000 n 0006102295 00000 n 0006102376 00000 n 0006103213 00000 n 0006103547 00000 n 0006106921 00000 n 0006107409 00000 n 0006107613 00000 n 0006107679 00000 n 0006107891 00000 n 0006108115 00000 n 0006108186 00000 n 0006108267 00000 n 0006109106 00000 n 0006109440 00000 n 0006113674 00000 n 0006114179 00000 n 0006114434 00000 n 0006114707 00000 n 0006114911 00000 n 0006114982 00000 n 0006115063 00000 n 0006115900 00000 n 0006116234 00000 n 0006121006 00000 n 0006121491 00000 n 0006121695 00000 n 0006121766 00000 n 0006121847 00000 n 0006122684 00000 n 0006123018 00000 n 0006127006 00000 n 0006127451 00000 n 0006127655 00000 n 0006127751 00000 n 0006127948 00000 n 0006128154 00000 n 0006128360 00000 n 0006128567 00000 n 0006128770 00000 n 0006128841 00000 n 0006128922 00000 n 0006129759 00000 n 0006130093 00000 n 0006132048 00000 n 0006132536 00000 n 0006132740 00000 n 0006132806 00000 n 0006133023 00000 n 0006133240 00000 n 0006133311 00000 n 0006133392 00000 n 0006134230 00000 n 0006134564 00000 n 0006138515 00000 n 0006139020 00000 n 0006139275 00000 n 0006139548 00000 n 0006139752 00000 n 0006139823 00000 n 0006139904 00000 n 0006140742 00000 n 0006141076 00000 n 0006145773 00000 n 0006146246 00000 n 0006146450 00000 n 0006146526 00000 n 0006146726 00000 n 0006146933 00000 n 0006147142 00000 n 0006147213 00000 n 0006147294 00000 n 0006148132 00000 n 0006148466 00000 n 0006152142 00000 n 0006152602 00000 n 0006152806 00000 n 0006152872 00000 n 0006153089 00000 n 0006153306 00000 n 0006153377 00000 n 0006153458 00000 n 0006154295 00000 n 0006154629 00000 n 0006159063 00000 n 0006159568 00000 n 0006159823 00000 n 0006160092 00000 n 0006160296 00000 n 0006160367 00000 n 0006160448 00000 n 0006161285 00000 n 0006161619 00000 n 0006166393 00000 n 0006166866 00000 n 0006167070 00000 n 0006167136 00000 n 0006167336 00000 n 0006167541 00000 n 0006167612 00000 n 0006167693 00000 n 0006168532 00000 n 0006168866 00000 n 0006172968 00000 n 0006173370 00000 n 0006173574 00000 n 0006173630 00000 n 0006173833 00000 n 0006173904 00000 n 0006173985 00000 n 0006174822 00000 n 0006175156 00000 n 0006176370 00000 n 0006176858 00000 n 0006177062 00000 n 0006177118 00000 n 0006177343 00000 n 0006177414 00000 n 0006177495 00000 n 0006178334 00000 n 0006178668 00000 n 0006182580 00000 n 0006183025 00000 n 0006183229 00000 n 0006183285 00000 n 0006183492 00000 n 0006183563 00000 n 0006183644 00000 n 0006184482 00000 n 0006184816 00000 n 0006187525 00000 n 0006188013 00000 n 0006188217 00000 n 0006188273 00000 n 0006188502 00000 n 0006188573 00000 n 0006188654 00000 n 0006189491 00000 n 0006189825 00000 n 0006193416 00000 n 0006193846 00000 n 0006194050 00000 n 0006194106 00000 n 0006194324 00000 n 0006194395 00000 n 0006194476 00000 n 0006195314 00000 n 0006195648 00000 n 0006196915 00000 n 0006197403 00000 n 0006197607 00000 n 0006197673 00000 n 0006197890 00000 n 0006198107 00000 n 0006198178 00000 n 0006198259 00000 n 0006199096 00000 n 0006199430 00000 n 0006203403 00000 n 0006203908 00000 n 0006204163 00000 n 0006204436 00000 n 0006204640 00000 n 0006204711 00000 n 0006204792 00000 n 0006205629 00000 n 0006205963 00000 n 0006210724 00000 n 0006211197 00000 n 0006211401 00000 n 0006211527 00000 n 0006211718 00000 n 0006211915 00000 n 0006212111 00000 n 0006212312 00000 n 0006212509 00000 n 0006212702 00000 n 0006212903 00000 n 0006213112 00000 n 0006213183 00000 n 0006213264 00000 n 0006214101 00000 n 0006214435 00000 n 0006217924 00000 n 0006218412 00000 n 0006218616 00000 n 0006218692 00000 n 0006218904 00000 n 0006219128 00000 n 0006219352 00000 n 0006219423 00000 n 0006219504 00000 n 0006220341 00000 n 0006220675 00000 n 0006224893 00000 n 0006225364 00000 n 0006225568 00000 n 0006225639 00000 n 0006225720 00000 n 0006226557 00000 n 0006226891 00000 n 0006231616 00000 n 0006232061 00000 n 0006232265 00000 n 0006232341 00000 n 0006232542 00000 n 0006232744 00000 n 0006232952 00000 n 0006233023 00000 n 0006233104 00000 n 0006233941 00000 n 0006234275 00000 n 0006236327 00000 n 0006236799 00000 n 0006237003 00000 n 0006237074 00000 n 0006237155 00000 n 0006237994 00000 n 0006238328 00000 n 0006242827 00000 n 0006243300 00000 n 0006243504 00000 n 0006243570 00000 n 0006243787 00000 n 0006244009 00000 n 0006244080 00000 n 0006244161 00000 n 0006244998 00000 n 0006245332 00000 n 0006250017 00000 n 0006250488 00000 n 0006250692 00000 n 0006250763 00000 n 0006250844 00000 n 0006251681 00000 n 0006252015 00000 n 0006256193 00000 n 0006256664 00000 n 0006256868 00000 n 0006256939 00000 n 0006257020 00000 n 0006257858 00000 n 0006258192 00000 n 0006262855 00000 n 0006263360 00000 n 0006263615 00000 n 0006263888 00000 n 0006264092 00000 n 0006264163 00000 n 0006264244 00000 n 0006265082 00000 n 0006265416 00000 n 0006270278 00000 n 0006270723 00000 n 0006270927 00000 n 0006270993 00000 n 0006271196 00000 n 0006271397 00000 n 0006271468 00000 n 0006271549 00000 n 0006272386 00000 n 0006272720 00000 n 0006275130 00000 n 0006275618 00000 n 0006275822 00000 n 0006275878 00000 n 0006276103 00000 n 0006276174 00000 n 0006276255 00000 n 0006277093 00000 n 0006277427 00000 n 0006282772 00000 n 0006283245 00000 n 0006283449 00000 n 0006283535 00000 n 0006283741 00000 n 0006283946 00000 n 0006284149 00000 n 0006284356 00000 n 0006284427 00000 n 0006284508 00000 n 0006285345 00000 n 0006285679 00000 n 0006288825 00000 n 0006289285 00000 n 0006289489 00000 n 0006289555 00000 n 0006289771 00000 n 0006289993 00000 n 0006290064 00000 n 0006290145 00000 n 0006290982 00000 n 0006291316 00000 n 0006295823 00000 n 0006296328 00000 n 0006296583 00000 n 0006296856 00000 n 0006297060 00000 n 0006297131 00000 n 0006297212 00000 n 0006298049 00000 n 0006298383 00000 n 0006303105 00000 n 0006303578 00000 n 0006303782 00000 n 0006303858 00000 n 0006304064 00000 n 0006304272 00000 n 0006304480 00000 n 0006304551 00000 n 0006304632 00000 n 0006305469 00000 n 0006305803 00000 n 0006309038 00000 n 0006309498 00000 n 0006309702 00000 n 0006309758 00000 n 0006309980 00000 n 0006310051 00000 n 0006310132 00000 n 0006310969 00000 n 0006311303 00000 n 0006315397 00000 n 0006315902 00000 n 0006316157 00000 n 0006316430 00000 n 0006316634 00000 n 0006316705 00000 n 0006316786 00000 n 0006317623 00000 n 0006317957 00000 n 0006322720 00000 n 0006323193 00000 n 0006323397 00000 n 0006323493 00000 n 0006323704 00000 n 0006323916 00000 n 0006324129 00000 n 0006324339 00000 n 0006324549 00000 n 0006324620 00000 n 0006324701 00000 n 0006325540 00000 n 0006325874 00000 n 0006328416 00000 n 0006328876 00000 n 0006329080 00000 n 0006329136 00000 n 0006329358 00000 n 0006329429 00000 n 0006329510 00000 n 0006330348 00000 n 0006330682 00000 n 0006334407 00000 n 0006334867 00000 n 0006335071 00000 n 0006335137 00000 n 0006335354 00000 n 0006335571 00000 n 0006335642 00000 n 0006335723 00000 n 0006336561 00000 n 0006336895 00000 n 0006340770 00000 n 0006341275 00000 n 0006341530 00000 n 0006341799 00000 n 0006342003 00000 n 0006342074 00000 n 0006342155 00000 n 0006342992 00000 n 0006343326 00000 n 0006348290 00000 n 0006348763 00000 n 0006348967 00000 n 0006349063 00000 n 0006349266 00000 n 0006349468 00000 n 0006349671 00000 n 0006349878 00000 n 0006350087 00000 n 0006350158 00000 n 0006350239 00000 n 0006351077 00000 n 0006351411 00000 n 0006354867 00000 n 0006355327 00000 n 0006355531 00000 n 0006355587 00000 n 0006355816 00000 n 0006355887 00000 n 0006355968 00000 n 0006356805 00000 n 0006357139 00000 n 0006361011 00000 n 0006361484 00000 n 0006361688 00000 n 0006361764 00000 n 0006361972 00000 n 0006362178 00000 n 0006362385 00000 n 0006362456 00000 n 0006362537 00000 n 0006363375 00000 n 0006363709 00000 n 0006366812 00000 n 0006367272 00000 n 0006367476 00000 n 0006367542 00000 n 0006367753 00000 n 0006367970 00000 n 0006368041 00000 n 0006368122 00000 n 0006368960 00000 n 0006369294 00000 n 0006373873 00000 n 0006374387 00000 n 0006374591 00000 n 0006374662 00000 n 0006374743 00000 n 0006375580 00000 n 0006375914 00000 n 0006380541 00000 n 0006380986 00000 n 0006381190 00000 n 0006381256 00000 n 0006381465 00000 n 0006381672 00000 n 0006381743 00000 n 0006381824 00000 n 0006382661 00000 n 0006382995 00000 n 0006385211 00000 n 0006385671 00000 n 0006385875 00000 n 0006385931 00000 n 0006386151 00000 n 0006386222 00000 n 0006386303 00000 n 0006387141 00000 n 0006387475 00000 n 0006391890 00000 n 0006392375 00000 n 0006392579 00000 n 0006392650 00000 n 0006392731 00000 n 0006393569 00000 n 0006393903 00000 n 0006397974 00000 n 0006398488 00000 n 0006398692 00000 n 0006398763 00000 n 0006398844 00000 n 0006399681 00000 n 0006400015 00000 n 0006403793 00000 n 0006404251 00000 n 0006404455 00000 n 0006404561 00000 n 0006404758 00000 n 0006404959 00000 n 0006405160 00000 n 0006405361 00000 n 0006405560 00000 n 0006405762 00000 n 0006405833 00000 n 0006405914 00000 n 0006406752 00000 n 0006407086 00000 n 0006408823 00000 n 0006409340 00000 n 0006409544 00000 n 0006409600 00000 n 0006409825 00000 n 0006409896 00000 n 0006409977 00000 n 0006410814 00000 n 0006411148 00000 n 0006416666 00000 n 0006417139 00000 n 0006417343 00000 n 0006417449 00000 n 0006417666 00000 n 0006417878 00000 n 0006418092 00000 n 0006418298 00000 n 0006418506 00000 n 0006418719 00000 n 0006418790 00000 n 0006418871 00000 n 0006419708 00000 n 0006420042 00000 n 0006423375 00000 n 0006423863 00000 n 0006424067 00000 n 0006424123 00000 n 0006424338 00000 n 0006424409 00000 n 0006424490 00000 n 0006425327 00000 n 0006425661 00000 n 0006430058 00000 n 0006430531 00000 n 0006430735 00000 n 0006430831 00000 n 0006431042 00000 n 0006431252 00000 n 0006431462 00000 n 0006431670 00000 n 0006431875 00000 n 0006431946 00000 n 0006432027 00000 n 0006432867 00000 n 0006433201 00000 n 0006435337 00000 n 0006435826 00000 n 0006436030 00000 n 0006436086 00000 n 0006436302 00000 n 0006436373 00000 n 0006436454 00000 n 0006437291 00000 n 0006437625 00000 n 0006442354 00000 n 0006442841 00000 n 0006443045 00000 n 0006443141 00000 n 0006443346 00000 n 0006443551 00000 n 0006443756 00000 n 0006443959 00000 n 0006444165 00000 n 0006444236 00000 n 0006444317 00000 n 0006445155 00000 n 0006445489 00000 n 0006450155 00000 n 0006450557 00000 n 0006450761 00000 n 0006450817 00000 n 0006451018 00000 n 0006451089 00000 n 0006451170 00000 n 0006452009 00000 n 0006452343 00000 n 0006453559 00000 n 0006454047 00000 n 0006454251 00000 n 0006454307 00000 n 0006454523 00000 n 0006454594 00000 n 0006454675 00000 n 0006455513 00000 n 0006455847 00000 n 0006461230 00000 n 0006461715 00000 n 0006461919 00000 n 0006461990 00000 n 0006462071 00000 n 0006462909 00000 n 0006463243 00000 n 0006467183 00000 n 0006467640 00000 n 0006467844 00000 n 0006467915 00000 n 0006467996 00000 n 0006468834 00000 n 0006469168 00000 n 0006471273 00000 n 0006471762 00000 n 0006471966 00000 n 0006472032 00000 n 0006472252 00000 n 0006472484 00000 n 0006472555 00000 n 0006472636 00000 n 0006473475 00000 n 0006473809 00000 n 0006478247 00000 n 0006478761 00000 n 0006478965 00000 n 0006479036 00000 n 0006479117 00000 n 0006479955 00000 n 0006480289 00000 n 0006484948 00000 n 0006485421 00000 n 0006485625 00000 n 0006485681 00000 n 0006485884 00000 n 0006485955 00000 n 0006486036 00000 n 0006486873 00000 n 0006487207 00000 n 0006490728 00000 n 0006491188 00000 n 0006491392 00000 n 0006491458 00000 n 0006491678 00000 n 0006491902 00000 n 0006491973 00000 n 0006492054 00000 n 0006492892 00000 n 0006493226 00000 n 0006497360 00000 n 0006497833 00000 n 0006498037 00000 n 0006498123 00000 n 0006498322 00000 n 0006498521 00000 n 0006498726 00000 n 0006498923 00000 n 0006498994 00000 n 0006499075 00000 n 0006499914 00000 n 0006500248 00000 n 0006503892 00000 n 0006504380 00000 n 0006504584 00000 n 0006504640 00000 n 0006504860 00000 n 0006504931 00000 n 0006505012 00000 n 0006505849 00000 n 0006506183 00000 n 0006510261 00000 n 0006510706 00000 n 0006510910 00000 n 0006510966 00000 n 0006511174 00000 n 0006511245 00000 n 0006511326 00000 n 0006512165 00000 n 0006512499 00000 n 0006514470 00000 n 0006514930 00000 n 0006515134 00000 n 0006515190 00000 n 0006515410 00000 n 0006515481 00000 n 0006515562 00000 n 0006516401 00000 n 0006516735 00000 n 0006520806 00000 n 0006521279 00000 n 0006521483 00000 n 0006521539 00000 n 0006521745 00000 n 0006521816 00000 n 0006521897 00000 n 0006522735 00000 n 0006523069 00000 n 0006525702 00000 n 0006526190 00000 n 0006526394 00000 n 0006526450 00000 n 0006526670 00000 n 0006526741 00000 n 0006526822 00000 n 0006527660 00000 n 0006527994 00000 n 0006532024 00000 n 0006532469 00000 n 0006532673 00000 n 0006532729 00000 n 0006532937 00000 n 0006533008 00000 n 0006533089 00000 n 0006533927 00000 n 0006534262 00000 n 0006536128 00000 n 0006536588 00000 n 0006536792 00000 n 0006536848 00000 n 0006537077 00000 n 0006537148 00000 n 0006537229 00000 n 0006538066 00000 n 0006538401 00000 n 0006542079 00000 n 0006542552 00000 n 0006542756 00000 n 0006542852 00000 n 0006543063 00000 n 0006543275 00000 n 0006543488 00000 n 0006543698 00000 n 0006543910 00000 n 0006543981 00000 n 0006544062 00000 n 0006544899 00000 n 0006545234 00000 n 0006547886 00000 n 0006548346 00000 n 0006548550 00000 n 0006548606 00000 n 0006548824 00000 n 0006548895 00000 n 0006548976 00000 n 0006549813 00000 n 0006550148 00000 n 0006554868 00000 n 0006555341 00000 n 0006555545 00000 n 0006555611 00000 n 0006555813 00000 n 0006556015 00000 n 0006556086 00000 n 0006556167 00000 n 0006557006 00000 n 0006557341 00000 n 0006560718 00000 n 0006561178 00000 n 0006561382 00000 n 0006561438 00000 n 0006561667 00000 n 0006561738 00000 n 0006561819 00000 n 0006562657 00000 n 0006562992 00000 n 0006567010 00000 n 0006567524 00000 n 0006567728 00000 n 0006567799 00000 n 0006567880 00000 n 0006568718 00000 n 0006569053 00000 n 0006574064 00000 n 0006574509 00000 n 0006574713 00000 n 0006574799 00000 n 0006575003 00000 n 0006575205 00000 n 0006575412 00000 n 0006575612 00000 n 0006575683 00000 n 0006575764 00000 n 0006576603 00000 n 0006576938 00000 n 0006579126 00000 n 0006579586 00000 n 0006579790 00000 n 0006579846 00000 n 0006580075 00000 n 0006580146 00000 n 0006580227 00000 n 0006581064 00000 n 0006581399 00000 n 0006585289 00000 n 0006585762 00000 n 0006585966 00000 n 0006586022 00000 n 0006586239 00000 n 0006586310 00000 n 0006586391 00000 n 0006587229 00000 n 0006587564 00000 n 0006590236 00000 n 0006590708 00000 n 0006590912 00000 n 0006590983 00000 n 0006591064 00000 n 0006591902 00000 n 0006592237 00000 n 0006596673 00000 n 0006597161 00000 n 0006597365 00000 n 0006597421 00000 n 0006597637 00000 n 0006597708 00000 n 0006597789 00000 n 0006598626 00000 n 0006598961 00000 n 0006604540 00000 n 0006604983 00000 n 0006605187 00000 n 0006605258 00000 n 0006605339 00000 n 0006606177 00000 n 0006606512 00000 n 0006610447 00000 n 0006610920 00000 n 0006611124 00000 n 0006611210 00000 n 0006611419 00000 n 0006611630 00000 n 0006611839 00000 n 0006612052 00000 n 0006612123 00000 n 0006612204 00000 n 0006613042 00000 n 0006613377 00000 n 0006616508 00000 n 0006616996 00000 n 0006617200 00000 n 0006617256 00000 n 0006617482 00000 n 0006617553 00000 n 0006617634 00000 n 0006618472 00000 n 0006618807 00000 n 0006623165 00000 n 0006623638 00000 n 0006623842 00000 n 0006623948 00000 n 0006624159 00000 n 0006624369 00000 n 0006624579 00000 n 0006624782 00000 n 0006624986 00000 n 0006625193 00000 n 0006625264 00000 n 0006625345 00000 n 0006626183 00000 n 0006626518 00000 n 0006629555 00000 n 0006630043 00000 n 0006630247 00000 n 0006630303 00000 n 0006630529 00000 n 0006630600 00000 n 0006630681 00000 n 0006631520 00000 n 0006631855 00000 n 0006636265 00000 n 0006636738 00000 n 0006636942 00000 n 0006636998 00000 n 0006637207 00000 n 0006637278 00000 n 0006637359 00000 n 0006638197 00000 n 0006638532 00000 n 0006640498 00000 n 0006640956 00000 n 0006641160 00000 n 0006641231 00000 n 0006641312 00000 n 0006642150 00000 n 0006642485 00000 n 0006646984 00000 n 0006647443 00000 n 0006647647 00000 n 0006647703 00000 n 0006647921 00000 n 0006647992 00000 n 0006648073 00000 n 0006648910 00000 n 0006649245 00000 n 0006653227 00000 n 0006653698 00000 n 0006653902 00000 n 0006653973 00000 n 0006654054 00000 n 0006654892 00000 n 0006655227 00000 n 0006659859 00000 n 0006660344 00000 n 0006660548 00000 n 0006660619 00000 n 0006660700 00000 n 0006661538 00000 n 0006661873 00000 n 0006665762 00000 n 0006666207 00000 n 0006666411 00000 n 0006666567 00000 n 0006666771 00000 n 0006666973 00000 n 0006667177 00000 n 0006667386 00000 n 0006667591 00000 n 0006667801 00000 n 0006668005 00000 n 0006668211 00000 n 0006668417 00000 n 0006668623 00000 n 0006668832 00000 n 0006668903 00000 n 0006668984 00000 n 0006669821 00000 n 0006670156 00000 n 0006673118 00000 n 0006673578 00000 n 0006673782 00000 n 0006673848 00000 n 0006674074 00000 n 0006674306 00000 n 0006674377 00000 n 0006674458 00000 n 0006675296 00000 n 0006675631 00000 n 0006680054 00000 n 0006680568 00000 n 0006680772 00000 n 0006680843 00000 n 0006680924 00000 n 0006681761 00000 n 0006682096 00000 n 0006687477 00000 n 0006687948 00000 n 0006688152 00000 n 0006688223 00000 n 0006688304 00000 n 0006689142 00000 n 0006689477 00000 n 0006694434 00000 n 0006694933 00000 n 0006695137 00000 n 0006695208 00000 n 0006695289 00000 n 0006696127 00000 n 0006696462 00000 n 0006701313 00000 n 0006701758 00000 n 0006701962 00000 n 0006702048 00000 n 0006702251 00000 n 0006702456 00000 n 0006702662 00000 n 0006702859 00000 n 0006702930 00000 n 0006703011 00000 n 0006703849 00000 n 0006704184 00000 n 0006706777 00000 n 0006707265 00000 n 0006707469 00000 n 0006707525 00000 n 0006707750 00000 n 0006707821 00000 n 0006707902 00000 n 0006708740 00000 n 0006709075 00000 n 0006713169 00000 n 0006713614 00000 n 0006713818 00000 n 0006713904 00000 n 0006714106 00000 n 0006714299 00000 n 0006714494 00000 n 0006714690 00000 n 0006714761 00000 n 0006714842 00000 n 0006715681 00000 n 0006716016 00000 n 0006717609 00000 n 0006718069 00000 n 0006718273 00000 n 0006718329 00000 n 0006718545 00000 n 0006718616 00000 n 0006718697 00000 n 0006719535 00000 n 0006719870 00000 n 0006723846 00000 n 0006724360 00000 n 0006724564 00000 n 0006724635 00000 n 0006724716 00000 n 0006725553 00000 n 0006725888 00000 n 0006730706 00000 n 0006731208 00000 n 0006731412 00000 n 0006731488 00000 n 0006731693 00000 n 0006731902 00000 n 0006732102 00000 n 0006732173 00000 n 0006732254 00000 n 0006733093 00000 n 0006733428 00000 n 0006737541 00000 n 0006737943 00000 n 0006738147 00000 n 0006738203 00000 n 0006738403 00000 n 0006738474 00000 n 0006738555 00000 n 0006739393 00000 n 0006739728 00000 n 0006740936 00000 n 0006741424 00000 n 0006741628 00000 n 0006741684 00000 n 0006741900 00000 n 0006741971 00000 n 0006742052 00000 n 0006742890 00000 n 0006743225 00000 n 0006747009 00000 n 0006747440 00000 n 0006747644 00000 n 0006747710 00000 n 0006747902 00000 n 0006748099 00000 n 0006748170 00000 n 0006748251 00000 n 0006749088 00000 n 0006749423 00000 n 0006750992 00000 n 0006751495 00000 n 0006751699 00000 n 0006751765 00000 n 0006751986 00000 n 0006752208 00000 n 0006752279 00000 n 0006752360 00000 n 0006753199 00000 n 0006753534 00000 n 0006758521 00000 n 0006758994 00000 n 0006759198 00000 n 0006759284 00000 n 0006759492 00000 n 0006759697 00000 n 0006759904 00000 n 0006760113 00000 n 0006760184 00000 n 0006760265 00000 n 0006761103 00000 n 0006761438 00000 n 0006764492 00000 n 0006764980 00000 n 0006765184 00000 n 0006765240 00000 n 0006765486 00000 n 0006765557 00000 n 0006765638 00000 n 0006766476 00000 n 0006766811 00000 n 0006770470 00000 n 0006770915 00000 n 0006771119 00000 n 0006771205 00000 n 0006771402 00000 n 0006771598 00000 n 0006771797 00000 n 0006771994 00000 n 0006772065 00000 n 0006772146 00000 n 0006772983 00000 n 0006773318 00000 n 0006774893 00000 n 0006775381 00000 n 0006775585 00000 n 0006775651 00000 n 0006775868 00000 n 0006776119 00000 n 0006776190 00000 n 0006776271 00000 n 0006777110 00000 n 0006777445 00000 n 0006781454 00000 n 0006781927 00000 n 0006782131 00000 n 0006782247 00000 n 0006782456 00000 n 0006782659 00000 n 0006782865 00000 n 0006783078 00000 n 0006783287 00000 n 0006783495 00000 n 0006783701 00000 n 0006783772 00000 n 0006783853 00000 n 0006784690 00000 n 0006785025 00000 n 0006788287 00000 n 0006788775 00000 n 0006788979 00000 n 0006789045 00000 n 0006789262 00000 n 0006789513 00000 n 0006789584 00000 n 0006789665 00000 n 0006790502 00000 n 0006790837 00000 n 0006794849 00000 n 0006795322 00000 n 0006795526 00000 n 0006795652 00000 n 0006795861 00000 n 0006796069 00000 n 0006796272 00000 n 0006796478 00000 n 0006796685 00000 n 0006796894 00000 n 0006797102 00000 n 0006797307 00000 n 0006797378 00000 n 0006797459 00000 n 0006798298 00000 n 0006798633 00000 n 0006801998 00000 n 0006802486 00000 n 0006802690 00000 n 0006802746 00000 n 0006802966 00000 n 0006803037 00000 n 0006803118 00000 n 0006803955 00000 n 0006804290 00000 n 0006808137 00000 n 0006808610 00000 n 0006808814 00000 n 0006808920 00000 n 0006809130 00000 n 0006809337 00000 n 0006809546 00000 n 0006809749 00000 n 0006809952 00000 n 0006810153 00000 n 0006810224 00000 n 0006810305 00000 n 0006811142 00000 n 0006811477 00000 n 0006813519 00000 n 0006813979 00000 n 0006814183 00000 n 0006814239 00000 n 0006814455 00000 n 0006814526 00000 n 0006814607 00000 n 0006815446 00000 n 0006815781 00000 n 0006819762 00000 n 0006820235 00000 n 0006820439 00000 n 0006820505 00000 n 0006820703 00000 n 0006820910 00000 n 0006820981 00000 n 0006821062 00000 n 0006821899 00000 n 0006822234 00000 n 0006824491 00000 n 0006824965 00000 n 0006825169 00000 n 0006825225 00000 n 0006825445 00000 n 0006825516 00000 n 0006825597 00000 n 0006826434 00000 n 0006826769 00000 n 0006831267 00000 n 0006831781 00000 n 0006831985 00000 n 0006832056 00000 n 0006832137 00000 n 0006832975 00000 n 0006833310 00000 n 0006838670 00000 n 0006839115 00000 n 0006839319 00000 n 0006839385 00000 n 0006839588 00000 n 0006839790 00000 n 0006839861 00000 n 0006839942 00000 n 0006840781 00000 n 0006841116 00000 n 0006843079 00000 n 0006843567 00000 n 0006843771 00000 n 0006843827 00000 n 0006844052 00000 n 0006844123 00000 n 0006844204 00000 n 0006845041 00000 n 0006845376 00000 n 0006849475 00000 n 0006849948 00000 n 0006850152 00000 n 0006850228 00000 n 0006850432 00000 n 0006850637 00000 n 0006850840 00000 n 0006850911 00000 n 0006850992 00000 n 0006851830 00000 n 0006852165 00000 n 0006854365 00000 n 0006854853 00000 n 0006855057 00000 n 0006855113 00000 n 0006855338 00000 n 0006855409 00000 n 0006855490 00000 n 0006856328 00000 n 0006856663 00000 n 0006861327 00000 n 0006861800 00000 n 0006862004 00000 n 0006862080 00000 n 0006862288 00000 n 0006862491 00000 n 0006862692 00000 n 0006862763 00000 n 0006862844 00000 n 0006863681 00000 n 0006864016 00000 n 0006867889 00000 n 0006868378 00000 n 0006868582 00000 n 0006868638 00000 n 0006868863 00000 n 0006868934 00000 n 0006869015 00000 n 0006869852 00000 n 0006870187 00000 n 0006874341 00000 n 0006874814 00000 n 0006875018 00000 n 0006875074 00000 n 0006875279 00000 n 0006875350 00000 n 0006875431 00000 n 0006876270 00000 n 0006876605 00000 n 0006879378 00000 n 0006879895 00000 n 0006880099 00000 n 0006880155 00000 n 0006880380 00000 n 0006880451 00000 n 0006880532 00000 n 0006881370 00000 n 0006881705 00000 n 0006886637 00000 n 0006887110 00000 n 0006887314 00000 n 0006887390 00000 n 0006887595 00000 n 0006887795 00000 n 0006888001 00000 n 0006888072 00000 n 0006888153 00000 n 0006888990 00000 n 0006889325 00000 n 0006891439 00000 n 0006891927 00000 n 0006892131 00000 n 0006892187 00000 n 0006892416 00000 n 0006892487 00000 n 0006892568 00000 n 0006893406 00000 n 0006893741 00000 n 0006897963 00000 n 0006898436 00000 n 0006898640 00000 n 0006898716 00000 n 0006898920 00000 n 0006899133 00000 n 0006899346 00000 n 0006899417 00000 n 0006899498 00000 n 0006900335 00000 n 0006900670 00000 n 0006903382 00000 n 0006903870 00000 n 0006904074 00000 n 0006904130 00000 n 0006904359 00000 n 0006904430 00000 n 0006904511 00000 n 0006905348 00000 n 0006905683 00000 n 0006909786 00000 n 0006910259 00000 n 0006910463 00000 n 0006910539 00000 n 0006910743 00000 n 0006910951 00000 n 0006911159 00000 n 0006911230 00000 n 0006911311 00000 n 0006912148 00000 n 0006912483 00000 n 0006915116 00000 n 0006915633 00000 n 0006915837 00000 n 0006915903 00000 n 0006916115 00000 n 0006916348 00000 n 0006916419 00000 n 0006916500 00000 n 0006917337 00000 n 0006917672 00000 n 0006922290 00000 n 0006922735 00000 n 0006922939 00000 n 0006923015 00000 n 0006923219 00000 n 0006923414 00000 n 0006923623 00000 n 0006923694 00000 n 0006923775 00000 n 0006924613 00000 n 0006924948 00000 n 0006926607 00000 n 0006927095 00000 n 0006927299 00000 n 0006927365 00000 n 0006927585 00000 n 0006927809 00000 n 0006927880 00000 n 0006927961 00000 n 0006928799 00000 n 0006929134 00000 n 0006933542 00000 n 0006934056 00000 n 0006934260 00000 n 0006934331 00000 n 0006934412 00000 n 0006935250 00000 n 0006935585 00000 n 0006940222 00000 n 0006940652 00000 n 0006940856 00000 n 0006940962 00000 n 0006941159 00000 n 0006941363 00000 n 0006941564 00000 n 0006941767 00000 n 0006941967 00000 n 0006942167 00000 n 0006942238 00000 n 0006942319 00000 n 0006943157 00000 n 0006943492 00000 n 0006944880 00000 n 0006945368 00000 n 0006945572 00000 n 0006945628 00000 n 0006945853 00000 n 0006945924 00000 n 0006946005 00000 n 0006946842 00000 n 0006947177 00000 n 0006951457 00000 n 0006951930 00000 n 0006952134 00000 n 0006952240 00000 n 0006952457 00000 n 0006952669 00000 n 0006952883 00000 n 0006953094 00000 n 0006953302 00000 n 0006953510 00000 n 0006953581 00000 n 0006953662 00000 n 0006954500 00000 n 0006954835 00000 n 0006956934 00000 n 0006957394 00000 n 0006957598 00000 n 0006957654 00000 n 0006957869 00000 n 0006957940 00000 n 0006958021 00000 n 0006958860 00000 n 0006959195 00000 n 0006963081 00000 n 0006963568 00000 n 0006963772 00000 n 0006963868 00000 n 0006964079 00000 n 0006964289 00000 n 0006964499 00000 n 0006964713 00000 n 0006964919 00000 n 0006964990 00000 n 0006965071 00000 n 0006965910 00000 n 0006966245 00000 n 0006970505 00000 n 0006970965 00000 n 0006971169 00000 n 0006971225 00000 n 0006971454 00000 n 0006971525 00000 n 0006971606 00000 n 0006972445 00000 n 0006972780 00000 n 0006976657 00000 n 0006977130 00000 n 0006977334 00000 n 0006977410 00000 n 0006977616 00000 n 0006977824 00000 n 0006978032 00000 n 0006978103 00000 n 0006978184 00000 n 0006979022 00000 n 0006979357 00000 n 0006982039 00000 n 0006982527 00000 n 0006982731 00000 n 0006982787 00000 n 0006983007 00000 n 0006983078 00000 n 0006983159 00000 n 0006983997 00000 n 0006984332 00000 n 0006989058 00000 n 0006989531 00000 n 0006989735 00000 n 0006989811 00000 n 0006990028 00000 n 0006990246 00000 n 0006990461 00000 n 0006990532 00000 n 0006990613 00000 n 0006991450 00000 n 0006991785 00000 n 0006994227 00000 n 0006994715 00000 n 0006994919 00000 n 0006994985 00000 n 0006995205 00000 n 0006995437 00000 n 0006995508 00000 n 0006995589 00000 n 0006996427 00000 n 0006996762 00000 n 0007000887 00000 n 0007001389 00000 n 0007001593 00000 n 0007001649 00000 n 0007001850 00000 n 0007001921 00000 n 0007002002 00000 n 0007002840 00000 n 0007003175 00000 n 0007005899 00000 n 0007006359 00000 n 0007006563 00000 n 0007006619 00000 n 0007006841 00000 n 0007006912 00000 n 0007006993 00000 n 0007007831 00000 n 0007008166 00000 n 0007011413 00000 n 0007011901 00000 n 0007012105 00000 n 0007012161 00000 n 0007012390 00000 n 0007012461 00000 n 0007012542 00000 n 0007013380 00000 n 0007013715 00000 n 0007017437 00000 n 0007017882 00000 n 0007018086 00000 n 0007018172 00000 n 0007018381 00000 n 0007018591 00000 n 0007018802 00000 n 0007019010 00000 n 0007019081 00000 n 0007019162 00000 n 0007020000 00000 n 0007020335 00000 n 0007022277 00000 n 0007022779 00000 n 0007022983 00000 n 0007023039 00000 n 0007023259 00000 n 0007023330 00000 n 0007023411 00000 n 0007024248 00000 n 0007024583 00000 n 0007028934 00000 n 0007029436 00000 n 0007029640 00000 n 0007029726 00000 n 0007029932 00000 n 0007030141 00000 n 0007030350 00000 n 0007030560 00000 n 0007030631 00000 n 0007030712 00000 n 0007031550 00000 n 0007031885 00000 n 0007035689 00000 n 0007036149 00000 n 0007036353 00000 n 0007036419 00000 n 0007036639 00000 n 0007036863 00000 n 0007036934 00000 n 0007037015 00000 n 0007037855 00000 n 0007038190 00000 n 0007042091 00000 n 0007042564 00000 n 0007042768 00000 n 0007042854 00000 n 0007043057 00000 n 0007043266 00000 n 0007043467 00000 n 0007043670 00000 n 0007043741 00000 n 0007043822 00000 n 0007044660 00000 n 0007044995 00000 n 0007047631 00000 n 0007048120 00000 n 0007048324 00000 n 0007048380 00000 n 0007048601 00000 n 0007048672 00000 n 0007048753 00000 n 0007049590 00000 n 0007049925 00000 n 0007054177 00000 n 0007054664 00000 n 0007054868 00000 n 0007054934 00000 n 0007055144 00000 n 0007055353 00000 n 0007055424 00000 n 0007055505 00000 n 0007056343 00000 n 0007056678 00000 n 0007061387 00000 n 0007061789 00000 n 0007061993 00000 n 0007062109 00000 n 0007062314 00000 n 0007062519 00000 n 0007062721 00000 n 0007062923 00000 n 0007063125 00000 n 0007063330 00000 n 0007063530 00000 n 0007063601 00000 n 0007063682 00000 n 0007064520 00000 n 0007064855 00000 n 0007066232 00000 n 0007066720 00000 n 0007066924 00000 n 0007067000 00000 n 0007067215 00000 n 0007067442 00000 n 0007067665 00000 n 0007067736 00000 n 0007067817 00000 n 0007068655 00000 n 0007068990 00000 n 0007073220 00000 n 0007073693 00000 n 0007073897 00000 n 0007073963 00000 n 0007074177 00000 n 0007074388 00000 n 0007074459 00000 n 0007074540 00000 n 0007075378 00000 n 0007075713 00000 n 0007078153 00000 n 0007078627 00000 n 0007078831 00000 n 0007078887 00000 n 0007079108 00000 n 0007079179 00000 n 0007079260 00000 n 0007080099 00000 n 0007080434 00000 n 0007084943 00000 n 0007085438 00000 n 0007085744 00000 n 0007085948 00000 n 0007086019 00000 n 0007086100 00000 n 0007086938 00000 n 0007087273 00000 n 0007091345 00000 n 0007091790 00000 n 0007091994 00000 n 0007092100 00000 n 0007092303 00000 n 0007092508 00000 n 0007092713 00000 n 0007092924 00000 n 0007093132 00000 n 0007093340 00000 n 0007093411 00000 n 0007093492 00000 n 0007094329 00000 n 0007094664 00000 n 0007096769 00000 n 0007097257 00000 n 0007097461 00000 n 0007097517 00000 n 0007097737 00000 n 0007097808 00000 n 0007097889 00000 n 0007098727 00000 n 0007099062 00000 n 0007102775 00000 n 0007103248 00000 n 0007103452 00000 n 0007103508 00000 n 0007103710 00000 n 0007103781 00000 n 0007103862 00000 n 0007104700 00000 n 0007105035 00000 n 0007107845 00000 n 0007108348 00000 n 0007108552 00000 n 0007108608 00000 n 0007108828 00000 n 0007108899 00000 n 0007108980 00000 n 0007109818 00000 n 0007110153 00000 n 0007114758 00000 n 0007115260 00000 n 0007115464 00000 n 0007115560 00000 n 0007115767 00000 n 0007115975 00000 n 0007116186 00000 n 0007116397 00000 n 0007116606 00000 n 0007116677 00000 n 0007116758 00000 n 0007117597 00000 n 0007117932 00000 n 0007121798 00000 n 0007122258 00000 n 0007122462 00000 n 0007122518 00000 n 0007122735 00000 n 0007122806 00000 n 0007122887 00000 n 0007123726 00000 n 0007124061 00000 n 0007128445 00000 n 0007128947 00000 n 0007129151 00000 n 0007129227 00000 n 0007129433 00000 n 0007129641 00000 n 0007129853 00000 n 0007129924 00000 n 0007130005 00000 n 0007130842 00000 n 0007131177 00000 n 0007136127 00000 n 0007136543 00000 n 0007136747 00000 n 0007136863 00000 n 0007137067 00000 n 0007137265 00000 n 0007137470 00000 n 0007137670 00000 n 0007137876 00000 n 0007138077 00000 n 0007138286 00000 n 0007138357 00000 n 0007138438 00000 n 0007139276 00000 n 0007139611 00000 n 0007140966 00000 n 0007141440 00000 n 0007141644 00000 n 0007141700 00000 n 0007141920 00000 n 0007141991 00000 n 0007142072 00000 n 0007142910 00000 n 0007143245 00000 n 0007147322 00000 n 0007147795 00000 n 0007147999 00000 n 0007148095 00000 n 0007148300 00000 n 0007148505 00000 n 0007148714 00000 n 0007148923 00000 n 0007149133 00000 n 0007149204 00000 n 0007149285 00000 n 0007150123 00000 n 0007150458 00000 n 0007153364 00000 n 0007153824 00000 n 0007154028 00000 n 0007154084 00000 n 0007154309 00000 n 0007154380 00000 n 0007154461 00000 n 0007155298 00000 n 0007155633 00000 n 0007159692 00000 n 0007160137 00000 n 0007160341 00000 n 0007160407 00000 n 0007160611 00000 n 0007160813 00000 n 0007160884 00000 n 0007160965 00000 n 0007161802 00000 n 0007162137 00000 n 0007164145 00000 n 0007164633 00000 n 0007164837 00000 n 0007164893 00000 n 0007165118 00000 n 0007165189 00000 n 0007165270 00000 n 0007166108 00000 n 0007166443 00000 n 0007170743 00000 n 0007171216 00000 n 0007171420 00000 n 0007171536 00000 n 0007171744 00000 n 0007171947 00000 n 0007172150 00000 n 0007172353 00000 n 0007172554 00000 n 0007172757 00000 n 0007172959 00000 n 0007173030 00000 n 0007173111 00000 n 0007173948 00000 n 0007174283 00000 n 0007177943 00000 n 0007178403 00000 n 0007178607 00000 n 0007178663 00000 n 0007178885 00000 n 0007178956 00000 n 0007179037 00000 n 0007179876 00000 n 0007180211 00000 n 0007184515 00000 n 0007185017 00000 n 0007185221 00000 n 0007185307 00000 n 0007185518 00000 n 0007185730 00000 n 0007185942 00000 n 0007186152 00000 n 0007186223 00000 n 0007186304 00000 n 0007187143 00000 n 0007187478 00000 n 0007191124 00000 n 0007191612 00000 n 0007191816 00000 n 0007191872 00000 n 0007192097 00000 n 0007192168 00000 n 0007192249 00000 n 0007193086 00000 n 0007193421 00000 n 0007197768 00000 n 0007198270 00000 n 0007198474 00000 n 0007198550 00000 n 0007198762 00000 n 0007198970 00000 n 0007199178 00000 n 0007199249 00000 n 0007199330 00000 n 0007200168 00000 n 0007200503 00000 n 0007205659 00000 n 0007206075 00000 n 0007206279 00000 n 0007206345 00000 n 0007206549 00000 n 0007206753 00000 n 0007206824 00000 n 0007206905 00000 n 0007207743 00000 n 0007208078 00000 n 0007209325 00000 n 0007209797 00000 n 0007210001 00000 n 0007210072 00000 n 0007210153 00000 n 0007210990 00000 n 0007211325 00000 n 0007216217 00000 n 0007216705 00000 n 0007216909 00000 n 0007216965 00000 n 0007217179 00000 n 0007217250 00000 n 0007217331 00000 n 0007218169 00000 n 0007218504 00000 n 0007223358 00000 n 0007223843 00000 n 0007224047 00000 n 0007224118 00000 n 0007224199 00000 n 0007225039 00000 n 0007225374 00000 n 0007230128 00000 n 0007230628 00000 n 0007230832 00000 n 0007230903 00000 n 0007230984 00000 n 0007231821 00000 n 0007232156 00000 n 0007238256 00000 n 0007238728 00000 n 0007238932 00000 n 0007239003 00000 n 0007239084 00000 n 0007239922 00000 n 0007240257 00000 n 0007244919 00000 n 0007245433 00000 n 0007245637 00000 n 0007245708 00000 n 0007245789 00000 n 0007246627 00000 n 0007246962 00000 n 0007251802 00000 n 0007252290 00000 n 0007252494 00000 n 0007252600 00000 n 0007252809 00000 n 0007253014 00000 n 0007253224 00000 n 0007253430 00000 n 0007253637 00000 n 0007253843 00000 n 0007253914 00000 n 0007253995 00000 n 0007254833 00000 n 0007255168 00000 n 0007258462 00000 n 0007258950 00000 n 0007259154 00000 n 0007259210 00000 n 0007259431 00000 n 0007259502 00000 n 0007259583 00000 n 0007260420 00000 n 0007260755 00000 n 0007264806 00000 n 0007265222 00000 n 0007265426 00000 n 0007265572 00000 n 0007265773 00000 n 0007265974 00000 n 0007266179 00000 n 0007266384 00000 n 0007266583 00000 n 0007266785 00000 n 0007266989 00000 n 0007267195 00000 n 0007267398 00000 n 0007267602 00000 n 0007267673 00000 n 0007267754 00000 n 0007268592 00000 n 0007268927 00000 n 0007270393 00000 n 0007270865 00000 n 0007271069 00000 n 0007271140 00000 n 0007271221 00000 n 0007272059 00000 n 0007272394 00000 n 0007277108 00000 n 0007277610 00000 n 0007277814 00000 n 0007277870 00000 n 0007278084 00000 n 0007278155 00000 n 0007278236 00000 n 0007279074 00000 n 0007279409 00000 n 0007283817 00000 n 0007284331 00000 n 0007284535 00000 n 0007284606 00000 n 0007284687 00000 n 0007285524 00000 n 0007285859 00000 n 0007291218 00000 n 0007291690 00000 n 0007291894 00000 n 0007291965 00000 n 0007292046 00000 n 0007292884 00000 n 0007293219 00000 n 0007297891 00000 n 0007298391 00000 n 0007298595 00000 n 0007298666 00000 n 0007298747 00000 n 0007299584 00000 n 0007299919 00000 n 0007304996 00000 n 0007305469 00000 n 0007305673 00000 n 0007305799 00000 n 0007306001 00000 n 0007306208 00000 n 0007306412 00000 n 0007306617 00000 n 0007306827 00000 n 0007307038 00000 n 0007307244 00000 n 0007307456 00000 n 0007307527 00000 n 0007307608 00000 n 0007308445 00000 n 0007308780 00000 n 0007312405 00000 n 0007312893 00000 n 0007313097 00000 n 0007313153 00000 n 0007313376 00000 n 0007313447 00000 n 0007313528 00000 n 0007314367 00000 n 0007314702 00000 n 0007318753 00000 n 0007319238 00000 n 0007319442 00000 n 0007319513 00000 n 0007319594 00000 n 0007320432 00000 n 0007320767 00000 n 0007324625 00000 n 0007325041 00000 n 0007325245 00000 n 0007325321 00000 n 0007325520 00000 n 0007325719 00000 n 0007325919 00000 n 0007325990 00000 n 0007326071 00000 n 0007326909 00000 n 0007327244 00000 n 0007328537 00000 n 0007328997 00000 n 0007329201 00000 n 0007329257 00000 n 0007329473 00000 n 0007329544 00000 n 0007329625 00000 n 0007330464 00000 n 0007330799 00000 n 0007335326 00000 n 0007335826 00000 n 0007336030 00000 n 0007336101 00000 n 0007336182 00000 n 0007337020 00000 n 0007337355 00000 n 0007342931 00000 n 0007343417 00000 n 0007343621 00000 n 0007343692 00000 n 0007343773 00000 n 0007344611 00000 n 0007344946 00000 n 0007350193 00000 n 0007350693 00000 n 0007350897 00000 n 0007350968 00000 n 0007351049 00000 n 0007351887 00000 n 0007352222 00000 n 0007357977 00000 n 0007358450 00000 n 0007358654 00000 n 0007358780 00000 n 0007358985 00000 n 0007359189 00000 n 0007359394 00000 n 0007359603 00000 n 0007359813 00000 n 0007360022 00000 n 0007360226 00000 n 0007360430 00000 n 0007360501 00000 n 0007360582 00000 n 0007361419 00000 n 0007361754 00000 n 0007364420 00000 n 0007364908 00000 n 0007365112 00000 n 0007365168 00000 n 0007365396 00000 n 0007365467 00000 n 0007365548 00000 n 0007366386 00000 n 0007366721 00000 n 0007370895 00000 n 0007371368 00000 n 0007371572 00000 n 0007371638 00000 n 0007371843 00000 n 0007372046 00000 n 0007372117 00000 n 0007372198 00000 n 0007373036 00000 n 0007373371 00000 n 0007377144 00000 n 0007377560 00000 n 0007377764 00000 n 0007377830 00000 n 0007378038 00000 n 0007378237 00000 n 0007378308 00000 n 0007378389 00000 n 0007379228 00000 n 0007379563 00000 n 0007380820 00000 n 0007381335 00000 n 0007381539 00000 n 0007381610 00000 n 0007381691 00000 n 0007382529 00000 n 0007382864 00000 n 0007387698 00000 n 0007388171 00000 n 0007388375 00000 n 0007388451 00000 n 0007388659 00000 n 0007388868 00000 n 0007389078 00000 n 0007389149 00000 n 0007389230 00000 n 0007390068 00000 n 0007390403 00000 n 0007392751 00000 n 0007393239 00000 n 0007393443 00000 n 0007393499 00000 n 0007393714 00000 n 0007393785 00000 n 0007393866 00000 n 0007394704 00000 n 0007395039 00000 n 0007399506 00000 n 0007399979 00000 n 0007400183 00000 n 0007400289 00000 n 0007400493 00000 n 0007400702 00000 n 0007400905 00000 n 0007401111 00000 n 0007401321 00000 n 0007401528 00000 n 0007401599 00000 n 0007401680 00000 n 0007402517 00000 n 0007402852 00000 n 0007405296 00000 n 0007405756 00000 n 0007405960 00000 n 0007406016 00000 n 0007406234 00000 n 0007406305 00000 n 0007406386 00000 n 0007407223 00000 n 0007407558 00000 n 0007410419 00000 n 0007410879 00000 n 0007411083 00000 n 0007411139 00000 n 0007411357 00000 n 0007411428 00000 n 0007411509 00000 n 0007412347 00000 n 0007412682 00000 n 0007415843 00000 n 0007416332 00000 n 0007416536 00000 n 0007416592 00000 n 0007416810 00000 n 0007416881 00000 n 0007416962 00000 n 0007417802 00000 n 0007418137 00000 n 0007422771 00000 n 0007423256 00000 n 0007423460 00000 n 0007423531 00000 n 0007423612 00000 n 0007424450 00000 n 0007424785 00000 n 0007428794 00000 n 0007429239 00000 n 0007429443 00000 n 0007429509 00000 n 0007429718 00000 n 0007429928 00000 n 0007429999 00000 n 0007430080 00000 n 0007430917 00000 n 0007431252 00000 n 0007433052 00000 n 0007433512 00000 n 0007433716 00000 n 0007433772 00000 n 0007433993 00000 n 0007434064 00000 n 0007434145 00000 n 0007434984 00000 n 0007435319 00000 n 0007439740 00000 n 0007440254 00000 n 0007440458 00000 n 0007440529 00000 n 0007440610 00000 n 0007441447 00000 n 0007441782 00000 n 0007447312 00000 n 0007447785 00000 n 0007447989 00000 n 0007448135 00000 n 0007448345 00000 n 0007448556 00000 n 0007448767 00000 n 0007448977 00000 n 0007449187 00000 n 0007449395 00000 n 0007449601 00000 n 0007449813 00000 n 0007450019 00000 n 0007450224 00000 n 0007450295 00000 n 0007450376 00000 n 0007451213 00000 n 0007451548 00000 n 0007454903 00000 n 0007455349 00000 n 0007455553 00000 n 0007455609 00000 n 0007455829 00000 n 0007455900 00000 n 0007455981 00000 n 0007456819 00000 n 0007457154 00000 n 0007461486 00000 n 0007461957 00000 n 0007462161 00000 n 0007462232 00000 n 0007462313 00000 n 0007463151 00000 n 0007463486 00000 n 0007467476 00000 n 0007467976 00000 n 0007468180 00000 n 0007468251 00000 n 0007468332 00000 n 0007469169 00000 n 0007469504 00000 n 0007475660 00000 n 0007476160 00000 n 0007476364 00000 n 0007476435 00000 n 0007476516 00000 n 0007477353 00000 n 0007477688 00000 n 0007482876 00000 n 0007483321 00000 n 0007483525 00000 n 0007483681 00000 n 0007483886 00000 n 0007484089 00000 n 0007484293 00000 n 0007484498 00000 n 0007484703 00000 n 0007484905 00000 n 0007485113 00000 n 0007485321 00000 n 0007485532 00000 n 0007485741 00000 n 0007485947 00000 n 0007486018 00000 n 0007486099 00000 n 0007486938 00000 n 0007487273 00000 n 0007489786 00000 n 0007490303 00000 n 0007490507 00000 n 0007490563 00000 n 0007490788 00000 n 0007490859 00000 n 0007490940 00000 n 0007491778 00000 n 0007492113 00000 n 0007497093 00000 n 0007497566 00000 n 0007497770 00000 n 0007497846 00000 n 0007498051 00000 n 0007498251 00000 n 0007498457 00000 n 0007498528 00000 n 0007498609 00000 n 0007499446 00000 n 0007499781 00000 n 0007501898 00000 n 0007502400 00000 n 0007502604 00000 n 0007502670 00000 n 0007502888 00000 n 0007503124 00000 n 0007503195 00000 n 0007503276 00000 n 0007504115 00000 n 0007504450 00000 n 0007508597 00000 n 0007509070 00000 n 0007509274 00000 n 0007509360 00000 n 0007509563 00000 n 0007509769 00000 n 0007509977 00000 n 0007510185 00000 n 0007510256 00000 n 0007510337 00000 n 0007511175 00000 n 0007511510 00000 n 0007514074 00000 n 0007514534 00000 n 0007514738 00000 n 0007514794 00000 n 0007515012 00000 n 0007515083 00000 n 0007515164 00000 n 0007516002 00000 n 0007516337 00000 n 0007520586 00000 n 0007521100 00000 n 0007521304 00000 n 0007521375 00000 n 0007521456 00000 n 0007522294 00000 n 0007522629 00000 n 0007527795 00000 n 0007528309 00000 n 0007528513 00000 n 0007528584 00000 n 0007528665 00000 n 0007529503 00000 n 0007529838 00000 n 0007535580 00000 n 0007536068 00000 n 0007536272 00000 n 0007536408 00000 n 0007536610 00000 n 0007536824 00000 n 0007537032 00000 n 0007537241 00000 n 0007537444 00000 n 0007537649 00000 n 0007537858 00000 n 0007538080 00000 n 0007538290 00000 n 0007538361 00000 n 0007538442 00000 n 0007539279 00000 n 0007539614 00000 n 0007543365 00000 n 0007543839 00000 n 0007544043 00000 n 0007544099 00000 n 0007544317 00000 n 0007544388 00000 n 0007544469 00000 n 0007545306 00000 n 0007545641 00000 n 0007550025 00000 n 0007550498 00000 n 0007550702 00000 n 0007550798 00000 n 0007551006 00000 n 0007551216 00000 n 0007551424 00000 n 0007551630 00000 n 0007551833 00000 n 0007551904 00000 n 0007551985 00000 n 0007552823 00000 n 0007553158 00000 n 0007556376 00000 n 0007556893 00000 n 0007557097 00000 n 0007557153 00000 n 0007557371 00000 n 0007557442 00000 n 0007557523 00000 n 0007558360 00000 n 0007558695 00000 n 0007563283 00000 n 0007563756 00000 n 0007563960 00000 n 0007564016 00000 n 0007564220 00000 n 0007564291 00000 n 0007564372 00000 n 0007565209 00000 n 0007565544 00000 n 0007567524 00000 n 0007567984 00000 n 0007568188 00000 n 0007568244 00000 n 0007568465 00000 n 0007568536 00000 n 0007568617 00000 n 0007569455 00000 n 0007569790 00000 n 0007573537 00000 n 0007574010 00000 n 0007574214 00000 n 0007574330 00000 n 0007574538 00000 n 0007574751 00000 n 0007574964 00000 n 0007575169 00000 n 0007575379 00000 n 0007575583 00000 n 0007575794 00000 n 0007575865 00000 n 0007575946 00000 n 0007576784 00000 n 0007577119 00000 n 0007580136 00000 n 0007580596 00000 n 0007580800 00000 n 0007580856 00000 n 0007581077 00000 n 0007581148 00000 n 0007581229 00000 n 0007582068 00000 n 0007582403 00000 n 0007586277 00000 n 0007586750 00000 n 0007586954 00000 n 0007587090 00000 n 0007587302 00000 n 0007587513 00000 n 0007587726 00000 n 0007587936 00000 n 0007588148 00000 n 0007588357 00000 n 0007588567 00000 n 0007588780 00000 n 0007588989 00000 n 0007589060 00000 n 0007589141 00000 n 0007589980 00000 n 0007590315 00000 n 0007593333 00000 n 0007593793 00000 n 0007593997 00000 n 0007594053 00000 n 0007594274 00000 n 0007594345 00000 n 0007594426 00000 n 0007595264 00000 n 0007595599 00000 n 0007599619 00000 n 0007600092 00000 n 0007600296 00000 n 0007600432 00000 n 0007600645 00000 n 0007600856 00000 n 0007601069 00000 n 0007601280 00000 n 0007601493 00000 n 0007601703 00000 n 0007601914 00000 n 0007602128 00000 n 0007602340 00000 n 0007602411 00000 n 0007602492 00000 n 0007603330 00000 n 0007603665 00000 n 0007606486 00000 n 0007606989 00000 n 0007607193 00000 n 0007607259 00000 n 0007607477 00000 n 0007607701 00000 n 0007607772 00000 n 0007607853 00000 n 0007608691 00000 n 0007609026 00000 n 0007614338 00000 n 0007614811 00000 n 0007615015 00000 n 0007615081 00000 n 0007615284 00000 n 0007615487 00000 n 0007615558 00000 n 0007615639 00000 n 0007616477 00000 n 0007616812 00000 n 0007619797 00000 n 0007620255 00000 n 0007620459 00000 n 0007620530 00000 n 0007620611 00000 n 0007621450 00000 n 0007621785 00000 n 0007626360 00000 n 0007626805 00000 n 0007627009 00000 n 0007627065 00000 n 0007627279 00000 n 0007627350 00000 n 0007627431 00000 n 0007628268 00000 n 0007628603 00000 n 0007632236 00000 n 0007632709 00000 n 0007632913 00000 n 0007632999 00000 n 0007633208 00000 n 0007633417 00000 n 0007633624 00000 n 0007633835 00000 n 0007633906 00000 n 0007633987 00000 n 0007634825 00000 n 0007635160 00000 n 0007639056 00000 n 0007639544 00000 n 0007639748 00000 n 0007639804 00000 n 0007640022 00000 n 0007640093 00000 n 0007640174 00000 n 0007641012 00000 n 0007641347 00000 n 0007645267 00000 n 0007645740 00000 n 0007645944 00000 n 0007646010 00000 n 0007646222 00000 n 0007646425 00000 n 0007646496 00000 n 0007646577 00000 n 0007647415 00000 n 0007647750 00000 n 0007649746 00000 n 0007650234 00000 n 0007650438 00000 n 0007650514 00000 n 0007650732 00000 n 0007650966 00000 n 0007651190 00000 n 0007651261 00000 n 0007651342 00000 n 0007652180 00000 n 0007652515 00000 n 0007656689 00000 n 0007657134 00000 n 0007657338 00000 n 0007657414 00000 n 0007657621 00000 n 0007657836 00000 n 0007658044 00000 n 0007658115 00000 n 0007658196 00000 n 0007659034 00000 n 0007659369 00000 n 0007661177 00000 n 0007661680 00000 n 0007661884 00000 n 0007661960 00000 n 0007662178 00000 n 0007662400 00000 n 0007662632 00000 n 0007662703 00000 n 0007662784 00000 n 0007663621 00000 n 0007663956 00000 n 0007669401 00000 n 0007669874 00000 n 0007670078 00000 n 0007670144 00000 n 0007670350 00000 n 0007670552 00000 n 0007670623 00000 n 0007670704 00000 n 0007671542 00000 n 0007671877 00000 n 0007675006 00000 n 0007675494 00000 n 0007675698 00000 n 0007675754 00000 n 0007675972 00000 n 0007676043 00000 n 0007676124 00000 n 0007676964 00000 n 0007677299 00000 n 0007681129 00000 n 0007681602 00000 n 0007681806 00000 n 0007681862 00000 n 0007682071 00000 n 0007682142 00000 n 0007682223 00000 n 0007683061 00000 n 0007683396 00000 n 0007685517 00000 n 0007686005 00000 n 0007686209 00000 n 0007686265 00000 n 0007686483 00000 n 0007686554 00000 n 0007686635 00000 n 0007687472 00000 n 0007687807 00000 n 0007692167 00000 n 0007692640 00000 n 0007692844 00000 n 0007692900 00000 n 0007693105 00000 n 0007693176 00000 n 0007693257 00000 n 0007694095 00000 n 0007694430 00000 n 0007697132 00000 n 0007697606 00000 n 0007697810 00000 n 0007697866 00000 n 0007698084 00000 n 0007698155 00000 n 0007698236 00000 n 0007699074 00000 n 0007699409 00000 n 0007704131 00000 n 0007704604 00000 n 0007704808 00000 n 0007704884 00000 n 0007705087 00000 n 0007705290 00000 n 0007705494 00000 n 0007705565 00000 n 0007705646 00000 n 0007706483 00000 n 0007706818 00000 n 0007710627 00000 n 0007711101 00000 n 0007711305 00000 n 0007711361 00000 n 0007711579 00000 n 0007711650 00000 n 0007711731 00000 n 0007712569 00000 n 0007712904 00000 n 0007717196 00000 n 0007717669 00000 n 0007717873 00000 n 0007717949 00000 n 0007718152 00000 n 0007718358 00000 n 0007718564 00000 n 0007718635 00000 n 0007718716 00000 n 0007719554 00000 n 0007719889 00000 n 0007722638 00000 n 0007723141 00000 n 0007723345 00000 n 0007723401 00000 n 0007723619 00000 n 0007723690 00000 n 0007723771 00000 n 0007724607 00000 n 0007724942 00000 n 0007729742 00000 n 0007730256 00000 n 0007730460 00000 n 0007730531 00000 n 0007730612 00000 n 0007731450 00000 n 0007731785 00000 n 0007737413 00000 n 0007737886 00000 n 0007738090 00000 n 0007738176 00000 n 0007738379 00000 n 0007738589 00000 n 0007738799 00000 n 0007739001 00000 n 0007739072 00000 n 0007739153 00000 n 0007739991 00000 n 0007740326 00000 n 0007743069 00000 n 0007743529 00000 n 0007743733 00000 n 0007743789 00000 n 0007744010 00000 n 0007744081 00000 n 0007744162 00000 n 0007745000 00000 n 0007745335 00000 n 0007749704 00000 n 0007750204 00000 n 0007750408 00000 n 0007750479 00000 n 0007750560 00000 n 0007751397 00000 n 0007751732 00000 n 0007756807 00000 n 0007757280 00000 n 0007757484 00000 n 0007757600 00000 n 0007757810 00000 n 0007758021 00000 n 0007758231 00000 n 0007758441 00000 n 0007758649 00000 n 0007758853 00000 n 0007759060 00000 n 0007759131 00000 n 0007759212 00000 n 0007760050 00000 n 0007760385 00000 n 0007763771 00000 n 0007764173 00000 n 0007764377 00000 n 0007764433 00000 n 0007764633 00000 n 0007764704 00000 n 0007764785 00000 n 0007765624 00000 n 0007765959 00000 n 0007767169 00000 n 0007767672 00000 n 0007767876 00000 n 0007767932 00000 n 0007768153 00000 n 0007768224 00000 n 0007768305 00000 n 0007769142 00000 n 0007769477 00000 n 0007773689 00000 n 0007774191 00000 n 0007774395 00000 n 0007774481 00000 n 0007774692 00000 n 0007774902 00000 n 0007775112 00000 n 0007775316 00000 n 0007775387 00000 n 0007775468 00000 n 0007776305 00000 n 0007776640 00000 n 0007781209 00000 n 0007781611 00000 n 0007781815 00000 n 0007781901 00000 n 0007782103 00000 n 0007782309 00000 n 0007782514 00000 n 0007782717 00000 n 0007782788 00000 n 0007782869 00000 n 0007783707 00000 n 0007784042 00000 n 0007785372 00000 n 0007785875 00000 n 0007786079 00000 n 0007786135 00000 n 0007786360 00000 n 0007786431 00000 n 0007786512 00000 n 0007787349 00000 n 0007787684 00000 n 0007792052 00000 n 0007792525 00000 n 0007792729 00000 n 0007792805 00000 n 0007793003 00000 n 0007793207 00000 n 0007793409 00000 n 0007793480 00000 n 0007793561 00000 n 0007794398 00000 n 0007794733 00000 n 0007796810 00000 n 0007797298 00000 n 0007797502 00000 n 0007797558 00000 n 0007797778 00000 n 0007797849 00000 n 0007797930 00000 n 0007798767 00000 n 0007799102 00000 n 0007802742 00000 n 0007803215 00000 n 0007803419 00000 n 0007803475 00000 n 0007803675 00000 n 0007803746 00000 n 0007803827 00000 n 0007804665 00000 n 0007805000 00000 n 0007807338 00000 n 0007807826 00000 n 0007808030 00000 n 0007808086 00000 n 0007808307 00000 n 0007808378 00000 n 0007808459 00000 n 0007809296 00000 n 0007809631 00000 n 0007813453 00000 n 0007813926 00000 n 0007814130 00000 n 0007814226 00000 n 0007814437 00000 n 0007814648 00000 n 0007814853 00000 n 0007815062 00000 n 0007815268 00000 n 0007815339 00000 n 0007815420 00000 n 0007816258 00000 n 0007816593 00000 n 0007818620 00000 n 0007819080 00000 n 0007819284 00000 n 0007819340 00000 n 0007819561 00000 n 0007819632 00000 n 0007819713 00000 n 0007820552 00000 n 0007820887 00000 n 0007825000 00000 n 0007825502 00000 n 0007825706 00000 n 0007825792 00000 n 0007826003 00000 n 0007826214 00000 n 0007826421 00000 n 0007826630 00000 n 0007826701 00000 n 0007826782 00000 n 0007827619 00000 n 0007827954 00000 n 0007832044 00000 n 0007832532 00000 n 0007832736 00000 n 0007832792 00000 n 0007833013 00000 n 0007833084 00000 n 0007833165 00000 n 0007834003 00000 n 0007834338 00000 n 0007838630 00000 n 0007839103 00000 n 0007839307 00000 n 0007839383 00000 n 0007839590 00000 n 0007839794 00000 n 0007840002 00000 n 0007840073 00000 n 0007840154 00000 n 0007840992 00000 n 0007841327 00000 n 0007844451 00000 n 0007844911 00000 n 0007845115 00000 n 0007845171 00000 n 0007845392 00000 n 0007845463 00000 n 0007845544 00000 n 0007846381 00000 n 0007846716 00000 n 0007850781 00000 n 0007851283 00000 n 0007851487 00000 n 0007851633 00000 n 0007851840 00000 n 0007852047 00000 n 0007852258 00000 n 0007852469 00000 n 0007852674 00000 n 0007852885 00000 n 0007853095 00000 n 0007853307 00000 n 0007853516 00000 n 0007853726 00000 n 0007853797 00000 n 0007853878 00000 n 0007854716 00000 n 0007855051 00000 n 0007859455 00000 n 0007859915 00000 n 0007860119 00000 n 0007860175 00000 n 0007860396 00000 n 0007860467 00000 n 0007860548 00000 n 0007861386 00000 n 0007861721 00000 n 0007865771 00000 n 0007866273 00000 n 0007866477 00000 n 0007866593 00000 n 0007866800 00000 n 0007867011 00000 n 0007867222 00000 n 0007867427 00000 n 0007867639 00000 n 0007867846 00000 n 0007868052 00000 n 0007868123 00000 n 0007868204 00000 n 0007869042 00000 n 0007869377 00000 n 0007873648 00000 n 0007874136 00000 n 0007874340 00000 n 0007874396 00000 n 0007874617 00000 n 0007874688 00000 n 0007874769 00000 n 0007875607 00000 n 0007875942 00000 n 0007880112 00000 n 0007880585 00000 n 0007880789 00000 n 0007880865 00000 n 0007881071 00000 n 0007881279 00000 n 0007881486 00000 n 0007881557 00000 n 0007881638 00000 n 0007882477 00000 n 0007882812 00000 n 0007884681 00000 n 0007885169 00000 n 0007885373 00000 n 0007885429 00000 n 0007885650 00000 n 0007885721 00000 n 0007885802 00000 n 0007886639 00000 n 0007886974 00000 n 0007890970 00000 n 0007891415 00000 n 0007891619 00000 n 0007891705 00000 n 0007891910 00000 n 0007892115 00000 n 0007892323 00000 n 0007892531 00000 n 0007892602 00000 n 0007892683 00000 n 0007893520 00000 n 0007893855 00000 n 0007895793 00000 n 0007896253 00000 n 0007896457 00000 n 0007896513 00000 n 0007896734 00000 n 0007896805 00000 n 0007896886 00000 n 0007897723 00000 n 0007898058 00000 n 0007902190 00000 n 0007902692 00000 n 0007902896 00000 n 0007903052 00000 n 0007903263 00000 n 0007903471 00000 n 0007903679 00000 n 0007903891 00000 n 0007904099 00000 n 0007904310 00000 n 0007904520 00000 n 0007904729 00000 n 0007904937 00000 n 0007905145 00000 n 0007905353 00000 n 0007905424 00000 n 0007905505 00000 n 0007906342 00000 n 0007906677 00000 n 0007910998 00000 n 0007911458 00000 n 0007911662 00000 n 0007911718 00000 n 0007911939 00000 n 0007912010 00000 n 0007912091 00000 n 0007912929 00000 n 0007913264 00000 n 0007917795 00000 n 0007918268 00000 n 0007918472 00000 n 0007918558 00000 n 0007918769 00000 n 0007918980 00000 n 0007919185 00000 n 0007919393 00000 n 0007919464 00000 n 0007919545 00000 n 0007920382 00000 n 0007920717 00000 n 0007923535 00000 n 0007924038 00000 n 0007924242 00000 n 0007924298 00000 n 0007924519 00000 n 0007924590 00000 n 0007924671 00000 n 0007925509 00000 n 0007925844 00000 n 0007930856 00000 n 0007931358 00000 n 0007931562 00000 n 0007931668 00000 n 0007931876 00000 n 0007932087 00000 n 0007932298 00000 n 0007932506 00000 n 0007932717 00000 n 0007932921 00000 n 0007932992 00000 n 0007933073 00000 n 0007933910 00000 n 0007934245 00000 n 0007938672 00000 n 0007939146 00000 n 0007939350 00000 n 0007939406 00000 n 0007939627 00000 n 0007939698 00000 n 0007939779 00000 n 0007940617 00000 n 0007940952 00000 n 0007945170 00000 n 0007945643 00000 n 0007945847 00000 n 0007945963 00000 n 0007946170 00000 n 0007946377 00000 n 0007946584 00000 n 0007946788 00000 n 0007946996 00000 n 0007947204 00000 n 0007947412 00000 n 0007947483 00000 n 0007947564 00000 n 0007948403 00000 n 0007948738 00000 n 0007951576 00000 n 0007952036 00000 n 0007952240 00000 n 0007952296 00000 n 0007952517 00000 n 0007952588 00000 n 0007952669 00000 n 0007953506 00000 n 0007953841 00000 n 0007957932 00000 n 0007958419 00000 n 0007958623 00000 n 0007958759 00000 n 0007958966 00000 n 0007959177 00000 n 0007959388 00000 n 0007959595 00000 n 0007959798 00000 n 0007960008 00000 n 0007960221 00000 n 0007960433 00000 n 0007960637 00000 n 0007960708 00000 n 0007960789 00000 n 0007961627 00000 n 0007961962 00000 n 0007965934 00000 n 0007966394 00000 n 0007966598 00000 n 0007966664 00000 n 0007966882 00000 n 0007967107 00000 n 0007967178 00000 n 0007967259 00000 n 0007968097 00000 n 0007968432 00000 n 0007970984 00000 n 0007971472 00000 n 0007971676 00000 n 0007971732 00000 n 0007971961 00000 n 0007972032 00000 n 0007972113 00000 n 0007972951 00000 n 0007973286 00000 n 0007977394 00000 n 0007977867 00000 n 0007978071 00000 n 0007978157 00000 n 0007978368 00000 n 0007978576 00000 n 0007978779 00000 n 0007978988 00000 n 0007979059 00000 n 0007979140 00000 n 0007979977 00000 n 0007980312 00000 n 0007982479 00000 n 0007982939 00000 n 0007983143 00000 n 0007983199 00000 n 0007983415 00000 n 0007983486 00000 n 0007983567 00000 n 0007984406 00000 n 0007984741 00000 n 0007988580 00000 n 0007989065 00000 n 0007989269 00000 n 0007989340 00000 n 0007989421 00000 n 0007990259 00000 n 0007990594 00000 n 0007993845 00000 n 0007994290 00000 n 0007994494 00000 n 0007994550 00000 n 0007994753 00000 n 0007994824 00000 n 0007994905 00000 n 0007995743 00000 n 0007996078 00000 n 0007997680 00000 n 0007998168 00000 n 0007998372 00000 n 0007998428 00000 n 0007998648 00000 n 0007998719 00000 n 0007998800 00000 n 0007999638 00000 n 0007999973 00000 n 0008003927 00000 n 0008004400 00000 n 0008004604 00000 n 0008004670 00000 n 0008004873 00000 n 0008005079 00000 n 0008005150 00000 n 0008005231 00000 n 0008006070 00000 n 0008006405 00000 n 0008008883 00000 n 0008009386 00000 n 0008009590 00000 n 0008009646 00000 n 0008009871 00000 n 0008009942 00000 n 0008010023 00000 n 0008010861 00000 n 0008011196 00000 n 0008015517 00000 n 0008015990 00000 n 0008016194 00000 n 0008016280 00000 n 0008016483 00000 n 0008016688 00000 n 0008016899 00000 n 0008017096 00000 n 0008017167 00000 n 0008017248 00000 n 0008018086 00000 n 0008018421 00000 n 0008020759 00000 n 0008021262 00000 n 0008021466 00000 n 0008021522 00000 n 0008021747 00000 n 0008021818 00000 n 0008021899 00000 n 0008022737 00000 n 0008023072 00000 n 0008027425 00000 n 0008027898 00000 n 0008028102 00000 n 0008028168 00000 n 0008028368 00000 n 0008028572 00000 n 0008028643 00000 n 0008028724 00000 n 0008029561 00000 n 0008029896 00000 n 0008032912 00000 n 0008033400 00000 n 0008033604 00000 n 0008033660 00000 n 0008033880 00000 n 0008033951 00000 n 0008034032 00000 n 0008034869 00000 n 0008035204 00000 n 0008039270 00000 n 0008039715 00000 n 0008039919 00000 n 0008040015 00000 n 0008040220 00000 n 0008040430 00000 n 0008040634 00000 n 0008040841 00000 n 0008041045 00000 n 0008041116 00000 n 0008041197 00000 n 0008042035 00000 n 0008042370 00000 n 0008044537 00000 n 0008045025 00000 n 0008045229 00000 n 0008045295 00000 n 0008045518 00000 n 0008045735 00000 n 0008045806 00000 n 0008045887 00000 n 0008046725 00000 n 0008047060 00000 n 0008051061 00000 n 0008051506 00000 n 0008051710 00000 n 0008051766 00000 n 0008051973 00000 n 0008052044 00000 n 0008052125 00000 n 0008052962 00000 n 0008053297 00000 n 0008054939 00000 n 0008055399 00000 n 0008055603 00000 n 0008055659 00000 n 0008055907 00000 n 0008055978 00000 n 0008056059 00000 n 0008056896 00000 n 0008057231 00000 n 0008061409 00000 n 0008061896 00000 n 0008062100 00000 n 0008062176 00000 n 0008062397 00000 n 0008062616 00000 n 0008062840 00000 n 0008062911 00000 n 0008062992 00000 n 0008063831 00000 n 0008064166 00000 n 0008066597 00000 n 0008067114 00000 n 0008067318 00000 n 0008067374 00000 n 0008067599 00000 n 0008067670 00000 n 0008067751 00000 n 0008068588 00000 n 0008068923 00000 n 0008073574 00000 n 0008074047 00000 n 0008074251 00000 n 0008074317 00000 n 0008074524 00000 n 0008074735 00000 n 0008074806 00000 n 0008074887 00000 n 0008075724 00000 n 0008076059 00000 n 0008078368 00000 n 0008078828 00000 n 0008079032 00000 n 0008079098 00000 n 0008079309 00000 n 0008079531 00000 n 0008079602 00000 n 0008079683 00000 n 0008080520 00000 n 0008080855 00000 n 0008085329 00000 n 0008085863 00000 n 0008086118 00000 n 0008086391 00000 n 0008086595 00000 n 0008086666 00000 n 0008086747 00000 n 0008087586 00000 n 0008087921 00000 n 0008093329 00000 n 0008093814 00000 n 0008094018 00000 n 0008094089 00000 n 0008094170 00000 n 0008095007 00000 n 0008095342 00000 n 0008099396 00000 n 0008099812 00000 n 0008100016 00000 n 0008100152 00000 n 0008100353 00000 n 0008100556 00000 n 0008100762 00000 n 0008100964 00000 n 0008101169 00000 n 0008101370 00000 n 0008101568 00000 n 0008101771 00000 n 0008101974 00000 n 0008102045 00000 n 0008102126 00000 n 0008102963 00000 n 0008103298 00000 n 0008104702 00000 n 0008105162 00000 n 0008105366 00000 n 0008105432 00000 n 0008105652 00000 n 0008105884 00000 n 0008105955 00000 n 0008106036 00000 n 0008106875 00000 n 0008107210 00000 n 0008111326 00000 n 0008111828 00000 n 0008112032 00000 n 0008112108 00000 n 0008112311 00000 n 0008112520 00000 n 0008112723 00000 n 0008112794 00000 n 0008112875 00000 n 0008113713 00000 n 0008114048 00000 n 0008117614 00000 n 0008118131 00000 n 0008118335 00000 n 0008118391 00000 n 0008118616 00000 n 0008118687 00000 n 0008118768 00000 n 0008119605 00000 n 0008119940 00000 n 0008124547 00000 n 0008125020 00000 n 0008125224 00000 n 0008125290 00000 n 0008125495 00000 n 0008125698 00000 n 0008125769 00000 n 0008125850 00000 n 0008126689 00000 n 0008127024 00000 n 0008129267 00000 n 0008129713 00000 n 0008129917 00000 n 0008129983 00000 n 0008130195 00000 n 0008130425 00000 n 0008130496 00000 n 0008130577 00000 n 0008131415 00000 n 0008131750 00000 n 0008134566 00000 n 0008135054 00000 n 0008135258 00000 n 0008135314 00000 n 0008135539 00000 n 0008135610 00000 n 0008135691 00000 n 0008136528 00000 n 0008136863 00000 n 0008140804 00000 n 0008141235 00000 n 0008141439 00000 n 0008141535 00000 n 0008141748 00000 n 0008141965 00000 n 0008142182 00000 n 0008142403 00000 n 0008142623 00000 n 0008142694 00000 n 0008142775 00000 n 0008143613 00000 n 0008143948 00000 n 0008145743 00000 n 0008146231 00000 n 0008146435 00000 n 0008146491 00000 n 0008146716 00000 n 0008146787 00000 n 0008146868 00000 n 0008147705 00000 n 0008148040 00000 n 0008151790 00000 n 0008152206 00000 n 0008152410 00000 n 0008152506 00000 n 0008152719 00000 n 0008152936 00000 n 0008153151 00000 n 0008153362 00000 n 0008153580 00000 n 0008153651 00000 n 0008153732 00000 n 0008154569 00000 n 0008154904 00000 n 0008156258 00000 n 0008156775 00000 n 0008156979 00000 n 0008157035 00000 n 0008157256 00000 n 0008157327 00000 n 0008157408 00000 n 0008158245 00000 n 0008158580 00000 n 0008163721 00000 n 0008164166 00000 n 0008164370 00000 n 0008164506 00000 n 0008164707 00000 n 0008164912 00000 n 0008165117 00000 n 0008165316 00000 n 0008165521 00000 n 0008165723 00000 n 0008165935 00000 n 0008166144 00000 n 0008166354 00000 n 0008166425 00000 n 0008166506 00000 n 0008167344 00000 n 0008167679 00000 n 0008169888 00000 n 0008170391 00000 n 0008170595 00000 n 0008170651 00000 n 0008170872 00000 n 0008170943 00000 n 0008171024 00000 n 0008171861 00000 n 0008172196 00000 n 0008177222 00000 n 0008177695 00000 n 0008177899 00000 n 0008178025 00000 n 0008178236 00000 n 0008178447 00000 n 0008178655 00000 n 0008178863 00000 n 0008179068 00000 n 0008179275 00000 n 0008179480 00000 n 0008179689 00000 n 0008179760 00000 n 0008179841 00000 n 0008180678 00000 n 0008181013 00000 n 0008183733 00000 n 0008184221 00000 n 0008184425 00000 n 0008184481 00000 n 0008184702 00000 n 0008184773 00000 n 0008184854 00000 n 0008185692 00000 n 0008186027 00000 n 0008190034 00000 n 0008190479 00000 n 0008190683 00000 n 0008190799 00000 n 0008191011 00000 n 0008191228 00000 n 0008191439 00000 n 0008191650 00000 n 0008191860 00000 n 0008192075 00000 n 0008192292 00000 n 0008192363 00000 n 0008192444 00000 n 0008193282 00000 n 0008193617 00000 n 0008195763 00000 n 0008196280 00000 n 0008196484 00000 n 0008196540 00000 n 0008196761 00000 n 0008196832 00000 n 0008196913 00000 n 0008197750 00000 n 0008198085 00000 n 0008203362 00000 n 0008203835 00000 n 0008204039 00000 n 0008204155 00000 n 0008204367 00000 n 0008204584 00000 n 0008204795 00000 n 0008205006 00000 n 0008205216 00000 n 0008205431 00000 n 0008205643 00000 n 0008205714 00000 n 0008205795 00000 n 0008206632 00000 n 0008206967 00000 n 0008209123 00000 n 0008209611 00000 n 0008209815 00000 n 0008209871 00000 n 0008210092 00000 n 0008210163 00000 n 0008210244 00000 n 0008211081 00000 n 0008211416 00000 n 0008215174 00000 n 0008215619 00000 n 0008215823 00000 n 0008215929 00000 n 0008216135 00000 n 0008216335 00000 n 0008216538 00000 n 0008216742 00000 n 0008216949 00000 n 0008217160 00000 n 0008217231 00000 n 0008217312 00000 n 0008218150 00000 n 0008218485 00000 n 0008220186 00000 n 0008220674 00000 n 0008220878 00000 n 0008220934 00000 n 0008221155 00000 n 0008221226 00000 n 0008221307 00000 n 0008222146 00000 n 0008222481 00000 n 0008226252 00000 n 0008226697 00000 n 0008226901 00000 n 0008227007 00000 n 0008227214 00000 n 0008227415 00000 n 0008227619 00000 n 0008227824 00000 n 0008228032 00000 n 0008228244 00000 n 0008228315 00000 n 0008228396 00000 n 0008229234 00000 n 0008229569 00000 n 0008231287 00000 n 0008231775 00000 n 0008231979 00000 n 0008232035 00000 n 0008232255 00000 n 0008232326 00000 n 0008232407 00000 n 0008233244 00000 n 0008233579 00000 n 0008237794 00000 n 0008238267 00000 n 0008238471 00000 n 0008238587 00000 n 0008238791 00000 n 0008238999 00000 n 0008239207 00000 n 0008239417 00000 n 0008239621 00000 n 0008239828 00000 n 0008240032 00000 n 0008240103 00000 n 0008240184 00000 n 0008241022 00000 n 0008241357 00000 n 0008244459 00000 n 0008244947 00000 n 0008245151 00000 n 0008245207 00000 n 0008245427 00000 n 0008245498 00000 n 0008245579 00000 n 0008246418 00000 n 0008246753 00000 n 0008250926 00000 n 0008251399 00000 n 0008251603 00000 n 0008251659 00000 n 0008251863 00000 n 0008251934 00000 n 0008252015 00000 n 0008252853 00000 n 0008253188 00000 n 0008255334 00000 n 0008255822 00000 n 0008256026 00000 n 0008256082 00000 n 0008256310 00000 n 0008256381 00000 n 0008256462 00000 n 0008257300 00000 n 0008257635 00000 n 0008261877 00000 n 0008262350 00000 n 0008262554 00000 n 0008262710 00000 n 0008262915 00000 n 0008263119 00000 n 0008263323 00000 n 0008263528 00000 n 0008263738 00000 n 0008263943 00000 n 0008264152 00000 n 0008264362 00000 n 0008264566 00000 n 0008264773 00000 n 0008264977 00000 n 0008265048 00000 n 0008265129 00000 n 0008265967 00000 n 0008266302 00000 n 0008268826 00000 n 0008269286 00000 n 0008269490 00000 n 0008269546 00000 n 0008269768 00000 n 0008269839 00000 n 0008269920 00000 n 0008270758 00000 n 0008271093 00000 n 0008273870 00000 n 0008274372 00000 n 0008274576 00000 n 0008274632 00000 n 0008274852 00000 n 0008274923 00000 n 0008275004 00000 n 0008275841 00000 n 0008276176 00000 n 0008281166 00000 n 0008281639 00000 n 0008281843 00000 n 0008281979 00000 n 0008282180 00000 n 0008282381 00000 n 0008282581 00000 n 0008282786 00000 n 0008283003 00000 n 0008283213 00000 n 0008283417 00000 n 0008283624 00000 n 0008283828 00000 n 0008283899 00000 n 0008283980 00000 n 0008284818 00000 n 0008285153 00000 n 0008287983 00000 n 0008288471 00000 n 0008288675 00000 n 0008288731 00000 n 0008288951 00000 n 0008289022 00000 n 0008289103 00000 n 0008289943 00000 n 0008290278 00000 n 0008294490 00000 n 0008294963 00000 n 0008295167 00000 n 0008295323 00000 n 0008295524 00000 n 0008295725 00000 n 0008295925 00000 n 0008296129 00000 n 0008296334 00000 n 0008296539 00000 n 0008296756 00000 n 0008296966 00000 n 0008297170 00000 n 0008297377 00000 n 0008297581 00000 n 0008297652 00000 n 0008297733 00000 n 0008298571 00000 n 0008298906 00000 n 0008301706 00000 n 0008302194 00000 n 0008302398 00000 n 0008302454 00000 n 0008302674 00000 n 0008302745 00000 n 0008302826 00000 n 0008303664 00000 n 0008303999 00000 n 0008308242 00000 n 0008308715 00000 n 0008308919 00000 n 0008309055 00000 n 0008309260 00000 n 0008309465 00000 n 0008309669 00000 n 0008309873 00000 n 0008310078 00000 n 0008310282 00000 n 0008310499 00000 n 0008310708 00000 n 0008310910 00000 n 0008310981 00000 n 0008311062 00000 n 0008311901 00000 n 0008312236 00000 n 0008315657 00000 n 0008316059 00000 n 0008316263 00000 n 0008316329 00000 n 0008316530 00000 n 0008316728 00000 n 0008316799 00000 n 0008316880 00000 n 0008317718 00000 n 0008318053 00000 n 0008319290 00000 n 0008319807 00000 n 0008320011 00000 n 0008320067 00000 n 0008320287 00000 n 0008320358 00000 n 0008320439 00000 n 0008321276 00000 n 0008321611 00000 n 0008326587 00000 n 0008327060 00000 n 0008327264 00000 n 0008327400 00000 n 0008327601 00000 n 0008327801 00000 n 0008328002 00000 n 0008328207 00000 n 0008328424 00000 n 0008328634 00000 n 0008328838 00000 n 0008329045 00000 n 0008329249 00000 n 0008329320 00000 n 0008329401 00000 n 0008330239 00000 n 0008330574 00000 n 0008333374 00000 n 0008333834 00000 n 0008334038 00000 n 0008334094 00000 n 0008334314 00000 n 0008334385 00000 n 0008334466 00000 n 0008335305 00000 n 0008335640 00000 n 0008339639 00000 n 0008340112 00000 n 0008340316 00000 n 0008340412 00000 n 0008340623 00000 n 0008340829 00000 n 0008341033 00000 n 0008341240 00000 n 0008341444 00000 n 0008341515 00000 n 0008341596 00000 n 0008342434 00000 n 0008342769 00000 n 0008345415 00000 n 0008345875 00000 n 0008346079 00000 n 0008346135 00000 n 0008346355 00000 n 0008346426 00000 n 0008346507 00000 n 0008347344 00000 n 0008347679 00000 n 0008351962 00000 n 0008352435 00000 n 0008352639 00000 n 0008352725 00000 n 0008352928 00000 n 0008353136 00000 n 0008353345 00000 n 0008353550 00000 n 0008353621 00000 n 0008353702 00000 n 0008354540 00000 n 0008354875 00000 n 0008358672 00000 n 0008359160 00000 n 0008359364 00000 n 0008359420 00000 n 0008359649 00000 n 0008359720 00000 n 0008359801 00000 n 0008360640 00000 n 0008360975 00000 n 0008365439 00000 n 0008365924 00000 n 0008366128 00000 n 0008366199 00000 n 0008366280 00000 n 0008367117 00000 n 0008367452 00000 n 0008371477 00000 n 0008371893 00000 n 0008372097 00000 n 0008372163 00000 n 0008372365 00000 n 0008372565 00000 n 0008372636 00000 n 0008372717 00000 n 0008373555 00000 n 0008373890 00000 n 0008375164 00000 n 0008375624 00000 n 0008375828 00000 n 0008375884 00000 n 0008376113 00000 n 0008376184 00000 n 0008376265 00000 n 0008377103 00000 n 0008377438 00000 n 0008382113 00000 n 0008382627 00000 n 0008382831 00000 n 0008382902 00000 n 0008382983 00000 n 0008383820 00000 n 0008384155 00000 n 0008389628 00000 n 0008390099 00000 n 0008390303 00000 n 0008390374 00000 n 0008390455 00000 n 0008391293 00000 n 0008391628 00000 n 0008396127 00000 n 0008396600 00000 n 0008396804 00000 n 0008396870 00000 n 0008397081 00000 n 0008397289 00000 n 0008397360 00000 n 0008397441 00000 n 0008398279 00000 n 0008398614 00000 n 0008401140 00000 n 0008401628 00000 n 0008401832 00000 n 0008401888 00000 n 0008402113 00000 n 0008402184 00000 n 0008402265 00000 n 0008403102 00000 n 0008403437 00000 n 0008407423 00000 n 0008407896 00000 n 0008408100 00000 n 0008408166 00000 n 0008408366 00000 n 0008408570 00000 n 0008408641 00000 n 0008408722 00000 n 0008409559 00000 n 0008409894 00000 n 0008411988 00000 n 0008412448 00000 n 0008412652 00000 n 0008412718 00000 n 0008412933 00000 n 0008413153 00000 n 0008413224 00000 n 0008413305 00000 n 0008414143 00000 n 0008414478 00000 n 0008419128 00000 n 0008419628 00000 n 0008419832 00000 n 0008419903 00000 n 0008419984 00000 n 0008420822 00000 n 0008421157 00000 n 0008426162 00000 n 0008426633 00000 n 0008426837 00000 n 0008426908 00000 n 0008426989 00000 n 0008427826 00000 n 0008428161 00000 n 0008432518 00000 n 0008432991 00000 n 0008433195 00000 n 0008433261 00000 n 0008433466 00000 n 0008433672 00000 n 0008433743 00000 n 0008433824 00000 n 0008434662 00000 n 0008434997 00000 n 0008437563 00000 n 0008438023 00000 n 0008438227 00000 n 0008438283 00000 n 0008438500 00000 n 0008438571 00000 n 0008438652 00000 n 0008439491 00000 n 0008439826 00000 n 0008443577 00000 n 0008444062 00000 n 0008444266 00000 n 0008444337 00000 n 0008444418 00000 n 0008445255 00000 n 0008445590 00000 n 0008448925 00000 n 0008449385 00000 n 0008449589 00000 n 0008449655 00000 n 0008449872 00000 n 0008450094 00000 n 0008450165 00000 n 0008450246 00000 n 0008451083 00000 n 0008451418 00000 n 0008455764 00000 n 0008456264 00000 n 0008456468 00000 n 0008456539 00000 n 0008456620 00000 n 0008457457 00000 n 0008457792 00000 n 0008461886 00000 n 0008462357 00000 n 0008462561 00000 n 0008462632 00000 n 0008462713 00000 n 0008463550 00000 n 0008463885 00000 n 0008468096 00000 n 0008468569 00000 n 0008468773 00000 n 0008468929 00000 n 0008469133 00000 n 0008469339 00000 n 0008469555 00000 n 0008469759 00000 n 0008469976 00000 n 0008470191 00000 n 0008470398 00000 n 0008470605 00000 n 0008470820 00000 n 0008471030 00000 n 0008471238 00000 n 0008471309 00000 n 0008471390 00000 n 0008472227 00000 n 0008472562 00000 n 0008475467 00000 n 0008475955 00000 n 0008476159 00000 n 0008476225 00000 n 0008476442 00000 n 0008476664 00000 n 0008476735 00000 n 0008476816 00000 n 0008477653 00000 n 0008477988 00000 n 0008482707 00000 n 0008483192 00000 n 0008483396 00000 n 0008483467 00000 n 0008483548 00000 n 0008484386 00000 n 0008484721 00000 n 0008488836 00000 n 0008489309 00000 n 0008489513 00000 n 0008489609 00000 n 0008489815 00000 n 0008490031 00000 n 0008490238 00000 n 0008490447 00000 n 0008490656 00000 n 0008490727 00000 n 0008490808 00000 n 0008491645 00000 n 0008491980 00000 n 0008495014 00000 n 0008495474 00000 n 0008495678 00000 n 0008495744 00000 n 0008495963 00000 n 0008496187 00000 n 0008496258 00000 n 0008496339 00000 n 0008497177 00000 n 0008497512 00000 n 0008501836 00000 n 0008502321 00000 n 0008502525 00000 n 0008502596 00000 n 0008502677 00000 n 0008503515 00000 n 0008503850 00000 n 0008507689 00000 n 0008508162 00000 n 0008508366 00000 n 0008508442 00000 n 0008508648 00000 n 0008508871 00000 n 0008509075 00000 n 0008509146 00000 n 0008509227 00000 n 0008510064 00000 n 0008510399 00000 n 0008513314 00000 n 0008513817 00000 n 0008514021 00000 n 0008514087 00000 n 0008514304 00000 n 0008514526 00000 n 0008514597 00000 n 0008514678 00000 n 0008515515 00000 n 0008515850 00000 n 0008520718 00000 n 0008521203 00000 n 0008521407 00000 n 0008521478 00000 n 0008521559 00000 n 0008522397 00000 n 0008522732 00000 n 0008526777 00000 n 0008527250 00000 n 0008527454 00000 n 0008527520 00000 n 0008527720 00000 n 0008527930 00000 n 0008528001 00000 n 0008528082 00000 n 0008528920 00000 n 0008529255 00000 n 0008531606 00000 n 0008532094 00000 n 0008532298 00000 n 0008532364 00000 n 0008532581 00000 n 0008532803 00000 n 0008532874 00000 n 0008532955 00000 n 0008533792 00000 n 0008534127 00000 n 0008538582 00000 n 0008539055 00000 n 0008539259 00000 n 0008539335 00000 n 0008539541 00000 n 0008539757 00000 n 0008539975 00000 n 0008540046 00000 n 0008540127 00000 n 0008540964 00000 n 0008541299 00000 n 0008544424 00000 n 0008544884 00000 n 0008545088 00000 n 0008545144 00000 n 0008545364 00000 n 0008545435 00000 n 0008545516 00000 n 0008546353 00000 n 0008546688 00000 n 0008551180 00000 n 0008551694 00000 n 0008551898 00000 n 0008551969 00000 n 0008552050 00000 n 0008552887 00000 n 0008553222 00000 n 0008557834 00000 n 0008558307 00000 n 0008558511 00000 n 0008558587 00000 n 0008558796 00000 n 0008558999 00000 n 0008559213 00000 n 0008559284 00000 n 0008559365 00000 n 0008560203 00000 n 0008560538 00000 n 0008562815 00000 n 0008563303 00000 n 0008563507 00000 n 0008563563 00000 n 0008563788 00000 n 0008563859 00000 n 0008563940 00000 n 0008564777 00000 n 0008565112 00000 n 0008569273 00000 n 0008569746 00000 n 0008569950 00000 n 0008570016 00000 n 0008570223 00000 n 0008570434 00000 n 0008570505 00000 n 0008570586 00000 n 0008571424 00000 n 0008571759 00000 n 0008574480 00000 n 0008574968 00000 n 0008575172 00000 n 0008575228 00000 n 0008575445 00000 n 0008575516 00000 n 0008575597 00000 n 0008576436 00000 n 0008576771 00000 n 0008580994 00000 n 0008581467 00000 n 0008581671 00000 n 0008581727 00000 n 0008581941 00000 n 0008582012 00000 n 0008582093 00000 n 0008582931 00000 n 0008583266 00000 n 0008586162 00000 n 0008586650 00000 n 0008586854 00000 n 0008586920 00000 n 0008587137 00000 n 0008587359 00000 n 0008587430 00000 n 0008587511 00000 n 0008588348 00000 n 0008588683 00000 n 0008593299 00000 n 0008593770 00000 n 0008593974 00000 n 0008594045 00000 n 0008594126 00000 n 0008594965 00000 n 0008595300 00000 n 0008599328 00000 n 0008599773 00000 n 0008599977 00000 n 0008600033 00000 n 0008600242 00000 n 0008600313 00000 n 0008600394 00000 n 0008601231 00000 n 0008601566 00000 n 0008603429 00000 n 0008603917 00000 n 0008604121 00000 n 0008604187 00000 n 0008604404 00000 n 0008604626 00000 n 0008604697 00000 n 0008604778 00000 n 0008605615 00000 n 0008605950 00000 n 0008610191 00000 n 0008610636 00000 n 0008610840 00000 n 0008610896 00000 n 0008611107 00000 n 0008611178 00000 n 0008611259 00000 n 0008612097 00000 n 0008612432 00000 n 0008615738 00000 n 0008616198 00000 n 0008616402 00000 n 0008616458 00000 n 0008616675 00000 n 0008616746 00000 n 0008616827 00000 n 0008617666 00000 n 0008618001 00000 n 0008622050 00000 n 0008622535 00000 n 0008622739 00000 n 0008622810 00000 n 0008622891 00000 n 0008623729 00000 n 0008624064 00000 n 0008628042 00000 n 0008628499 00000 n 0008628703 00000 n 0008628774 00000 n 0008628855 00000 n 0008629692 00000 n 0008630027 00000 n 0008631780 00000 n 0008632240 00000 n 0008632444 00000 n 0008632510 00000 n 0008632727 00000 n 0008632949 00000 n 0008633020 00000 n 0008633101 00000 n 0008633939 00000 n 0008634274 00000 n 0008638636 00000 n 0008639121 00000 n 0008639325 00000 n 0008639396 00000 n 0008639477 00000 n 0008640314 00000 n 0008640649 00000 n 0008645065 00000 n 0008645538 00000 n 0008645742 00000 n 0008645798 00000 n 0008646001 00000 n 0008646072 00000 n 0008646153 00000 n 0008646990 00000 n 0008647325 00000 n 0008651133 00000 n 0008651621 00000 n 0008651825 00000 n 0008651891 00000 n 0008652108 00000 n 0008652328 00000 n 0008652399 00000 n 0008652480 00000 n 0008653318 00000 n 0008653653 00000 n 0008657962 00000 n 0008658435 00000 n 0008658639 00000 n 0008658695 00000 n 0008658901 00000 n 0008658972 00000 n 0008659053 00000 n 0008659891 00000 n 0008660226 00000 n 0008662903 00000 n 0008663361 00000 n 0008663565 00000 n 0008663636 00000 n 0008663717 00000 n 0008664554 00000 n 0008664889 00000 n 0008669483 00000 n 0008669942 00000 n 0008670146 00000 n 0008670212 00000 n 0008670429 00000 n 0008670651 00000 n 0008670722 00000 n 0008670803 00000 n 0008671639 00000 n 0008671974 00000 n 0008677271 00000 n 0008677742 00000 n 0008677946 00000 n 0008678017 00000 n 0008678098 00000 n 0008678936 00000 n 0008679271 00000 n 0008683131 00000 n 0008683588 00000 n 0008683792 00000 n 0008683863 00000 n 0008683944 00000 n 0008684780 00000 n 0008685115 00000 n 0008689495 00000 n 0008689995 00000 n 0008690199 00000 n 0008690270 00000 n 0008690351 00000 n 0008691187 00000 n 0008691522 00000 n 0008697100 00000 n 0008697600 00000 n 0008697804 00000 n 0008697875 00000 n 0008697956 00000 n 0008698793 00000 n 0008699128 00000 n 0008705253 00000 n 0008705739 00000 n 0008705943 00000 n 0008706014 00000 n 0008706095 00000 n 0008706933 00000 n 0008707268 00000 n 0008713447 00000 n 0008713933 00000 n 0008714137 00000 n 0008714208 00000 n 0008714289 00000 n 0008715126 00000 n 0008715461 00000 n 0008720681 00000 n 0008721166 00000 n 0008721370 00000 n 0008721441 00000 n 0008721522 00000 n 0008722359 00000 n 0008722694 00000 n 0008726795 00000 n 0008727240 00000 n 0008727444 00000 n 0008727580 00000 n 0008727796 00000 n 0008727999 00000 n 0008728209 00000 n 0008728414 00000 n 0008728621 00000 n 0008728836 00000 n 0008729045 00000 n 0008729253 00000 n 0008729466 00000 n 0008729537 00000 n 0008729618 00000 n 0008730457 00000 n 0008730792 00000 n 0008733394 00000 n 0008733882 00000 n 0008734086 00000 n 0008734152 00000 n 0008734369 00000 n 0008734591 00000 n 0008734662 00000 n 0008734743 00000 n 0008735580 00000 n 0008735915 00000 n 0008740561 00000 n 0008741046 00000 n 0008741250 00000 n 0008741321 00000 n 0008741402 00000 n 0008742239 00000 n 0008742574 00000 n 0008746375 00000 n 0008746820 00000 n 0008747024 00000 n 0008747090 00000 n 0008747291 00000 n 0008747497 00000 n 0008747568 00000 n 0008747649 00000 n 0008748488 00000 n 0008748823 00000 n 0008750540 00000 n 0008751000 00000 n 0008751204 00000 n 0008751280 00000 n 0008751491 00000 n 0008751713 00000 n 0008751935 00000 n 0008752006 00000 n 0008752087 00000 n 0008752925 00000 n 0008753260 00000 n 0008757818 00000 n 0008758332 00000 n 0008758536 00000 n 0008758607 00000 n 0008758688 00000 n 0008759525 00000 n 0008759860 00000 n 0008765514 00000 n 0008765985 00000 n 0008766189 00000 n 0008766260 00000 n 0008766341 00000 n 0008767179 00000 n 0008767514 00000 n 0008771660 00000 n 0008772133 00000 n 0008772337 00000 n 0008772543 00000 n 0008772752 00000 n 0008772964 00000 n 0008773178 00000 n 0008773384 00000 n 0008773587 00000 n 0008773795 00000 n 0008774006 00000 n 0008774218 00000 n 0008774420 00000 n 0008774622 00000 n 0008774825 00000 n 0008775028 00000 n 0008775233 00000 n 0008775436 00000 n 0008775645 00000 n 0008775848 00000 n 0008775919 00000 n 0008776000 00000 n 0008776837 00000 n 0008777172 00000 n 0008779816 00000 n 0008780304 00000 n 0008780508 00000 n 0008780564 00000 n 0008780789 00000 n 0008780860 00000 n 0008780941 00000 n 0008781778 00000 n 0008782113 00000 n 0008785871 00000 n 0008786314 00000 n 0008786518 00000 n 0008786589 00000 n 0008786670 00000 n 0008787508 00000 n 0008787843 00000 n 0008789963 00000 n 0008790448 00000 n 0008790652 00000 n 0008790723 00000 n 0008790804 00000 n 0008791642 00000 n 0008791977 00000 n 0008794858 00000 n 0008795289 00000 n 0008795493 00000 n 0008795559 00000 n 0008795766 00000 n 0008795971 00000 n 0008796042 00000 n 0008796123 00000 n 0008796960 00000 n 0008797295 00000 n 0008798949 00000 n 0008799437 00000 n 0008799641 00000 n 0008799697 00000 n 0008799914 00000 n 0008799985 00000 n 0008800066 00000 n 0008800903 00000 n 0008801238 00000 n 0008805715 00000 n 0008806200 00000 n 0008806404 00000 n 0008806475 00000 n 0008806556 00000 n 0008807394 00000 n 0008807729 00000 n 0008810544 00000 n 0008811016 00000 n 0008811220 00000 n 0008811291 00000 n 0008811372 00000 n 0008812210 00000 n 0008812545 00000 n 0008816975 00000 n 0008817463 00000 n 0008817667 00000 n 0008817733 00000 n 0008817948 00000 n 0008818168 00000 n 0008818239 00000 n 0008818320 00000 n 0008819157 00000 n 0008819492 00000 n 0008823366 00000 n 0008823837 00000 n 0008824041 00000 n 0008824112 00000 n 0008824193 00000 n 0008825030 00000 n 0008825365 00000 n 0008829548 00000 n 0008830021 00000 n 0008830225 00000 n 0008830371 00000 n 0008830577 00000 n 0008830793 00000 n 0008831000 00000 n 0008831215 00000 n 0008831423 00000 n 0008831632 00000 n 0008831839 00000 n 0008832046 00000 n 0008832259 00000 n 0008832469 00000 n 0008832540 00000 n 0008832621 00000 n 0008833459 00000 n 0008833794 00000 n 0008836604 00000 n 0008837107 00000 n 0008837311 00000 n 0008837367 00000 n 0008837592 00000 n 0008837663 00000 n 0008837744 00000 n 0008838581 00000 n 0008838916 00000 n 0008843371 00000 n 0008843844 00000 n 0008844048 00000 n 0008844114 00000 n 0008844320 00000 n 0008844523 00000 n 0008844594 00000 n 0008844675 00000 n 0008845513 00000 n 0008845848 00000 n 0008849063 00000 n 0008849463 00000 n 0008849667 00000 n 0008849738 00000 n 0008849819 00000 n 0008850657 00000 n 0008850992 00000 n 0008852124 00000 n 0008852570 00000 n 0008852774 00000 n 0008852840 00000 n 0008853055 00000 n 0008853275 00000 n 0008853346 00000 n 0008853427 00000 n 0008854264 00000 n 0008854599 00000 n 0008858995 00000 n 0008859480 00000 n 0008859684 00000 n 0008859755 00000 n 0008859836 00000 n 0008860673 00000 n 0008861008 00000 n 0008864999 00000 n 0008865484 00000 n 0008865688 00000 n 0008865759 00000 n 0008865840 00000 n 0008866678 00000 n 0008867013 00000 n 0008871172 00000 n 0008871603 00000 n 0008871807 00000 n 0008871863 00000 n 0008872060 00000 n 0008872131 00000 n 0008872212 00000 n 0008873049 00000 n 0008873384 00000 n 0008875069 00000 n 0008875529 00000 n 0008875733 00000 n 0008875789 00000 n 0008876010 00000 n 0008876081 00000 n 0008876162 00000 n 0008876999 00000 n 0008877334 00000 n 0008881553 00000 n 0008882038 00000 n 0008882242 00000 n 0008882313 00000 n 0008882394 00000 n 0008883231 00000 n 0008883566 00000 n 0008887253 00000 n 0008887669 00000 n 0008887873 00000 n 0008887979 00000 n 0008888184 00000 n 0008888389 00000 n 0008888588 00000 n 0008888791 00000 n 0008888993 00000 n 0008889193 00000 n 0008889264 00000 n 0008889345 00000 n 0008890183 00000 n 0008890518 00000 n 0008891882 00000 n 0008892370 00000 n 0008892574 00000 n 0008892640 00000 n 0008892857 00000 n 0008893083 00000 n 0008893154 00000 n 0008893235 00000 n 0008894072 00000 n 0008894407 00000 n 0008899043 00000 n 0008899516 00000 n 0008899720 00000 n 0008899806 00000 n 0008900017 00000 n 0008900228 00000 n 0008900435 00000 n 0008900645 00000 n 0008900716 00000 n 0008900797 00000 n 0008901635 00000 n 0008901970 00000 n 0008905110 00000 n 0008905598 00000 n 0008905802 00000 n 0008905868 00000 n 0008906085 00000 n 0008906311 00000 n 0008906382 00000 n 0008906463 00000 n 0008907303 00000 n 0008907638 00000 n 0008912121 00000 n 0008912594 00000 n 0008912798 00000 n 0008912904 00000 n 0008913111 00000 n 0008913322 00000 n 0008913527 00000 n 0008913739 00000 n 0008913947 00000 n 0008914153 00000 n 0008914224 00000 n 0008914305 00000 n 0008915143 00000 n 0008915478 00000 n 0008918812 00000 n 0008919300 00000 n 0008919504 00000 n 0008919560 00000 n 0008919781 00000 n 0008919852 00000 n 0008919933 00000 n 0008920770 00000 n 0008921105 00000 n 0008925556 00000 n 0008926029 00000 n 0008926233 00000 n 0008926309 00000 n 0008926515 00000 n 0008926722 00000 n 0008926931 00000 n 0008927002 00000 n 0008927083 00000 n 0008927921 00000 n 0008928256 00000 n 0008931555 00000 n 0008932043 00000 n 0008932247 00000 n 0008932313 00000 n 0008932530 00000 n 0008932756 00000 n 0008932827 00000 n 0008932908 00000 n 0008933746 00000 n 0008934081 00000 n 0008938918 00000 n 0008939391 00000 n 0008939595 00000 n 0008939681 00000 n 0008939892 00000 n 0008940103 00000 n 0008940311 00000 n 0008940522 00000 n 0008940593 00000 n 0008940674 00000 n 0008941512 00000 n 0008941847 00000 n 0008945270 00000 n 0008945758 00000 n 0008945962 00000 n 0008946018 00000 n 0008946239 00000 n 0008946310 00000 n 0008946391 00000 n 0008947229 00000 n 0008947564 00000 n 0008951390 00000 n 0008951863 00000 n 0008952067 00000 n 0008952153 00000 n 0008952364 00000 n 0008952574 00000 n 0008952782 00000 n 0008952990 00000 n 0008953061 00000 n 0008953142 00000 n 0008953981 00000 n 0008954316 00000 n 0008956340 00000 n 0008956829 00000 n 0008957033 00000 n 0008957089 00000 n 0008957306 00000 n 0008957377 00000 n 0008957458 00000 n 0008958296 00000 n 0008958631 00000 n 0008963132 00000 n 0008963617 00000 n 0008963821 00000 n 0008963892 00000 n 0008963973 00000 n 0008964810 00000 n 0008965145 00000 n 0008969371 00000 n 0008969787 00000 n 0008969991 00000 n 0008970077 00000 n 0008970273 00000 n 0008970472 00000 n 0008970675 00000 n 0008970875 00000 n 0008970946 00000 n 0008971027 00000 n 0008971865 00000 n 0008972200 00000 n 0008973522 00000 n 0008974010 00000 n 0008974214 00000 n 0008974270 00000 n 0008974487 00000 n 0008974558 00000 n 0008974639 00000 n 0008975477 00000 n 0008975812 00000 n 0008979900 00000 n 0008980373 00000 n 0008980577 00000 n 0008980633 00000 n 0008980846 00000 n 0008980917 00000 n 0008980998 00000 n 0008981835 00000 n 0008982170 00000 n 0008985481 00000 n 0008985941 00000 n 0008986145 00000 n 0008986201 00000 n 0008986418 00000 n 0008986489 00000 n 0008986570 00000 n 0008987408 00000 n 0008987743 00000 n 0008991790 00000 n 0008992289 00000 n 0008992493 00000 n 0008992564 00000 n 0008992645 00000 n 0008993483 00000 n 0008993818 00000 n 0008997562 00000 n 0008998035 00000 n 0008998239 00000 n 0008998325 00000 n 0008998526 00000 n 0008998729 00000 n 0008998930 00000 n 0008999134 00000 n 0008999205 00000 n 0008999286 00000 n 0009000124 00000 n 0009000459 00000 n 0009003207 00000 n 0009003667 00000 n 0009003871 00000 n 0009003947 00000 n 0009004174 00000 n 0009004396 00000 n 0009004617 00000 n 0009004688 00000 n 0009004769 00000 n 0009005607 00000 n 0009005942 00000 n 0009010495 00000 n 0009011009 00000 n 0009011213 00000 n 0009011284 00000 n 0009011365 00000 n 0009012203 00000 n 0009012538 00000 n 0009018102 00000 n 0009018573 00000 n 0009018777 00000 n 0009018848 00000 n 0009018929 00000 n 0009019766 00000 n 0009020101 00000 n 0009024923 00000 n 0009025396 00000 n 0009025600 00000 n 0009025696 00000 n 0009025912 00000 n 0009026132 00000 n 0009026337 00000 n 0009026541 00000 n 0009026752 00000 n 0009026823 00000 n 0009026904 00000 n 0009027741 00000 n 0009028076 00000 n 0009030001 00000 n 0009030461 00000 n 0009030665 00000 n 0009030721 00000 n 0009030946 00000 n 0009031017 00000 n 0009031098 00000 n 0009031935 00000 n 0009032270 00000 n 0009036322 00000 n 0009036795 00000 n 0009036999 00000 n 0009037075 00000 n 0009037282 00000 n 0009037484 00000 n 0009037689 00000 n 0009037760 00000 n 0009037841 00000 n 0009038679 00000 n 0009039014 00000 n 0009041917 00000 n 0009042377 00000 n 0009042581 00000 n 0009042637 00000 n 0009042852 00000 n 0009042923 00000 n 0009043004 00000 n 0009043841 00000 n 0009044176 00000 n 0009048794 00000 n 0009049279 00000 n 0009049483 00000 n 0009049554 00000 n 0009049635 00000 n 0009050474 00000 n 0009050809 00000 n 0009054481 00000 n 0009054954 00000 n 0009055158 00000 n 0009055214 00000 n 0009055432 00000 n 0009055503 00000 n 0009055584 00000 n 0009056423 00000 n 0009056758 00000 n 0009060616 00000 n 0009061104 00000 n 0009061308 00000 n 0009061374 00000 n 0009061620 00000 n 0009061844 00000 n 0009061915 00000 n 0009061996 00000 n 0009062833 00000 n 0009063168 00000 n 0009067474 00000 n 0009067947 00000 n 0009068151 00000 n 0009068237 00000 n 0009068440 00000 n 0009068644 00000 n 0009068849 00000 n 0009069052 00000 n 0009069123 00000 n 0009069204 00000 n 0009070042 00000 n 0009070377 00000 n 0009073619 00000 n 0009074107 00000 n 0009074311 00000 n 0009074367 00000 n 0009074584 00000 n 0009074655 00000 n 0009074736 00000 n 0009075574 00000 n 0009075909 00000 n 0009080179 00000 n 0009080652 00000 n 0009080856 00000 n 0009080912 00000 n 0009081121 00000 n 0009081192 00000 n 0009081273 00000 n 0009082110 00000 n 0009082445 00000 n 0009084845 00000 n 0009085305 00000 n 0009085509 00000 n 0009085565 00000 n 0009085781 00000 n 0009085852 00000 n 0009085933 00000 n 0009086771 00000 n 0009087106 00000 n 0009091540 00000 n 0009092054 00000 n 0009092258 00000 n 0009092329 00000 n 0009092410 00000 n 0009093247 00000 n 0009093582 00000 n 0009098954 00000 n 0009099427 00000 n 0009099631 00000 n 0009099717 00000 n 0009099920 00000 n 0009100124 00000 n 0009100328 00000 n 0009100543 00000 n 0009100614 00000 n 0009100695 00000 n 0009101533 00000 n 0009101868 00000 n 0009104742 00000 n 0009105202 00000 n 0009105406 00000 n 0009105472 00000 n 0009105689 00000 n 0009105909 00000 n 0009105980 00000 n 0009106061 00000 n 0009106898 00000 n 0009107233 00000 n 0009111870 00000 n 0009112355 00000 n 0009112559 00000 n 0009112630 00000 n 0009112711 00000 n 0009113549 00000 n 0009113884 00000 n 0009118546 00000 n 0009119060 00000 n 0009119264 00000 n 0009119335 00000 n 0009119416 00000 n 0009120254 00000 n 0009120589 00000 n 0009125177 00000 n 0009125607 00000 n 0009125811 00000 n 0009125887 00000 n 0009126084 00000 n 0009126294 00000 n 0009126506 00000 n 0009126577 00000 n 0009126658 00000 n 0009127495 00000 n 0009127830 00000 n 0009129170 00000 n 0009129658 00000 n 0009129862 00000 n 0009129918 00000 n 0009130133 00000 n 0009130204 00000 n 0009130285 00000 n 0009131122 00000 n 0009131457 00000 n 0009135909 00000 n 0009136382 00000 n 0009136586 00000 n 0009136652 00000 n 0009136862 00000 n 0009137068 00000 n 0009137139 00000 n 0009137220 00000 n 0009138058 00000 n 0009138393 00000 n 0009142069 00000 n 0009142601 00000 n 0009142805 00000 n 0009142861 00000 n 0009143078 00000 n 0009143149 00000 n 0009143230 00000 n 0009144068 00000 n 0009144403 00000 n 0009149919 00000 n 0009150433 00000 n 0009150637 00000 n 0009150708 00000 n 0009150789 00000 n 0009151626 00000 n 0009151961 00000 n 0009157328 00000 n 0009157773 00000 n 0009157977 00000 n 0009158083 00000 n 0009158291 00000 n 0009158498 00000 n 0009158709 00000 n 0009158915 00000 n 0009159123 00000 n 0009159329 00000 n 0009159400 00000 n 0009159481 00000 n 0009160318 00000 n 0009160653 00000 n 0009162381 00000 n 0009162869 00000 n 0009163073 00000 n 0009163149 00000 n 0009163366 00000 n 0009163617 00000 n 0009163841 00000 n 0009163912 00000 n 0009163993 00000 n 0009164830 00000 n 0009165165 00000 n 0009169539 00000 n 0009170034 00000 n 0009170289 00000 n 0009170493 00000 n 0009170564 00000 n 0009170645 00000 n 0009171482 00000 n 0009171817 00000 n 0009176022 00000 n 0009176495 00000 n 0009176699 00000 n 0009176865 00000 n 0009177074 00000 n 0009177282 00000 n 0009177491 00000 n 0009177702 00000 n 0009177911 00000 n 0009178116 00000 n 0009178319 00000 n 0009178525 00000 n 0009178732 00000 n 0009178945 00000 n 0009179152 00000 n 0009179356 00000 n 0009179427 00000 n 0009179508 00000 n 0009180346 00000 n 0009180681 00000 n 0009184021 00000 n 0009184467 00000 n 0009184671 00000 n 0009184737 00000 n 0009184954 00000 n 0009185176 00000 n 0009185247 00000 n 0009185328 00000 n 0009186166 00000 n 0009186501 00000 n 0009190929 00000 n 0009191414 00000 n 0009191618 00000 n 0009191689 00000 n 0009191770 00000 n 0009192608 00000 n 0009192943 00000 n 0009197178 00000 n 0009197649 00000 n 0009197853 00000 n 0009197924 00000 n 0009198005 00000 n 0009198843 00000 n 0009199178 00000 n 0009203500 00000 n 0009203945 00000 n 0009204149 00000 n 0009204205 00000 n 0009204414 00000 n 0009204485 00000 n 0009204566 00000 n 0009205404 00000 n 0009205739 00000 n 0009207390 00000 n 0009207850 00000 n 0009208054 00000 n 0009208130 00000 n 0009208356 00000 n 0009208578 00000 n 0009208800 00000 n 0009208871 00000 n 0009208952 00000 n 0009209789 00000 n 0009210124 00000 n 0009214080 00000 n 0009214565 00000 n 0009214769 00000 n 0009214840 00000 n 0009214921 00000 n 0009215760 00000 n 0009216095 00000 n 0009220655 00000 n 0009221128 00000 n 0009221332 00000 n 0009221398 00000 n 0009221613 00000 n 0009221828 00000 n 0009221899 00000 n 0009221980 00000 n 0009222818 00000 n 0009223153 00000 n 0009225508 00000 n 0009225968 00000 n 0009226172 00000 n 0009226258 00000 n 0009226484 00000 n 0009226706 00000 n 0009226928 00000 n 0009227150 00000 n 0009227221 00000 n 0009227302 00000 n 0009228139 00000 n 0009228474 00000 n 0009232864 00000 n 0009233307 00000 n 0009233511 00000 n 0009233582 00000 n 0009233663 00000 n 0009234500 00000 n 0009234835 00000 n 0009238988 00000 n 0009239473 00000 n 0009239677 00000 n 0009239748 00000 n 0009239829 00000 n 0009240668 00000 n 0009241003 00000 n 0009245700 00000 n 0009246173 00000 n 0009246377 00000 n 0009246463 00000 n 0009246676 00000 n 0009246890 00000 n 0009247104 00000 n 0009247318 00000 n 0009247389 00000 n 0009247470 00000 n 0009248308 00000 n 0009248643 00000 n 0009251917 00000 n 0009252391 00000 n 0009252595 00000 n 0009252651 00000 n 0009252868 00000 n 0009252939 00000 n 0009253020 00000 n 0009253858 00000 n 0009254193 00000 n 0009258620 00000 n 0009259119 00000 n 0009259323 00000 n 0009259394 00000 n 0009259475 00000 n 0009260312 00000 n 0009260647 00000 n 0009265161 00000 n 0009265634 00000 n 0009265838 00000 n 0009265904 00000 n 0009266107 00000 n 0009266319 00000 n 0009266390 00000 n 0009266471 00000 n 0009267308 00000 n 0009267643 00000 n 0009271329 00000 n 0009271789 00000 n 0009271993 00000 n 0009272049 00000 n 0009272265 00000 n 0009272336 00000 n 0009272417 00000 n 0009273254 00000 n 0009273589 00000 n 0009278182 00000 n 0009278667 00000 n 0009278871 00000 n 0009278942 00000 n 0009279023 00000 n 0009279861 00000 n 0009280196 00000 n 0009284503 00000 n 0009284974 00000 n 0009285178 00000 n 0009285249 00000 n 0009285330 00000 n 0009286170 00000 n 0009286505 00000 n 0009290247 00000 n 0009290720 00000 n 0009290924 00000 n 0009290980 00000 n 0009291186 00000 n 0009291257 00000 n 0009291338 00000 n 0009292176 00000 n 0009292511 00000 n 0009295528 00000 n 0009296016 00000 n 0009296220 00000 n 0009296276 00000 n 0009296501 00000 n 0009296572 00000 n 0009296653 00000 n 0009297490 00000 n 0009297825 00000 n 0009301827 00000 n 0009302300 00000 n 0009302504 00000 n 0009302570 00000 n 0009302777 00000 n 0009302981 00000 n 0009303052 00000 n 0009303133 00000 n 0009303971 00000 n 0009304306 00000 n 0009306709 00000 n 0009307212 00000 n 0009307416 00000 n 0009307472 00000 n 0009307689 00000 n 0009307760 00000 n 0009307841 00000 n 0009308679 00000 n 0009309014 00000 n 0009313460 00000 n 0009313933 00000 n 0009314137 00000 n 0009314213 00000 n 0009314423 00000 n 0009314631 00000 n 0009314834 00000 n 0009314905 00000 n 0009314986 00000 n 0009315823 00000 n 0009316158 00000 n 0009319182 00000 n 0009319642 00000 n 0009319846 00000 n 0009319902 00000 n 0009320119 00000 n 0009320190 00000 n 0009320271 00000 n 0009321109 00000 n 0009321444 00000 n 0009324505 00000 n 0009324993 00000 n 0009325197 00000 n 0009325253 00000 n 0009325478 00000 n 0009325549 00000 n 0009325630 00000 n 0009326468 00000 n 0009326803 00000 n 0009331039 00000 n 0009331512 00000 n 0009331716 00000 n 0009331772 00000 n 0009331979 00000 n 0009332050 00000 n 0009332131 00000 n 0009332968 00000 n 0009333303 00000 n 0009335400 00000 n 0009335860 00000 n 0009336064 00000 n 0009336120 00000 n 0009336337 00000 n 0009336408 00000 n 0009336489 00000 n 0009337326 00000 n 0009337661 00000 n 0009341947 00000 n 0009342461 00000 n 0009342665 00000 n 0009342736 00000 n 0009342817 00000 n 0009343656 00000 n 0009343991 00000 n 0009349835 00000 n 0009350308 00000 n 0009350512 00000 n 0009350628 00000 n 0009350834 00000 n 0009351050 00000 n 0009351253 00000 n 0009351459 00000 n 0009351662 00000 n 0009351871 00000 n 0009352089 00000 n 0009352160 00000 n 0009352241 00000 n 0009353078 00000 n 0009353413 00000 n 0009356561 00000 n 0009357049 00000 n 0009357253 00000 n 0009357309 00000 n 0009357534 00000 n 0009357605 00000 n 0009357686 00000 n 0009358523 00000 n 0009358858 00000 n 0009362516 00000 n 0009362961 00000 n 0009363165 00000 n 0009363221 00000 n 0009363425 00000 n 0009363496 00000 n 0009363577 00000 n 0009364416 00000 n 0009364751 00000 n 0009367428 00000 n 0009367888 00000 n 0009368092 00000 n 0009368148 00000 n 0009368365 00000 n 0009368436 00000 n 0009368517 00000 n 0009369355 00000 n 0009369690 00000 n 0009374261 00000 n 0009374746 00000 n 0009374950 00000 n 0009375021 00000 n 0009375102 00000 n 0009375939 00000 n 0009376274 00000 n 0009380146 00000 n 0009380631 00000 n 0009380835 00000 n 0009380906 00000 n 0009380987 00000 n 0009381825 00000 n 0009382160 00000 n 0009385836 00000 n 0009386281 00000 n 0009386485 00000 n 0009386571 00000 n 0009386775 00000 n 0009386975 00000 n 0009387183 00000 n 0009387393 00000 n 0009387464 00000 n 0009387545 00000 n 0009388382 00000 n 0009388717 00000 n 0009390380 00000 n 0009390868 00000 n 0009391072 00000 n 0009391138 00000 n 0009391355 00000 n 0009391577 00000 n 0009391648 00000 n 0009391729 00000 n 0009392566 00000 n 0009392901 00000 n 0009397399 00000 n 0009397885 00000 n 0009398089 00000 n 0009398160 00000 n 0009398241 00000 n 0009399078 00000 n 0009399413 00000 n 0009404702 00000 n 0009405187 00000 n 0009405391 00000 n 0009405462 00000 n 0009405543 00000 n 0009406380 00000 n 0009406715 00000 n 0009411009 00000 n 0009411482 00000 n 0009411686 00000 n 0009411792 00000 n 0009411994 00000 n 0009412198 00000 n 0009412415 00000 n 0009412630 00000 n 0009412849 00000 n 0009413065 00000 n 0009413136 00000 n 0009413217 00000 n 0009414054 00000 n 0009414389 00000 n 0009417177 00000 n 0009417649 00000 n 0009417853 00000 n 0009417924 00000 n 0009418005 00000 n 0009418842 00000 n 0009419177 00000 n 0009423682 00000 n 0009424170 00000 n 0009424374 00000 n 0009424440 00000 n 0009424659 00000 n 0009424883 00000 n 0009424954 00000 n 0009425035 00000 n 0009425873 00000 n 0009426208 00000 n 0009432946 00000 n 0009433431 00000 n 0009433635 00000 n 0009433706 00000 n 0009433787 00000 n 0009434624 00000 n 0009434959 00000 n 0009438943 00000 n 0009439429 00000 n 0009439633 00000 n 0009439704 00000 n 0009439785 00000 n 0009440622 00000 n 0009440957 00000 n 0009445597 00000 n 0009446097 00000 n 0009446301 00000 n 0009446372 00000 n 0009446453 00000 n 0009447290 00000 n 0009447625 00000 n 0009453405 00000 n 0009453905 00000 n 0009454109 00000 n 0009454180 00000 n 0009454261 00000 n 0009455098 00000 n 0009455433 00000 n 0009461367 00000 n 0009461840 00000 n 0009462044 00000 n 0009462180 00000 n 0009462389 00000 n 0009462595 00000 n 0009462799 00000 n 0009463004 00000 n 0009463219 00000 n 0009463425 00000 n 0009463635 00000 n 0009463842 00000 n 0009464048 00000 n 0009464119 00000 n 0009464200 00000 n 0009465037 00000 n 0009465372 00000 n 0009469362 00000 n 0009469778 00000 n 0009469982 00000 n 0009470038 00000 n 0009470240 00000 n 0009470311 00000 n 0009470392 00000 n 0009471230 00000 n 0009471565 00000 n 0009472786 00000 n 0009473258 00000 n 0009473462 00000 n 0009473533 00000 n 0009473614 00000 n 0009474452 00000 n 0009474787 00000 n 0009479468 00000 n 0009479956 00000 n 0009480160 00000 n 0009480226 00000 n 0009480443 00000 n 0009480665 00000 n 0009480736 00000 n 0009480817 00000 n 0009481654 00000 n 0009481989 00000 n 0009487992 00000 n 0009488477 00000 n 0009488681 00000 n 0009488752 00000 n 0009488833 00000 n 0009489670 00000 n 0009490005 00000 n 0009494039 00000 n 0009494539 00000 n 0009494743 00000 n 0009494814 00000 n 0009494895 00000 n 0009495735 00000 n 0009496070 00000 n 0009501596 00000 n 0009502067 00000 n 0009502271 00000 n 0009502342 00000 n 0009502423 00000 n 0009503260 00000 n 0009503595 00000 n 0009507998 00000 n 0009508443 00000 n 0009508647 00000 n 0009508813 00000 n 0009509013 00000 n 0009509213 00000 n 0009509423 00000 n 0009509638 00000 n 0009509847 00000 n 0009510057 00000 n 0009510261 00000 n 0009510466 00000 n 0009510673 00000 n 0009510880 00000 n 0009511088 00000 n 0009511298 00000 n 0009511369 00000 n 0009511450 00000 n 0009512287 00000 n 0009512622 00000 n 0009514753 00000 n 0009515241 00000 n 0009515445 00000 n 0009515501 00000 n 0009515718 00000 n 0009515789 00000 n 0009515870 00000 n 0009516707 00000 n 0009517042 00000 n 0009521355 00000 n 0009521840 00000 n 0009522044 00000 n 0009522115 00000 n 0009522196 00000 n 0009523033 00000 n 0009523368 00000 n 0009526042 00000 n 0009526514 00000 n 0009526718 00000 n 0009526789 00000 n 0009526870 00000 n 0009527707 00000 n 0009528042 00000 n 0009532578 00000 n 0009533080 00000 n 0009533284 00000 n 0009533340 00000 n 0009533557 00000 n 0009533628 00000 n 0009533709 00000 n 0009534546 00000 n 0009534881 00000 n 0009540324 00000 n 0009540824 00000 n 0009541028 00000 n 0009541099 00000 n 0009541180 00000 n 0009542019 00000 n 0009542354 00000 n 0009548052 00000 n 0009548537 00000 n 0009548741 00000 n 0009548812 00000 n 0009548893 00000 n 0009549730 00000 n 0009550065 00000 n 0009553337 00000 n 0009553782 00000 n 0009553986 00000 n 0009554042 00000 n 0009554256 00000 n 0009554327 00000 n 0009554408 00000 n 0009555245 00000 n 0009555580 00000 n 0009557832 00000 n 0009558292 00000 n 0009558496 00000 n 0009558552 00000 n 0009558777 00000 n 0009558848 00000 n 0009558929 00000 n 0009559767 00000 n 0009560102 00000 n 0009564415 00000 n 0009564888 00000 n 0009565092 00000 n 0009565178 00000 n 0009565384 00000 n 0009565589 00000 n 0009565792 00000 n 0009565995 00000 n 0009566066 00000 n 0009566147 00000 n 0009566984 00000 n 0009567319 00000 n 0009570488 00000 n 0009570976 00000 n 0009571180 00000 n 0009571256 00000 n 0009571472 00000 n 0009571694 00000 n 0009571916 00000 n 0009571987 00000 n 0009572068 00000 n 0009572905 00000 n 0009573240 00000 n 0009577793 00000 n 0009578266 00000 n 0009578470 00000 n 0009578546 00000 n 0009578750 00000 n 0009578957 00000 n 0009579160 00000 n 0009579231 00000 n 0009579312 00000 n 0009580149 00000 n 0009580484 00000 n 0009584253 00000 n 0009584655 00000 n 0009584859 00000 n 0009584945 00000 n 0009585143 00000 n 0009585341 00000 n 0009585546 00000 n 0009585747 00000 n 0009585818 00000 n 0009585899 00000 n 0009586737 00000 n 0009587072 00000 n 0009588370 00000 n 0009588858 00000 n 0009589062 00000 n 0009589128 00000 n 0009589339 00000 n 0009589561 00000 n 0009589632 00000 n 0009589713 00000 n 0009590550 00000 n 0009590885 00000 n 0009594776 00000 n 0009595192 00000 n 0009595396 00000 n 0009595452 00000 n 0009595650 00000 n 0009595721 00000 n 0009595802 00000 n 0009596639 00000 n 0009596974 00000 n 0009598211 00000 n 0009598699 00000 n 0009598903 00000 n 0009598969 00000 n 0009599180 00000 n 0009599402 00000 n 0009599473 00000 n 0009599554 00000 n 0009600391 00000 n 0009600726 00000 n 0009604962 00000 n 0009605407 00000 n 0009605611 00000 n 0009605697 00000 n 0009605898 00000 n 0009606100 00000 n 0009606301 00000 n 0009606507 00000 n 0009606578 00000 n 0009606659 00000 n 0009607497 00000 n 0009607832 00000 n 0009609973 00000 n 0009610461 00000 n 0009610665 00000 n 0009610721 00000 n 0009610942 00000 n 0009611013 00000 n 0009611094 00000 n 0009611931 00000 n 0009612266 00000 n 0009615983 00000 n 0009616456 00000 n 0009616660 00000 n 0009616756 00000 n 0009616967 00000 n 0009617178 00000 n 0009617383 00000 n 0009617592 00000 n 0009617800 00000 n 0009617871 00000 n 0009617952 00000 n 0009618790 00000 n 0009619125 00000 n 0009621322 00000 n 0009621796 00000 n 0009622000 00000 n 0009622056 00000 n 0009622277 00000 n 0009622348 00000 n 0009622429 00000 n 0009623267 00000 n 0009623602 00000 n 0009627635 00000 n 0009628108 00000 n 0009628312 00000 n 0009628398 00000 n 0009628604 00000 n 0009628807 00000 n 0009629011 00000 n 0009629217 00000 n 0009629288 00000 n 0009629369 00000 n 0009630206 00000 n 0009630541 00000 n 0009634071 00000 n 0009634559 00000 n 0009634763 00000 n 0009634819 00000 n 0009635040 00000 n 0009635111 00000 n 0009635192 00000 n 0009636030 00000 n 0009636365 00000 n 0009640500 00000 n 0009640931 00000 n 0009641135 00000 n 0009641211 00000 n 0009641417 00000 n 0009641625 00000 n 0009641834 00000 n 0009641905 00000 n 0009641986 00000 n 0009642824 00000 n 0009643159 00000 n 0009644830 00000 n 0009645332 00000 n 0009645536 00000 n 0009645592 00000 n 0009645813 00000 n 0009645884 00000 n 0009645965 00000 n 0009646802 00000 n 0009647137 00000 n 0009651356 00000 n 0009651801 00000 n 0009652005 00000 n 0009652141 00000 n 0009652348 00000 n 0009652559 00000 n 0009652770 00000 n 0009652977 00000 n 0009653182 00000 n 0009653394 00000 n 0009653602 00000 n 0009653816 00000 n 0009654022 00000 n 0009654093 00000 n 0009654174 00000 n 0009655011 00000 n 0009655346 00000 n 0009657417 00000 n 0009657934 00000 n 0009658138 00000 n 0009658194 00000 n 0009658415 00000 n 0009658486 00000 n 0009658567 00000 n 0009659404 00000 n 0009659739 00000 n 0009664888 00000 n 0009665361 00000 n 0009665565 00000 n 0009665701 00000 n 0009665908 00000 n 0009666119 00000 n 0009666330 00000 n 0009666537 00000 n 0009666742 00000 n 0009666954 00000 n 0009667162 00000 n 0009667377 00000 n 0009667583 00000 n 0009667654 00000 n 0009667735 00000 n 0009668573 00000 n 0009668908 00000 n 0009671451 00000 n 0009671939 00000 n 0009672143 00000 n 0009672209 00000 n 0009672436 00000 n 0009672658 00000 n 0009672729 00000 n 0009672810 00000 n 0009673647 00000 n 0009673982 00000 n 0009677967 00000 n 0009678397 00000 n 0009678601 00000 n 0009678677 00000 n 0009678891 00000 n 0009679096 00000 n 0009679307 00000 n 0009679378 00000 n 0009679459 00000 n 0009680297 00000 n 0009680632 00000 n 0009681972 00000 n 0009682460 00000 n 0009682664 00000 n 0009682730 00000 n 0009682957 00000 n 0009683179 00000 n 0009683250 00000 n 0009683331 00000 n 0009684169 00000 n 0009684504 00000 n 0009688744 00000 n 0009689189 00000 n 0009689393 00000 n 0009689469 00000 n 0009689679 00000 n 0009689884 00000 n 0009690089 00000 n 0009690160 00000 n 0009690241 00000 n 0009691078 00000 n 0009691413 00000 n 0009693595 00000 n 0009694055 00000 n 0009694259 00000 n 0009694315 00000 n 0009694532 00000 n 0009694603 00000 n 0009694684 00000 n 0009695521 00000 n 0009695856 00000 n 0009700229 00000 n 0009700714 00000 n 0009700918 00000 n 0009700989 00000 n 0009701070 00000 n 0009701907 00000 n 0009702242 00000 n 0009706465 00000 n 0009706952 00000 n 0009707156 00000 n 0009707212 00000 n 0009707418 00000 n 0009707489 00000 n 0009707570 00000 n 0009708407 00000 n 0009708742 00000 n 0009713330 00000 n 0009713746 00000 n 0009713950 00000 n 0009714006 00000 n 0009714208 00000 n 0009714279 00000 n 0009714360 00000 n 0009715198 00000 n 0009715533 00000 n 0009716752 00000 n 0009717212 00000 n 0009717416 00000 n 0009717472 00000 n 0009717689 00000 n 0009717760 00000 n 0009717841 00000 n 0009718679 00000 n 0009719014 00000 n 0009722797 00000 n 0009723270 00000 n 0009723474 00000 n 0009723530 00000 n 0009723738 00000 n 0009723809 00000 n 0009723890 00000 n 0009724728 00000 n 0009725063 00000 n 0009727240 00000 n 0009727700 00000 n 0009727904 00000 n 0009727970 00000 n 0009728185 00000 n 0009728402 00000 n 0009728473 00000 n 0009728554 00000 n 0009729392 00000 n 0009729727 00000 n 0009733970 00000 n 0009734455 00000 n 0009734659 00000 n 0009734730 00000 n 0009734811 00000 n 0009735650 00000 n 0009735985 00000 n 0009739695 00000 n 0009740168 00000 n 0009740372 00000 n 0009740448 00000 n 0009740669 00000 n 0009740875 00000 n 0009741093 00000 n 0009741164 00000 n 0009741245 00000 n 0009742083 00000 n 0009742418 00000 n 0009744969 00000 n 0009745457 00000 n 0009745661 00000 n 0009745717 00000 n 0009745963 00000 n 0009746034 00000 n 0009746115 00000 n 0009746952 00000 n 0009747287 00000 n 0009751022 00000 n 0009751453 00000 n 0009751657 00000 n 0009751743 00000 n 0009751940 00000 n 0009752134 00000 n 0009752334 00000 n 0009752531 00000 n 0009752602 00000 n 0009752683 00000 n 0009753520 00000 n 0009753855 00000 n 0009755470 00000 n 0009755973 00000 n 0009756177 00000 n 0009756233 00000 n 0009756453 00000 n 0009756524 00000 n 0009756605 00000 n 0009757443 00000 n 0009757778 00000 n 0009763043 00000 n 0009763516 00000 n 0009763720 00000 n 0009763786 00000 n 0009763994 00000 n 0009764203 00000 n 0009764274 00000 n 0009764355 00000 n 0009765194 00000 n 0009765529 00000 n 0009767944 00000 n 0009768432 00000 n 0009768636 00000 n 0009768702 00000 n 0009768919 00000 n 0009769170 00000 n 0009769241 00000 n 0009769322 00000 n 0009770159 00000 n 0009770494 00000 n 0009774331 00000 n 0009774776 00000 n 0009774980 00000 n 0009775096 00000 n 0009775305 00000 n 0009775508 00000 n 0009775714 00000 n 0009775921 00000 n 0009776134 00000 n 0009776343 00000 n 0009776549 00000 n 0009776620 00000 n 0009776701 00000 n 0009777539 00000 n 0009777874 00000 n 0009779926 00000 n 0009780386 00000 n 0009780590 00000 n 0009780646 00000 n 0009780866 00000 n 0009780937 00000 n 0009781018 00000 n 0009781855 00000 n 0009782190 00000 n 0009784909 00000 n 0009785412 00000 n 0009785616 00000 n 0009785672 00000 n 0009785897 00000 n 0009785968 00000 n 0009786049 00000 n 0009786887 00000 n 0009787222 00000 n 0009792098 00000 n 0009792571 00000 n 0009792775 00000 n 0009792831 00000 n 0009793042 00000 n 0009793113 00000 n 0009793194 00000 n 0009794034 00000 n 0009794369 00000 n 0009797042 00000 n 0009797530 00000 n 0009797734 00000 n 0009797790 00000 n 0009798007 00000 n 0009798078 00000 n 0009798159 00000 n 0009798997 00000 n 0009799332 00000 n 0009802952 00000 n 0009803368 00000 n 0009803572 00000 n 0009803628 00000 n 0009803826 00000 n 0009803897 00000 n 0009803978 00000 n 0009804815 00000 n 0009805150 00000 n 0009806382 00000 n 0009806870 00000 n 0009807074 00000 n 0009807140 00000 n 0009807355 00000 n 0009807572 00000 n 0009807643 00000 n 0009807724 00000 n 0009808562 00000 n 0009808897 00000 n 0009813482 00000 n 0009813953 00000 n 0009814157 00000 n 0009814228 00000 n 0009814309 00000 n 0009815147 00000 n 0009815482 00000 n 0009819226 00000 n 0009819671 00000 n 0009819875 00000 n 0009819961 00000 n 0009820165 00000 n 0009820361 00000 n 0009820574 00000 n 0009820784 00000 n 0009820855 00000 n 0009820936 00000 n 0009821773 00000 n 0009822108 00000 n 0009823831 00000 n 0009824319 00000 n 0009824523 00000 n 0009824589 00000 n 0009824809 00000 n 0009825033 00000 n 0009825104 00000 n 0009825185 00000 n 0009826024 00000 n 0009826359 00000 n 0009830599 00000 n 0009831044 00000 n 0009831248 00000 n 0009831304 00000 n 0009831513 00000 n 0009831584 00000 n 0009831665 00000 n 0009832502 00000 n 0009832837 00000 n 0009834824 00000 n 0009835327 00000 n 0009835531 00000 n 0009835597 00000 n 0009835814 00000 n 0009836036 00000 n 0009836107 00000 n 0009836188 00000 n 0009837026 00000 n 0009837361 00000 n 0009842565 00000 n 0009843038 00000 n 0009843242 00000 n 0009843318 00000 n 0009843533 00000 n 0009843740 00000 n 0009843955 00000 n 0009844026 00000 n 0009844107 00000 n 0009844945 00000 n 0009845280 00000 n 0009847963 00000 n 0009848451 00000 n 0009848655 00000 n 0009848711 00000 n 0009848931 00000 n 0009849002 00000 n 0009849083 00000 n 0009849922 00000 n 0009850257 00000 n 0009854515 00000 n 0009854988 00000 n 0009855192 00000 n 0009855368 00000 n 0009855573 00000 n 0009855777 00000 n 0009855981 00000 n 0009856186 00000 n 0009856394 00000 n 0009856596 00000 n 0009856800 00000 n 0009857008 00000 n 0009857217 00000 n 0009857427 00000 n 0009857631 00000 n 0009857838 00000 n 0009858041 00000 n 0009858112 00000 n 0009858193 00000 n 0009859031 00000 n 0009859366 00000 n 0009862477 00000 n 0009862965 00000 n 0009863169 00000 n 0009863235 00000 n 0009863458 00000 n 0009863675 00000 n 0009863746 00000 n 0009863827 00000 n 0009864665 00000 n 0009865000 00000 n 0009869290 00000 n 0009869761 00000 n 0009869965 00000 n 0009870036 00000 n 0009870117 00000 n 0009870955 00000 n 0009871290 00000 n 0009875150 00000 n 0009875595 00000 n 0009875799 00000 n 0009875865 00000 n 0009876072 00000 n 0009876273 00000 n 0009876344 00000 n 0009876425 00000 n 0009877263 00000 n 0009877598 00000 n 0009880064 00000 n 0009880552 00000 n 0009880756 00000 n 0009880812 00000 n 0009881032 00000 n 0009881103 00000 n 0009881184 00000 n 0009882022 00000 n 0009882357 00000 n 0009886523 00000 n 0009886996 00000 n 0009887200 00000 n 0009887356 00000 n 0009887556 00000 n 0009887756 00000 n 0009887957 00000 n 0009888155 00000 n 0009888359 00000 n 0009888567 00000 n 0009888776 00000 n 0009888986 00000 n 0009889190 00000 n 0009889396 00000 n 0009889598 00000 n 0009889669 00000 n 0009889750 00000 n 0009890588 00000 n 0009890923 00000 n 0009894407 00000 n 0009894807 00000 n 0009895011 00000 n 0009895082 00000 n 0009895163 00000 n 0009896002 00000 n 0009896337 00000 n 0009897471 00000 n 0009897959 00000 n 0009898163 00000 n 0009898219 00000 n 0009898447 00000 n 0009898518 00000 n 0009898599 00000 n 0009899437 00000 n 0009899772 00000 n 0009903947 00000 n 0009904420 00000 n 0009904624 00000 n 0009904780 00000 n 0009904984 00000 n 0009905188 00000 n 0009905393 00000 n 0009905596 00000 n 0009905801 00000 n 0009906009 00000 n 0009906217 00000 n 0009906427 00000 n 0009906631 00000 n 0009906838 00000 n 0009907042 00000 n 0009907113 00000 n 0009907194 00000 n 0009908033 00000 n 0009908368 00000 n 0009911420 00000 n 0009911908 00000 n 0009912112 00000 n 0009912168 00000 n 0009912393 00000 n 0009912464 00000 n 0009912545 00000 n 0009913385 00000 n 0009913720 00000 n 0009917555 00000 n 0009918000 00000 n 0009918204 00000 n 0009918280 00000 n 0009918480 00000 n 0009918667 00000 n 0009918859 00000 n 0009918930 00000 n 0009919011 00000 n 0009919849 00000 n 0009920184 00000 n 0009921712 00000 n 0009922200 00000 n 0009922404 00000 n 0009922460 00000 n 0009922681 00000 n 0009922752 00000 n 0009922833 00000 n 0009923670 00000 n 0009924005 00000 n 0009928099 00000 n 0009928515 00000 n 0009928719 00000 n 0009928865 00000 n 0009929066 00000 n 0009929267 00000 n 0009929472 00000 n 0009929677 00000 n 0009929876 00000 n 0009930081 00000 n 0009930283 00000 n 0009930487 00000 n 0009930690 00000 n 0009930894 00000 n 0009930965 00000 n 0009931046 00000 n 0009931884 00000 n 0009932219 00000 n 0009933690 00000 n 0009934136 00000 n 0009934340 00000 n 0009934396 00000 n 0009934611 00000 n 0009934682 00000 n 0009934763 00000 n 0009935601 00000 n 0009935936 00000 n 0009940359 00000 n 0009940859 00000 n 0009941063 00000 n 0009941134 00000 n 0009941215 00000 n 0009942053 00000 n 0009942388 00000 n 0009947913 00000 n 0009948399 00000 n 0009948603 00000 n 0009948674 00000 n 0009948755 00000 n 0009949592 00000 n 0009949927 00000 n 0009955968 00000 n 0009956454 00000 n 0009956658 00000 n 0009956729 00000 n 0009956810 00000 n 0009957648 00000 n 0009957983 00000 n 0009965345 00000 n 0009965845 00000 n 0009966049 00000 n 0009966120 00000 n 0009966201 00000 n 0009967039 00000 n 0009967374 00000 n 0009973229 00000 n 0009973674 00000 n 0009973878 00000 n 0009974024 00000 n 0009974220 00000 n 0009974416 00000 n 0009974615 00000 n 0009974813 00000 n 0009975022 00000 n 0009975227 00000 n 0009975437 00000 n 0009975646 00000 n 0009975852 00000 n 0009976060 00000 n 0009976131 00000 n 0009976212 00000 n 0009977049 00000 n 0009977384 00000 n 0009980367 00000 n 0009980855 00000 n 0009981059 00000 n 0009981115 00000 n 0009981340 00000 n 0009981411 00000 n 0009981492 00000 n 0009982330 00000 n 0009982665 00000 n 0009987124 00000 n 0009987597 00000 n 0009987801 00000 n 0009987897 00000 n 0009988097 00000 n 0009988304 00000 n 0009988505 00000 n 0009988703 00000 n 0009988901 00000 n 0009988972 00000 n 0009989053 00000 n 0009989891 00000 n 0009990226 00000 n 0009993545 00000 n 0009994033 00000 n 0009994237 00000 n 0009994293 00000 n 0009994514 00000 n 0009994585 00000 n 0009994666 00000 n 0009995504 00000 n 0009995839 00000 n 0009999994 00000 n 0010000467 00000 n 0010000671 00000 n 0010000777 00000 n 0010000988 00000 n 0010001199 00000 n 0010001404 00000 n 0010001613 00000 n 0010001821 00000 n 0010002027 00000 n 0010002098 00000 n 0010002179 00000 n 0010003017 00000 n 0010003352 00000 n 0010006253 00000 n 0010006741 00000 n 0010007005 00000 n 0010007209 00000 n 0010007275 00000 n 0010007496 00000 n 0010007567 00000 n 0010007648 00000 n 0010008486 00000 n 0010008821 00000 n 0010013271 00000 n 0010013744 00000 n 0010013948 00000 n 0010014094 00000 n 0010014301 00000 n 0010014508 00000 n 0010014719 00000 n 0010014930 00000 n 0010015135 00000 n 0010015346 00000 n 0010015554 00000 n 0010015764 00000 n 0010015976 00000 n 0010016186 00000 n 0010016257 00000 n 0010016338 00000 n 0010017175 00000 n 0010017510 00000 n 0010019820 00000 n 0010020294 00000 n 0010020498 00000 n 0010020554 00000 n 0010020775 00000 n 0010020846 00000 n 0010020927 00000 n 0010021764 00000 n 0010022099 00000 n 0010026491 00000 n 0010026976 00000 n 0010027180 00000 n 0010027251 00000 n 0010027332 00000 n 0010028170 00000 n 0010028505 00000 n 0010032945 00000 n 0010033416 00000 n 0010033620 00000 n 0010033691 00000 n 0010033772 00000 n 0010034610 00000 n 0010034945 00000 n 0010039448 00000 n 0010039948 00000 n 0010040152 00000 n 0010040223 00000 n 0010040304 00000 n 0010041141 00000 n 0010041476 00000 n 0010046595 00000 n 0010047080 00000 n 0010047284 00000 n 0010047355 00000 n 0010047436 00000 n 0010048274 00000 n 0010048609 00000 n 0010052976 00000 n 0010053478 00000 n 0010053682 00000 n 0010053868 00000 n 0010054081 00000 n 0010054292 00000 n 0010054500 00000 n 0010054713 00000 n 0010054921 00000 n 0010055126 00000 n 0010055336 00000 n 0010055543 00000 n 0010055749 00000 n 0010055955 00000 n 0010056167 00000 n 0010056375 00000 n 0010056586 00000 n 0010056797 00000 n 0010056868 00000 n 0010056949 00000 n 0010057787 00000 n 0010058122 00000 n 0010061768 00000 n 0010062228 00000 n 0010062432 00000 n 0010062488 00000 n 0010062709 00000 n 0010062780 00000 n 0010062861 00000 n 0010063699 00000 n 0010064034 00000 n 0010067933 00000 n 0010068406 00000 n 0010068610 00000 n 0010068726 00000 n 0010068938 00000 n 0010069149 00000 n 0010069359 00000 n 0010069571 00000 n 0010069781 00000 n 0010069994 00000 n 0010070205 00000 n 0010070276 00000 n 0010070357 00000 n 0010071195 00000 n 0010071530 00000 n 0010074064 00000 n 0010074524 00000 n 0010074728 00000 n 0010074784 00000 n 0010075005 00000 n 0010075076 00000 n 0010075157 00000 n 0010075995 00000 n 0010076330 00000 n 0010080428 00000 n 0010080901 00000 n 0010081105 00000 n 0010081221 00000 n 0010081434 00000 n 0010081645 00000 n 0010081856 00000 n 0010082069 00000 n 0010082280 00000 n 0010082494 00000 n 0010082706 00000 n 0010082777 00000 n 0010082858 00000 n 0010083696 00000 n 0010084031 00000 n 0010086531 00000 n 0010086991 00000 n 0010087195 00000 n 0010087261 00000 n 0010087482 00000 n 0010087704 00000 n 0010087775 00000 n 0010087856 00000 n 0010088694 00000 n 0010089029 00000 n 0010092524 00000 n 0010093009 00000 n 0010093213 00000 n 0010093284 00000 n 0010093365 00000 n 0010094203 00000 n 0010094538 00000 n 0010097596 00000 n 0010098069 00000 n 0010098273 00000 n 0010098379 00000 n 0010098590 00000 n 0010098801 00000 n 0010099009 00000 n 0010099218 00000 n 0010099427 00000 n 0010099636 00000 n 0010099707 00000 n 0010099788 00000 n 0010100625 00000 n 0010100960 00000 n 0010103559 00000 n 0010104019 00000 n 0010104223 00000 n 0010104289 00000 n 0010104510 00000 n 0010104732 00000 n 0010104803 00000 n 0010104884 00000 n 0010105721 00000 n 0010106056 00000 n 0010109984 00000 n 0010110469 00000 n 0010110673 00000 n 0010110744 00000 n 0010110825 00000 n 0010111663 00000 n 0010111998 00000 n 0010115600 00000 n 0010116088 00000 n 0010116292 00000 n 0010116398 00000 n 0010116609 00000 n 0010116820 00000 n 0010117028 00000 n 0010117239 00000 n 0010117448 00000 n 0010117657 00000 n 0010117728 00000 n 0010117809 00000 n 0010118647 00000 n 0010118982 00000 n 0010122849 00000 n 0010123337 00000 n 0010123541 00000 n 0010123597 00000 n 0010123826 00000 n 0010123897 00000 n 0010123978 00000 n 0010124816 00000 n 0010125151 00000 n 0010128946 00000 n 0010129377 00000 n 0010129581 00000 n 0010129677 00000 n 0010129880 00000 n 0010130080 00000 n 0010130285 00000 n 0010130487 00000 n 0010130689 00000 n 0010130760 00000 n 0010130841 00000 n 0010131679 00000 n 0010132014 00000 n 0010133617 00000 n 0010134105 00000 n 0010134309 00000 n 0010134365 00000 n 0010134594 00000 n 0010134665 00000 n 0010134746 00000 n 0010135584 00000 n 0010135919 00000 n 0010139848 00000 n 0010140350 00000 n 0010140554 00000 n 0010140660 00000 n 0010140869 00000 n 0010141079 00000 n 0010141290 00000 n 0010141498 00000 n 0010141706 00000 n 0010141914 00000 n 0010141985 00000 n 0010142066 00000 n 0010142903 00000 n 0010143238 00000 n 0010147187 00000 n 0010147675 00000 n 0010147879 00000 n 0010147935 00000 n 0010148155 00000 n 0010148226 00000 n 0010148307 00000 n 0010149145 00000 n 0010149480 00000 n 0010153325 00000 n 0010153770 00000 n 0010153974 00000 n 0010154030 00000 n 0010154237 00000 n 0010154308 00000 n 0010154389 00000 n 0010155229 00000 n 0010155564 00000 n 0010157212 00000 n 0010157700 00000 n 0010157904 00000 n 0010157960 00000 n 0010158180 00000 n 0010158251 00000 n 0010158332 00000 n 0010159170 00000 n 0010159505 00000 n 0010163945 00000 n 0010164418 00000 n 0010164622 00000 n 0010164678 00000 n 0010164888 00000 n 0010164959 00000 n 0010165040 00000 n 0010165877 00000 n 0010166212 00000 n 0010169820 00000 n 0010170280 00000 n 0010170484 00000 n 0010170540 00000 n 0010170760 00000 n 0010170831 00000 n 0010170912 00000 n 0010171750 00000 n 0010172085 00000 n 0010176028 00000 n 0010176513 00000 n 0010176717 00000 n 0010176788 00000 n 0010176869 00000 n 0010177706 00000 n 0010178041 00000 n 0010182434 00000 n 0010182907 00000 n 0010183111 00000 n 0010183187 00000 n 0010183395 00000 n 0010183604 00000 n 0010183810 00000 n 0010183881 00000 n 0010183962 00000 n 0010184799 00000 n 0010185134 00000 n 0010187233 00000 n 0010187693 00000 n 0010187897 00000 n 0010187953 00000 n 0010188175 00000 n 0010188246 00000 n 0010188327 00000 n 0010189166 00000 n 0010189501 00000 n 0010193311 00000 n 0010193784 00000 n 0010193988 00000 n 0010194074 00000 n 0010194286 00000 n 0010194499 00000 n 0010194709 00000 n 0010194919 00000 n 0010194990 00000 n 0010195071 00000 n 0010195910 00000 n 0010196245 00000 n 0010199850 00000 n 0010200310 00000 n 0010200514 00000 n 0010200580 00000 n 0010200801 00000 n 0010201023 00000 n 0010201094 00000 n 0010201175 00000 n 0010202013 00000 n 0010202348 00000 n 0010206696 00000 n 0010207210 00000 n 0010207414 00000 n 0010207485 00000 n 0010207566 00000 n 0010208403 00000 n 0010208738 00000 n 0010214057 00000 n 0010214571 00000 n 0010214775 00000 n 0010214846 00000 n 0010214927 00000 n 0010215765 00000 n 0010216100 00000 n 0010220555 00000 n 0010220971 00000 n 0010221175 00000 n 0010221281 00000 n 0010221486 00000 n 0010221691 00000 n 0010221893 00000 n 0010222098 00000 n 0010222301 00000 n 0010222504 00000 n 0010222575 00000 n 0010222656 00000 n 0010223495 00000 n 0010223830 00000 n 0010225228 00000 n 0010225688 00000 n 0010225892 00000 n 0010225958 00000 n 0010226179 00000 n 0010226401 00000 n 0010226472 00000 n 0010226553 00000 n 0010227390 00000 n 0010227725 00000 n 0010232098 00000 n 0010232612 00000 n 0010232816 00000 n 0010232887 00000 n 0010232968 00000 n 0010233807 00000 n 0010234142 00000 n 0010239501 00000 n 0010240015 00000 n 0010240219 00000 n 0010240290 00000 n 0010240371 00000 n 0010241210 00000 n 0010241545 00000 n 0010246025 00000 n 0010246441 00000 n 0010246645 00000 n 0010246741 00000 n 0010246946 00000 n 0010247151 00000 n 0010247353 00000 n 0010247558 00000 n 0010247761 00000 n 0010247832 00000 n 0010247913 00000 n 0010248750 00000 n 0010249085 00000 n 0010250464 00000 n 0010250980 00000 n 0010251184 00000 n 0010251240 00000 n 0010251465 00000 n 0010251536 00000 n 0010251617 00000 n 0010252455 00000 n 0010252790 00000 n 0010257011 00000 n 0010257487 00000 n 0010257691 00000 n 0010257787 00000 n 0010258001 00000 n 0010258212 00000 n 0010258418 00000 n 0010258628 00000 n 0010258699 00000 n 0010258780 00000 n 0010259618 00000 n 0010259953 00000 n 0010260034 00000 n 0010260872 00000 n 0010261207 00000 n 0010262981 00000 n 0010263469 00000 n 0010263673 00000 n 0010263729 00000 n 0010263951 00000 n 0010264022 00000 n 0010264103 00000 n 0010264940 00000 n 0010265275 00000 n 0010269281 00000 n 0010269726 00000 n 0010269930 00000 n 0010270006 00000 n 0010270203 00000 n 0010270405 00000 n 0010270609 00000 n 0010270680 00000 n 0010270761 00000 n 0010271599 00000 n 0010271934 00000 n 0010273813 00000 n 0010274316 00000 n 0010274520 00000 n 0010274576 00000 n 0010274788 00000 n 0010274859 00000 n 0010274940 00000 n 0010275778 00000 n 0010276113 00000 n 0010281318 00000 n 0010281818 00000 n 0010282022 00000 n 0010282093 00000 n 0010282174 00000 n 0010283011 00000 n 0010283346 00000 n 0010288926 00000 n 0010289411 00000 n 0010289615 00000 n 0010289686 00000 n 0010289767 00000 n 0010290604 00000 n 0010290939 00000 n 0010294930 00000 n 0010295346 00000 n 0010295550 00000 n 0010295646 00000 n 0010295849 00000 n 0010296047 00000 n 0010296251 00000 n 0010296453 00000 n 0010296654 00000 n 0010296725 00000 n 0010296806 00000 n 0010297644 00000 n 0010297979 00000 n 0010299322 00000 n 0010299810 00000 n 0010300014 00000 n 0010300080 00000 n 0010300291 00000 n 0010300508 00000 n 0010300579 00000 n 0010300660 00000 n 0010301497 00000 n 0010301832 00000 n 0010305990 00000 n 0010306463 00000 n 0010306667 00000 n 0010306733 00000 n 0010306939 00000 n 0010307146 00000 n 0010307217 00000 n 0010307298 00000 n 0010308135 00000 n 0010308470 00000 n 0010311200 00000 n 0010311703 00000 n 0010311907 00000 n 0010311973 00000 n 0010312184 00000 n 0010312401 00000 n 0010312472 00000 n 0010312553 00000 n 0010313390 00000 n 0010313725 00000 n 0010319138 00000 n 0010319652 00000 n 0010319856 00000 n 0010319927 00000 n 0010320008 00000 n 0010320845 00000 n 0010321180 00000 n 0010325870 00000 n 0010326343 00000 n 0010326547 00000 n 0010326613 00000 n 0010326811 00000 n 0010327013 00000 n 0010327084 00000 n 0010327165 00000 n 0010328002 00000 n 0010328337 00000 n 0010330510 00000 n 0010330998 00000 n 0010331202 00000 n 0010331268 00000 n 0010331479 00000 n 0010331696 00000 n 0010331767 00000 n 0010331848 00000 n 0010332685 00000 n 0010333020 00000 n 0010337248 00000 n 0010337733 00000 n 0010337937 00000 n 0010338008 00000 n 0010338089 00000 n 0010338928 00000 n 0010339263 00000 n 0010343200 00000 n 0010343645 00000 n 0010343849 00000 n 0010343905 00000 n 0010344106 00000 n 0010344177 00000 n 0010344258 00000 n 0010345095 00000 n 0010345430 00000 n 0010347033 00000 n 0010347521 00000 n 0010347725 00000 n 0010347791 00000 n 0010348002 00000 n 0010348219 00000 n 0010348290 00000 n 0010348371 00000 n 0010349208 00000 n 0010349543 00000 n 0010353758 00000 n 0010354231 00000 n 0010354435 00000 n 0010354501 00000 n 0010354707 00000 n 0010354909 00000 n 0010354980 00000 n 0010355061 00000 n 0010355899 00000 n 0010356234 00000 n 0010359079 00000 n 0010359539 00000 n 0010359743 00000 n 0010359809 00000 n 0010360020 00000 n 0010360237 00000 n 0010360308 00000 n 0010360389 00000 n 0010361226 00000 n 0010361561 00000 n 0010365775 00000 n 0010366275 00000 n 0010366479 00000 n 0010366550 00000 n 0010366631 00000 n 0010367468 00000 n 0010367803 00000 n 0010372957 00000 n 0010373430 00000 n 0010373634 00000 n 0010373730 00000 n 0010373936 00000 n 0010374152 00000 n 0010374356 00000 n 0010374566 00000 n 0010374777 00000 n 0010374848 00000 n 0010374929 00000 n 0010375766 00000 n 0010376101 00000 n 0010379205 00000 n 0010379665 00000 n 0010379869 00000 n 0010379935 00000 n 0010380146 00000 n 0010380363 00000 n 0010380434 00000 n 0010380515 00000 n 0010381353 00000 n 0010381688 00000 n 0010386074 00000 n 0010386559 00000 n 0010386763 00000 n 0010386834 00000 n 0010386915 00000 n 0010387752 00000 n 0010388087 00000 n 0010391304 00000 n 0010391749 00000 n 0010391953 00000 n 0010392029 00000 n 0010392230 00000 n 0010392431 00000 n 0010392632 00000 n 0010392703 00000 n 0010392784 00000 n 0010393621 00000 n 0010393956 00000 n 0010395879 00000 n 0010396367 00000 n 0010396571 00000 n 0010396627 00000 n 0010396844 00000 n 0010396915 00000 n 0010396996 00000 n 0010397833 00000 n 0010398168 00000 n 0010402500 00000 n 0010402973 00000 n 0010403177 00000 n 0010403233 00000 n 0010403440 00000 n 0010403511 00000 n 0010403592 00000 n 0010404430 00000 n 0010404765 00000 n 0010406871 00000 n 0010407359 00000 n 0010407563 00000 n 0010407639 00000 n 0010407850 00000 n 0010408067 00000 n 0010408291 00000 n 0010408362 00000 n 0010408443 00000 n 0010409280 00000 n 0010409615 00000 n 0010413856 00000 n 0010414329 00000 n 0010414533 00000 n 0010414609 00000 n 0010414812 00000 n 0010415021 00000 n 0010415228 00000 n 0010415299 00000 n 0010415380 00000 n 0010416218 00000 n 0010416553 00000 n 0010420190 00000 n 0010420693 00000 n 0010420897 00000 n 0010420963 00000 n 0010421175 00000 n 0010421399 00000 n 0010421470 00000 n 0010421551 00000 n 0010422389 00000 n 0010422724 00000 n 0010427744 00000 n 0010428217 00000 n 0010428421 00000 n 0010428497 00000 n 0010428700 00000 n 0010428905 00000 n 0010429111 00000 n 0010429182 00000 n 0010429263 00000 n 0010430100 00000 n 0010430435 00000 n 0010433369 00000 n 0010433886 00000 n 0010434090 00000 n 0010434156 00000 n 0010434367 00000 n 0010434584 00000 n 0010434655 00000 n 0010434736 00000 n 0010435573 00000 n 0010435908 00000 n 0010441254 00000 n 0010441739 00000 n 0010441943 00000 n 0010442014 00000 n 0010442095 00000 n 0010442932 00000 n 0010443267 00000 n 0010446506 00000 n 0010446951 00000 n 0010447155 00000 n 0010447241 00000 n 0010447439 00000 n 0010447641 00000 n 0010447844 00000 n 0010448051 00000 n 0010448122 00000 n 0010448203 00000 n 0010449040 00000 n 0010449375 00000 n 0010451271 00000 n 0010451759 00000 n 0010451963 00000 n 0010452029 00000 n 0010452240 00000 n 0010452457 00000 n 0010452528 00000 n 0010452609 00000 n 0010453446 00000 n 0010453781 00000 n 0010457612 00000 n 0010458043 00000 n 0010458247 00000 n 0010458303 00000 n 0010458514 00000 n 0010458585 00000 n 0010458666 00000 n 0010459503 00000 n 0010459838 00000 n 0010461481 00000 n 0010461984 00000 n 0010462188 00000 n 0010462244 00000 n 0010462465 00000 n 0010462536 00000 n 0010462617 00000 n 0010463455 00000 n 0010463790 00000 n 0010468457 00000 n 0010468930 00000 n 0010469134 00000 n 0010469250 00000 n 0010469460 00000 n 0010469671 00000 n 0010469880 00000 n 0010470086 00000 n 0010470295 00000 n 0010470502 00000 n 0010470711 00000 n 0010470782 00000 n 0010470863 00000 n 0010471700 00000 n 0010472035 00000 n 0010475493 00000 n 0010475895 00000 n 0010476099 00000 n 0010476155 00000 n 0010476355 00000 n 0010476426 00000 n 0010476507 00000 n 0010477346 00000 n 0010477681 00000 n 0010478888 00000 n 0010479391 00000 n 0010479595 00000 n 0010479661 00000 n 0010479872 00000 n 0010480089 00000 n 0010480160 00000 n 0010480241 00000 n 0010481080 00000 n 0010481415 00000 n 0010486768 00000 n 0010487268 00000 n 0010487472 00000 n 0010487543 00000 n 0010487624 00000 n 0010488461 00000 n 0010488796 00000 n 0010493374 00000 n 0010493859 00000 n 0010494063 00000 n 0010494134 00000 n 0010494215 00000 n 0010495052 00000 n 0010495387 00000 n 0010498950 00000 n 0010499395 00000 n 0010499599 00000 n 0010499725 00000 n 0010499923 00000 n 0010500121 00000 n 0010500327 00000 n 0010500533 00000 n 0010500736 00000 n 0010500943 00000 n 0010501150 00000 n 0010501360 00000 n 0010501431 00000 n 0010501512 00000 n 0010502350 00000 n 0010502685 00000 n 0010504853 00000 n 0010505341 00000 n 0010505545 00000 n 0010505601 00000 n 0010505813 00000 n 0010505884 00000 n 0010505965 00000 n 0010506802 00000 n 0010507137 00000 n 0010512301 00000 n 0010512774 00000 n 0010512978 00000 n 0010513064 00000 n 0010513268 00000 n 0010513474 00000 n 0010513680 00000 n 0010513894 00000 n 0010513965 00000 n 0010514046 00000 n 0010514883 00000 n 0010515218 00000 n 0010518998 00000 n 0010519414 00000 n 0010519618 00000 n 0010519714 00000 n 0010519915 00000 n 0010520116 00000 n 0010520325 00000 n 0010520530 00000 n 0010520735 00000 n 0010520806 00000 n 0010520887 00000 n 0010521724 00000 n 0010522059 00000 n 0010523395 00000 n 0010523883 00000 n 0010524087 00000 n 0010524153 00000 n 0010524364 00000 n 0010524581 00000 n 0010524652 00000 n 0010524733 00000 n 0010525571 00000 n 0010525906 00000 n 0010530757 00000 n 0010531230 00000 n 0010531434 00000 n 0010531510 00000 n 0010531715 00000 n 0010531918 00000 n 0010532124 00000 n 0010532195 00000 n 0010532276 00000 n 0010533114 00000 n 0010533449 00000 n 0010536350 00000 n 0010536838 00000 n 0010537042 00000 n 0010537108 00000 n 0010537319 00000 n 0010537536 00000 n 0010537607 00000 n 0010537688 00000 n 0010538526 00000 n 0010538861 00000 n 0010542827 00000 n 0010543243 00000 n 0010543447 00000 n 0010543553 00000 n 0010543751 00000 n 0010543947 00000 n 0010544144 00000 n 0010544345 00000 n 0010544554 00000 n 0010544756 00000 n 0010544827 00000 n 0010544908 00000 n 0010545746 00000 n 0010546081 00000 n 0010547437 00000 n 0010547925 00000 n 0010548129 00000 n 0010548195 00000 n 0010548406 00000 n 0010548623 00000 n 0010548694 00000 n 0010548775 00000 n 0010549612 00000 n 0010549947 00000 n 0010553853 00000 n 0010554284 00000 n 0010554488 00000 n 0010554594 00000 n 0010554792 00000 n 0010554988 00000 n 0010555191 00000 n 0010555391 00000 n 0010555603 00000 n 0010555805 00000 n 0010555876 00000 n 0010555957 00000 n 0010556795 00000 n 0010557130 00000 n 0010559006 00000 n 0010559494 00000 n 0010559698 00000 n 0010559754 00000 n 0010559966 00000 n 0010560037 00000 n 0010560118 00000 n 0010560956 00000 n 0010561291 00000 n 0010565157 00000 n 0010565573 00000 n 0010565777 00000 n 0010565853 00000 n 0010566053 00000 n 0010566254 00000 n 0010566456 00000 n 0010566527 00000 n 0010566608 00000 n 0010567446 00000 n 0010567781 00000 n 0010569068 00000 n 0010569556 00000 n 0010569760 00000 n 0010569826 00000 n 0010570037 00000 n 0010570254 00000 n 0010570325 00000 n 0010570406 00000 n 0010571243 00000 n 0010571578 00000 n 0010575492 00000 n 0010575908 00000 n 0010576112 00000 n 0010576208 00000 n 0010576406 00000 n 0010576602 00000 n 0010576799 00000 n 0010577000 00000 n 0010577209 00000 n 0010577280 00000 n 0010577361 00000 n 0010578198 00000 n 0010578533 00000 n 0010579869 00000 n 0010580372 00000 n 0010580576 00000 n 0010580642 00000 n 0010580853 00000 n 0010581070 00000 n 0010581141 00000 n 0010581222 00000 n 0010582059 00000 n 0010582394 00000 n 0010587898 00000 n 0010588412 00000 n 0010588616 00000 n 0010588687 00000 n 0010588768 00000 n 0010589605 00000 n 0010589940 00000 n 0010594458 00000 n 0010594972 00000 n 0010595176 00000 n 0010595247 00000 n 0010595328 00000 n 0010596166 00000 n 0010596501 00000 n 0010601192 00000 n 0010601637 00000 n 0010601841 00000 n 0010601967 00000 n 0010602171 00000 n 0010602363 00000 n 0010602566 00000 n 0010602767 00000 n 0010602976 00000 n 0010603183 00000 n 0010603393 00000 n 0010603596 00000 n 0010603667 00000 n 0010603748 00000 n 0010604586 00000 n 0010604921 00000 n 0010607061 00000 n 0010607549 00000 n 0010607753 00000 n 0010607819 00000 n 0010608030 00000 n 0010608247 00000 n 0010608318 00000 n 0010608399 00000 n 0010609237 00000 n 0010609572 00000 n 0010613729 00000 n 0010614174 00000 n 0010614378 00000 n 0010614454 00000 n 0010614652 00000 n 0010614850 00000 n 0010615046 00000 n 0010615117 00000 n 0010615198 00000 n 0010616037 00000 n 0010616372 00000 n 0010618398 00000 n 0010618901 00000 n 0010619105 00000 n 0010619171 00000 n 0010619382 00000 n 0010619599 00000 n 0010619670 00000 n 0010619751 00000 n 0010620589 00000 n 0010620924 00000 n 0010625551 00000 n 0010626008 00000 n 0010626212 00000 n 0010626283 00000 n 0010626364 00000 n 0010627201 00000 n 0010627536 00000 n 0010631129 00000 n 0010631602 00000 n 0010631806 00000 n 0010631902 00000 n 0010632106 00000 n 0010632308 00000 n 0010632511 00000 n 0010632718 00000 n 0010632925 00000 n 0010632996 00000 n 0010633077 00000 n 0010633916 00000 n 0010634251 00000 n 0010637291 00000 n 0010637751 00000 n 0010637955 00000 n 0010638011 00000 n 0010638228 00000 n 0010638299 00000 n 0010638380 00000 n 0010639218 00000 n 0010639553 00000 n 0010644068 00000 n 0010644554 00000 n 0010644758 00000 n 0010644829 00000 n 0010644910 00000 n 0010645749 00000 n 0010646084 00000 n 0010651786 00000 n 0010652300 00000 n 0010652504 00000 n 0010652575 00000 n 0010652656 00000 n 0010653493 00000 n 0010653828 00000 n 0010659237 00000 n 0010659761 00000 n 0010660034 00000 n 0010660238 00000 n 0010660309 00000 n 0010660390 00000 n 0010661229 00000 n 0010661564 00000 n 0010667170 00000 n 0010667658 00000 n 0010667862 00000 n 0010667928 00000 n 0010668134 00000 n 0010668333 00000 n 0010668404 00000 n 0010668485 00000 n 0010669323 00000 n 0010669658 00000 n 0010674762 00000 n 0010675178 00000 n 0010675382 00000 n 0010675448 00000 n 0010675652 00000 n 0010675849 00000 n 0010675920 00000 n 0010676001 00000 n 0010676839 00000 n 0010677174 00000 n 0010678433 00000 n 0010678893 00000 n 0010679097 00000 n 0010679163 00000 n 0010679374 00000 n 0010679591 00000 n 0010679662 00000 n 0010679743 00000 n 0010680582 00000 n 0010680917 00000 n 0010684860 00000 n 0010685331 00000 n 0010685535 00000 n 0010685606 00000 n 0010685687 00000 n 0010686525 00000 n 0010686860 00000 n 0010691154 00000 n 0010691639 00000 n 0010691843 00000 n 0010691914 00000 n 0010691995 00000 n 0010692832 00000 n 0010693167 00000 n 0010696827 00000 n 0010697341 00000 n 0010697545 00000 n 0010697616 00000 n 0010697697 00000 n 0010698535 00000 n 0010698870 00000 n 0010703663 00000 n 0010704093 00000 n 0010704297 00000 n 0010704383 00000 n 0010704584 00000 n 0010704793 00000 n 0010704996 00000 n 0010705200 00000 n 0010705271 00000 n 0010705352 00000 n 0010706192 00000 n 0010706527 00000 n 0010707850 00000 n 0010708310 00000 n 0010708514 00000 n 0010708590 00000 n 0010708801 00000 n 0010709018 00000 n 0010709240 00000 n 0010709311 00000 n 0010709392 00000 n 0010710230 00000 n 0010710565 00000 n 0010714919 00000 n 0010715404 00000 n 0010715608 00000 n 0010715679 00000 n 0010715760 00000 n 0010716597 00000 n 0010716932 00000 n 0010720886 00000 n 0010721371 00000 n 0010721575 00000 n 0010721646 00000 n 0010721727 00000 n 0010722566 00000 n 0010722901 00000 n 0010726997 00000 n 0010727442 00000 n 0010727646 00000 n 0010727722 00000 n 0010727937 00000 n 0010728137 00000 n 0010728351 00000 n 0010728422 00000 n 0010728503 00000 n 0010729340 00000 n 0010729675 00000 n 0010731472 00000 n 0010731975 00000 n 0010732179 00000 n 0010732245 00000 n 0010732456 00000 n 0010732673 00000 n 0010732744 00000 n 0010732825 00000 n 0010733662 00000 n 0010733997 00000 n 0010739685 00000 n 0010740199 00000 n 0010740403 00000 n 0010740474 00000 n 0010740555 00000 n 0010741392 00000 n 0010741727 00000 n 0010745982 00000 n 0010746455 00000 n 0010746659 00000 n 0010746755 00000 n 0010746959 00000 n 0010747165 00000 n 0010747368 00000 n 0010747575 00000 n 0010747784 00000 n 0010747855 00000 n 0010747936 00000 n 0010748774 00000 n 0010749109 00000 n 0010752254 00000 n 0010752742 00000 n 0010752946 00000 n 0010753012 00000 n 0010753224 00000 n 0010753457 00000 n 0010753528 00000 n 0010753609 00000 n 0010754446 00000 n 0010754781 00000 n 0010759065 00000 n 0010759538 00000 n 0010759742 00000 n 0010759818 00000 n 0010760024 00000 n 0010760226 00000 n 0010760425 00000 n 0010760496 00000 n 0010760577 00000 n 0010761414 00000 n 0010761749 00000 n 0010764749 00000 n 0010765209 00000 n 0010765413 00000 n 0010765469 00000 n 0010765715 00000 n 0010765786 00000 n 0010765867 00000 n 0010766706 00000 n 0010767041 00000 n 0010771179 00000 n 0010771652 00000 n 0010771856 00000 n 0010771912 00000 n 0010772113 00000 n 0010772184 00000 n 0010772265 00000 n 0010773103 00000 n 0010773438 00000 n 0010776873 00000 n 0010777275 00000 n 0010777479 00000 n 0010777555 00000 n 0010777753 00000 n 0010777953 00000 n 0010778152 00000 n 0010778223 00000 n 0010778304 00000 n 0010779141 00000 n 0010779476 00000 n 0010780725 00000 n 0010781242 00000 n 0010781446 00000 n 0010781512 00000 n 0010781732 00000 n 0010781983 00000 n 0010782054 00000 n 0010782135 00000 n 0010782974 00000 n 0010783309 00000 n 0010788562 00000 n 0010789035 00000 n 0010789239 00000 n 0010789345 00000 n 0010789553 00000 n 0010789756 00000 n 0010789965 00000 n 0010790174 00000 n 0010790380 00000 n 0010790589 00000 n 0010790660 00000 n 0010790741 00000 n 0010791579 00000 n 0010791914 00000 n 0010794498 00000 n 0010795001 00000 n 0010795205 00000 n 0010795271 00000 n 0010795486 00000 n 0010795703 00000 n 0010795774 00000 n 0010795855 00000 n 0010796693 00000 n 0010797028 00000 n 0010802211 00000 n 0010802696 00000 n 0010802900 00000 n 0010802971 00000 n 0010803052 00000 n 0010803890 00000 n 0010804225 00000 n 0010808005 00000 n 0010808450 00000 n 0010808654 00000 n 0010808730 00000 n 0010808928 00000 n 0010809132 00000 n 0010809336 00000 n 0010809407 00000 n 0010809488 00000 n 0010810326 00000 n 0010810661 00000 n 0010812824 00000 n 0010813312 00000 n 0010813516 00000 n 0010813582 00000 n 0010813793 00000 n 0010814010 00000 n 0010814081 00000 n 0010814162 00000 n 0010814999 00000 n 0010815334 00000 n 0010819357 00000 n 0010819802 00000 n 0010820006 00000 n 0010820072 00000 n 0010820270 00000 n 0010820470 00000 n 0010820541 00000 n 0010820622 00000 n 0010821460 00000 n 0010821795 00000 n 0010823421 00000 n 0010823924 00000 n 0010824128 00000 n 0010824204 00000 n 0010824421 00000 n 0010824672 00000 n 0010824889 00000 n 0010824960 00000 n 0010825041 00000 n 0010825879 00000 n 0010826214 00000 n 0010831299 00000 n 0010831813 00000 n 0010832017 00000 n 0010832088 00000 n 0010832169 00000 n 0010833007 00000 n 0010833342 00000 n 0010838475 00000 n 0010838960 00000 n 0010839164 00000 n 0010839235 00000 n 0010839316 00000 n 0010840155 00000 n 0010840490 00000 n 0010844385 00000 n 0010844830 00000 n 0010845034 00000 n 0010845190 00000 n 0010845399 00000 n 0010845608 00000 n 0010845812 00000 n 0010846015 00000 n 0010846221 00000 n 0010846423 00000 n 0010846628 00000 n 0010846835 00000 n 0010847048 00000 n 0010847257 00000 n 0010847465 00000 n 0010847536 00000 n 0010847617 00000 n 0010848455 00000 n 0010848790 00000 n 0010851283 00000 n 0010851800 00000 n 0010852004 00000 n 0010852060 00000 n 0010852280 00000 n 0010852351 00000 n 0010852432 00000 n 0010853270 00000 n 0010853605 00000 n 0010858785 00000 n 0010859258 00000 n 0010859462 00000 n 0010859558 00000 n 0010859762 00000 n 0010859969 00000 n 0010860184 00000 n 0010860386 00000 n 0010860592 00000 n 0010860663 00000 n 0010860744 00000 n 0010861581 00000 n 0010861916 00000 n 0010864893 00000 n 0010865365 00000 n 0010865569 00000 n 0010865640 00000 n 0010865721 00000 n 0010866559 00000 n 0010866894 00000 n 0010871535 00000 n 0010872008 00000 n 0010872212 00000 n 0010872278 00000 n 0010872488 00000 n 0010872708 00000 n 0010872779 00000 n 0010872860 00000 n 0010873698 00000 n 0010874033 00000 n 0010878127 00000 n 0010878598 00000 n 0010878802 00000 n 0010878873 00000 n 0010878954 00000 n 0010879792 00000 n 0010880127 00000 n 0010884074 00000 n 0010884559 00000 n 0010884763 00000 n 0010884834 00000 n 0010884915 00000 n 0010885753 00000 n 0010886088 00000 n 0010890354 00000 n 0010890799 00000 n 0010891003 00000 n 0010891119 00000 n 0010891317 00000 n 0010891516 00000 n 0010891713 00000 n 0010891923 00000 n 0010892129 00000 n 0010892348 00000 n 0010892566 00000 n 0010892637 00000 n 0010892718 00000 n 0010893557 00000 n 0010893892 00000 n 0010895966 00000 n 0010896469 00000 n 0010896673 00000 n 0010896729 00000 n 0010896941 00000 n 0010897012 00000 n 0010897093 00000 n 0010897931 00000 n 0010898266 00000 n 0010903292 00000 n 0010903806 00000 n 0010904010 00000 n 0010904081 00000 n 0010904162 00000 n 0010904999 00000 n 0010905334 00000 n 0010910480 00000 n 0010910925 00000 n 0010911129 00000 n 0010911215 00000 n 0010911416 00000 n 0010911625 00000 n 0010911828 00000 n 0010912038 00000 n 0010912109 00000 n 0010912190 00000 n 0010913028 00000 n 0010913363 00000 n 0010915416 00000 n 0010915904 00000 n 0010916108 00000 n 0010916174 00000 n 0010916385 00000 n 0010916602 00000 n 0010916673 00000 n 0010916754 00000 n 0010917592 00000 n 0010917927 00000 n 0010922249 00000 n 0010922722 00000 n 0010922926 00000 n 0010922992 00000 n 0010923198 00000 n 0010923416 00000 n 0010923487 00000 n 0010923568 00000 n 0010924406 00000 n 0010924741 00000 n 0010928241 00000 n 0010928729 00000 n 0010928933 00000 n 0010928989 00000 n 0010929214 00000 n 0010929285 00000 n 0010929366 00000 n 0010930204 00000 n 0010930539 00000 n 0010935174 00000 n 0010935659 00000 n 0010935863 00000 n 0010935934 00000 n 0010936015 00000 n 0010936853 00000 n 0010937188 00000 n 0010940852 00000 n 0010941325 00000 n 0010941529 00000 n 0010941615 00000 n 0010941821 00000 n 0010942026 00000 n 0010942229 00000 n 0010942436 00000 n 0010942507 00000 n 0010942588 00000 n 0010943425 00000 n 0010943760 00000 n 0010946250 00000 n 0010946710 00000 n 0010946914 00000 n 0010946970 00000 n 0010947203 00000 n 0010947274 00000 n 0010947355 00000 n 0010948193 00000 n 0010948528 00000 n 0010952781 00000 n 0010953254 00000 n 0010953458 00000 n 0010953534 00000 n 0010953741 00000 n 0010953944 00000 n 0010954145 00000 n 0010954216 00000 n 0010954297 00000 n 0010955137 00000 n 0010955472 00000 n 0010958857 00000 n 0010959346 00000 n 0010959550 00000 n 0010959606 00000 n 0010959830 00000 n 0010959901 00000 n 0010959982 00000 n 0010960820 00000 n 0010961155 00000 n 0010965858 00000 n 0010966331 00000 n 0010966535 00000 n 0010966641 00000 n 0010966851 00000 n 0010967064 00000 n 0010967276 00000 n 0010967490 00000 n 0010967704 00000 n 0010967915 00000 n 0010967986 00000 n 0010968067 00000 n 0010968904 00000 n 0010969239 00000 n 0010972555 00000 n 0010973072 00000 n 0010973276 00000 n 0010973332 00000 n 0010973557 00000 n 0010973628 00000 n 0010973709 00000 n 0010974547 00000 n 0010974882 00000 n 0010979964 00000 n 0010980409 00000 n 0010980613 00000 n 0010980719 00000 n 0010980923 00000 n 0010981125 00000 n 0010981328 00000 n 0010981530 00000 n 0010981732 00000 n 0010981935 00000 n 0010982006 00000 n 0010982087 00000 n 0010982925 00000 n 0010983260 00000 n 0010985627 00000 n 0010986115 00000 n 0010986319 00000 n 0010986375 00000 n 0010986600 00000 n 0010986671 00000 n 0010986752 00000 n 0010987589 00000 n 0010987924 00000 n 0010992354 00000 n 0010992827 00000 n 0010993031 00000 n 0010993087 00000 n 0010993295 00000 n 0010993366 00000 n 0010993447 00000 n 0010994285 00000 n 0010994620 00000 n 0010998354 00000 n 0010998756 00000 n 0010998960 00000 n 0010999046 00000 n 0010999243 00000 n 0010999439 00000 n 0010999635 00000 n 0010999832 00000 n 0010999903 00000 n 0010999984 00000 n 0011000822 00000 n 0011001157 00000 n 0011002413 00000 n 0011002901 00000 n 0011003105 00000 n 0011003161 00000 n 0011003389 00000 n 0011003460 00000 n 0011003541 00000 n 0011004378 00000 n 0011004713 00000 n 0011008651 00000 n 0011009081 00000 n 0011009285 00000 n 0011009361 00000 n 0011009569 00000 n 0011009768 00000 n 0011009972 00000 n 0011010043 00000 n 0011010124 00000 n 0011010962 00000 n 0011011297 00000 n 0011012623 00000 n 0011013111 00000 n 0011013315 00000 n 0011013381 00000 n 0011013606 00000 n 0011013808 00000 n 0011013879 00000 n 0011013960 00000 n 0011014798 00000 n 0011015133 00000 n 0011018218 00000 n 0011018720 00000 n 0011018924 00000 n 0011018980 00000 n 0011019205 00000 n 0011019276 00000 n 0011019357 00000 n 0011020195 00000 n 0011020530 00000 n 0011024853 00000 n 0011025298 00000 n 0011025502 00000 n 0011025578 00000 n 0011025775 00000 n 0011025967 00000 n 0011026163 00000 n 0011026234 00000 n 0011026315 00000 n 0011027152 00000 n 0011027487 00000 n 0011029077 00000 n 0011029580 00000 n 0011029784 00000 n 0011029840 00000 n 0011030065 00000 n 0011030136 00000 n 0011030217 00000 n 0011031055 00000 n 0011031390 00000 n 0011036275 00000 n 0011036748 00000 n 0011036952 00000 n 0011037028 00000 n 0011037230 00000 n 0011037428 00000 n 0011037626 00000 n 0011037697 00000 n 0011037778 00000 n 0011038616 00000 n 0011038951 00000 n 0011041684 00000 n 0011042172 00000 n 0011042376 00000 n 0011042432 00000 n 0011042657 00000 n 0011042728 00000 n 0011042809 00000 n 0011043646 00000 n 0011043981 00000 n 0011047663 00000 n 0011048108 00000 n 0011048312 00000 n 0011048378 00000 n 0011048569 00000 n 0011048767 00000 n 0011048838 00000 n 0011048919 00000 n 0011049757 00000 n 0011050092 00000 n 0011051881 00000 n 0011052369 00000 n 0011052573 00000 n 0011052629 00000 n 0011052854 00000 n 0011052925 00000 n 0011053006 00000 n 0011053844 00000 n 0011054179 00000 n 0011057756 00000 n 0011058201 00000 n 0011058405 00000 n 0011058501 00000 n 0011058702 00000 n 0011058913 00000 n 0011059108 00000 n 0011059302 00000 n 0011059494 00000 n 0011059565 00000 n 0011059646 00000 n 0011060483 00000 n 0011060818 00000 n 0011062905 00000 n 0011063393 00000 n 0011063597 00000 n 0011063663 00000 n 0011063888 00000 n 0011064091 00000 n 0011064162 00000 n 0011064243 00000 n 0011065080 00000 n 0011065415 00000 n 0011068430 00000 n 0011068918 00000 n 0011069122 00000 n 0011069178 00000 n 0011069399 00000 n 0011069470 00000 n 0011069551 00000 n 0011070388 00000 n 0011070723 00000 n 0011074741 00000 n 0011075157 00000 n 0011075361 00000 n 0011075497 00000 n 0011075698 00000 n 0011075899 00000 n 0011076104 00000 n 0011076309 00000 n 0011076508 00000 n 0011076710 00000 n 0011076914 00000 n 0011077120 00000 n 0011077323 00000 n 0011077394 00000 n 0011077475 00000 n 0011078313 00000 n 0011078648 00000 n 0011080098 00000 n 0011080586 00000 n 0011080790 00000 n 0011080846 00000 n 0011081067 00000 n 0011081138 00000 n 0011081219 00000 n 0011082056 00000 n 0011082391 00000 n 0011086332 00000 n 0011086777 00000 n 0011086981 00000 n 0011087097 00000 n 0011087309 00000 n 0011087520 00000 n 0011087730 00000 n 0011087942 00000 n 0011088151 00000 n 0011088364 00000 n 0011088575 00000 n 0011088646 00000 n 0011088727 00000 n 0011089566 00000 n 0011089901 00000 n 0011091974 00000 n 0011092462 00000 n 0011092666 00000 n 0011092722 00000 n 0011092943 00000 n 0011093014 00000 n 0011093095 00000 n 0011093935 00000 n 0011094270 00000 n 0011098351 00000 n 0011098824 00000 n 0011099028 00000 n 0011099144 00000 n 0011099357 00000 n 0011099568 00000 n 0011099779 00000 n 0011099992 00000 n 0011100202 00000 n 0011100416 00000 n 0011100628 00000 n 0011100699 00000 n 0011100780 00000 n 0011101618 00000 n 0011101953 00000 n 0011104289 00000 n 0011104791 00000 n 0011104995 00000 n 0011105051 00000 n 0011105276 00000 n 0011105347 00000 n 0011105428 00000 n 0011106266 00000 n 0011106601 00000 n 0011111004 00000 n 0011111449 00000 n 0011111653 00000 n 0011111729 00000 n 0011111926 00000 n 0011112118 00000 n 0011112315 00000 n 0011112386 00000 n 0011112467 00000 n 0011113305 00000 n 0011113640 00000 n 0011115211 00000 n 0011115699 00000 n 0011115903 00000 n 0011115959 00000 n 0011116182 00000 n 0011116253 00000 n 0011116334 00000 n 0011117171 00000 n 0011117506 00000 n 0011121476 00000 n 0011121921 00000 n 0011122125 00000 n 0011122201 00000 n 0011122394 00000 n 0011122591 00000 n 0011122788 00000 n 0011122859 00000 n 0011122940 00000 n 0011123778 00000 n 0011124113 00000 n 0011126194 00000 n 0011126652 00000 n 0011126856 00000 n 0011126927 00000 n 0011127008 00000 n 0011127847 00000 n 0011128182 00000 n 0011132522 00000 n 0011132951 00000 n 0011133155 00000 n 0011133226 00000 n 0011133307 00000 n 0011134146 00000 n 0011134481 00000 n 0011139292 00000 n 0011139780 00000 n 0011139984 00000 n 0011140040 00000 n 0011140256 00000 n 0011140327 00000 n 0011140408 00000 n 0011141246 00000 n 0011141581 00000 n 0011147227 00000 n 0011147713 00000 n 0011147917 00000 n 0011147988 00000 n 0011148069 00000 n 0011148908 00000 n 0011149243 00000 n 0011154211 00000 n 0011154668 00000 n 0011154872 00000 n 0011154943 00000 n 0011155024 00000 n 0011155862 00000 n 0011156197 00000 n 0011160408 00000 n 0011160894 00000 n 0011161098 00000 n 0011161169 00000 n 0011161250 00000 n 0011162088 00000 n 0011162423 00000 n 0011168570 00000 n 0011169041 00000 n 0011169245 00000 n 0011169316 00000 n 0011169397 00000 n 0011170234 00000 n 0011170569 00000 n 0011174936 00000 n 0011175421 00000 n 0011175625 00000 n 0011175696 00000 n 0011175777 00000 n 0011176615 00000 n 0011176950 00000 n 0011181230 00000 n 0011181703 00000 n 0011181907 00000 n 0011182033 00000 n 0011182240 00000 n 0011182444 00000 n 0011182652 00000 n 0011182861 00000 n 0011183063 00000 n 0011183265 00000 n 0011183469 00000 n 0011183675 00000 n 0011183746 00000 n 0011183827 00000 n 0011184665 00000 n 0011185000 00000 n 0011187542 00000 n 0011188045 00000 n 0011188249 00000 n 0011188315 00000 n 0011188535 00000 n 0011188759 00000 n 0011188830 00000 n 0011188911 00000 n 0011189748 00000 n 0011190083 00000 n 0011195201 00000 n 0011195674 00000 n 0011195878 00000 n 0011195994 00000 n 0011196201 00000 n 0011196410 00000 n 0011196613 00000 n 0011196814 00000 n 0011197019 00000 n 0011197225 00000 n 0011197432 00000 n 0011197503 00000 n 0011197584 00000 n 0011198422 00000 n 0011198757 00000 n 0011201575 00000 n 0011202063 00000 n 0011202267 00000 n 0011202323 00000 n 0011202543 00000 n 0011202614 00000 n 0011202695 00000 n 0011203532 00000 n 0011203867 00000 n 0011208065 00000 n 0011208510 00000 n 0011208714 00000 n 0011208800 00000 n 0011208999 00000 n 0011209193 00000 n 0011209390 00000 n 0011209586 00000 n 0011209657 00000 n 0011209738 00000 n 0011210576 00000 n 0011210911 00000 n 0011212619 00000 n 0011213122 00000 n 0011213326 00000 n 0011213382 00000 n 0011213607 00000 n 0011213678 00000 n 0011213759 00000 n 0011214598 00000 n 0011214933 00000 n 0011219479 00000 n 0011219952 00000 n 0011220156 00000 n 0011220232 00000 n 0011220430 00000 n 0011220634 00000 n 0011220836 00000 n 0011220907 00000 n 0011220988 00000 n 0011221826 00000 n 0011222161 00000 n 0011224241 00000 n 0011224701 00000 n 0011224905 00000 n 0011224961 00000 n 0011225190 00000 n 0011225261 00000 n 0011225342 00000 n 0011226180 00000 n 0011226515 00000 n 0011230480 00000 n 0011230953 00000 n 0011231157 00000 n 0011231243 00000 n 0011231451 00000 n 0011231657 00000 n 0011231865 00000 n 0011232072 00000 n 0011232143 00000 n 0011232224 00000 n 0011233062 00000 n 0011233397 00000 n 0011235811 00000 n 0011236313 00000 n 0011236517 00000 n 0011236573 00000 n 0011236798 00000 n 0011236869 00000 n 0011236950 00000 n 0011237788 00000 n 0011238123 00000 n 0011242538 00000 n 0011243011 00000 n 0011243215 00000 n 0011243281 00000 n 0011243495 00000 n 0011243706 00000 n 0011243777 00000 n 0011243858 00000 n 0011244695 00000 n 0011245030 00000 n 0011247893 00000 n 0011248381 00000 n 0011248585 00000 n 0011248641 00000 n 0011248866 00000 n 0011248937 00000 n 0011249018 00000 n 0011249857 00000 n 0011250192 00000 n 0011254389 00000 n 0011254862 00000 n 0011255066 00000 n 0011255132 00000 n 0011255346 00000 n 0011255552 00000 n 0011255623 00000 n 0011255704 00000 n 0011256542 00000 n 0011256877 00000 n 0011259157 00000 n 0011259645 00000 n 0011259849 00000 n 0011259905 00000 n 0011260128 00000 n 0011260199 00000 n 0011260280 00000 n 0011261118 00000 n 0011261453 00000 n 0011265243 00000 n 0011265688 00000 n 0011265892 00000 n 0011265968 00000 n 0011266167 00000 n 0011266365 00000 n 0011266560 00000 n 0011266631 00000 n 0011266712 00000 n 0011267550 00000 n 0011267885 00000 n 0011269480 00000 n 0011269968 00000 n 0011270172 00000 n 0011270228 00000 n 0011270444 00000 n 0011270515 00000 n 0011270596 00000 n 0011271434 00000 n 0011271769 00000 n 0011275422 00000 n 0011275867 00000 n 0011276071 00000 n 0011276127 00000 n 0011276328 00000 n 0011276399 00000 n 0011276480 00000 n 0011277318 00000 n 0011277653 00000 n 0011279203 00000 n 0011279719 00000 n 0011279923 00000 n 0011279979 00000 n 0011280204 00000 n 0011280275 00000 n 0011280356 00000 n 0011281194 00000 n 0011281529 00000 n 0011285601 00000 n 0011286046 00000 n 0011286250 00000 n 0011286316 00000 n 0011286524 00000 n 0011286732 00000 n 0011286803 00000 n 0011286884 00000 n 0011287722 00000 n 0011288057 00000 n 0011289771 00000 n 0011290231 00000 n 0011290435 00000 n 0011290491 00000 n 0011290720 00000 n 0011290791 00000 n 0011290872 00000 n 0011291709 00000 n 0011292044 00000 n 0011295401 00000 n 0011295861 00000 n 0011296065 00000 n 0011296121 00000 n 0011296337 00000 n 0011296408 00000 n 0011296489 00000 n 0011297328 00000 n 0011297663 00000 n 0011302125 00000 n 0011302627 00000 n 0011302831 00000 n 0011302897 00000 n 0011303103 00000 n 0011303309 00000 n 0011303380 00000 n 0011303461 00000 n 0011304298 00000 n 0011304633 00000 n 0011307864 00000 n 0011308352 00000 n 0011308556 00000 n 0011308612 00000 n 0011308832 00000 n 0011308903 00000 n 0011308984 00000 n 0011309824 00000 n 0011310159 00000 n 0011313981 00000 n 0011314426 00000 n 0011314630 00000 n 0011314696 00000 n 0011314893 00000 n 0011315089 00000 n 0011315160 00000 n 0011315241 00000 n 0011316080 00000 n 0011316415 00000 n 0011318047 00000 n 0011318507 00000 n 0011318711 00000 n 0011318767 00000 n 0011318987 00000 n 0011319058 00000 n 0011319139 00000 n 0011319976 00000 n 0011320311 00000 n 0011324333 00000 n 0011324818 00000 n 0011325022 00000 n 0011325093 00000 n 0011325174 00000 n 0011326013 00000 n 0011326348 00000 n 0011330383 00000 n 0011330828 00000 n 0011331032 00000 n 0011331098 00000 n 0011331295 00000 n 0011331499 00000 n 0011331570 00000 n 0011331651 00000 n 0011332488 00000 n 0011332823 00000 n 0011334482 00000 n 0011334942 00000 n 0011335146 00000 n 0011335202 00000 n 0011335422 00000 n 0011335493 00000 n 0011335574 00000 n 0011336411 00000 n 0011336746 00000 n 0011340960 00000 n 0011341433 00000 n 0011341637 00000 n 0011341693 00000 n 0011341901 00000 n 0011341972 00000 n 0011342053 00000 n 0011342891 00000 n 0011343226 00000 n 0011346477 00000 n 0011346937 00000 n 0011347141 00000 n 0011347197 00000 n 0011347418 00000 n 0011347489 00000 n 0011347570 00000 n 0011348408 00000 n 0011348743 00000 n 0011352235 00000 n 0011352681 00000 n 0011352885 00000 n 0011352941 00000 n 0011353162 00000 n 0011353233 00000 n 0011353314 00000 n 0011354151 00000 n 0011354486 00000 n 0011357435 00000 n 0011357895 00000 n 0011358099 00000 n 0011358155 00000 n 0011358371 00000 n 0011358442 00000 n 0011358523 00000 n 0011359361 00000 n 0011359696 00000 n 0011363988 00000 n 0011364488 00000 n 0011364692 00000 n 0011364763 00000 n 0011364844 00000 n 0011365683 00000 n 0011366018 00000 n 0011370923 00000 n 0011371425 00000 n 0011371629 00000 n 0011371705 00000 n 0011371908 00000 n 0011372111 00000 n 0011372318 00000 n 0011372389 00000 n 0011372470 00000 n 0011373307 00000 n 0011373642 00000 n 0011378088 00000 n 0011378490 00000 n 0011378694 00000 n 0011378760 00000 n 0011378971 00000 n 0011379170 00000 n 0011379241 00000 n 0011379322 00000 n 0011380159 00000 n 0011380494 00000 n 0011381756 00000 n 0011382245 00000 n 0011382449 00000 n 0011382505 00000 n 0011382717 00000 n 0011382788 00000 n 0011382869 00000 n 0011383708 00000 n 0011384043 00000 n 0011388540 00000 n 0011389025 00000 n 0011389229 00000 n 0011389300 00000 n 0011389381 00000 n 0011390219 00000 n 0011390554 00000 n 0011394360 00000 n 0011394862 00000 n 0011395066 00000 n 0011395182 00000 n 0011395388 00000 n 0011395595 00000 n 0011395799 00000 n 0011396005 00000 n 0011396208 00000 n 0011396413 00000 n 0011396622 00000 n 0011396693 00000 n 0011396774 00000 n 0011397612 00000 n 0011397947 00000 n 0011401168 00000 n 0011401656 00000 n 0011401860 00000 n 0011401916 00000 n 0011402133 00000 n 0011402204 00000 n 0011402285 00000 n 0011403123 00000 n 0011403458 00000 n 0011407475 00000 n 0011407948 00000 n 0011408152 00000 n 0011408218 00000 n 0011408426 00000 n 0011408636 00000 n 0011408707 00000 n 0011408788 00000 n 0011409626 00000 n 0011409961 00000 n 0011412018 00000 n 0011412478 00000 n 0011412682 00000 n 0011412738 00000 n 0011412954 00000 n 0011413025 00000 n 0011413106 00000 n 0011413943 00000 n 0011414278 00000 n 0011416821 00000 n 0011417309 00000 n 0011417513 00000 n 0011417569 00000 n 0011417789 00000 n 0011417860 00000 n 0011417941 00000 n 0011418778 00000 n 0011419113 00000 n 0011423026 00000 n 0011423471 00000 n 0011423675 00000 n 0011423741 00000 n 0011423943 00000 n 0011424149 00000 n 0011424220 00000 n 0011424301 00000 n 0011425139 00000 n 0011425474 00000 n 0011427526 00000 n 0011427986 00000 n 0011428190 00000 n 0011428246 00000 n 0011428463 00000 n 0011428534 00000 n 0011428615 00000 n 0011429453 00000 n 0011429788 00000 n 0011434031 00000 n 0011434504 00000 n 0011434708 00000 n 0011434784 00000 n 0011434991 00000 n 0011435206 00000 n 0011435412 00000 n 0011435483 00000 n 0011435564 00000 n 0011436402 00000 n 0011436737 00000 n 0011438887 00000 n 0011439375 00000 n 0011439579 00000 n 0011439635 00000 n 0011439864 00000 n 0011439935 00000 n 0011440016 00000 n 0011440855 00000 n 0011441190 00000 n 0011445060 00000 n 0011445533 00000 n 0011445737 00000 n 0011445803 00000 n 0011446011 00000 n 0011446217 00000 n 0011446288 00000 n 0011446369 00000 n 0011447207 00000 n 0011447542 00000 n 0011450227 00000 n 0011450687 00000 n 0011450891 00000 n 0011450947 00000 n 0011451164 00000 n 0011451235 00000 n 0011451316 00000 n 0011452153 00000 n 0011452488 00000 n 0011456544 00000 n 0011457046 00000 n 0011457250 00000 n 0011457346 00000 n 0011457549 00000 n 0011457755 00000 n 0011457956 00000 n 0011458158 00000 n 0011458364 00000 n 0011458435 00000 n 0011458516 00000 n 0011459354 00000 n 0011459689 00000 n 0011464871 00000 n 0011465271 00000 n 0011465475 00000 n 0011465546 00000 n 0011465627 00000 n 0011466465 00000 n 0011466800 00000 n 0011467932 00000 n 0011468378 00000 n 0011468582 00000 n 0011468638 00000 n 0011468858 00000 n 0011468929 00000 n 0011469010 00000 n 0011469848 00000 n 0011470183 00000 n 0011473228 00000 n 0011473688 00000 n 0011473892 00000 n 0011473948 00000 n 0011474169 00000 n 0011474240 00000 n 0011474321 00000 n 0011475160 00000 n 0011475495 00000 n 0011479505 00000 n 0011480007 00000 n 0011480211 00000 n 0011480317 00000 n 0011480526 00000 n 0011480732 00000 n 0011480945 00000 n 0011481158 00000 n 0011481365 00000 n 0011481568 00000 n 0011481639 00000 n 0011481720 00000 n 0011482559 00000 n 0011482894 00000 n 0011487545 00000 n 0011487947 00000 n 0011488151 00000 n 0011488237 00000 n 0011488441 00000 n 0011488639 00000 n 0011488841 00000 n 0011489046 00000 n 0011489117 00000 n 0011489198 00000 n 0011490036 00000 n 0011490371 00000 n 0011491658 00000 n 0011492118 00000 n 0011492322 00000 n 0011492378 00000 n 0011492607 00000 n 0011492678 00000 n 0011492759 00000 n 0011493596 00000 n 0011493931 00000 n 0011498230 00000 n 0011498703 00000 n 0011498907 00000 n 0011498983 00000 n 0011499193 00000 n 0011499401 00000 n 0011499609 00000 n 0011499680 00000 n 0011499761 00000 n 0011500599 00000 n 0011500934 00000 n 0011503133 00000 n 0011503593 00000 n 0011503797 00000 n 0011503873 00000 n 0011504090 00000 n 0011504315 00000 n 0011504536 00000 n 0011504607 00000 n 0011504688 00000 n 0011505526 00000 n 0011505861 00000 n 0011509411 00000 n 0011509871 00000 n 0011510075 00000 n 0011510131 00000 n 0011510379 00000 n 0011510450 00000 n 0011510531 00000 n 0011511369 00000 n 0011511704 00000 n 0011515520 00000 n 0011516007 00000 n 0011516211 00000 n 0011516287 00000 n 0011516508 00000 n 0011516727 00000 n 0011516949 00000 n 0011517020 00000 n 0011517101 00000 n 0011517939 00000 n 0011518274 00000 n 0011520719 00000 n 0011521207 00000 n 0011521411 00000 n 0011521477 00000 n 0011521692 00000 n 0011521919 00000 n 0011521990 00000 n 0011522071 00000 n 0011522909 00000 n 0011523244 00000 n 0011527216 00000 n 0011527661 00000 n 0011527865 00000 n 0011527931 00000 n 0011528135 00000 n 0011528346 00000 n 0011528417 00000 n 0011528498 00000 n 0011529335 00000 n 0011529670 00000 n 0011531403 00000 n 0011531891 00000 n 0011532095 00000 n 0011532151 00000 n 0011532368 00000 n 0011532439 00000 n 0011532520 00000 n 0011533358 00000 n 0011533693 00000 n 0011537753 00000 n 0011538198 00000 n 0011538402 00000 n 0011538498 00000 n 0011538695 00000 n 0011538904 00000 n 0011539102 00000 n 0011539313 00000 n 0011539510 00000 n 0011539581 00000 n 0011539662 00000 n 0011540502 00000 n 0011540837 00000 n 0011542561 00000 n 0011543064 00000 n 0011543268 00000 n 0011543324 00000 n 0011543545 00000 n 0011543616 00000 n 0011543697 00000 n 0011544535 00000 n 0011544870 00000 n 0011549387 00000 n 0011549860 00000 n 0011550064 00000 n 0011550220 00000 n 0011550431 00000 n 0011550640 00000 n 0011550850 00000 n 0011551061 00000 n 0011551272 00000 n 0011551480 00000 n 0011551688 00000 n 0011551896 00000 n 0011552106 00000 n 0011552313 00000 n 0011552516 00000 n 0011552587 00000 n 0011552668 00000 n 0011553506 00000 n 0011553841 00000 n 0011556988 00000 n 0011557476 00000 n 0011557680 00000 n 0011557736 00000 n 0011557953 00000 n 0011558024 00000 n 0011558105 00000 n 0011558943 00000 n 0011559278 00000 n 0011562879 00000 n 0011563336 00000 n 0011563540 00000 n 0011563611 00000 n 0011563692 00000 n 0011564530 00000 n 0011564865 00000 n 0011566303 00000 n 0011566763 00000 n 0011566967 00000 n 0011567023 00000 n 0011567244 00000 n 0011567315 00000 n 0011567396 00000 n 0011568234 00000 n 0011568569 00000 n 0011572705 00000 n 0011573178 00000 n 0011573382 00000 n 0011573508 00000 n 0011573719 00000 n 0011573927 00000 n 0011574140 00000 n 0011574353 00000 n 0011574561 00000 n 0011574766 00000 n 0011574970 00000 n 0011575182 00000 n 0011575253 00000 n 0011575334 00000 n 0011576172 00000 n 0011576507 00000 n 0011579346 00000 n 0011579834 00000 n 0011580038 00000 n 0011580094 00000 n 0011580315 00000 n 0011580386 00000 n 0011580467 00000 n 0011581306 00000 n 0011581641 00000 n 0011585766 00000 n 0011586239 00000 n 0011586443 00000 n 0011586559 00000 n 0011586771 00000 n 0011586982 00000 n 0011587192 00000 n 0011587404 00000 n 0011587613 00000 n 0011587823 00000 n 0011588034 00000 n 0011588105 00000 n 0011588186 00000 n 0011589024 00000 n 0011589359 00000 n 0011591628 00000 n 0011592116 00000 n 0011592320 00000 n 0011592376 00000 n 0011592597 00000 n 0011592668 00000 n 0011592749 00000 n 0011593586 00000 n 0011593921 00000 n 0011598046 00000 n 0011598519 00000 n 0011598723 00000 n 0011598839 00000 n 0011599052 00000 n 0011599263 00000 n 0011599474 00000 n 0011599687 00000 n 0011599897 00000 n 0011600108 00000 n 0011600320 00000 n 0011600391 00000 n 0011600472 00000 n 0011601310 00000 n 0011601645 00000 n 0011603920 00000 n 0011604408 00000 n 0011604612 00000 n 0011604668 00000 n 0011604888 00000 n 0011604959 00000 n 0011605040 00000 n 0011605878 00000 n 0011606213 00000 n 0011610358 00000 n 0011610831 00000 n 0011611035 00000 n 0011611091 00000 n 0011611296 00000 n 0011611367 00000 n 0011611448 00000 n 0011612285 00000 n 0011612620 00000 n 0011615816 00000 n 0011616276 00000 n 0011616480 00000 n 0011616536 00000 n 0011616754 00000 n 0011616825 00000 n 0011616906 00000 n 0011617744 00000 n 0011618079 00000 n 0011621047 00000 n 0011621507 00000 n 0011621711 00000 n 0011621767 00000 n 0011621985 00000 n 0011622056 00000 n 0011622137 00000 n 0011622976 00000 n 0011623311 00000 n 0011627363 00000 n 0011627848 00000 n 0011628052 00000 n 0011628123 00000 n 0011628204 00000 n 0011629042 00000 n 0011629377 00000 n 0011633603 00000 n 0011634033 00000 n 0011634237 00000 n 0011634333 00000 n 0011634537 00000 n 0011634742 00000 n 0011634944 00000 n 0011635152 00000 n 0011635355 00000 n 0011635426 00000 n 0011635507 00000 n 0011636345 00000 n 0011636680 00000 n 0011638051 00000 n 0011638523 00000 n 0011638727 00000 n 0011638798 00000 n 0011638879 00000 n 0011639717 00000 n 0011640052 00000 n 0011644577 00000 n 0011645065 00000 n 0011645269 00000 n 0011645325 00000 n 0011645554 00000 n 0011645625 00000 n 0011645706 00000 n 0011646543 00000 n 0011646878 00000 n 0011651904 00000 n 0011652404 00000 n 0011652608 00000 n 0011652679 00000 n 0011652760 00000 n 0011653597 00000 n 0011653932 00000 n 0011659486 00000 n 0011659959 00000 n 0011660163 00000 n 0011660239 00000 n 0011660449 00000 n 0011660655 00000 n 0011660861 00000 n 0011660932 00000 n 0011661013 00000 n 0011661850 00000 n 0011662185 00000 n 0011665841 00000 n 0011666301 00000 n 0011666505 00000 n 0011666561 00000 n 0011666779 00000 n 0011666850 00000 n 0011666931 00000 n 0011667770 00000 n 0011668105 00000 n 0011672568 00000 n 0011673054 00000 n 0011673258 00000 n 0011673329 00000 n 0011673410 00000 n 0011674247 00000 n 0011674582 00000 n 0011680216 00000 n 0011680716 00000 n 0011680920 00000 n 0011680991 00000 n 0011681072 00000 n 0011681910 00000 n 0011682245 00000 n 0011688065 00000 n 0011688567 00000 n 0011688771 00000 n 0011688857 00000 n 0011689070 00000 n 0011689275 00000 n 0011689486 00000 n 0011689696 00000 n 0011689767 00000 n 0011689848 00000 n 0011690687 00000 n 0011691022 00000 n 0011695247 00000 n 0011695649 00000 n 0011695853 00000 n 0011695909 00000 n 0011696114 00000 n 0011696185 00000 n 0011696266 00000 n 0011697103 00000 n 0011697438 00000 n 0011698658 00000 n 0011699146 00000 n 0011699350 00000 n 0011699406 00000 n 0011699624 00000 n 0011699695 00000 n 0011699776 00000 n 0011700613 00000 n 0011700948 00000 n 0011705215 00000 n 0011705700 00000 n 0011705904 00000 n 0011705975 00000 n 0011706056 00000 n 0011706894 00000 n 0011707229 00000 n 0011709443 00000 n 0011709917 00000 n 0011710121 00000 n 0011710177 00000 n 0011710395 00000 n 0011710466 00000 n 0011710547 00000 n 0011711384 00000 n 0011711719 00000 n 0011715943 00000 n 0011716416 00000 n 0011716620 00000 n 0011716676 00000 n 0011716885 00000 n 0011716956 00000 n 0011717037 00000 n 0011717875 00000 n 0011718210 00000 n 0011721275 00000 n 0011721778 00000 n 0011721982 00000 n 0011722038 00000 n 0011722256 00000 n 0011722327 00000 n 0011722408 00000 n 0011723246 00000 n 0011723581 00000 n 0011729622 00000 n 0011730122 00000 n 0011730326 00000 n 0011730397 00000 n 0011730478 00000 n 0011731316 00000 n 0011731651 00000 n 0011737724 00000 n 0011738224 00000 n 0011738428 00000 n 0011738499 00000 n 0011738580 00000 n 0011739417 00000 n 0011739752 00000 n 0011745404 00000 n 0011745877 00000 n 0011746081 00000 n 0011746217 00000 n 0011746421 00000 n 0011746626 00000 n 0011746830 00000 n 0011747034 00000 n 0011747240 00000 n 0011747451 00000 n 0011747663 00000 n 0011747869 00000 n 0011748078 00000 n 0011748149 00000 n 0011748230 00000 n 0011749068 00000 n 0011749403 00000 n 0011751868 00000 n 0011752371 00000 n 0011752575 00000 n 0011752631 00000 n 0011752849 00000 n 0011752920 00000 n 0011753001 00000 n 0011753839 00000 n 0011754174 00000 n 0011759703 00000 n 0011760188 00000 n 0011760392 00000 n 0011760463 00000 n 0011760544 00000 n 0011761381 00000 n 0011761716 00000 n 0011765842 00000 n 0011766258 00000 n 0011766462 00000 n 0011766518 00000 n 0011766721 00000 n 0011766792 00000 n 0011766873 00000 n 0011767710 00000 n 0011768045 00000 n 0011769291 00000 n 0011769793 00000 n 0011769997 00000 n 0011770053 00000 n 0011770271 00000 n 0011770342 00000 n 0011770423 00000 n 0011771261 00000 n 0011771596 00000 n 0011775491 00000 n 0011775964 00000 n 0011776168 00000 n 0011776224 00000 n 0011776426 00000 n 0011776497 00000 n 0011776578 00000 n 0011777416 00000 n 0011777751 00000 n 0011779731 00000 n 0011780205 00000 n 0011780409 00000 n 0011780475 00000 n 0011780693 00000 n 0011780915 00000 n 0011780986 00000 n 0011781067 00000 n 0011781904 00000 n 0011782239 00000 n 0011786327 00000 n 0011786800 00000 n 0011787004 00000 n 0011787080 00000 n 0011787290 00000 n 0011787502 00000 n 0011787715 00000 n 0011787786 00000 n 0011787867 00000 n 0011788705 00000 n 0011789040 00000 n 0011791889 00000 n 0011792335 00000 n 0011792539 00000 n 0011792595 00000 n 0011792813 00000 n 0011792884 00000 n 0011792965 00000 n 0011793802 00000 n 0011794137 00000 n 0011797460 00000 n 0011797920 00000 n 0011798124 00000 n 0011798180 00000 n 0011798398 00000 n 0011798469 00000 n 0011798550 00000 n 0011799388 00000 n 0011799723 00000 n 0011803800 00000 n 0011804285 00000 n 0011804489 00000 n 0011804560 00000 n 0011804641 00000 n 0011805480 00000 n 0011805815 00000 n 0011810400 00000 n 0011810845 00000 n 0011811049 00000 n 0011811105 00000 n 0011811302 00000 n 0011811373 00000 n 0011811454 00000 n 0011812292 00000 n 0011812627 00000 n 0011814509 00000 n 0011814969 00000 n 0011815173 00000 n 0011815229 00000 n 0011815450 00000 n 0011815521 00000 n 0011815602 00000 n 0011816440 00000 n 0011816775 00000 n 0011820718 00000 n 0011821203 00000 n 0011821407 00000 n 0011821478 00000 n 0011821559 00000 n 0011822397 00000 n 0011822732 00000 n 0011826789 00000 n 0011827234 00000 n 0011827438 00000 n 0011827554 00000 n 0011827756 00000 n 0011827963 00000 n 0011828158 00000 n 0011828362 00000 n 0011828560 00000 n 0011828766 00000 n 0011828974 00000 n 0011829045 00000 n 0011829126 00000 n 0011829964 00000 n 0011830299 00000 n 0011832024 00000 n 0011832484 00000 n 0011832688 00000 n 0011832764 00000 n 0011832979 00000 n 0011833206 00000 n 0011833429 00000 n 0011833500 00000 n 0011833581 00000 n 0011834419 00000 n 0011834754 00000 n 0011839233 00000 n 0011839706 00000 n 0011839910 00000 n 0011839976 00000 n 0011840184 00000 n 0011840397 00000 n 0011840468 00000 n 0011840549 00000 n 0011841388 00000 n 0011841723 00000 n 0011845839 00000 n 0011846327 00000 n 0011846531 00000 n 0011846607 00000 n 0011846822 00000 n 0011847049 00000 n 0011847272 00000 n 0011847343 00000 n 0011847424 00000 n 0011848261 00000 n 0011848596 00000 n 0011852593 00000 n 0011853066 00000 n 0011853270 00000 n 0011853346 00000 n 0011853550 00000 n 0011853758 00000 n 0011853969 00000 n 0011854040 00000 n 0011854121 00000 n 0011854958 00000 n 0011855293 00000 n 0011857179 00000 n 0011857639 00000 n 0011857843 00000 n 0011857899 00000 n 0011858120 00000 n 0011858191 00000 n 0011858272 00000 n 0011859110 00000 n 0011859445 00000 n 0011863457 00000 n 0011863930 00000 n 0011864134 00000 n 0011864270 00000 n 0011864482 00000 n 0011864695 00000 n 0011864905 00000 n 0011865116 00000 n 0011865325 00000 n 0011865535 00000 n 0011865747 00000 n 0011865957 00000 n 0011866170 00000 n 0011866241 00000 n 0011866322 00000 n 0011867161 00000 n 0011867496 00000 n 0011870601 00000 n 0011871061 00000 n 0011871265 00000 n 0011871321 00000 n 0011871542 00000 n 0011871613 00000 n 0011871694 00000 n 0011872532 00000 n 0011872867 00000 n 0011876967 00000 n 0011877440 00000 n 0011877644 00000 n 0011877780 00000 n 0011877992 00000 n 0011878205 00000 n 0011878416 00000 n 0011878626 00000 n 0011878837 00000 n 0011879050 00000 n 0011879260 00000 n 0011879471 00000 n 0011879685 00000 n 0011879756 00000 n 0011879837 00000 n 0011880675 00000 n 0011881010 00000 n 0011883897 00000 n 0011884371 00000 n 0011884575 00000 n 0011884631 00000 n 0011884852 00000 n 0011884923 00000 n 0011885004 00000 n 0011885842 00000 n 0011886177 00000 n 0011890698 00000 n 0011891183 00000 n 0011891387 00000 n 0011891458 00000 n 0011891539 00000 n 0011892378 00000 n 0011892713 00000 n 0011896865 00000 n 0011897310 00000 n 0011897514 00000 n 0011897660 00000 n 0011897867 00000 n 0011898071 00000 n 0011898274 00000 n 0011898478 00000 n 0011898686 00000 n 0011898896 00000 n 0011899104 00000 n 0011899315 00000 n 0011899527 00000 n 0011899733 00000 n 0011899804 00000 n 0011899885 00000 n 0011900722 00000 n 0011901057 00000 n 0011903902 00000 n 0011904362 00000 n 0011904566 00000 n 0011904622 00000 n 0011904842 00000 n 0011904913 00000 n 0011904994 00000 n 0011905831 00000 n 0011906166 00000 n 0011910505 00000 n 0011910978 00000 n 0011911182 00000 n 0011911238 00000 n 0011911448 00000 n 0011911519 00000 n 0011911600 00000 n 0011912439 00000 n 0011912774 00000 n 0011915726 00000 n 0011916186 00000 n 0011916390 00000 n 0011916446 00000 n 0011916666 00000 n 0011916737 00000 n 0011916818 00000 n 0011917655 00000 n 0011917990 00000 n 0011922275 00000 n 0011922760 00000 n 0011922964 00000 n 0011923035 00000 n 0011923116 00000 n 0011923953 00000 n 0011924288 00000 n 0011928437 00000 n 0011928922 00000 n 0011929126 00000 n 0011929197 00000 n 0011929278 00000 n 0011930117 00000 n 0011930452 00000 n 0011932705 00000 n 0011933165 00000 n 0011933369 00000 n 0011933435 00000 n 0011933655 00000 n 0011933881 00000 n 0011933952 00000 n 0011934033 00000 n 0011934870 00000 n 0011935205 00000 n 0011939781 00000 n 0011940295 00000 n 0011940499 00000 n 0011940570 00000 n 0011940651 00000 n 0011941488 00000 n 0011941823 00000 n 0011946926 00000 n 0011947440 00000 n 0011947644 00000 n 0011947715 00000 n 0011947796 00000 n 0011948633 00000 n 0011948968 00000 n 0011953855 00000 n 0011954300 00000 n 0011954504 00000 n 0011954650 00000 n 0011954856 00000 n 0011955072 00000 n 0011955282 00000 n 0011955489 00000 n 0011955699 00000 n 0011955907 00000 n 0011956116 00000 n 0011956329 00000 n 0011956539 00000 n 0011956741 00000 n 0011956812 00000 n 0011956893 00000 n 0011957731 00000 n 0011958066 00000 n 0011960077 00000 n 0011960537 00000 n 0011960741 00000 n 0011960817 00000 n 0011961034 00000 n 0011961259 00000 n 0011961483 00000 n 0011961554 00000 n 0011961635 00000 n 0011962472 00000 n 0011962807 00000 n 0011966591 00000 n 0011967094 00000 n 0011967298 00000 n 0011967354 00000 n 0011967570 00000 n 0011967641 00000 n 0011967722 00000 n 0011968559 00000 n 0011968894 00000 n 0011974053 00000 n 0011974555 00000 n 0011974759 00000 n 0011974845 00000 n 0011975054 00000 n 0011975263 00000 n 0011975473 00000 n 0011975680 00000 n 0011975751 00000 n 0011975832 00000 n 0011976670 00000 n 0011977005 00000 n 0011981451 00000 n 0011981954 00000 n 0011982158 00000 n 0011982214 00000 n 0011982432 00000 n 0011982503 00000 n 0011982584 00000 n 0011983422 00000 n 0011983757 00000 n 0011988253 00000 n 0011988740 00000 n 0011988944 00000 n 0011989000 00000 n 0011989203 00000 n 0011989274 00000 n 0011989355 00000 n 0011990192 00000 n 0011990527 00000 n 0011994398 00000 n 0011994886 00000 n 0011995090 00000 n 0011995166 00000 n 0011995386 00000 n 0011995610 00000 n 0011995853 00000 n 0011995924 00000 n 0011996005 00000 n 0011996844 00000 n 0011997179 00000 n 0012001179 00000 n 0012001624 00000 n 0012001828 00000 n 0012001904 00000 n 0012002111 00000 n 0012002315 00000 n 0012002521 00000 n 0012002592 00000 n 0012002673 00000 n 0012003511 00000 n 0012003846 00000 n 0012006431 00000 n 0012006891 00000 n 0012007095 00000 n 0012007161 00000 n 0012007393 00000 n 0012007620 00000 n 0012007691 00000 n 0012007772 00000 n 0012008610 00000 n 0012008945 00000 n 0012013612 00000 n 0012014112 00000 n 0012014316 00000 n 0012014387 00000 n 0012014468 00000 n 0012015306 00000 n 0012015641 00000 n 0012021513 00000 n 0012021998 00000 n 0012022202 00000 n 0012022273 00000 n 0012022354 00000 n 0012023191 00000 n 0012023526 00000 n 0012027725 00000 n 0012028198 00000 n 0012028402 00000 n 0012028548 00000 n 0012028747 00000 n 0012028952 00000 n 0012029155 00000 n 0012029363 00000 n 0012029570 00000 n 0012029769 00000 n 0012029971 00000 n 0012030177 00000 n 0012030386 00000 n 0012030592 00000 n 0012030663 00000 n 0012030744 00000 n 0012031581 00000 n 0012031916 00000 n 0012035450 00000 n 0012035910 00000 n 0012036114 00000 n 0012036190 00000 n 0012036408 00000 n 0012036642 00000 n 0012036866 00000 n 0012036937 00000 n 0012037018 00000 n 0012037855 00000 n 0012038190 00000 n 0012042675 00000 n 0012043160 00000 n 0012043364 00000 n 0012043435 00000 n 0012043516 00000 n 0012044353 00000 n 0012044688 00000 n 0012048960 00000 n 0012049433 00000 n 0012049637 00000 n 0012049713 00000 n 0012049926 00000 n 0012050140 00000 n 0012050355 00000 n 0012050426 00000 n 0012050507 00000 n 0012051345 00000 n 0012051680 00000 n 0012054484 00000 n 0012054944 00000 n 0012055148 00000 n 0012055204 00000 n 0012055422 00000 n 0012055493 00000 n 0012055574 00000 n 0012056411 00000 n 0012056746 00000 n 0012060815 00000 n 0012061300 00000 n 0012061504 00000 n 0012061575 00000 n 0012061656 00000 n 0012062495 00000 n 0012062830 00000 n 0012066997 00000 n 0012067442 00000 n 0012067646 00000 n 0012067712 00000 n 0012067914 00000 n 0012068117 00000 n 0012068188 00000 n 0012068269 00000 n 0012069107 00000 n 0012069442 00000 n 0012071224 00000 n 0012071684 00000 n 0012071888 00000 n 0012071964 00000 n 0012072182 00000 n 0012072414 00000 n 0012072638 00000 n 0012072709 00000 n 0012072790 00000 n 0012073627 00000 n 0012073962 00000 n 0012078479 00000 n 0012078952 00000 n 0012079156 00000 n 0012079212 00000 n 0012079419 00000 n 0012079490 00000 n 0012079571 00000 n 0012080409 00000 n 0012080744 00000 n 0012084523 00000 n 0012084983 00000 n 0012085187 00000 n 0012085253 00000 n 0012085471 00000 n 0012085703 00000 n 0012085774 00000 n 0012085855 00000 n 0012086693 00000 n 0012087028 00000 n 0012091522 00000 n 0012092007 00000 n 0012092211 00000 n 0012092282 00000 n 0012092363 00000 n 0012093201 00000 n 0012093536 00000 n 0012097719 00000 n 0012098190 00000 n 0012098394 00000 n 0012098465 00000 n 0012098546 00000 n 0012099384 00000 n 0012099719 00000 n 0012104133 00000 n 0012104606 00000 n 0012104810 00000 n 0012104876 00000 n 0012105079 00000 n 0012105283 00000 n 0012105354 00000 n 0012105435 00000 n 0012106273 00000 n 0012106608 00000 n 0012109354 00000 n 0012109814 00000 n 0012110018 00000 n 0012110084 00000 n 0012110302 00000 n 0012110534 00000 n 0012110605 00000 n 0012110686 00000 n 0012111523 00000 n 0012111858 00000 n 0012116192 00000 n 0012116677 00000 n 0012116881 00000 n 0012116952 00000 n 0012117033 00000 n 0012117870 00000 n 0012118205 00000 n 0012122927 00000 n 0012123400 00000 n 0012123604 00000 n 0012123660 00000 n 0012123867 00000 n 0012123938 00000 n 0012124019 00000 n 0012124857 00000 n 0012125192 00000 n 0012128700 00000 n 0012129160 00000 n 0012129364 00000 n 0012129430 00000 n 0012129646 00000 n 0012129868 00000 n 0012129939 00000 n 0012130020 00000 n 0012130858 00000 n 0012131193 00000 n 0012135640 00000 n 0012136113 00000 n 0012136317 00000 n 0012136463 00000 n 0012136669 00000 n 0012136877 00000 n 0012137089 00000 n 0012137301 00000 n 0012137521 00000 n 0012137726 00000 n 0012137930 00000 n 0012138134 00000 n 0012138345 00000 n 0012138553 00000 n 0012138624 00000 n 0012138705 00000 n 0012139542 00000 n 0012139877 00000 n 0012142825 00000 n 0012143285 00000 n 0012143489 00000 n 0012143555 00000 n 0012143774 00000 n 0012143999 00000 n 0012144070 00000 n 0012144151 00000 n 0012144989 00000 n 0012145324 00000 n 0012150069 00000 n 0012150583 00000 n 0012150787 00000 n 0012150858 00000 n 0012150939 00000 n 0012151777 00000 n 0012152112 00000 n 0012157878 00000 n 0012158363 00000 n 0012158567 00000 n 0012158638 00000 n 0012158719 00000 n 0012159556 00000 n 0012159891 00000 n 0012164050 00000 n 0012164495 00000 n 0012164699 00000 n 0012164755 00000 n 0012164951 00000 n 0012165022 00000 n 0012165103 00000 n 0012165941 00000 n 0012166276 00000 n 0012167937 00000 n 0012168397 00000 n 0012168601 00000 n 0012168657 00000 n 0012168882 00000 n 0012168953 00000 n 0012169034 00000 n 0012169874 00000 n 0012170209 00000 n 0012173204 00000 n 0012173676 00000 n 0012173880 00000 n 0012173951 00000 n 0012174032 00000 n 0012174870 00000 n 0012175205 00000 n 0012179620 00000 n 0012180065 00000 n 0012180269 00000 n 0012180325 00000 n 0012180550 00000 n 0012180621 00000 n 0012180702 00000 n 0012181539 00000 n 0012181874 00000 n 0012186488 00000 n 0012187002 00000 n 0012187206 00000 n 0012187277 00000 n 0012187358 00000 n 0012188196 00000 n 0012188531 00000 n 0012193368 00000 n 0012193868 00000 n 0012194072 00000 n 0012194143 00000 n 0012194224 00000 n 0012195062 00000 n 0012195397 00000 n 0012199873 00000 n 0012200318 00000 n 0012200522 00000 n 0012200578 00000 n 0012200783 00000 n 0012200854 00000 n 0012200935 00000 n 0012201772 00000 n 0012202107 00000 n 0012203711 00000 n 0012204371 00000 n 0012204450 00000 n 0012205516 00000 n 0012205741 00000 n 0012205953 00000 n 0012206203 00000 n 0012206458 00000 n 0012206662 00000 n 0012206733 00000 n 0012206814 00000 n 0012207882 00000 n 0012207963 00000 n 0012209031 00000 n 0012209112 00000 n 0012209950 00000 n 0012210285 00000 n 0012213304 00000 n 0012213905 00000 n 0012214225 00000 n 0012214588 00000 n 0012214937 00000 n 0012215284 00000 n 0012215655 00000 n 0012215929 00000 n 0012216181 00000 n 0012216447 00000 n 0012216717 00000 n 0012216980 00000 n 0012217188 00000 n 0012217471 00000 n 0012217789 00000 n 0012217993 00000 n 0012218064 00000 n 0012218145 00000 n 0012218983 00000 n 0012219318 00000 n 0012222589 00000 n 0012223061 00000 n 0012223316 00000 n 0012223558 00000 n 0012223813 00000 n 0012224017 00000 n 0012224088 00000 n 0012224169 00000 n 0012225006 00000 n 0012225341 00000 n 0012230163 00000 n 0012230330 00000 n 0012230501 00000 n 0012230673 00000 n 0012230779 00000 n 0012231297 00000 n 0012232220 00000 n 0012232917 00000 n 0012233190 00000 n 0012233832 00000 n 0012234220 00000 n 0012234491 00000 n 0012249992 00000 n 0012250106 00000 n 0012250645 00000 n 0012251009 00000 n 0012251285 00000 n 0012264583 00000 n 0012264697 00000 n 0012286151 00000 n 0012286297 00000 n 0012286501 00000 n 0012286616 00000 n 0012287138 00000 n 0012287790 00000 n 0012287894 00000 n 0012288127 00000 n 0012288722 00000 n 0012288814 00000 n 0012289391 00000 n 0012290049 00000 n 0012290869 00000 n 0012291481 00000 n 0012291645 00000 n 0012292073 00000 n 0012292129 00000 n 0012292303 00000 n 0012292474 00000 n 0012293363 00000 n 0012294081 00000 n 0012294450 00000 n 0012294834 00000 n 0012295115 00000 n 0012303754 00000 n 0012303861 00000 n 0012304031 00000 n 0012304209 00000 n 0012304980 00000 n 0012305577 00000 n 0012305851 00000 n 0012306084 00000 n 0012306750 00000 n 0012307027 00000 n 0012324604 00000 n 0012324744 00000 n 0012343706 00000 n 0012343844 00000 n 0012344018 00000 n 0012344543 00000 n 0012345001 00000 n 0012345279 00000 n 0012358305 00000 n 0012358430 00000 n 0012358601 00000 n 0012359267 00000 n 0012359819 00000 n 0012359987 00000 n 0012360451 00000 n 0012360890 00000 n 0012361071 00000 n 0012361299 00000 n 0012361598 00000 n 0012361855 00000 n 0012362599 00000 n 0012362692 00000 n 0012362867 00000 n 0012363225 00000 n 0012363592 00000 n 0012363825 00000 n 0012364058 00000 n 0012364131 00000 n 0012382533 00000 n 0012382597 00000 n 0012382657 00000 n 0012382719 00000 n 0012382781 00000 n 0012382843 00000 n 0012382904 00000 n 0012382965 00000 n 0012383028 00000 n 0012383091 00000 n 0012383154 00000 n 0012383217 00000 n 0012383280 00000 n 0012383343 00000 n 0012383409 00000 n 0012383475 00000 n 0012383541 00000 n 0012383602 00000 n 0012383663 00000 n 0012383729 00000 n 0012383795 00000 n 0012383861 00000 n 0012383927 00000 n 0012383993 00000 n 0012384059 00000 n 0012384125 00000 n 0012384191 00000 n 0012384257 00000 n 0012384323 00000 n 0012384388 00000 n 0012384454 00000 n 0012384520 00000 n 0012384586 00000 n 0012384647 00000 n 0012384713 00000 n 0012384779 00000 n 0012384841 00000 n 0012384903 00000 n 0012384970 00000 n 0012385037 00000 n 0012385104 00000 n 0012385171 00000 n 0012385238 00000 n 0012385305 00000 n 0012385369 00000 n 0012385436 00000 n 0012385502 00000 n 0012385568 00000 n 0012385635 00000 n 0012385702 00000 n 0012385769 00000 n 0012385831 00000 n 0012385893 00000 n 0012385957 00000 n 0012386021 00000 n 0012386088 00000 n 0012386155 00000 n 0012386222 00000 n 0012386286 00000 n 0012386350 00000 n 0012386414 00000 n 0012386481 00000 n 0012386548 00000 n 0012386615 00000 n 0012386682 00000 n 0012386748 00000 n 0012386814 00000 n 0012386881 00000 n 0012386948 00000 n 0012387015 00000 n 0012387082 00000 n 0012387149 00000 n 0012387216 00000 n 0012387278 00000 n 0012387340 00000 n 0012387407 00000 n 0012387474 00000 n 0012387541 00000 n 0012387603 00000 n 0012387670 00000 n 0012387737 00000 n 0012387799 00000 n 0012387861 00000 n 0012387925 00000 n 0012387989 00000 n 0012388053 00000 n 0012388117 00000 n 0012388181 00000 n 0012388245 00000 n 0012388309 00000 n 0012388373 00000 n 0012388435 00000 n 0012388497 00000 n 0012388561 00000 n 0012388625 00000 n 0012388689 00000 n 0012388751 00000 n 0012388815 00000 n 0012388879 00000 n 0012388943 00000 n 0012389007 00000 n 0012389071 00000 n 0012389133 00000 n 0012389197 00000 n 0012389261 00000 n 0012389323 00000 n 0012389385 00000 n 0012389449 00000 n 0012389513 00000 n 0012389575 00000 n 0012389637 00000 n 0012389701 00000 n 0012389763 00000 n 0012389827 00000 n 0012389889 00000 n 0012389953 00000 n 0012390017 00000 n 0012390081 00000 n 0012390145 00000 n 0012390207 00000 n 0012390271 00000 n 0012390335 00000 n 0012390399 00000 n 0012390461 00000 n 0012390523 00000 n 0012390587 00000 n 0012390649 00000 n 0012390713 00000 n 0012390777 00000 n 0012390839 00000 n 0012390903 00000 n 0012390967 00000 n 0012391031 00000 n 0012391095 00000 n 0012391157 00000 n 0012391221 00000 n 0012391285 00000 n 0012391349 00000 n 0012391413 00000 n 0012391475 00000 n 0012391540 00000 n 0012391603 00000 n 0012391668 00000 n 0012391733 00000 n 0012391798 00000 n 0012391863 00000 n 0012391928 00000 n 0012391993 00000 n 0012392058 00000 n 0012392121 00000 n 0012392186 00000 n 0012392251 00000 n 0012392316 00000 n 0012392384 00000 n 0012392449 00000 n 0012392516 00000 n 0012392579 00000 n 0012392644 00000 n 0012392709 00000 n 0012392774 00000 n 0012392839 00000 n 0012392902 00000 n 0012392967 00000 n 0012393030 00000 n 0012393093 00000 n 0012393156 00000 n 0012393221 00000 n 0012393286 00000 n 0012393351 00000 n 0012393414 00000 n 0012393477 00000 n 0012393542 00000 n 0012393605 00000 n 0012393668 00000 n 0012393733 00000 n 0012393796 00000 n 0012393859 00000 n 0012393924 00000 n 0012393987 00000 n 0012394050 00000 n 0012394115 00000 n 0012394178 00000 n 0012394241 00000 n 0012394306 00000 n 0012394374 00000 n 0012394442 00000 n 0012394507 00000 n 0012394570 00000 n 0012394633 00000 n 0012394698 00000 n 0012394766 00000 n 0012394834 00000 n 0012394897 00000 n 0012394960 00000 n 0012395025 00000 n 0012395088 00000 n 0012395151 00000 n 0012395216 00000 n 0012395279 00000 n 0012395342 00000 n 0012395407 00000 n 0012395470 00000 n 0012395533 00000 n 0012395598 00000 n 0012395661 00000 n 0012395724 00000 n 0012395789 00000 n 0012395852 00000 n 0012395915 00000 n 0012395980 00000 n 0012396043 00000 n 0012396106 00000 n 0012396171 00000 n 0012396234 00000 n 0012396297 00000 n 0012396362 00000 n 0012396425 00000 n 0012396488 00000 n 0012396553 00000 n 0012396616 00000 n 0012396679 00000 n 0012396744 00000 n 0012396807 00000 n 0012396870 00000 n 0012396935 00000 n 0012396998 00000 n 0012397061 00000 n 0012397126 00000 n 0012397189 00000 n 0012397252 00000 n 0012397317 00000 n 0012397380 00000 n 0012397443 00000 n 0012397508 00000 n 0012397571 00000 n 0012397634 00000 n 0012397699 00000 n 0012397762 00000 n 0012397825 00000 n 0012397890 00000 n 0012397953 00000 n 0012398016 00000 n 0012398081 00000 n 0012398144 00000 n 0012398207 00000 n 0012398272 00000 n 0012398335 00000 n 0012398398 00000 n 0012398463 00000 n 0012398526 00000 n 0012398589 00000 n 0012398654 00000 n 0012398717 00000 n 0012398780 00000 n 0012398845 00000 n 0012398908 00000 n 0012398971 00000 n 0012399036 00000 n 0012399099 00000 n 0012399162 00000 n 0012399227 00000 n 0012399290 00000 n 0012399353 00000 n 0012399418 00000 n 0012399481 00000 n 0012399544 00000 n 0012399609 00000 n 0012399672 00000 n 0012399735 00000 n 0012399800 00000 n 0012399863 00000 n 0012399926 00000 n 0012399991 00000 n 0012400054 00000 n 0012400117 00000 n 0012400182 00000 n 0012400245 00000 n 0012400308 00000 n 0012400373 00000 n 0012400441 00000 n 0012400504 00000 n 0012400567 00000 n 0012400632 00000 n 0012400695 00000 n 0012400758 00000 n 0012400823 00000 n 0012400886 00000 n 0012400949 00000 n 0012401014 00000 n 0012401077 00000 n 0012401140 00000 n 0012401205 00000 n 0012401268 00000 n 0012401331 00000 n 0012401396 00000 n 0012401459 00000 n 0012401522 00000 n 0012401587 00000 n 0012401650 00000 n 0012401713 00000 n 0012401778 00000 n 0012401841 00000 n 0012401904 00000 n 0012401969 00000 n 0012402032 00000 n 0012402095 00000 n 0012402160 00000 n 0012402223 00000 n 0012402286 00000 n 0012402351 00000 n 0012402414 00000 n 0012402477 00000 n 0012402542 00000 n 0012402605 00000 n 0012402668 00000 n 0012402733 00000 n 0012402796 00000 n 0012402859 00000 n 0012402924 00000 n 0012402987 00000 n 0012403050 00000 n 0012403115 00000 n 0012403182 00000 n 0012403247 00000 n 0012403315 00000 n 0012403378 00000 n 0012403441 00000 n 0012403506 00000 n 0012403569 00000 n 0012403632 00000 n 0012403697 00000 n 0012403760 00000 n 0012403823 00000 n 0012403888 00000 n 0012403951 00000 n 0012404014 00000 n 0012404079 00000 n 0012404142 00000 n 0012404205 00000 n 0012404270 00000 n 0012404333 00000 n 0012404396 00000 n 0012404461 00000 n 0012404529 00000 n 0012404597 00000 n 0012404665 00000 n 0012404728 00000 n 0012404791 00000 n 0012404856 00000 n 0012404919 00000 n 0012404982 00000 n 0012405047 00000 n 0012405110 00000 n 0012405173 00000 n 0012405238 00000 n 0012405301 00000 n 0012405364 00000 n 0012405429 00000 n 0012405494 00000 n 0012405559 00000 n 0012405622 00000 n 0012405685 00000 n 0012405750 00000 n 0012405818 00000 n 0012405886 00000 n 0012405949 00000 n 0012406012 00000 n 0012406077 00000 n 0012406140 00000 n 0012406203 00000 n 0012406268 00000 n 0012406331 00000 n 0012406394 00000 n 0012406459 00000 n 0012406522 00000 n 0012406585 00000 n 0012406650 00000 n 0012406713 00000 n 0012406776 00000 n 0012406841 00000 n 0012406904 00000 n 0012406967 00000 n 0012407032 00000 n 0012407100 00000 n 0012407163 00000 n 0012407226 00000 n 0012407291 00000 n 0012407354 00000 n 0012407417 00000 n 0012407482 00000 n 0012407545 00000 n 0012407608 00000 n 0012407673 00000 n 0012407736 00000 n 0012407799 00000 n 0012407864 00000 n 0012407927 00000 n 0012407990 00000 n 0012408055 00000 n 0012408118 00000 n 0012408181 00000 n 0012408246 00000 n 0012408309 00000 n 0012408372 00000 n 0012408437 00000 n 0012408500 00000 n 0012408563 00000 n 0012408628 00000 n 0012408691 00000 n 0012408754 00000 n 0012408819 00000 n 0012408882 00000 n 0012408945 00000 n 0012409010 00000 n 0012409073 00000 n 0012409136 00000 n 0012409201 00000 n 0012409264 00000 n 0012409327 00000 n 0012409392 00000 n 0012409455 00000 n 0012409518 00000 n 0012409583 00000 n 0012409646 00000 n 0012409709 00000 n 0012409774 00000 n 0012409837 00000 n 0012409900 00000 n 0012409965 00000 n 0012410028 00000 n 0012410091 00000 n 0012410156 00000 n 0012410219 00000 n 0012410282 00000 n 0012410347 00000 n 0012410410 00000 n 0012410473 00000 n 0012410538 00000 n 0012410601 00000 n 0012410664 00000 n 0012410729 00000 n 0012410792 00000 n 0012410855 00000 n 0012410920 00000 n 0012410983 00000 n 0012411046 00000 n 0012411111 00000 n 0012411174 00000 n 0012411237 00000 n 0012411302 00000 n 0012411365 00000 n 0012411428 00000 n 0012411493 00000 n 0012411561 00000 n 0012411629 00000 n 0012411692 00000 n 0012411755 00000 n 0012411820 00000 n 0012411888 00000 n 0012411951 00000 n 0012412014 00000 n 0012412079 00000 n 0012412142 00000 n 0012412205 00000 n 0012412270 00000 n 0012412333 00000 n 0012412396 00000 n 0012412461 00000 n 0012412524 00000 n 0012412587 00000 n 0012412652 00000 n 0012412715 00000 n 0012412778 00000 n 0012412843 00000 n 0012412906 00000 n 0012412969 00000 n 0012413034 00000 n 0012413097 00000 n 0012413160 00000 n 0012413225 00000 n 0012413288 00000 n 0012413351 00000 n 0012413416 00000 n 0012413479 00000 n 0012413542 00000 n 0012413607 00000 n 0012413675 00000 n 0012413738 00000 n 0012413801 00000 n 0012413866 00000 n 0012413929 00000 n 0012413992 00000 n 0012414057 00000 n 0012414120 00000 n 0012414183 00000 n 0012414248 00000 n 0012414311 00000 n 0012414374 00000 n 0012414439 00000 n 0012414502 00000 n 0012414565 00000 n 0012414630 00000 n 0012414693 00000 n 0012414756 00000 n 0012414819 00000 n 0012414882 00000 n 0012414945 00000 n 0012415010 00000 n 0012415073 00000 n 0012415136 00000 n 0012415201 00000 n 0012415264 00000 n 0012415327 00000 n 0012415392 00000 n 0012415455 00000 n 0012415518 00000 n 0012415583 00000 n 0012415646 00000 n 0012415709 00000 n 0012415774 00000 n 0012415837 00000 n 0012415900 00000 n 0012415965 00000 n 0012416028 00000 n 0012416091 00000 n 0012416156 00000 n 0012416219 00000 n 0012416282 00000 n 0012416347 00000 n 0012416410 00000 n 0012416473 00000 n 0012416538 00000 n 0012416601 00000 n 0012416664 00000 n 0012416729 00000 n 0012416792 00000 n 0012416855 00000 n 0012416920 00000 n 0012416983 00000 n 0012417046 00000 n 0012417111 00000 n 0012417174 00000 n 0012417237 00000 n 0012417302 00000 n 0012417365 00000 n 0012417428 00000 n 0012417493 00000 n 0012417556 00000 n 0012417619 00000 n 0012417684 00000 n 0012417747 00000 n 0012417810 00000 n 0012417875 00000 n 0012417938 00000 n 0012418001 00000 n 0012418066 00000 n 0012418134 00000 n 0012418197 00000 n 0012418260 00000 n 0012418325 00000 n 0012418388 00000 n 0012418451 00000 n 0012418516 00000 n 0012418579 00000 n 0012418642 00000 n 0012418707 00000 n 0012418770 00000 n 0012418833 00000 n 0012418898 00000 n 0012418961 00000 n 0012419024 00000 n 0012419089 00000 n 0012419152 00000 n 0012419215 00000 n 0012419280 00000 n 0012419347 00000 n 0012419414 00000 n 0012419482 00000 n 0012419550 00000 n 0012419613 00000 n 0012419676 00000 n 0012419741 00000 n 0012419804 00000 n 0012419867 00000 n 0012419932 00000 n 0012419995 00000 n 0012420058 00000 n 0012420123 00000 n 0012420186 00000 n 0012420249 00000 n 0012420314 00000 n 0012420377 00000 n 0012420440 00000 n 0012420505 00000 n 0012420568 00000 n 0012420631 00000 n 0012420696 00000 n 0012420759 00000 n 0012420822 00000 n 0012420887 00000 n 0012420950 00000 n 0012421013 00000 n 0012421078 00000 n 0012421141 00000 n 0012421204 00000 n 0012421269 00000 n 0012421332 00000 n 0012421395 00000 n 0012421460 00000 n 0012421523 00000 n 0012421586 00000 n 0012421651 00000 n 0012421714 00000 n 0012421777 00000 n 0012421840 00000 n 0012421903 00000 n 0012421966 00000 n 0012422031 00000 n 0012422094 00000 n 0012422157 00000 n 0012422222 00000 n 0012422285 00000 n 0012422348 00000 n 0012422413 00000 n 0012422476 00000 n 0012422539 00000 n 0012422604 00000 n 0012422667 00000 n 0012422730 00000 n 0012422795 00000 n 0012422858 00000 n 0012422921 00000 n 0012422986 00000 n 0012423049 00000 n 0012423112 00000 n 0012423177 00000 n 0012423240 00000 n 0012423303 00000 n 0012423368 00000 n 0012423431 00000 n 0012423494 00000 n 0012423559 00000 n 0012423622 00000 n 0012423685 00000 n 0012423750 00000 n 0012423813 00000 n 0012423876 00000 n 0012423941 00000 n 0012424004 00000 n 0012424067 00000 n 0012424132 00000 n 0012424195 00000 n 0012424258 00000 n 0012424323 00000 n 0012424386 00000 n 0012424449 00000 n 0012424514 00000 n 0012424577 00000 n 0012424640 00000 n 0012424705 00000 n 0012424768 00000 n 0012424831 00000 n 0012424896 00000 n 0012424959 00000 n 0012425022 00000 n 0012425087 00000 n 0012425150 00000 n 0012425213 00000 n 0012425278 00000 n 0012425341 00000 n 0012425404 00000 n 0012425469 00000 n 0012425532 00000 n 0012425595 00000 n 0012425660 00000 n 0012425723 00000 n 0012425786 00000 n 0012425851 00000 n 0012425914 00000 n 0012425977 00000 n 0012426042 00000 n 0012426105 00000 n 0012426168 00000 n 0012426233 00000 n 0012426296 00000 n 0012426359 00000 n 0012426424 00000 n 0012426487 00000 n 0012426550 00000 n 0012426615 00000 n 0012426678 00000 n 0012426741 00000 n 0012426806 00000 n 0012426869 00000 n 0012426932 00000 n 0012426997 00000 n 0012427060 00000 n 0012427123 00000 n 0012427188 00000 n 0012427251 00000 n 0012427314 00000 n 0012427379 00000 n 0012427442 00000 n 0012427505 00000 n 0012427570 00000 n 0012427633 00000 n 0012427696 00000 n 0012427761 00000 n 0012427824 00000 n 0012427887 00000 n 0012427952 00000 n 0012428015 00000 n 0012428078 00000 n 0012428143 00000 n 0012428206 00000 n 0012428269 00000 n 0012428334 00000 n 0012428397 00000 n 0012428460 00000 n 0012428525 00000 n 0012428588 00000 n 0012428651 00000 n 0012428716 00000 n 0012428779 00000 n 0012428842 00000 n 0012428907 00000 n 0012428970 00000 n 0012429033 00000 n 0012429098 00000 n 0012429163 00000 n 0012429226 00000 n 0012429289 00000 n 0012429354 00000 n 0012429417 00000 n 0012429480 00000 n 0012429545 00000 n 0012429613 00000 n 0012429676 00000 n 0012429739 00000 n 0012429804 00000 n 0012429867 00000 n 0012429930 00000 n 0012429995 00000 n 0012430058 00000 n 0012430121 00000 n 0012430186 00000 n 0012430249 00000 n 0012430312 00000 n 0012430377 00000 n 0012430440 00000 n 0012430503 00000 n 0012430568 00000 n 0012430631 00000 n 0012430694 00000 n 0012430759 00000 n 0012430822 00000 n 0012430885 00000 n 0012430950 00000 n 0012431013 00000 n 0012431076 00000 n 0012431141 00000 n 0012431204 00000 n 0012431267 00000 n 0012431332 00000 n 0012431395 00000 n 0012431458 00000 n 0012431523 00000 n 0012431586 00000 n 0012431649 00000 n 0012431714 00000 n 0012431777 00000 n 0012431840 00000 n 0012431905 00000 n 0012431968 00000 n 0012432031 00000 n 0012432096 00000 n 0012432159 00000 n 0012432222 00000 n 0012432287 00000 n 0012432350 00000 n 0012432413 00000 n 0012432478 00000 n 0012432541 00000 n 0012432604 00000 n 0012432669 00000 n 0012432732 00000 n 0012432795 00000 n 0012432860 00000 n 0012432923 00000 n 0012432986 00000 n 0012433051 00000 n 0012433114 00000 n 0012433177 00000 n 0012433242 00000 n 0012433305 00000 n 0012433368 00000 n 0012433433 00000 n 0012433496 00000 n 0012433559 00000 n 0012433624 00000 n 0012433687 00000 n 0012433750 00000 n 0012433815 00000 n 0012433878 00000 n 0012433941 00000 n 0012434006 00000 n 0012434074 00000 n 0012434139 00000 n 0012434202 00000 n 0012434265 00000 n 0012434330 00000 n 0012434393 00000 n 0012434456 00000 n 0012434521 00000 n 0012434584 00000 n 0012434647 00000 n 0012434712 00000 n 0012434775 00000 n 0012434838 00000 n 0012434903 00000 n 0012434966 00000 n 0012435029 00000 n 0012435094 00000 n 0012435157 00000 n 0012435220 00000 n 0012435285 00000 n 0012435348 00000 n 0012435411 00000 n 0012435476 00000 n 0012435539 00000 n 0012435602 00000 n 0012435667 00000 n 0012435730 00000 n 0012435793 00000 n 0012435858 00000 n 0012435921 00000 n 0012435984 00000 n 0012436049 00000 n 0012436112 00000 n 0012436175 00000 n 0012436240 00000 n 0012436303 00000 n 0012436366 00000 n 0012436431 00000 n 0012436494 00000 n 0012436557 00000 n 0012436622 00000 n 0012436685 00000 n 0012436748 00000 n 0012436813 00000 n 0012436876 00000 n 0012436939 00000 n 0012437004 00000 n 0012437067 00000 n 0012437130 00000 n 0012437195 00000 n 0012437258 00000 n 0012437321 00000 n 0012437386 00000 n 0012437449 00000 n 0012437512 00000 n 0012437577 00000 n 0012437640 00000 n 0012437703 00000 n 0012437768 00000 n 0012437831 00000 n 0012437894 00000 n 0012437959 00000 n 0012438022 00000 n 0012438085 00000 n 0012438150 00000 n 0012438213 00000 n 0012438276 00000 n 0012438341 00000 n 0012438404 00000 n 0012438467 00000 n 0012438532 00000 n 0012438595 00000 n 0012438658 00000 n 0012438723 00000 n 0012438786 00000 n 0012438849 00000 n 0012438914 00000 n 0012438977 00000 n 0012439040 00000 n 0012439105 00000 n 0012439168 00000 n 0012439231 00000 n 0012439296 00000 n 0012439359 00000 n 0012439422 00000 n 0012439487 00000 n 0012439550 00000 n 0012439613 00000 n 0012439678 00000 n 0012439741 00000 n 0012439804 00000 n 0012439869 00000 n 0012439932 00000 n 0012439995 00000 n 0012440060 00000 n 0012440123 00000 n 0012440186 00000 n 0012440251 00000 n 0012440314 00000 n 0012440377 00000 n 0012440442 00000 n 0012440505 00000 n 0012440568 00000 n 0012440633 00000 n 0012440696 00000 n 0012440759 00000 n 0012440824 00000 n 0012440887 00000 n 0012440950 00000 n 0012441015 00000 n 0012441078 00000 n 0012441141 00000 n 0012441206 00000 n 0012441269 00000 n 0012441332 00000 n 0012441397 00000 n 0012441460 00000 n 0012441523 00000 n 0012441588 00000 n 0012441651 00000 n 0012441714 00000 n 0012441779 00000 n 0012441842 00000 n 0012441905 00000 n 0012441970 00000 n 0012442033 00000 n 0012442096 00000 n 0012442161 00000 n 0012442224 00000 n 0012442287 00000 n 0012442352 00000 n 0012442420 00000 n 0012442483 00000 n 0012442546 00000 n 0012442611 00000 n 0012442674 00000 n 0012442737 00000 n 0012442802 00000 n 0012442865 00000 n 0012442928 00000 n 0012442993 00000 n 0012443056 00000 n 0012443119 00000 n 0012443184 00000 n 0012443247 00000 n 0012443310 00000 n 0012443375 00000 n 0012443443 00000 n 0012443506 00000 n 0012443569 00000 n 0012443634 00000 n 0012443701 00000 n 0012443768 00000 n 0012443836 00000 n 0012443904 00000 n 0012443972 00000 n 0012444035 00000 n 0012444098 00000 n 0012444163 00000 n 0012444228 00000 n 0012444291 00000 n 0012444354 00000 n 0012444419 00000 n 0012444487 00000 n 0012444550 00000 n 0012444613 00000 n 0012444678 00000 n 0012444746 00000 n 0012444809 00000 n 0012444872 00000 n 0012444937 00000 n 0012445000 00000 n 0012445063 00000 n 0012445128 00000 n 0012445191 00000 n 0012445254 00000 n 0012445319 00000 n 0012445382 00000 n 0012445445 00000 n 0012445510 00000 n 0012445573 00000 n 0012445636 00000 n 0012445701 00000 n 0012445764 00000 n 0012445827 00000 n 0012445892 00000 n 0012445955 00000 n 0012446018 00000 n 0012446083 00000 n 0012446146 00000 n 0012446209 00000 n 0012446274 00000 n 0012446337 00000 n 0012446400 00000 n 0012446463 00000 n 0012446528 00000 n 0012446596 00000 n 0012446659 00000 n 0012446722 00000 n 0012446787 00000 n 0012446855 00000 n 0012446918 00000 n 0012446981 00000 n 0012447046 00000 n 0012447109 00000 n 0012447172 00000 n 0012447237 00000 n 0012447300 00000 n 0012447363 00000 n 0012447428 00000 n 0012447496 00000 n 0012447559 00000 n 0012447622 00000 n 0012447687 00000 n 0012447755 00000 n 0012447818 00000 n 0012447881 00000 n 0012447946 00000 n 0012448009 00000 n 0012448072 00000 n 0012448137 00000 n 0012448200 00000 n 0012448268 00000 n 0012448336 00000 n 0012448399 00000 n 0012448462 00000 n 0012448527 00000 n 0012448590 00000 n 0012448653 00000 n 0012448718 00000 n 0012448781 00000 n 0012448849 00000 n 0012448917 00000 n 0012448980 00000 n 0012449043 00000 n 0012449108 00000 n 0012449176 00000 n 0012449239 00000 n 0012449302 00000 n 0012449367 00000 n 0012449435 00000 n 0012449498 00000 n 0012449561 00000 n 0012449626 00000 n 0012449689 00000 n 0012449752 00000 n 0012449817 00000 n 0012449884 00000 n 0012449947 00000 n 0012450015 00000 n 0012450083 00000 n 0012450146 00000 n 0012450209 00000 n 0012450274 00000 n 0012450342 00000 n 0012450405 00000 n 0012450468 00000 n 0012450533 00000 n 0012450596 00000 n 0012450659 00000 n 0012450724 00000 n 0012450792 00000 n 0012450855 00000 n 0012450918 00000 n 0012450983 00000 n 0012451051 00000 n 0012451114 00000 n 0012451177 00000 n 0012451242 00000 n 0012451310 00000 n 0012451373 00000 n 0012451436 00000 n 0012451501 00000 n 0012451569 00000 n 0012451632 00000 n 0012451695 00000 n 0012451760 00000 n 0012451823 00000 n 0012451886 00000 n 0012451951 00000 n 0012452014 00000 n 0012452077 00000 n 0012452142 00000 n 0012452205 00000 n 0012452268 00000 n 0012452333 00000 n 0012452396 00000 n 0012452459 00000 n 0012452524 00000 n 0012452587 00000 n 0012452650 00000 n 0012452715 00000 n 0012452778 00000 n 0012452841 00000 n 0012452906 00000 n 0012452969 00000 n 0012453032 00000 n 0012453097 00000 n 0012453164 00000 n 0012453227 00000 n 0012453295 00000 n 0012453363 00000 n 0012453431 00000 n 0012453494 00000 n 0012453557 00000 n 0012453622 00000 n 0012453685 00000 n 0012453748 00000 n 0012453813 00000 n 0012453876 00000 n 0012453939 00000 n 0012454004 00000 n 0012454067 00000 n 0012454130 00000 n 0012454195 00000 n 0012454263 00000 n 0012454326 00000 n 0012454389 00000 n 0012454454 00000 n 0012454517 00000 n 0012454580 00000 n 0012454645 00000 n 0012454708 00000 n 0012454771 00000 n 0012454836 00000 n 0012454899 00000 n 0012454962 00000 n 0012455027 00000 n 0012455090 00000 n 0012455153 00000 n 0012455218 00000 n 0012455281 00000 n 0012455344 00000 n 0012455409 00000 n 0012455472 00000 n 0012455535 00000 n 0012455600 00000 n 0012455663 00000 n 0012455726 00000 n 0012455791 00000 n 0012455854 00000 n 0012455917 00000 n 0012455982 00000 n 0012456045 00000 n 0012456108 00000 n 0012456173 00000 n 0012456236 00000 n 0012456299 00000 n 0012456364 00000 n 0012456427 00000 n 0012456490 00000 n 0012456555 00000 n 0012456618 00000 n 0012456681 00000 n 0012456746 00000 n 0012456814 00000 n 0012456877 00000 n 0012456940 00000 n 0012457005 00000 n 0012457068 00000 n 0012457131 00000 n 0012457196 00000 n 0012457259 00000 n 0012457322 00000 n 0012457387 00000 n 0012457450 00000 n 0012457513 00000 n 0012457578 00000 n 0012457641 00000 n 0012457704 00000 n 0012457769 00000 n 0012457833 00000 n 0012457897 00000 n 0012457963 00000 n 0012458027 00000 n 0012458091 00000 n 0012458157 00000 n 0012458221 00000 n 0012458285 00000 n 0012458351 00000 n 0012458415 00000 n 0012458479 00000 n 0012458545 00000 n 0012458609 00000 n 0012458673 00000 n 0012458739 00000 n 0012458803 00000 n 0012458867 00000 n 0012458933 00000 n 0012459002 00000 n 0012459066 00000 n 0012459130 00000 n 0012459196 00000 n 0012459260 00000 n 0012459324 00000 n 0012459390 00000 n 0012459454 00000 n 0012459518 00000 n 0012459584 00000 n 0012459653 00000 n 0012459717 00000 n 0012459781 00000 n 0012459847 00000 n 0012459916 00000 n 0012459980 00000 n 0012460044 00000 n 0012460110 00000 n 0012460174 00000 n 0012460238 00000 n 0012460302 00000 n 0012460368 00000 n 0012460432 00000 n 0012460496 00000 n 0012460560 00000 n 0012460624 00000 n 0012460688 00000 n 0012460754 00000 n 0012460823 00000 n 0012460887 00000 n 0012460951 00000 n 0012461017 00000 n 0012461081 00000 n 0012461145 00000 n 0012461211 00000 n 0012461275 00000 n 0012461339 00000 n 0012461405 00000 n 0012461469 00000 n 0012461533 00000 n 0012461599 00000 n 0012461668 00000 n 0012461737 00000 n 0012461801 00000 n 0012461865 00000 n 0012461931 00000 n 0012461997 00000 n 0012462061 00000 n 0012462125 00000 n 0012462191 00000 n 0012462255 00000 n 0012462319 00000 n 0012462385 00000 n 0012462449 00000 n 0012462513 00000 n 0012462579 00000 n 0012462643 00000 n 0012462707 00000 n 0012462773 00000 n 0012462837 00000 n 0012462901 00000 n 0012462967 00000 n 0012463031 00000 n 0012463095 00000 n 0012463161 00000 n 0012463225 00000 n 0012463289 00000 n 0012463355 00000 n 0012463419 00000 n 0012463483 00000 n 0012463547 00000 n 0012463613 00000 n 0012463677 00000 n 0012463741 00000 n 0012463807 00000 n 0012463871 00000 n 0012463935 00000 n 0012464001 00000 n 0012464065 00000 n 0012464129 00000 n 0012464195 00000 n 0012464259 00000 n 0012464323 00000 n 0012464389 00000 n 0012464453 00000 n 0012464517 00000 n 0012464583 00000 n 0012464647 00000 n 0012464711 00000 n 0012464777 00000 n 0012464845 00000 n 0012464909 00000 n 0012464973 00000 n 0012465039 00000 n 0012465103 00000 n 0012465167 00000 n 0012465233 00000 n 0012465297 00000 n 0012465361 00000 n 0012465427 00000 n 0012465491 00000 n 0012465555 00000 n 0012465621 00000 n 0012465685 00000 n 0012465749 00000 n 0012465815 00000 n 0012465879 00000 n 0012465943 00000 n 0012466009 00000 n 0012466073 00000 n 0012466137 00000 n 0012466201 00000 n 0012466265 00000 n 0012466329 00000 n 0012466395 00000 n 0012466464 00000 n 0012466533 00000 n 0012466597 00000 n 0012466661 00000 n 0012466727 00000 n 0012466791 00000 n 0012466855 00000 n 0012466921 00000 n 0012466985 00000 n 0012467049 00000 n 0012467115 00000 n 0012467179 00000 n 0012467243 00000 n 0012467309 00000 n 0012467373 00000 n 0012467437 00000 n 0012467503 00000 n 0012467571 00000 n 0012467640 00000 n 0012467704 00000 n 0012467768 00000 n 0012467832 00000 n 0012467898 00000 n 0012467962 00000 n 0012468026 00000 n 0012468092 00000 n 0012468156 00000 n 0012468220 00000 n 0012468286 00000 n 0012468350 00000 n 0012468414 00000 n 0012468480 00000 n 0012468544 00000 n 0012468608 00000 n 0012468674 00000 n 0012468738 00000 n 0012468802 00000 n 0012468868 00000 n 0012468932 00000 n 0012468996 00000 n 0012469062 00000 n 0012469126 00000 n 0012469190 00000 n 0012469256 00000 n 0012469320 00000 n 0012469384 00000 n 0012469450 00000 n 0012469514 00000 n 0012469578 00000 n 0012469644 00000 n 0012469708 00000 n 0012469772 00000 n 0012469838 00000 n 0012469902 00000 n 0012469966 00000 n 0012470032 00000 n 0012470096 00000 n 0012470160 00000 n 0012470226 00000 n 0012470290 00000 n 0012470354 00000 n 0012470420 00000 n 0012470489 00000 n 0012470553 00000 n 0012470617 00000 n 0012470683 00000 n 0012470747 00000 n 0012470811 00000 n 0012470877 00000 n 0012470941 00000 n 0012471005 00000 n 0012471071 00000 n 0012471135 00000 n 0012471199 00000 n 0012471265 00000 n 0012471329 00000 n 0012471393 00000 n 0012471459 00000 n 0012471523 00000 n 0012471587 00000 n 0012471653 00000 n 0012471717 00000 n 0012471781 00000 n 0012471847 00000 n 0012471911 00000 n 0012471975 00000 n 0012472041 00000 n 0012472105 00000 n 0012472169 00000 n 0012472235 00000 n 0012472299 00000 n 0012472363 00000 n 0012472429 00000 n 0012472495 00000 n 0012472559 00000 n 0012472623 00000 n 0012472689 00000 n 0012472753 00000 n 0012472817 00000 n 0012472883 00000 n 0012472947 00000 n 0012473011 00000 n 0012473077 00000 n 0012473141 00000 n 0012473205 00000 n 0012473271 00000 n 0012473335 00000 n 0012473399 00000 n 0012473465 00000 n 0012473529 00000 n 0012473593 00000 n 0012473659 00000 n 0012473728 00000 n 0012473797 00000 n 0012473864 00000 n 0012473931 00000 n 0012473995 00000 n 0012474059 00000 n 0012474125 00000 n 0012474189 00000 n 0012474253 00000 n 0012474319 00000 n 0012474383 00000 n 0012474447 00000 n 0012474513 00000 n 0012474577 00000 n 0012474641 00000 n 0012474707 00000 n 0012474775 00000 n 0012474839 00000 n 0012474903 00000 n 0012474969 00000 n 0012475033 00000 n 0012475097 00000 n 0012475163 00000 n 0012475227 00000 n 0012475291 00000 n 0012475357 00000 n 0012475421 00000 n 0012475485 00000 n 0012475551 00000 n 0012475615 00000 n 0012475679 00000 n 0012475745 00000 n 0012475809 00000 n 0012475873 00000 n 0012475939 00000 n 0012476003 00000 n 0012476067 00000 n 0012476133 00000 n 0012476197 00000 n 0012476261 00000 n 0012476327 00000 n 0012476391 00000 n 0012476455 00000 n 0012476521 00000 n 0012476585 00000 n 0012476649 00000 n 0012476715 00000 n 0012476779 00000 n 0012476843 00000 n 0012476909 00000 n 0012476973 00000 n 0012477037 00000 n 0012477103 00000 n 0012477167 00000 n 0012477231 00000 n 0012477297 00000 n 0012477361 00000 n 0012477425 00000 n 0012477491 00000 n 0012477555 00000 n 0012477619 00000 n 0012477683 00000 n 0012477747 00000 n 0012477811 00000 n 0012477875 00000 n 0012477939 00000 n 0012478003 00000 n 0012478069 00000 n 0012478133 00000 n 0012478197 00000 n 0012478263 00000 n 0012478327 00000 n 0012478391 00000 n 0012478457 00000 n 0012478526 00000 n 0012478595 00000 n 0012478664 00000 n 0012478728 00000 n 0012478792 00000 n 0012478858 00000 n 0012478927 00000 n 0012478996 00000 n 0012479060 00000 n 0012479126 00000 n 0012479190 00000 n 0012479254 00000 n 0012479320 00000 n 0012479384 00000 n 0012479448 00000 n 0012479514 00000 n 0012479578 00000 n 0012479642 00000 n 0012479708 00000 n 0012479772 00000 n 0012479836 00000 n 0012479902 00000 n 0012479966 00000 n 0012480030 00000 n 0012480096 00000 n 0012480160 00000 n 0012480224 00000 n 0012480290 00000 n 0012480354 00000 n 0012480418 00000 n 0012480484 00000 n 0012480548 00000 n 0012480612 00000 n 0012480678 00000 n 0012480742 00000 n 0012480806 00000 n 0012480872 00000 n 0012480936 00000 n 0012481000 00000 n 0012481066 00000 n 0012481130 00000 n 0012481194 00000 n 0012481260 00000 n 0012481324 00000 n 0012481388 00000 n 0012481454 00000 n 0012481518 00000 n 0012481582 00000 n 0012481648 00000 n 0012481712 00000 n 0012481776 00000 n 0012481842 00000 n 0012481911 00000 n 0012481975 00000 n 0012482039 00000 n 0012482105 00000 n 0012482169 00000 n 0012482233 00000 n 0012482299 00000 n 0012482363 00000 n 0012482427 00000 n 0012482493 00000 n 0012482557 00000 n 0012482621 00000 n 0012482687 00000 n 0012482751 00000 n 0012482815 00000 n 0012482881 00000 n 0012482945 00000 n 0012483009 00000 n 0012483075 00000 n 0012483139 00000 n 0012483203 00000 n 0012483269 00000 n 0012483333 00000 n 0012483397 00000 n 0012483463 00000 n 0012483527 00000 n 0012483591 00000 n 0012483657 00000 n 0012483723 00000 n 0012483787 00000 n 0012483851 00000 n 0012483915 00000 n 0012483981 00000 n 0012484045 00000 n 0012484109 00000 n 0012484175 00000 n 0012484239 00000 n 0012484303 00000 n 0012484369 00000 n 0012484433 00000 n 0012484497 00000 n 0012484563 00000 n 0012484627 00000 n 0012484691 00000 n 0012484757 00000 n 0012484821 00000 n 0012484885 00000 n 0012484951 00000 n 0012485015 00000 n 0012485079 00000 n 0012485145 00000 n 0012485209 00000 n 0012485273 00000 n 0012485339 00000 n 0012485403 00000 n 0012485467 00000 n 0012485533 00000 n 0012485597 00000 n 0012485661 00000 n 0012485727 00000 n 0012485791 00000 n 0012485855 00000 n 0012485921 00000 n 0012485985 00000 n 0012486049 00000 n 0012486115 00000 n 0012486183 00000 n 0012486252 00000 n 0012486321 00000 n 0012486390 00000 n 0012486454 00000 n 0012486518 00000 n 0012486584 00000 n 0012486648 00000 n 0012486712 00000 n 0012486778 00000 n 0012486846 00000 n 0012486915 00000 n 0012486984 00000 n 0012487053 00000 n 0012487117 00000 n 0012487181 00000 n 0012487247 00000 n 0012487311 00000 n 0012487375 00000 n 0012487441 00000 n 0012487509 00000 n 0012487578 00000 n 0012487647 00000 n 0012487716 00000 n 0012487780 00000 n 0012487844 00000 n 0012487910 00000 n 0012487974 00000 n 0012488038 00000 n 0012488104 00000 n 0012488168 00000 n 0012488232 00000 n 0012488298 00000 n 0012488367 00000 n 0012488436 00000 n 0012488500 00000 n 0012488564 00000 n 0012488630 00000 n 0012488694 00000 n 0012488758 00000 n 0012488824 00000 n 0012488888 00000 n 0012488952 00000 n 0012489018 00000 n 0012489082 00000 n 0012489146 00000 n 0012489212 00000 n 0012489276 00000 n 0012489340 00000 n 0012489406 00000 n 0012489470 00000 n 0012489534 00000 n 0012489600 00000 n 0012489664 00000 n 0012489728 00000 n 0012489794 00000 n 0012489858 00000 n 0012489922 00000 n 0012489988 00000 n 0012490052 00000 n 0012490116 00000 n 0012490182 00000 n 0012490246 00000 n 0012490310 00000 n 0012490376 00000 n 0012490440 00000 n 0012490504 00000 n 0012490570 00000 n 0012490634 00000 n 0012490698 00000 n 0012490764 00000 n 0012490828 00000 n 0012490892 00000 n 0012490958 00000 n 0012491022 00000 n 0012491086 00000 n 0012491152 00000 n 0012491216 00000 n 0012491280 00000 n 0012491346 00000 n 0012491410 00000 n 0012491474 00000 n 0012491540 00000 n 0012491604 00000 n 0012491668 00000 n 0012491734 00000 n 0012491798 00000 n 0012491862 00000 n 0012491928 00000 n 0012491997 00000 n 0012492061 00000 n 0012492125 00000 n 0012492191 00000 n 0012492257 00000 n 0012492321 00000 n 0012492385 00000 n 0012492451 00000 n 0012492519 00000 n 0012492588 00000 n 0012492654 00000 n 0012492718 00000 n 0012492784 00000 n 0012492848 00000 n 0012492912 00000 n 0012492978 00000 n 0012493042 00000 n 0012493106 00000 n 0012493172 00000 n 0012493236 00000 n 0012493300 00000 n 0012493366 00000 n 0012493430 00000 n 0012493494 00000 n 0012493560 00000 n 0012493624 00000 n 0012493688 00000 n 0012493754 00000 n 0012493818 00000 n 0012493882 00000 n 0012493948 00000 n 0012494012 00000 n 0012494076 00000 n 0012494142 00000 n 0012494206 00000 n 0012494270 00000 n 0012494336 00000 n 0012494400 00000 n 0012494464 00000 n 0012494530 00000 n 0012494594 00000 n 0012494658 00000 n 0012494724 00000 n 0012494788 00000 n 0012494852 00000 n 0012494918 00000 n 0012494982 00000 n 0012495046 00000 n 0012495112 00000 n 0012495176 00000 n 0012495240 00000 n 0012495306 00000 n 0012495370 00000 n 0012495434 00000 n 0012495500 00000 n 0012495564 00000 n 0012495628 00000 n 0012495694 00000 n 0012495758 00000 n 0012495822 00000 n 0012495888 00000 n 0012495952 00000 n 0012496016 00000 n 0012496082 00000 n 0012496148 00000 n 0012496217 00000 n 0012496281 00000 n 0012496345 00000 n 0012496411 00000 n 0012496475 00000 n 0012496539 00000 n 0012496605 00000 n 0012496669 00000 n 0012496733 00000 n 0012496799 00000 n 0012496863 00000 n 0012496927 00000 n 0012496993 00000 n 0012497057 00000 n 0012497121 00000 n 0012497187 00000 n 0012497251 00000 n 0012497315 00000 n 0012497381 00000 n 0012497445 00000 n 0012497509 00000 n 0012497575 00000 n 0012497639 00000 n 0012497703 00000 n 0012497769 00000 n 0012497833 00000 n 0012497897 00000 n 0012497963 00000 n 0012498027 00000 n 0012498091 00000 n 0012498157 00000 n 0012498221 00000 n 0012498285 00000 n 0012498351 00000 n 0012498420 00000 n 0012498489 00000 n 0012498553 00000 n 0012498617 00000 n 0012498683 00000 n 0012498747 00000 n 0012498811 00000 n 0012498877 00000 n 0012498945 00000 n 0012499013 00000 n 0012499082 00000 n 0012499151 00000 n 0012499220 00000 n 0012499284 00000 n 0012499348 00000 n 0012499414 00000 n 0012499478 00000 n 0012499542 00000 n 0012499608 00000 n 0012499672 00000 n 0012499736 00000 n 0012499802 00000 n 0012499866 00000 n 0012499930 00000 n 0012499996 00000 n 0012500060 00000 n 0012500124 00000 n 0012500188 00000 n 0012500254 00000 n 0012500318 00000 n 0012500382 00000 n 0012500448 00000 n 0012500512 00000 n 0012500576 00000 n 0012500642 00000 n 0012500706 00000 n 0012500770 00000 n 0012500836 00000 n 0012500900 00000 n 0012500964 00000 n 0012501030 00000 n 0012501094 00000 n 0012501158 00000 n 0012501224 00000 n 0012501288 00000 n 0012501352 00000 n 0012501418 00000 n 0012501482 00000 n 0012501546 00000 n 0012501612 00000 n 0012501676 00000 n 0012501740 00000 n 0012501806 00000 n 0012501875 00000 n 0012501939 00000 n 0012502003 00000 n 0012502069 00000 n 0012502133 00000 n 0012502197 00000 n 0012502263 00000 n 0012502331 00000 n 0012502399 00000 n 0012502468 00000 n 0012502537 00000 n 0012502601 00000 n 0012502665 00000 n 0012502731 00000 n 0012502800 00000 n 0012502864 00000 n 0012502928 00000 n 0012502994 00000 n 0012503058 00000 n 0012503122 00000 n 0012503188 00000 n 0012503252 00000 n 0012503316 00000 n 0012503382 00000 n 0012503446 00000 n 0012503510 00000 n 0012503576 00000 n 0012503645 00000 n 0012503709 00000 n 0012503773 00000 n 0012503839 00000 n 0012503903 00000 n 0012503967 00000 n 0012504033 00000 n 0012504097 00000 n 0012504161 00000 n 0012504227 00000 n 0012504291 00000 n 0012504355 00000 n 0012504421 00000 n 0012504485 00000 n 0012504549 00000 n 0012504615 00000 n 0012504679 00000 n 0012504743 00000 n 0012504809 00000 n 0012504873 00000 n 0012504937 00000 n 0012505003 00000 n 0012505067 00000 n 0012505131 00000 n 0012505197 00000 n 0012505261 00000 n 0012505325 00000 n 0012505391 00000 n 0012505457 00000 n 0012505523 00000 n 0012505587 00000 n 0012505651 00000 n 0012505717 00000 n 0012505781 00000 n 0012505845 00000 n 0012505911 00000 n 0012505975 00000 n 0012506039 00000 n 0012506105 00000 n 0012506173 00000 n 0012506237 00000 n 0012506301 00000 n 0012506367 00000 n 0012506436 00000 n 0012506505 00000 n 0012506574 00000 n 0012506643 00000 n 0012506707 00000 n 0012506771 00000 n 0012506837 00000 n 0012506906 00000 n 0012506970 00000 n 0012507034 00000 n 0012507100 00000 n 0012507169 00000 n 0012507233 00000 n 0012507297 00000 n 0012507363 00000 n 0012507427 00000 n 0012507491 00000 n 0012507557 00000 n 0012507625 00000 n 0012507694 00000 n 0012507763 00000 n 0012507832 00000 n 0012507901 00000 n 0012507965 00000 n 0012508029 00000 n 0012508095 00000 n 0012508159 00000 n 0012508223 00000 n 0012508289 00000 n 0012508353 00000 n 0012508417 00000 n 0012508483 00000 n 0012508547 00000 n 0012508611 00000 n 0012508677 00000 n 0012508741 00000 n 0012508805 00000 n 0012508871 00000 n 0012508935 00000 n 0012508999 00000 n 0012509065 00000 n 0012509129 00000 n 0012509193 00000 n 0012509259 00000 n 0012509328 00000 n 0012509392 00000 n 0012509456 00000 n 0012509522 00000 n 0012509591 00000 n 0012509655 00000 n 0012509719 00000 n 0012509785 00000 n 0012509849 00000 n 0012509913 00000 n 0012509979 00000 n 0012510043 00000 n 0012510107 00000 n 0012510173 00000 n 0012510237 00000 n 0012510301 00000 n 0012510367 00000 n 0012510431 00000 n 0012510495 00000 n 0012510561 00000 n 0012510625 00000 n 0012510689 00000 n 0012510755 00000 n 0012510819 00000 n 0012510883 00000 n 0012510949 00000 n 0012511013 00000 n 0012511077 00000 n 0012511143 00000 n 0012511207 00000 n 0012511271 00000 n 0012511337 00000 n 0012511405 00000 n 0012511474 00000 n 0012511543 00000 n 0012511612 00000 n 0012511676 00000 n 0012511740 00000 n 0012511806 00000 n 0012511870 00000 n 0012511934 00000 n 0012512000 00000 n 0012512064 00000 n 0012512128 00000 n 0012512194 00000 n 0012512258 00000 n 0012512322 00000 n 0012512388 00000 n 0012512452 00000 n 0012512516 00000 n 0012512582 00000 n 0012512646 00000 n 0012512710 00000 n 0012512776 00000 n 0012512840 00000 n 0012512904 00000 n 0012512970 00000 n 0012513034 00000 n 0012513098 00000 n 0012513164 00000 n 0012513228 00000 n 0012513292 00000 n 0012513358 00000 n 0012513422 00000 n 0012513486 00000 n 0012513552 00000 n 0012513616 00000 n 0012513680 00000 n 0012513746 00000 n 0012513810 00000 n 0012513874 00000 n 0012513940 00000 n 0012514004 00000 n 0012514068 00000 n 0012514134 00000 n 0012514198 00000 n 0012514262 00000 n 0012514328 00000 n 0012514392 00000 n 0012514456 00000 n 0012514522 00000 n 0012514586 00000 n 0012514650 00000 n 0012514716 00000 n 0012514780 00000 n 0012514844 00000 n 0012514910 00000 n 0012514974 00000 n 0012515038 00000 n 0012515104 00000 n 0012515168 00000 n 0012515232 00000 n 0012515298 00000 n 0012515362 00000 n 0012515426 00000 n 0012515492 00000 n 0012515556 00000 n 0012515620 00000 n 0012515686 00000 n 0012515750 00000 n 0012515814 00000 n 0012515880 00000 n 0012515948 00000 n 0012516017 00000 n 0012516086 00000 n 0012516150 00000 n 0012516216 00000 n 0012516280 00000 n 0012516344 00000 n 0012516410 00000 n 0012516474 00000 n 0012516538 00000 n 0012516604 00000 n 0012516668 00000 n 0012516732 00000 n 0012516798 00000 n 0012516862 00000 n 0012516926 00000 n 0012516992 00000 n 0012517061 00000 n 0012517130 00000 n 0012517194 00000 n 0012517258 00000 n 0012517324 00000 n 0012517388 00000 n 0012517452 00000 n 0012517518 00000 n 0012517582 00000 n 0012517646 00000 n 0012517712 00000 n 0012517776 00000 n 0012517840 00000 n 0012517906 00000 n 0012517970 00000 n 0012518034 00000 n 0012518100 00000 n 0012518164 00000 n 0012518228 00000 n 0012518294 00000 n 0012518358 00000 n 0012518422 00000 n 0012518488 00000 n 0012518552 00000 n 0012518616 00000 n 0012518682 00000 n 0012518746 00000 n 0012518810 00000 n 0012518876 00000 n 0012518940 00000 n 0012519004 00000 n 0012519070 00000 n 0012519134 00000 n 0012519198 00000 n 0012519264 00000 n 0012519328 00000 n 0012519392 00000 n 0012519458 00000 n 0012519522 00000 n 0012519586 00000 n 0012519652 00000 n 0012519716 00000 n 0012519780 00000 n 0012519846 00000 n 0012519910 00000 n 0012519974 00000 n 0012520040 00000 n 0012520104 00000 n 0012520168 00000 n 0012520234 00000 n 0012520298 00000 n 0012520362 00000 n 0012520428 00000 n 0012520492 00000 n 0012520556 00000 n 0012520622 00000 n 0012520686 00000 n 0012520750 00000 n 0012520816 00000 n 0012520880 00000 n 0012520944 00000 n 0012521010 00000 n 0012521074 00000 n 0012521138 00000 n 0012521204 00000 n 0012521268 00000 n 0012521332 00000 n 0012521398 00000 n 0012521462 00000 n 0012521526 00000 n 0012521592 00000 n 0012521656 00000 n 0012521720 00000 n 0012521786 00000 n 0012521850 00000 n 0012521914 00000 n 0012521980 00000 n 0012522044 00000 n 0012522108 00000 n 0012522174 00000 n 0012522238 00000 n 0012522302 00000 n 0012522368 00000 n 0012522432 00000 n 0012522496 00000 n 0012522562 00000 n 0012522626 00000 n 0012522690 00000 n 0012522756 00000 n 0012522820 00000 n 0012522884 00000 n 0012522950 00000 n 0012523014 00000 n 0012523078 00000 n 0012523144 00000 n 0012523208 00000 n 0012523272 00000 n 0012523338 00000 n 0012523402 00000 n 0012523466 00000 n 0012523532 00000 n 0012523596 00000 n 0012523660 00000 n 0012523726 00000 n 0012523790 00000 n 0012523854 00000 n 0012523920 00000 n 0012523984 00000 n 0012524048 00000 n 0012524114 00000 n 0012524178 00000 n 0012524242 00000 n 0012524308 00000 n 0012524372 00000 n 0012524436 00000 n 0012524502 00000 n 0012524566 00000 n 0012524630 00000 n 0012524696 00000 n 0012524760 00000 n 0012524824 00000 n 0012524890 00000 n 0012524954 00000 n 0012525018 00000 n 0012525084 00000 n 0012525148 00000 n 0012525212 00000 n 0012525278 00000 n 0012525347 00000 n 0012525416 00000 n 0012525480 00000 n 0012525544 00000 n 0012525610 00000 n 0012525674 00000 n 0012525738 00000 n 0012525804 00000 n 0012525868 00000 n 0012525932 00000 n 0012525998 00000 n 0012526062 00000 n 0012526126 00000 n 0012526192 00000 n 0012526256 00000 n 0012526320 00000 n 0012526386 00000 n 0012526450 00000 n 0012526514 00000 n 0012526580 00000 n 0012526644 00000 n 0012526708 00000 n 0012526774 00000 n 0012526838 00000 n 0012526902 00000 n 0012526968 00000 n 0012527032 00000 n 0012527096 00000 n 0012527162 00000 n 0012527226 00000 n 0012527290 00000 n 0012527356 00000 n 0012527420 00000 n 0012527484 00000 n 0012527550 00000 n 0012527614 00000 n 0012527678 00000 n 0012527744 00000 n 0012527808 00000 n 0012527872 00000 n 0012527938 00000 n 0012528005 00000 n 0012528069 00000 n 0012528133 00000 n 0012528199 00000 n 0012528263 00000 n 0012528327 00000 n 0012528393 00000 n 0012528457 00000 n 0012528521 00000 n 0012528587 00000 n 0012528651 00000 n 0012528715 00000 n 0012528781 00000 n 0012528845 00000 n 0012528909 00000 n 0012528975 00000 n 0012529039 00000 n 0012529103 00000 n 0012529169 00000 n 0012529233 00000 n 0012529297 00000 n 0012529363 00000 n 0012529427 00000 n 0012529491 00000 n 0012529557 00000 n 0012529621 00000 n 0012529685 00000 n 0012529751 00000 n 0012529815 00000 n 0012529879 00000 n 0012529945 00000 n 0012530013 00000 n 0012530082 00000 n 0012530151 00000 n 0012530215 00000 n 0012530281 00000 n 0012530345 00000 n 0012530409 00000 n 0012530475 00000 n 0012530539 00000 n 0012530603 00000 n 0012530669 00000 n 0012530733 00000 n 0012530797 00000 n 0012530863 00000 n 0012530927 00000 n 0012530991 00000 n 0012531057 00000 n 0012531121 00000 n 0012531185 00000 n 0012531251 00000 n 0012531315 00000 n 0012531379 00000 n 0012531445 00000 n 0012531509 00000 n 0012531573 00000 n 0012531639 00000 n 0012531703 00000 n 0012531767 00000 n 0012531833 00000 n 0012531897 00000 n 0012531961 00000 n 0012532025 00000 n 0012532089 00000 n 0012532153 00000 n 0012532219 00000 n 0012532283 00000 n 0012532347 00000 n 0012532413 00000 n 0012532477 00000 n 0012532541 00000 n 0012532607 00000 n 0012532671 00000 n 0012532735 00000 n 0012532801 00000 n 0012532865 00000 n 0012532929 00000 n 0012532995 00000 n 0012533059 00000 n 0012533123 00000 n 0012533187 00000 n 0012533251 00000 n 0012533315 00000 n 0012533381 00000 n 0012533445 00000 n 0012533509 00000 n 0012533575 00000 n 0012533639 00000 n 0012533703 00000 n 0012533769 00000 n 0012533833 00000 n 0012533897 00000 n 0012533963 00000 n 0012534027 00000 n 0012534091 00000 n 0012534157 00000 n 0012534221 00000 n 0012534285 00000 n 0012534351 00000 n 0012534415 00000 n 0012534479 00000 n 0012534545 00000 n 0012534609 00000 n 0012534673 00000 n 0012534739 00000 n 0012534803 00000 n 0012534867 00000 n 0012534933 00000 n 0012534997 00000 n 0012535061 00000 n 0012535127 00000 n 0012535191 00000 n 0012535255 00000 n 0012535321 00000 n 0012535385 00000 n 0012535449 00000 n 0012535515 00000 n 0012535579 00000 n 0012535643 00000 n 0012535709 00000 n 0012535773 00000 n 0012535837 00000 n 0012535903 00000 n 0012535967 00000 n 0012536031 00000 n 0012536097 00000 n 0012536161 00000 n 0012536225 00000 n 0012536291 00000 n 0012536355 00000 n 0012536419 00000 n 0012536485 00000 n 0012536549 00000 n 0012536613 00000 n 0012536679 00000 n 0012536743 00000 n 0012536807 00000 n 0012536873 00000 n 0012536937 00000 n 0012537001 00000 n 0012537067 00000 n 0012537131 00000 n 0012537195 00000 n 0012537261 00000 n 0012537325 00000 n 0012537389 00000 n 0012537455 00000 n 0012537524 00000 n 0012537588 00000 n 0012537652 00000 n 0012537718 00000 n 0012537782 00000 n 0012537846 00000 n 0012537912 00000 n 0012537976 00000 n 0012538040 00000 n 0012538106 00000 n 0012538170 00000 n 0012538234 00000 n 0012538300 00000 n 0012538364 00000 n 0012538428 00000 n 0012538494 00000 n 0012538558 00000 n 0012538622 00000 n 0012538688 00000 n 0012538752 00000 n 0012538816 00000 n 0012538882 00000 n 0012538946 00000 n 0012539010 00000 n 0012539076 00000 n 0012539140 00000 n 0012539204 00000 n 0012539270 00000 n 0012539334 00000 n 0012539398 00000 n 0012539464 00000 n 0012539528 00000 n 0012539592 00000 n 0012539658 00000 n 0012539722 00000 n 0012539786 00000 n 0012539852 00000 n 0012539916 00000 n 0012539980 00000 n 0012540046 00000 n 0012540110 00000 n 0012540174 00000 n 0012540240 00000 n 0012540304 00000 n 0012540368 00000 n 0012540434 00000 n 0012540498 00000 n 0012540562 00000 n 0012540628 00000 n 0012540692 00000 n 0012540756 00000 n 0012540822 00000 n 0012540886 00000 n 0012540950 00000 n 0012541016 00000 n 0012541080 00000 n 0012541144 00000 n 0012541210 00000 n 0012541274 00000 n 0012541342 00000 n 0012541410 00000 n 0012541474 00000 n 0012541538 00000 n 0012541604 00000 n 0012541672 00000 n 0012541738 00000 n 0012541804 00000 n 0012541868 00000 n 0012541932 00000 n 0012541998 00000 n 0012542062 00000 n 0012542126 00000 n 0012542192 00000 n 0012542256 00000 n 0012542320 00000 n 0012542386 00000 n 0012542450 00000 n 0012542514 00000 n 0012542580 00000 n 0012542644 00000 n 0012542708 00000 n 0012542774 00000 n 0012542838 00000 n 0012542902 00000 n 0012542968 00000 n 0012543032 00000 n 0012543096 00000 n 0012543162 00000 n 0012543226 00000 n 0012543290 00000 n 0012543356 00000 n 0012543420 00000 n 0012543484 00000 n 0012543550 00000 n 0012543614 00000 n 0012543678 00000 n 0012543744 00000 n 0012543808 00000 n 0012543872 00000 n 0012543938 00000 n 0012544002 00000 n 0012544066 00000 n 0012544132 00000 n 0012544201 00000 n 0012544270 00000 n 0012544334 00000 n 0012544398 00000 n 0012544464 00000 n 0012544528 00000 n 0012544592 00000 n 0012544658 00000 n 0012544722 00000 n 0012544786 00000 n 0012544852 00000 n 0012544916 00000 n 0012544980 00000 n 0012545046 00000 n 0012545110 00000 n 0012545174 00000 n 0012545240 00000 n 0012545309 00000 n 0012545373 00000 n 0012545437 00000 n 0012545503 00000 n 0012545567 00000 n 0012545631 00000 n 0012545697 00000 n 0012545761 00000 n 0012545825 00000 n 0012545891 00000 n 0012545955 00000 n 0012546019 00000 n 0012546083 00000 n 0012546147 00000 n 0012546213 00000 n 0012546279 00000 n 0012546345 00000 n 0012546409 00000 n 0012546475 00000 n 0012546544 00000 n 0012546613 00000 n 0012546677 00000 n 0012546741 00000 n 0012546807 00000 n 0012546876 00000 n 0012546942 00000 n 0012547008 00000 n 0012547074 00000 n 0012547138 00000 n 0012547202 00000 n 0012547268 00000 n 0012547337 00000 n 0012547406 00000 n 0012547494 00000 n 0012547662 00000 n 0012547832 00000 n 0012548003 00000 n 0012548175 00000 n 0012548347 00000 n 0012548516 00000 n 0012548690 00000 n 0012548870 00000 n 0012549053 00000 n 0012549234 00000 n 0012549410 00000 n 0012549588 00000 n 0012549764 00000 n 0012549939 00000 n 0012550116 00000 n 0012550298 00000 n 0012550471 00000 n 0012550644 00000 n 0012550819 00000 n 0012550995 00000 n 0012551168 00000 n 0012551345 00000 n 0012551521 00000 n 0012551695 00000 n 0012551866 00000 n 0012552036 00000 n 0012552206 00000 n 0012552384 00000 n 0012552565 00000 n 0012552739 00000 n 0012552913 00000 n 0012553087 00000 n 0012553264 00000 n 0012553438 00000 n 0012553608 00000 n 0012553783 00000 n 0012553965 00000 n 0012554148 00000 n 0012554326 00000 n 0012554508 00000 n 0012554684 00000 n 0012554867 00000 n 0012555049 00000 n 0012555224 00000 n 0012555404 00000 n 0012555579 00000 n 0012555759 00000 n 0012555938 00000 n 0012556116 00000 n 0012556288 00000 n 0012556460 00000 n 0012556632 00000 n 0012556805 00000 n 0012556982 00000 n 0012557150 00000 n 0012557319 00000 n 0012557498 00000 n 0012557673 00000 n 0012557850 00000 n 0012558029 00000 n 0012558208 00000 n 0012558388 00000 n 0012558572 00000 n 0012558746 00000 n 0012558920 00000 n 0012559095 00000 n 0012559274 00000 n 0012559446 00000 n 0012559619 00000 n 0012559808 00000 n 0012559992 00000 n 0012560170 00000 n 0012560348 00000 n 0012560525 00000 n 0012560705 00000 n 0012560886 00000 n 0012561061 00000 n 0012561244 00000 n 0012561430 00000 n 0012561620 00000 n 0012561798 00000 n 0012561978 00000 n 0012562155 00000 n 0012562332 00000 n 0012562508 00000 n 0012562687 00000 n 0012562871 00000 n 0012563050 00000 n 0012563230 00000 n 0012563407 00000 n 0012563584 00000 n 0012563754 00000 n 0012563928 00000 n 0012564101 00000 n 0012564272 00000 n 0012564453 00000 n 0012564627 00000 n 0012564805 00000 n 0012564976 00000 n 0012565148 00000 n 0012565345 00000 n 0012565523 00000 n 0012565707 00000 n 0012565882 00000 n 0012566058 00000 n 0012566241 00000 n 0012566422 00000 n 0012566593 00000 n 0012566776 00000 n 0012566959 00000 n 0012567133 00000 n 0012567307 00000 n 0012567486 00000 n 0012567657 00000 n 0012567835 00000 n 0012568015 00000 n 0012568189 00000 n 0012568368 00000 n 0012568543 00000 n 0012568719 00000 n 0012568893 00000 n 0012569071 00000 n 0012569249 00000 n 0012569424 00000 n 0012569604 00000 n 0012569782 00000 n 0012569960 00000 n 0012570137 00000 n 0012570322 00000 n 0012570498 00000 n 0012570670 00000 n 0012570844 00000 n 0012571016 00000 n 0012571189 00000 n 0012571361 00000 n 0012571548 00000 n 0012571728 00000 n 0012571906 00000 n 0012572081 00000 n 0012572271 00000 n 0012572456 00000 n 0012572634 00000 n 0012572812 00000 n 0012572989 00000 n 0012573169 00000 n 0012573344 00000 n 0012573522 00000 n 0012573702 00000 n 0012573879 00000 n 0012574055 00000 n 0012574232 00000 n 0012574409 00000 n 0012574583 00000 n 0012574757 00000 n 0012574939 00000 n 0012575114 00000 n 0012575293 00000 n 0012575474 00000 n 0012575659 00000 n 0012575842 00000 n 0012576026 00000 n 0012576208 00000 n 0012576379 00000 n 0012576551 00000 n 0012576733 00000 n 0012576920 00000 n 0012577095 00000 n 0012577264 00000 n 0012577444 00000 n 0012577627 00000 n 0012577805 00000 n 0012577987 00000 n 0012578168 00000 n 0012578343 00000 n 0012578523 00000 n 0012578703 00000 n 0012578871 00000 n 0012579040 00000 n 0012579220 00000 n 0012579395 00000 n 0012579580 00000 n 0012579756 00000 n 0012579937 00000 n 0012580115 00000 n 0012580289 00000 n 0012580456 00000 n 0012580629 00000 n 0012580807 00000 n 0012580976 00000 n 0012581146 00000 n 0012581329 00000 n 0012581510 00000 n 0012581689 00000 n 0012581865 00000 n 0012582045 00000 n 0012582222 00000 n 0012582403 00000 n 0012582585 00000 n 0012582761 00000 n 0012582938 00000 n 0012583117 00000 n 0012583290 00000 n 0012583465 00000 n 0012583642 00000 n 0012583819 00000 n 0012583997 00000 n 0012584169 00000 n 0012584341 00000 n 0012584514 00000 n 0012584686 00000 n 0012584859 00000 n 0012585028 00000 n 0012585207 00000 n 0012585383 00000 n 0012585574 00000 n 0012585760 00000 n 0012585945 00000 n 0012586126 00000 n 0012586312 00000 n 0012586497 00000 n 0012586675 00000 n 0012586856 00000 n 0012587042 00000 n 0012587222 00000 n 0012587398 00000 n 0012587569 00000 n 0012587748 00000 n 0012587918 00000 n 0012588095 00000 n 0012588273 00000 n 0012588444 00000 n 0012588631 00000 n 0012588810 00000 n 0012588992 00000 n 0012589168 00000 n 0012589344 00000 n 0012589516 00000 n 0012589691 00000 n 0012589869 00000 n 0012590048 00000 n 0012590228 00000 n 0012590398 00000 n 0012590571 00000 n 0012590752 00000 n 0012590940 00000 n 0012591124 00000 n 0012591308 00000 n 0012591492 00000 n 0012591668 00000 n 0012591840 00000 n 0012592015 00000 n 0012592189 00000 n 0012592364 00000 n 0012592537 00000 n 0012592715 00000 n 0012592888 00000 n 0012593061 00000 n 0012593235 00000 n 0012593410 00000 n 0012593586 00000 n 0012593766 00000 n 0012593947 00000 n 0012594123 00000 n 0012594302 00000 n 0012594485 00000 n 0012594663 00000 n 0012594840 00000 n 0012595016 00000 n 0012595194 00000 n 0012595373 00000 n 0012595549 00000 n 0012595725 00000 n 0012595904 00000 n 0012596080 00000 n 0012596256 00000 n 0012596429 00000 n 0012596605 00000 n 0012596783 00000 n 0012596956 00000 n 0012597140 00000 n 0012597312 00000 n 0012597481 00000 n 0012597656 00000 n 0012597830 00000 n 0012598000 00000 n 0012598172 00000 n 0012598352 00000 n 0012598536 00000 n 0012598724 00000 n 0012598900 00000 n 0012599076 00000 n 0012599246 00000 n 0012599417 00000 n 0012599590 00000 n 0012599768 00000 n 0012599939 00000 n 0012600119 00000 n 0012600290 00000 n 0012600467 00000 n 0012600640 00000 n 0012600810 00000 n 0012600980 00000 n 0012601163 00000 n 0012601343 00000 n 0012601514 00000 n 0012601691 00000 n 0012601863 00000 n 0012602046 00000 n 0012602222 00000 n 0012602396 00000 n 0012602570 00000 n 0012602751 00000 n 0012602933 00000 n 0012603113 00000 n 0012603283 00000 n 0012603455 00000 n 0012603637 00000 n 0012603813 00000 n 0012603988 00000 n 0012604163 00000 n 0012604334 00000 n 0012604504 00000 n 0012604682 00000 n 0012604854 00000 n 0012605039 00000 n 0012605210 00000 n 0012605387 00000 n 0012605565 00000 n 0012605736 00000 n 0012605909 00000 n 0012606087 00000 n 0012606258 00000 n 0012606429 00000 n 0012606605 00000 n 0012606777 00000 n 0012606943 00000 n 0012607122 00000 n 0012607304 00000 n 0012607485 00000 n 0012607667 00000 n 0012607841 00000 n 0012608012 00000 n 0012608189 00000 n 0012608362 00000 n 0012608534 00000 n 0012608715 00000 n 0012608893 00000 n 0012609081 00000 n 0012609273 00000 n 0012609451 00000 n 0012609638 00000 n 0012609813 00000 n 0012609986 00000 n 0012610165 00000 n 0012610336 00000 n 0012610509 00000 n 0012610684 00000 n 0012610861 00000 n 0012611033 00000 n 0012611208 00000 n 0012611389 00000 n 0012611563 00000 n 0012611738 00000 n 0012611911 00000 n 0012612081 00000 n 0012612250 00000 n 0012612420 00000 n 0012612588 00000 n 0012612776 00000 n 0012612959 00000 n 0012613141 00000 n 0012613315 00000 n 0012613489 00000 n 0012613669 00000 n 0012613844 00000 n 0012614027 00000 n 0012614199 00000 n 0012614370 00000 n 0012614546 00000 n 0012614723 00000 n 0012614905 00000 n 0012615082 00000 n 0012615260 00000 n 0012615436 00000 n 0012615612 00000 n 0012615786 00000 n 0012615959 00000 n 0012616129 00000 n 0012616300 00000 n 0012616470 00000 n 0012616648 00000 n 0012616828 00000 n 0012617000 00000 n 0012617179 00000 n 0012617356 00000 n 0012617528 00000 n 0012617702 00000 n 0012617875 00000 n 0012618052 00000 n 0012618230 00000 n 0012618404 00000 n 0012618578 00000 n 0012618749 00000 n 0012618928 00000 n 0012619108 00000 n 0012619276 00000 n 0012619445 00000 n 0012619622 00000 n 0012619792 00000 n 0012619963 00000 n 0012620141 00000 n 0012620319 00000 n 0012620498 00000 n 0012620667 00000 n 0012620847 00000 n 0012621017 00000 n 0012621199 00000 n 0012621370 00000 n 0012621546 00000 n 0012621716 00000 n 0012621890 00000 n 0012622061 00000 n 0012622231 00000 n 0012622411 00000 n 0012622591 00000 n 0012622757 00000 n 0012622933 00000 n 0012623109 00000 n 0012623287 00000 n 0012623466 00000 n 0012623642 00000 n 0012623818 00000 n 0012623995 00000 n 0012624174 00000 n 0012624350 00000 n 0012624529 00000 n 0012624708 00000 n 0012624887 00000 n 0012625063 00000 n 0012625240 00000 n 0012625422 00000 n 0012625597 00000 n 0012625773 00000 n 0012625947 00000 n 0012626119 00000 n 0012626292 00000 n 0012626478 00000 n 0012626669 00000 n 0012626848 00000 n 0012627027 00000 n 0012627201 00000 n 0012627376 00000 n 0012627560 00000 n 0012627752 00000 n 0012627940 00000 n 0012628118 00000 n 0012628300 00000 n 0012628480 00000 n 0012628665 00000 n 0012628845 00000 n 0012629026 00000 n 0012629198 00000 n 0012629370 00000 n 0012629543 00000 n 0012629716 00000 n 0012629889 00000 n 0012630061 00000 n 0012630233 00000 n 0012630406 00000 n 0012630578 00000 n 0012630753 00000 n 0012630930 00000 n 0012631107 00000 n 0012631279 00000 n 0012631456 00000 n 0012631637 00000 n 0012631809 00000 n 0012631995 00000 n 0012632178 00000 n 0012632364 00000 n 0012632539 00000 n 0012632721 00000 n 0012632900 00000 n 0012633090 00000 n 0012633272 00000 n 0012633455 00000 n 0012633636 00000 n 0012633814 00000 n 0012633993 00000 n 0012634177 00000 n 0012634360 00000 n 0012634532 00000 n 0012634708 00000 n 0012634888 00000 n 0012635067 00000 n 0012635242 00000 n 0012635427 00000 n 0012635606 00000 n 0012635783 00000 n 0012635958 00000 n 0012636134 00000 n 0012636312 00000 n 0012636491 00000 n 0012636677 00000 n 0012636848 00000 n 0012637026 00000 n 0012637206 00000 n 0012637382 00000 n 0012637571 00000 n 0012637745 00000 n 0012637922 00000 n 0012638108 00000 n 0012638282 00000 n 0012638460 00000 n 0012638654 00000 n 0012638831 00000 n 0012639011 00000 n 0012639194 00000 n 0012639378 00000 n 0012639562 00000 n 0012639743 00000 n 0012639918 00000 n 0012640097 00000 n 0012640277 00000 n 0012640457 00000 n 0012640629 00000 n 0012640804 00000 n 0012640991 00000 n 0012641177 00000 n 0012641352 00000 n 0012641535 00000 n 0012641726 00000 n 0012641906 00000 n 0012642081 00000 n 0012642257 00000 n 0012642428 00000 n 0012642605 00000 n 0012642779 00000 n 0012642953 00000 n 0012643128 00000 n 0012643311 00000 n 0012643493 00000 n 0012643672 00000 n 0012643857 00000 n 0012644035 00000 n 0012644212 00000 n 0012644400 00000 n 0012644573 00000 n 0012644748 00000 n 0012644924 00000 n 0012645096 00000 n 0012645274 00000 n 0012645445 00000 n 0012645631 00000 n 0012645805 00000 n 0012645979 00000 n 0012646155 00000 n 0012646330 00000 n 0012646506 00000 n 0012646683 00000 n 0012646852 00000 n 0012647032 00000 n 0012647206 00000 n 0012647371 00000 n 0012647543 00000 n 0012647720 00000 n 0012647892 00000 n 0012648069 00000 n 0012648247 00000 n 0012648426 00000 n 0012648603 00000 n 0012648779 00000 n 0012648958 00000 n 0012649136 00000 n 0012649317 00000 n 0012649494 00000 n 0012649672 00000 n 0012649849 00000 n 0012650026 00000 n 0012650202 00000 n 0012650383 00000 n 0012650557 00000 n 0012650736 00000 n 0012650914 00000 n 0012651093 00000 n 0012651270 00000 n 0012651453 00000 n 0012651629 00000 n 0012651806 00000 n 0012651986 00000 n 0012652166 00000 n 0012652342 00000 n 0012652527 00000 n 0012652706 00000 n 0012652883 00000 n 0012653061 00000 n 0012653231 00000 n 0012653405 00000 n 0012653580 00000 n 0012653763 00000 n 0012653939 00000 n 0012654114 00000 n 0012654295 00000 n 0012654470 00000 n 0012654646 00000 n 0012654827 00000 n 0012655013 00000 n 0012655191 00000 n 0012655372 00000 n 0012655543 00000 n 0012655713 00000 n 0012655888 00000 n 0012656070 00000 n 0012656244 00000 n 0012656421 00000 n 0012656605 00000 n 0012656784 00000 n 0012656955 00000 n 0012657126 00000 n 0012657311 00000 n 0012657496 00000 n 0012657670 00000 n 0012657846 00000 n 0012658029 00000 n 0012658200 00000 n 0012658371 00000 n 0012658543 00000 n 0012658709 00000 n 0012658875 00000 n 0012659045 00000 n 0012659223 00000 n 0012659401 00000 n 0012659580 00000 n 0012659750 00000 n 0012659921 00000 n 0012660095 00000 n 0012660265 00000 n 0012660442 00000 n 0012660608 00000 n 0012660786 00000 n 0012660967 00000 n 0012661143 00000 n 0012661317 00000 n 0012661494 00000 n 0012661672 00000 n 0012661854 00000 n 0012662036 00000 n 0012662218 00000 n 0012662393 00000 n 0012662567 00000 n 0012662747 00000 n 0012662923 00000 n 0012663102 00000 n 0012663276 00000 n 0012663461 00000 n 0012663637 00000 n 0012663819 00000 n 0012663994 00000 n 0012664172 00000 n 0012664344 00000 n 0012664521 00000 n 0012664701 00000 n 0012664881 00000 n 0012665063 00000 n 0012665256 00000 n 0012665438 00000 n 0012665611 00000 n 0012665790 00000 n 0012665959 00000 n 0012666135 00000 n 0012666316 00000 n 0012666498 00000 n 0012666671 00000 n 0012666853 00000 n 0012667030 00000 n 0012667204 00000 n 0012667381 00000 n 0012667552 00000 n 0012667724 00000 n 0012667898 00000 n 0012668076 00000 n 0012668247 00000 n 0012668427 00000 n 0012668607 00000 n 0012668779 00000 n 0012668958 00000 n 0012669137 00000 n 0012669325 00000 n 0012669372 00000 n 0012669810 00000 n 0012673185 00000 n 0012676496 00000 n 0012679818 00000 n 0012683147 00000 n 0012686476 00000 n 0012689799 00000 n 0012693124 00000 n 0012696436 00000 n 0012699699 00000 n 0012702962 00000 n 0012706225 00000 n 0012709287 00000 n 0012710900 00000 n 0012712511 00000 n 0012714113 00000 n 0012715715 00000 n 0012717314 00000 n 0012718922 00000 n 0012720531 00000 n 0012722126 00000 n 0012723673 00000 n 0012725220 00000 n 0012726767 00000 n 0012728298 00000 n 0012730154 00000 n 0012732079 00000 n 0012734077 00000 n 0012736296 00000 n 0012738712 00000 n 0012740758 00000 n 0012742444 00000 n 0012744475 00000 n 0012746676 00000 n 0012748818 00000 n 0012750649 00000 n 0012753248 00000 n 0012755292 00000 n 0012756993 00000 n 0012758611 00000 n 0012782239 00000 n 0012782972 00000 n 0012783019 00000 n 0012783066 00000 n 0012783117 00000 n 0012783168 00000 n 0012783219 00000 n 0012783270 00000 n 0012783319 00000 n 0012783368 00000 n 0012783418 00000 n 0012783466 00000 n 0012783514 00000 n 0012783562 00000 n 0012783610 00000 n 0012783658 00000 n 0012783706 00000 n 0012783754 00000 n 0012783802 00000 n 0012783850 00000 n 0012783898 00000 n 0012783946 00000 n 0012783994 00000 n 0012784042 00000 n 0012784090 00000 n 0012784138 00000 n 0012784186 00000 n 0012784234 00000 n 0012784282 00000 n 0012784330 00000 n 0012784378 00000 n 0012784426 00000 n 0012784474 00000 n 0012784522 00000 n 0012784570 00000 n 0012784618 00000 n 0012784666 00000 n 0012784714 00000 n 0012784762 00000 n 0012784810 00000 n 0012784858 00000 n 0012784906 00000 n 0012784954 00000 n 0012785002 00000 n 0012785050 00000 n 0012785098 00000 n 0012785146 00000 n 0012785194 00000 n 0012785242 00000 n 0012785290 00000 n 0012785338 00000 n 0012785386 00000 n 0012785434 00000 n 0012785482 00000 n 0012785530 00000 n 0012785578 00000 n 0012785626 00000 n 0012785674 00000 n 0012785722 00000 n 0012785770 00000 n 0012785818 00000 n 0012785866 00000 n 0012785914 00000 n 0012785962 00000 n 0012786010 00000 n 0012786058 00000 n 0012786106 00000 n 0012786154 00000 n 0012786202 00000 n 0012786250 00000 n 0012786298 00000 n 0012786346 00000 n 0012786394 00000 n 0012786442 00000 n 0012786490 00000 n 0012786538 00000 n 0012786586 00000 n 0012786634 00000 n 0012786682 00000 n 0012786730 00000 n 0012786778 00000 n 0012786826 00000 n 0012786874 00000 n 0012786922 00000 n 0012786970 00000 n 0012787018 00000 n 0012787066 00000 n 0012787114 00000 n 0012787162 00000 n 0012787210 00000 n 0012787258 00000 n 0012787306 00000 n 0012787354 00000 n 0012787402 00000 n 0012787450 00000 n 0012787498 00000 n 0012787546 00000 n 0012787594 00000 n 0012787642 00000 n 0012787690 00000 n 0012787738 00000 n 0012787786 00000 n 0012787834 00000 n 0012787882 00000 n 0012787930 00000 n 0012787978 00000 n 0012788026 00000 n 0012788074 00000 n 0012788122 00000 n 0012788170 00000 n 0012788218 00000 n 0012788266 00000 n 0012788314 00000 n 0012788362 00000 n 0012788410 00000 n 0012788458 00000 n 0012788506 00000 n 0012788554 00000 n 0012788602 00000 n 0012788650 00000 n 0012788698 00000 n 0012788746 00000 n 0012788794 00000 n 0012788842 00000 n 0012788890 00000 n 0012788938 00000 n 0012788986 00000 n 0012789034 00000 n 0012789082 00000 n 0012789130 00000 n 0012789178 00000 n 0012789226 00000 n 0012789274 00000 n 0012789322 00000 n 0012789370 00000 n 0012789418 00000 n 0012789466 00000 n 0012789514 00000 n 0012789562 00000 n 0012789610 00000 n 0012789658 00000 n 0012789706 00000 n 0012789754 00000 n 0012789802 00000 n 0012789850 00000 n 0012789898 00000 n 0012789946 00000 n 0012789994 00000 n 0012790042 00000 n 0012790090 00000 n 0012790138 00000 n 0012790186 00000 n 0012790234 00000 n 0012790282 00000 n 0012790330 00000 n 0012790378 00000 n 0012790426 00000 n 0012790474 00000 n 0012790522 00000 n 0012790570 00000 n 0012790618 00000 n 0012790666 00000 n 0012790714 00000 n 0012790762 00000 n 0012790810 00000 n 0012790858 00000 n 0012790906 00000 n 0012790954 00000 n 0012791002 00000 n 0012791050 00000 n 0012791098 00000 n 0012791146 00000 n 0012791194 00000 n 0012791242 00000 n 0012791290 00000 n 0012791338 00000 n 0012791386 00000 n 0012791434 00000 n 0012791482 00000 n 0012791530 00000 n 0012791578 00000 n 0012791626 00000 n 0012791674 00000 n 0012791722 00000 n 0012791770 00000 n 0012791818 00000 n 0012791866 00000 n 0012791914 00000 n 0012791962 00000 n 0012792010 00000 n 0012792058 00000 n 0012792106 00000 n 0012792154 00000 n 0012792202 00000 n 0012792250 00000 n 0012792298 00000 n 0012792346 00000 n 0012792394 00000 n 0012792442 00000 n 0012792490 00000 n 0012792538 00000 n 0012792586 00000 n 0012792634 00000 n 0012792682 00000 n 0012792730 00000 n 0012792778 00000 n 0012792826 00000 n 0012792874 00000 n 0012792922 00000 n 0012792970 00000 n 0012793018 00000 n 0012793066 00000 n 0012793114 00000 n 0012793162 00000 n 0012793210 00000 n 0012793258 00000 n 0012793306 00000 n 0012793354 00000 n 0012793402 00000 n 0012793450 00000 n 0012793498 00000 n 0012793546 00000 n 0012793594 00000 n 0012793642 00000 n 0012793690 00000 n 0012793738 00000 n 0012793786 00000 n 0012793834 00000 n 0012793882 00000 n 0012793930 00000 n 0012793978 00000 n 0012794026 00000 n 0012794074 00000 n 0012794122 00000 n 0012794170 00000 n 0012794218 00000 n 0012794266 00000 n 0012794314 00000 n 0012794362 00000 n 0012794410 00000 n 0012794458 00000 n 0012794506 00000 n 0012794554 00000 n 0012794602 00000 n 0012794650 00000 n 0012794698 00000 n 0012794746 00000 n 0012794794 00000 n 0012794842 00000 n 0012794890 00000 n 0012794938 00000 n 0012794986 00000 n 0012795034 00000 n 0012795082 00000 n 0012795130 00000 n 0012795178 00000 n 0012795226 00000 n 0012795274 00000 n 0012795322 00000 n 0012795370 00000 n 0012795418 00000 n 0012795466 00000 n 0012795514 00000 n 0012795562 00000 n 0012795610 00000 n 0012795658 00000 n 0012795706 00000 n 0012795754 00000 n 0012795802 00000 n 0012795850 00000 n 0012795898 00000 n 0012795946 00000 n 0012795994 00000 n 0012796042 00000 n 0012796090 00000 n 0012796138 00000 n 0012796186 00000 n 0012796234 00000 n 0012796282 00000 n 0012796330 00000 n 0012796378 00000 n 0012796426 00000 n 0012796474 00000 n 0012796522 00000 n 0012796570 00000 n 0012796618 00000 n 0012796666 00000 n 0012796714 00000 n 0012796762 00000 n 0012796810 00000 n 0012796858 00000 n 0012796906 00000 n 0012796954 00000 n 0012797002 00000 n 0012797050 00000 n 0012797098 00000 n 0012797146 00000 n 0012797194 00000 n 0012797242 00000 n 0012797290 00000 n 0012797338 00000 n 0012797386 00000 n 0012797434 00000 n 0012797482 00000 n 0012797530 00000 n 0012797578 00000 n 0012797626 00000 n 0012797674 00000 n 0012797722 00000 n 0012797770 00000 n 0012797818 00000 n 0012797866 00000 n 0012797914 00000 n 0012797962 00000 n 0012798010 00000 n 0012798058 00000 n 0012798106 00000 n 0012798154 00000 n 0012798202 00000 n 0012798250 00000 n 0012798298 00000 n 0012798346 00000 n 0012798394 00000 n 0012798442 00000 n 0012798491 00000 n 0012798540 00000 n 0012798589 00000 n 0012798638 00000 n 0012798687 00000 n 0012798736 00000 n 0012798785 00000 n 0012798834 00000 n 0012798883 00000 n 0012798932 00000 n 0012798981 00000 n 0012799030 00000 n 0012799079 00000 n 0012799128 00000 n 0012799177 00000 n 0012799226 00000 n 0012799275 00000 n 0012799324 00000 n 0012799373 00000 n 0012799422 00000 n 0012799471 00000 n 0012799520 00000 n 0012799569 00000 n 0012799618 00000 n 0012799667 00000 n 0012799716 00000 n 0012799765 00000 n 0012799814 00000 n 0012799863 00000 n 0012799912 00000 n 0012799961 00000 n 0012800010 00000 n 0012800059 00000 n 0012800108 00000 n 0012800157 00000 n 0012800206 00000 n 0012800255 00000 n 0012800304 00000 n 0012800353 00000 n 0012800402 00000 n 0012800451 00000 n 0012800500 00000 n 0012800549 00000 n 0012800598 00000 n 0012800647 00000 n 0012800696 00000 n 0012800745 00000 n 0012800794 00000 n 0012800843 00000 n 0012800892 00000 n 0012800941 00000 n 0012800990 00000 n 0012801039 00000 n 0012801088 00000 n 0012801137 00000 n 0012801186 00000 n 0012801235 00000 n 0012801284 00000 n 0012801333 00000 n 0012801382 00000 n 0012801431 00000 n 0012801480 00000 n 0012801529 00000 n 0012801578 00000 n 0012801627 00000 n 0012801676 00000 n 0012801725 00000 n 0012801774 00000 n 0012801823 00000 n 0012801872 00000 n 0012801921 00000 n 0012801970 00000 n 0012802019 00000 n 0012802068 00000 n 0012802117 00000 n 0012802166 00000 n 0012802215 00000 n 0012802264 00000 n 0012802313 00000 n 0012802362 00000 n 0012802411 00000 n 0012802460 00000 n 0012802509 00000 n 0012802558 00000 n 0012802607 00000 n 0012802656 00000 n 0012802705 00000 n 0012802754 00000 n 0012802803 00000 n 0012802852 00000 n 0012802901 00000 n 0012802950 00000 n 0012802999 00000 n 0012803048 00000 n 0012803097 00000 n 0012803146 00000 n 0012803195 00000 n 0012803244 00000 n 0012803293 00000 n 0012803342 00000 n 0012803391 00000 n 0012803440 00000 n 0012803489 00000 n 0012803538 00000 n 0012803587 00000 n 0012803636 00000 n 0012803685 00000 n 0012803734 00000 n 0012803783 00000 n 0012803832 00000 n 0012803881 00000 n 0012803930 00000 n 0012803979 00000 n 0012804028 00000 n 0012804077 00000 n 0012804126 00000 n 0012804175 00000 n 0012804224 00000 n 0012804273 00000 n 0012804322 00000 n 0012804371 00000 n 0012804420 00000 n 0012804469 00000 n 0012804518 00000 n 0012804567 00000 n 0012804616 00000 n 0012804665 00000 n 0012804714 00000 n 0012804763 00000 n 0012804812 00000 n 0012804861 00000 n 0012804910 00000 n 0012804959 00000 n 0012805008 00000 n 0012805057 00000 n 0012805106 00000 n 0012805155 00000 n 0012805204 00000 n 0012805253 00000 n 0012805302 00000 n 0012805351 00000 n 0012805400 00000 n 0012805449 00000 n 0012805498 00000 n 0012805547 00000 n 0012805596 00000 n 0012805645 00000 n 0012805694 00000 n 0012805743 00000 n 0012805792 00000 n 0012805841 00000 n 0012805890 00000 n 0012805939 00000 n 0012805988 00000 n 0012806037 00000 n 0012806086 00000 n 0012806135 00000 n 0012806184 00000 n 0012806233 00000 n 0012806282 00000 n 0012806331 00000 n 0012806380 00000 n 0012806429 00000 n 0012806478 00000 n 0012806527 00000 n 0012806576 00000 n 0012806625 00000 n 0012806674 00000 n 0012806723 00000 n 0012806772 00000 n 0012806821 00000 n 0012806870 00000 n 0012806919 00000 n 0012806968 00000 n 0012807017 00000 n 0012807066 00000 n 0012807115 00000 n 0012807164 00000 n 0012807213 00000 n 0012807262 00000 n 0012807311 00000 n 0012807360 00000 n 0012807409 00000 n 0012807458 00000 n 0012807507 00000 n 0012807556 00000 n 0012807605 00000 n 0012807654 00000 n 0012807703 00000 n 0012807752 00000 n 0012807801 00000 n 0012807850 00000 n 0012807899 00000 n 0012807948 00000 n 0012807997 00000 n 0012808046 00000 n 0012808095 00000 n 0012808144 00000 n 0012808193 00000 n 0012808242 00000 n 0012808291 00000 n 0012808340 00000 n 0012808389 00000 n 0012808438 00000 n 0012808487 00000 n 0012808536 00000 n 0012808585 00000 n 0012808634 00000 n 0012808683 00000 n 0012808732 00000 n 0012808781 00000 n 0012808830 00000 n 0012808879 00000 n 0012808928 00000 n 0012808977 00000 n 0012809026 00000 n 0012809075 00000 n 0012809124 00000 n 0012809173 00000 n 0012809222 00000 n 0012809271 00000 n 0012809320 00000 n 0012809369 00000 n 0012809418 00000 n 0012809467 00000 n 0012809516 00000 n 0012809565 00000 n 0012809614 00000 n 0012809663 00000 n 0012809712 00000 n 0012809761 00000 n 0012809810 00000 n 0012809859 00000 n 0012809908 00000 n 0012809957 00000 n 0012810006 00000 n 0012810055 00000 n 0012810104 00000 n 0012810153 00000 n 0012810202 00000 n 0012810251 00000 n 0012810300 00000 n 0012810349 00000 n 0012810398 00000 n 0012810447 00000 n 0012810496 00000 n 0012810545 00000 n 0012810594 00000 n 0012810643 00000 n 0012810692 00000 n 0012810741 00000 n 0012810790 00000 n 0012810839 00000 n 0012810888 00000 n 0012810937 00000 n 0012810986 00000 n 0012811035 00000 n 0012811084 00000 n 0012811133 00000 n 0012811182 00000 n 0012811231 00000 n 0012811280 00000 n 0012811329 00000 n 0012811378 00000 n 0012811427 00000 n 0012811476 00000 n 0012811525 00000 n 0012811574 00000 n 0012811623 00000 n 0012811672 00000 n 0012811721 00000 n 0012811770 00000 n 0012811819 00000 n 0012811868 00000 n 0012811917 00000 n 0012811966 00000 n 0012812015 00000 n 0012812064 00000 n 0012812113 00000 n 0012812162 00000 n 0012812211 00000 n 0012812260 00000 n 0012812309 00000 n 0012812358 00000 n 0012812407 00000 n 0012812456 00000 n 0012812505 00000 n 0012812554 00000 n 0012812603 00000 n 0012812652 00000 n 0012812701 00000 n 0012812750 00000 n 0012812799 00000 n 0012812848 00000 n 0012812897 00000 n 0012812946 00000 n 0012812995 00000 n 0012813044 00000 n 0012813093 00000 n 0012813142 00000 n 0012813191 00000 n 0012813240 00000 n 0012813289 00000 n 0012813338 00000 n 0012813387 00000 n 0012813436 00000 n 0012813485 00000 n 0012813534 00000 n 0012813583 00000 n 0012813632 00000 n 0012813681 00000 n 0012813730 00000 n 0012813779 00000 n 0012813828 00000 n 0012813877 00000 n 0012813926 00000 n 0012813975 00000 n 0012814024 00000 n 0012814073 00000 n 0012814122 00000 n 0012814171 00000 n 0012814220 00000 n 0012814269 00000 n 0012814318 00000 n 0012814367 00000 n 0012814416 00000 n 0012814465 00000 n 0012814514 00000 n 0012814563 00000 n 0012814612 00000 n 0012814661 00000 n 0012814710 00000 n 0012814759 00000 n 0012814808 00000 n 0012814857 00000 n 0012814906 00000 n 0012814955 00000 n 0012815004 00000 n 0012815053 00000 n 0012815102 00000 n 0012815151 00000 n 0012815200 00000 n 0012815249 00000 n 0012815298 00000 n 0012815347 00000 n 0012815396 00000 n 0012815445 00000 n 0012815494 00000 n 0012815543 00000 n 0012815592 00000 n 0012815641 00000 n 0012815690 00000 n 0012815739 00000 n 0012815788 00000 n 0012815837 00000 n 0012815886 00000 n 0012815935 00000 n 0012815984 00000 n 0012816033 00000 n 0012816082 00000 n 0012816131 00000 n 0012816180 00000 n 0012816229 00000 n 0012816278 00000 n 0012816327 00000 n 0012816376 00000 n 0012816425 00000 n 0012816474 00000 n 0012816523 00000 n 0012816572 00000 n 0012816621 00000 n 0012816670 00000 n 0012816719 00000 n 0012816768 00000 n 0012816817 00000 n 0012816866 00000 n 0012816915 00000 n 0012816964 00000 n 0012817013 00000 n 0012817062 00000 n 0012817111 00000 n 0012817160 00000 n 0012817209 00000 n 0012817258 00000 n 0012817307 00000 n 0012817356 00000 n 0012817405 00000 n 0012817454 00000 n 0012817503 00000 n 0012817552 00000 n 0012817601 00000 n 0012817650 00000 n 0012817699 00000 n 0012817748 00000 n 0012817797 00000 n 0012817846 00000 n 0012817895 00000 n 0012817944 00000 n 0012817993 00000 n 0012818042 00000 n 0012818091 00000 n 0012818140 00000 n 0012818189 00000 n 0012818238 00000 n 0012818287 00000 n 0012818336 00000 n 0012818385 00000 n 0012818434 00000 n 0012818483 00000 n 0012818532 00000 n 0012818581 00000 n 0012818630 00000 n 0012818679 00000 n trailer << /Size 24768 >> startxref 192 %%EOF

    3ǃ _J:z۲| Q(&H ir3*-_Zd'*"F==Gg7UL`&(az&J7'; h*H= #} Q@)+e?5]1lm&=K$|ll>;B a;aօ튵6ˌ*=7݈O׋£FؘWpgB&ΈE[\t Ƿ6]Dk/",(鐂0.f2 Y]s:5фE 2JGDsg!+Pts3ay%86/NcY SjH }n}Uhf|FR/Y(c}"pV DR #FxRݦ4=ĞWbNGʆ0OЫ ̿s1%#B}\S]EfhRLV._e!#6澦*ۊ2%/b c؅sb cdZr͡:~QHSjYUwFDjuסZZsU2hAM)]/B2,Ѱ/^:nL;}e=+>ϫl&*#<ʓiPO K@Wju2\=6BM+nKG`+e=wga9lc<5.6#{c'=};0S=k9g?Pkbܨ7dJ%=ㅝ42ˁQ L"ص*ؒ-}fKF! X](:xZ X<f+`LqeS:-T\`ҵ6Ixua%;\\_aP`e 5Vkx΍k;ϒCqIyWu 8l!BX7_Qeۼss c$[Bqo?z~6o;Th~Xaj <3pXf@S+0MNb;Y;XGX4z0G Y=.1 fU)g0Se_2]6%vbz Bi X+T=d[;;^bcg.d$7~ڛ=KK zNy϶;q-5aULJO}a$XMr3.2Zz.Gi$=5JtmX9_^so0x0TUrz2WhYb0>6p&T+ [/FDuM±va]whpsalT*ܝTL:ڋ+Vk76'qx rVi@tM7|rs`(]79z*\*YU+:7&BK*AJ,*xD=w/TT2Ԑߗ{̐?Oƺk yA!'aT}y泼hM0w"nߺpypݛt'l_dH** EW0bO $[ Q{nu09Tom9Stu*L"oe". E1ic ir h"fp*@;v9p.a{]}Iu6 ?`  =Pz2M ~˰PCC\h'ɗ/O|Ű)XA j=kD wax#U3$pxAi 񪛞r|bࠔ1|7 Ha/`RiЖq Hr ĕ;fsѴ~Y}=WM _R h,9Ŭ-i5\?O: <iO@~֕Ϳ') ď^Rd"]e, F0Ul_p/(h#F':ݶ+T+T"]}0-i?.m Xv|)w|gd[8?xYNX7uG zXJgxG&é.֮&h70-o禶ULFi:6&ە,F\wo|ɺSp1OS19rl Σ7! kKɐ&LRQUj Ky|@綌@jf=ت9q]5˭jd<8~ѽgBe z%^aGzm#!ѯyFȟXM}O~O:oK1&g>.0'tP}+Q$ ]׽ͽs&ؙu'f+r CYuZ~J+ц%۵@[g<8RqǜE ?xhe endstream endobj 10368 0 obj << /Annots 10370 0 R /BleedBox [0 0 612 792] /Contents [10384 0 R 10380 0 R 10381 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23231 10382 0 R >> >> /Type /Page >> endobj 10369 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10370 0 obj [10369 0 R 10371 0 R 10372 0 R 10373 0 R 10374 0 R 10375 0 R 10376 0 R 10377 0 R 10378 0 R 10379 0 R 10383 0 R] endobj 10371 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 586.1 184.2532 597.1] /Subtype /Link /Type /Annot >> endobj 10372 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 569.9 145.4837 580.9] /Subtype /Link /Type /Annot >> endobj 10373 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 553.6999 154.2562 564.6999] /Subtype /Link /Type /Annot >> endobj 10374 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 537.5 191.3372 548.5] /Subtype /Link /Type /Annot >> endobj 10375 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 521.2999 145.1812 532.2999] /Subtype /Link /Type /Annot >> endobj 10376 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20210608081201-08'00') /Rect [104.1732 505.1 186.4147 516.1] /Subtype /Link /Type /Annot >> endobj 10377 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 488.8999 164.9372 499.8999] /Subtype /Link /Type /Annot >> endobj 10378 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 472.6999 154.3497 483.6999] /Subtype /Link /Type /Annot >> endobj 10379 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 456.4999 180.9312 467.4999] /Subtype /Link /Type /Annot >> endobj 10380 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10381 0 obj << /Length 19 >> stream q /Iabc23231 Do Q endstream endobj 10382 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23232 20690 0 R /Gabc23233 20697 0 R >> /Font << /Fabc23234 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10384 0 obj << /Filter /FlateDecode /Length 1850 >> stream xXYkG~_ρ}XCkțBB!֋~Ꙟ VU_]U?k-UO9,v'1hqFI6ӓZ7;ڒ- ºFg[ 09oc8k67} ew̨x^37׀1:[Sp!KXc(0a] Kge$ˮK(oͰ7\AEJ E7*.3\b.4V,ޠF&g-EoQ}nSGxֺQ..~_ (FsJwo3*ί:̅&?ښWey?dӡGLF_AuVZ$̈́ r1[ǭ?^a/ŶZڣg֡V$t1L>XQV}9_Dl6X=Zq-3%݁uX,d~|.\huA!wxh5XĘM}Ͷ}[^Z liv2^4C(tb]4 ]a ﴀne_rev{yD.**^kJ)8_*JOÃ'? @{o|-9n^ *1qrv+\VGV>v%i8OX*`JiWZ"fCTN:!rL5PX2&|x9 - t4-39L.ƃ&~=Bew3 -< "ͬN&%:NcaɫKG+~x^eVgʹWyANu1G=फ़pw驡3VN$&LgAkۧC{>^-̵p&!_TϼYv=?ZdU/ AZ˨{M*n5RV!_kQZ^k`ë GbMSQ^ ^am=}g,ztA]R*KSEd=)mYiGEZG7"Uz[uK(A(忹^N) h)2U M tXY m?71BCagID ésDYlOI`08BT](c,4SMD2d)=ؙӤOdчx&V`!xyeYp9 ̻0id/Q^P^4RH߷@"c^o.9n=dt5apSc[4fza./N x%'傼RR}\sYU.t˕VOOq{h(B#)SN?@`݁>*(ꧽ`7|v zub>{rhc>@1ҷ|_a`,:Zf,g+JJjr`K`쎋 Ys };'#(w"o/JbV0U$'N Uc1@&CIʶi'w4Fsqv܃r[ԹB@T4َcmN ulK!ͿMcb"r F endstream endobj 10385 0 obj << /Annots 10387 0 R /BleedBox [0 0 612 792] /Contents [10394 0 R 10390 0 R 10391 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23250 10392 0 R >> >> /Type /Page >> endobj 10386 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10387 0 obj [10386 0 R 10388 0 R 10389 0 R 10393 0 R] endobj 10388 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 324.925 112.8525 335.925] /Subtype /Link /Type /Annot >> endobj 10389 0 obj << /A << /D (unique_56_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20210608081201-08'00') /Rect [118.1655 324.925 163.7275 335.925] /Subtype /Link /Type /Annot >> endobj 10390 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10391 0 obj << /Length 19 >> stream q /Iabc23250 Do Q endstream endobj 10392 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23251 20690 0 R /Gabc23252 20697 0 R >> /Font << /Fabc23253 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10394 0 obj << /Filter /FlateDecode /Length 3016 >> stream xڵZK Wl*zT1{yw=9ycLu0nW%GR߄:U1ld7ux;~7aNaI^?4&<>|-&y{FkwZ/ԷvSC/:^<<  ͼ>x<]E9_Yx?3N^'ukq,A/ᙞߑ!c(}[{b#d,0q#}+?ZEhtȜ\#J'neo|R|P+~\,\aŞ_0E;ӬЏ .4n^=G43W)Z9 QĺGR.x@Qu+X6cxg/26_9qC] xws71.N<&F'9b\k~t(8v[dnbg%\"*QBg.ܜ}Z'66&zM> p60#p}8PAmƁ TA}Zx<PP&{̌bi*tq$Lg1MZX+´ficG U0lt9JjMQt `ѷnG}3hUqZ_wHSG UTLݴe/޴ 6ho]^ّWh)zk-fe>4xpJvŤ{De.[ظ5kkP%9?-dAF.2j00ծf#vh=c\+n9on;Îws܅ZCݻlsَ9M;Q{aePwL~W߳{Vl k``VW]dz7Vzu=Y2!e˼H~;1h0FE0)L ԰Q5I0kL+ |Եk3#wG]V̵n_P.{*hˎvlvf<ˁmyXky3L~v XNH702ag:|de޵^hmUNg$y+g4jxU¸i!WorCr]3W߯֊y98l^yZMQQ]ZC7@XqcJDK3 RPP^x5ޏ5+.-bRFxL1AeLQl>xƅrluPX+b煖̻.3a\koּg>(;Z]]Ea"/NE},3-As+yZQ2EO>]˜pt3 3֐բf 3]ucϸG =X v-ؙ{Mn=EuEʠ4kNv+ %d#1\)4 i P>tVaHf摆(1nJ{=PJ yr{'&jT3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23269 10401 0 R >> >> /Type /Page >> endobj 10396 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10397 0 obj [10396 0 R 10398 0 R 10402 0 R] endobj 10398 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [90 249.525 122.692 260.525] /Subtype /Link /Type /Annot >> endobj 10399 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10400 0 obj << /Length 19 >> stream q /Iabc23269 Do Q endstream endobj 10401 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23270 20690 0 R /Gabc23271 20697 0 R >> /Font << /Fabc23272 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ P endstream endobj 10402 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=894) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10403 0 obj << /Filter /FlateDecode /Length 3494 >> stream x[KoW@4fyx@ `_S>{Zٛ\yjUXd/1EVɤQxvhԺ5"RN.F]w>mމv28SVυ*?">/%,rFA ȿHZ6ga 7{m{msYFk׵ᑯNZ{+eN sw"4LTI ,092ϡ* PH$!G <'V?66έ0OA_>Ψ*|ey,C=IhB3\vn[}yPBM{|f niaLX*;nڷ w$4}ڭhQ;8B6=viYx8^r\%nEKނ :gLqsz[MC]j*)sUqXHe ]rS^rD.kW+2fŜ{D`x5@W쨢z\0U c]38A3K` \ϳ.. K0I5cMTzqH@12ɭyb~CZ* M_.?P', g,@!S?'P jcמzUaL A%`l VQ$O ڮ.֧]Ntgٰ@Ɋ ;, ,,`ReH]VmX&`k]Z;ĸ1hq| k׍*XUv rV\b_>Ʊ}>Wc440P \o[ T>2P=Úpq`J\>sLx%F"G9Y!P;McV/Iൗa B ±ϐzc ]12p `]@3.ְ2t~&,l&zNla9e~a/8V1BNgpyG\|)j٥jkXԆmop)n٥8880ao(.ogDt$TLVfOZ~eQRx=?.j9鷅AVܥ,K089LlI- qnB@Osބi2ɸIQ9Foa̮$q?zSw̹t;:ry"Z:|潘)IaḞ@O -a|a׷ wu t.ns?]6E} ꆑOt, ZjDxT$iPT=(G?hF"46378J]HӦiVQ,΁lI9;uw]X\h_[Wh{sas*2Niݥ9e}J{([1,s8xe޲N_UK|G Qkڐ|%U :DP"z*j? Z2ar+G~4?8u٬WL[y?ν lfEdkc_.%2c7}?F4yY_g n3OEݳG5:4WܰVe\ȀaOR Uv<?d;q M*2#gQbZY b@R]K3Ub\\j.k>2[;Zj]:k !U^Gu>OŌLw=4V_5NKF|qO>`0snmD/8ok a m XYC=|' N~yŬ.JbĤ.JƐln,5,щ `Ug63E~x)˽!OndS7RRi[R XG/J@oW *=1K(QgT(=h)jr})d-=2S-Q3QP*j #ޥLC-!̱K m-E<6 .{WaDp~,uK*6Q>-}rAsVM=NN^k9c#d>c:'2LQ^,-?_ʔoE}lOp~)b\ah^?1Ic*afܢKnM"?(xܞ7P,k endstream endobj 10404 0 obj << /Annots 10406 0 R /BleedBox [0 0 612 792] /Contents [10413 0 R 10409 0 R 10410 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23288 10411 0 R >> >> /Type /Page >> endobj 10405 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10406 0 obj [10405 0 R 10407 0 R 10408 0 R 10412 0 R] endobj 10407 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 315.725 122.505 326.725] /Subtype /Link /Type /Annot >> endobj 10408 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [127.818 315.725 169.6455 326.725] /Subtype /Link /Type /Annot >> endobj 10409 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10410 0 obj << /Length 19 >> stream q /Iabc23288 Do Q endstream endobj 10411 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23289 20690 0 R /Gabc23290 20697 0 R >> /Font << /Fabc23291 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 10412 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=895) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10413 0 obj << /Filter /FlateDecode /Length 4234 >> stream xڵˎί賁~vFC;vH~WwH<&]U7j-_(sKf>x$]|K?/?bUdt!'r0 RNڴ>,o7t{Nݩ5>m +6 q d}Jhe/De'",') UgKp_X [il|OU<Ħfd |6%D#Aiٔm "O4FGu&$SIooZb}Б*NL{ wFH%R*l-+>)een:]ś^.!)D% (j!Cq/3n ~ #3bm\K,2LիVf3 %؝Ol:w1Wff'mc-[]\s;HTG;e J`ҨĐ*5E8!D_T(}Y3('i1+8Rb]q,51FIx(~9MMN2'B^o m`g~wHՄ!t!ngr{Ynb= EBM]5OCa*H ͂bS=Y2hב,2T(aPz&Vt 57 эViodmH(9ېEQd0J x1كR=kYY2e1;=' (8qwab\jx?$t~"i5),}NR r*+ Q2!bnr %u`F;nȵ*EqW"у"Q,sNeH_&_= &#d{ QŠ_(p_`oBGx ]’˂{C3 vhog"ӆ> EZLCQ @%r¢ W@ouߊUsj, 9z"/frjjd2R|?@tVf=kwsGL267`돟{;Ļ5OQq i=E^s-1pK $[WVu`n 0 _ :1u QXa%\\`%M-#dan%[ U2`.%eDnBol ]QN;5&+f=/ }o?A7ިy-w'$KYd'S<)0G#{瀊bج}ok+VwI;ݎvvZlY=nP˻P\ewE^2-0{V##}~Q;lf^-j7f2/'p1c^/-L cyl))5:A79_览&+byFgm/F?vAkui6xܿC,T$GngAOD1be`]ekywv6Yk?P',b`X.E0aX-wXʛ oz> n a5^HT[yȀ՟HHe)X*18X;"ۮ74]X+)EG'[3=xΉxynJ c?V8l؂P@SQǁ-XMz6\tX *vV* 䪯ރX:mYcN]S gS$.`C P%Uq,XТP^05X0ٱDI$dP7!hkuyBi(Ġ>UjUz-(wy̚nթu;q!VʆGZrbGG̯IXUK+]]<Lĵ,]B] « 1uպ%YlGev9DҸ°CvѦM{6fz{$9WDf1s J%CĜ>]؆:UP*{SkΉ`ї55 s0N%[+!3AZ+I(P:6>rfRX"bZgThQti7w4,9=jG3˵іp pYKONH7N7x+WSO8" 0Rw!Gԇ;c5s~ vaȼgSä5j'ޓeyŶʻ否3;+{Dk\=zx~gᆫXc&Ѩ1n,UCT>*iɖ En6x;CHIl2p2 @J'q7 aMol,KI;;#朿R|:/k2p*;-͔'Ś(5.rP @*0ƌ@Ka/x4L"XT!c~Xɏ-P6:(MRj `&ûV&S`Y_e"2"p p1@` #],0On2&_pɼ]dBz_'m{ 1qPu-=yS{/3:ܮnE>ʷ|^S>^.Z2җGX4frEs7ɚ)7ڧ-a @ W BFRYn%ԵT{AR{`%N[gJkqGlxPq`2 qD.RNk[eivK_S '1eOX\e#̰TAs;ni=ukGuvo":CS֚ >Wز7EC֟TL%, U|i6Hp^e[;unײA@,7m#꽜4[Et^ 0m|pm2ȍ!+Ij~`oMƣ{v\Sy_XQ):/`= _bWWQ4&9˔3h#/< TV ~Ď#~' uv> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23307 10420 0 R >> >> /Type /Page >> endobj 10415 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10416 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10417 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10418 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10419 0 obj << /Length 19 >> stream q /Iabc23307 Do Q endstream endobj 10420 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23308 20690 0 R /Gabc23309 20697 0 R >> /Font << /Fabc23310 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 10421 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=896) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10422 0 obj << /Filter /FlateDecode /Length 4656 >> stream x<ɊHw} }$!#3з}hfUC_]RFdV !ŕo^WfZջ5i,o_onzsjæ]R֮~]qJyz^w`*p1[1=)`(u}koT[fEq]eʰP-(S{ 6?"O*w >yS.:mٸ׶ FIcJ@+|~;1W1OZ6+Foyu4?/Wq"[VƸ%n٩= y pΈW^zQ[* 2岔KmѦBٿ\6ă,l#e$D ݾ=\I6B5=5?ufǚ6tYw4]Vy2Ndn6u4v9Vi@]^a7@y4mL^4Ә&Wb)RRhR2 Tt9$}_ID- H>|K"9LRI"BOrb%/Y]8&a[S,Z +$P5FG D$@)3HeE%ä Eq_Vb &g&7vB4 Є[mE|U"Iz 87kUBdl8OwtP@};mG;jP onRD"*-J)5ychN,%<,z9"REPwGl5JM9tiMpt TR[0/"c$ZM @x#θ'1={Uc(A 4W4bYaؒ-[ѐُ^JQ /yQ3~bIֽZq5xQUx'To⯷$bmr}38|-?F0U| X2BqzBqǀP ^EX#+.]lY= 1td,|c< صD!}, >)>NK#Vmf+HsVЄL2$]tt[2b" >B6Mt,;+J3,^z.ˤh(8q$Gf`kj,fVH_wy:Ӟnf:N@S/l]td*lx eS/eSlI,e#@\3/%&n*m 6UL v^wn(2=nye˧PC`c&`Rve` C`s5f>a~{GVѪ`(0M͘=H;KRbݖ'sv7XTճJVca WV I -,K~sɕ'?isf!B['ߜZ͔ f2evuc6д""RԅO'럆+~,If ɖ/u}*T("L  )hתu(3}yKQLAw-xQO&-tq}% gAubwUgAj3yO>S&GIsqq Pvή]gw3=)N Vt =sZDۻlr( >!22(WJUݖؒw@hF~#Gr l}ɿ:0V[ np_l_r䑅O"2\[a,XjްOFc=,>r{ZWeqOsۊ;] xK6n֤e!VL>ػЛATL4Sϱgگ^oCx_Nǀj)9ta 1Nu-.vo|,'ul|l2(9ueAY'}Z8.ywV^LvZ$L2ra.p=m9 ,C~vym!=W4@rp ~4TjC2}d%a,Kae75VO|hpM(|f?9D$"у7byv&-f2f<2A2|hg(3,,씵`ysNYx<JvAOmW36/Կ=hzDW9jǖaNr E\Y=a1+=y#i|^`2LΪ'I+,NɃ[(5^ LK}X=6 "n<'{Qte C篞F4u0**&g}lTˁQ7Z>=GJ6]!j^&N3Bjdxz8*ʹ*MP QZ IVt]Rµ3x ;얇Oߏ׼/>Ț Wwfj q1]Ji$o]Kp-6}n蠒l7Q [,ZUz^wv쒈TW S^naD6@3Y|?ٚ4 *zY[v^Wp xՅYg]'~Ӥjd|$z6mLzӢNaڽy'ix~\tftcyfV"~ib(weҭ1Cv }t%T0\&#]Jsc :~A71Tc`n1fhp!] Tz"#aty]A||^\,m0#^߲x|tTz!& p௫۲nq 0]?ָ:cy2ڀE6"fp@-%*zuÐS̗=ØKˌy/Rܟ@R1< @i&L/ 7>"_Zv6hz6a=QEmN 5s ]X&x#M3"pF \P2w'\"{0pP0Ho$X "^ I;qP{P>;cǫh CMћ-j`-`.VMhw]ni(s;{̄M_* +\U& <i >ں.sBR{6l4Ni$_\ʣ</"%2#Gj/d4\|\FLbW*;Q?QM+iW~0tb?4W\3R6|ݩ#~9/\fK^/c.R Byyec\byيhfaGŏƷ9b_VYd;@2lr]X@x/{E=:sicsϵ3obk hu8:,X._׀h0еc X5T㻗2UELD_'r׉+]9?spx:_p<+hA\!ChfzL\0OTx4 ^7/kS W =saZBž*-J?p۟"`+ڊ%(um/C 0_}Y԰Qx endstream endobj 10423 0 obj << /Annots 10425 0 R /BleedBox [0 0 612 792] /Contents [10435 0 R 10431 0 R 10432 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23326 10433 0 R >> >> /Type /Page >> endobj 10424 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10425 0 obj [10424 0 R 10426 0 R 10427 0 R 10428 0 R 10429 0 R 10430 0 R 10434 0 R] endobj 10426 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 201.6332 501.1346] /Subtype /Link /Type /Annot >> endobj 10427 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 473.9346 218.5952 484.9346] /Subtype /Link /Type /Annot >> endobj 10428 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20210608081201-08'00') /Rect [104.1732 457.7346 219.3267 468.7346] /Subtype /Link /Type /Annot >> endobj 10429 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 441.5346 223.3857 452.5346] /Subtype /Link /Type /Annot >> endobj 10430 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 425.3346 220.1022 436.3346] /Subtype /Link /Type /Annot >> endobj 10431 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10432 0 obj << /Length 19 >> stream q /Iabc23326 Do Q endstream endobj 10433 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23327 20690 0 R /Gabc23328 20697 0 R >> /Font << /Fabc23329 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-Ňn8>϶g՟EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ endstream endobj 10434 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=897) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10435 0 obj << /Filter /FlateDecode /Length 2208 >> stream xڵIk4_@)hzqCaNN |JU؞LIOo_$74_^us:E]Y{U_.~ X&٤_wjx'/?@)(&%Xϝ[,)>0IvQ^m| D6nEB/ "c#p'$Jګ# cz+# *H1ۆcCV3, R:u e\|.D(2Y(Žk"$J?"DgI;]D,VG%&r{״>*.J\WB=`B'i($\hCᒌá_(E7m_7똅{ƕ"^'A t1iqzdm3zJ`GGgRw=y}lU瓌 |gss{2iu}z1 f| AHyhjPȏg,~A$>pc>djTTG?(>FIn.ڂb,*sŘ.s@ݻmtMJl(FM:"ׅY `M?h|q-LAu#ʘi E(kˢ7]6I :gqbm a۪Nwϊ}m}J϶ݺp`A;*I1JHt%2Wdunn#.agz {c꠪n36B՚u%=zouϊQ{D9/Q c3 A5k&C={( G08&P#XO"<7Û! p%-DZ!$6-8$yC1sݮ}غzkv-p药#4ňyYM ӳi19|XۯP~)P5_O!X2q{n[L}o?k`vWBMޢhN;%Sg4m>TҀ *@4jS M~S9 |>HہD'6G<1w;Cx䓇UQN2wLx ?K^esz^tz vvCXnwV򙑔ӚP'x9 —Ex:~0'^||#@?W/>aOP<(ZV@lPkHp`(pNn;j` f"4"17AWʶT6"h ꪝ7}xw7)Ck4`PP2LZG;'  }{%W[g,;ȮZmݥq?!%M3&H'*&+0=5|\ bz)xO>W{Bڒ5q@|S=fu%Knj_վgՊ\FeBmpVHQwOQ+WX)=#˜ xSh0* Tp]i@z--4z<6ܤ>F&4"gAzW}R1b'C)2V&:2Ԝ*a~} 1?#~a8ng+۝4a L#  ?,&aH& endstream endobj 10436 0 obj << /Annots 10438 0 R /BleedBox [0 0 612 792] /Contents [10444 0 R 10440 0 R 10441 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23345 10442 0 R >> >> /Type /Page >> endobj 10437 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10438 0 obj [10437 0 R 10439 0 R 10443 0 R] endobj 10439 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 240.525 122.505 251.525] /Subtype /Link /Type /Annot >> endobj 10440 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10441 0 obj << /Length 19 >> stream q /Iabc23345 Do Q endstream endobj 10442 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23346 20690 0 R /Gabc23347 20697 0 R >> /Font << /Fabc23348 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM$F endstream endobj 10443 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=898) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10444 0 obj << /Filter /FlateDecode /Length 4374 >> stream xˎ69%6{4d^`r_R^.W6j[DR$Eh]j߄Mɤ/ǓF/M /o'˳»T|代6fX X܀-³E|ϽnLF~^v߿ Ò6%hO0΂Wccì^/"Re=0Z4y 5` NiRtJwQsBGTp#2j5{ݚt!`$G,PYUtlT 0OGDxK0v^TXhkfn7-P^ÍpGs'[6:.h91$6Ѩfp>8x3\\a4s|,{X }[S3FgAIм䒋{m'v d<v/yZ<1jv\a8f?3Nb&ZaYװi 0vZ1,ai<$ƪ\uH-=ct!R+Æ6:*$Kе&\ܦRC&]*U*wTܢRGv*7u)|CQeP !(k* LqA6kH^SK 9EэLtR#1tC׉WgLdߋ+u{x&cC]y( ]"L 5d4=!][ęZL@cMZeuD0E?%d [h:s0 4Em4M 7L\XBMemULY"Q?z9*ySǍ?Ia('YhINEx32EpN9VRB8l{a\-Dom^9-eHP5ef'9 T\f*~m*H4 e2VW`SLPGgVM78ya`fG{CK 2fy_rnQc:wR}?z=cA\O`|rm96QdF_0 9nsES7s;W``^؅Req&VYOm eOJ׮6M.Uaۻ3{,q),nVvpT/Zwk_h`(-L-:&:^j__vv=-yc<Knk.y׭Mzh^ׯx\-dWږ]y]{x/mv6qRz; jwvxMhhu2ٮm\7RdRWعݽ}GvSj?]س8nыeXo"Ε窱 ~"4?rsrN%s%neU,s?Wq/s*[wniG`vޫ{&&@?@@$@>'UW "_=S xh>CD_|`@ƥ3$M%A{,癊"߀E ]ҳ&,29y"0,Mr@5@6UketFp/j`QC*BxHݚdOpYTTKu"iNZc[YY:4KS n['`":++^5Zb{hKaVc¬1ybZ?0ᴾn**WuzfPuj[l{~6D{+Dzk-Υ;QM˂lh5/nlpjwD;*YMh͖;a>!T ؑsF_,۶k5敨^ln:ݹunZ7Ywd]gsQwEݑʶ6Lv (ouW]-naMa-. [~H⊰G~ow"ANÜH/lP'ҏ;jX6v׽GdA/C⪬?G/wŝ)nqi-n7msG.Gv̑=sJMpx9[oƗi݋G"ןqmfߩ.ٸU5&]dB 4Zhϴ4Š|piW<:drW ?Kj)S1&S[N^6Nx'4zq&?&;fÏ.MʵBɹZ<2Eoa`RQ+uWXAw3,- *& D'nfTHg>f^"s w)]Fa m9r7=yf^~y:U~.; 7;qBoL$:-H>B6r-c8@5,l9"n2ŻY\4}`e?"2# t˟}XCD1`s%>{8~,–N`w+$>`|_Rk41'y#uֿT`[rOy  θ/t's^dd>QEJԤ$&~Hg=©`DZSbb6̩&}R%([)1\%՞(_b?K%mmpF7q)"s>VHQ0nED^e+5j2 %Tb9w6ad)tW8Uqh]%mhSk]e+gaf#Z}]t7|F@ _fIl"DݞyI` aW:ʇ_0NjSMeėύcv쐠dJm|.(E8rXWq5RρJOCt_RF7]9_'nO5j?s2Nu#Ӏ,iSNY;__+ؽ6y~^㖖Ӳu!dCǒGuͩXH o> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23364 10451 0 R >> >> /Type /Page >> endobj 10446 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10447 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10448 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10449 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10450 0 obj << /Length 19 >> stream q /Iabc23364 Do Q endstream endobj 10451 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23365 20690 0 R /Gabc23366 20697 0 R >> /Font << /Fabc23367 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10453 0 obj << /Filter /FlateDecode /Length 4670 >> stream xUk昜[Z8ppG|R_5ZLkRL˷\0j}~oO˷NFFa68Zʚ_-X ' Fī.ULj_O6 6mZ64!yΤm& Gs:,v hӡu=:! |N'eqf_BdenJkYVcnό&΂n Lln'B7#*/-s#DlJQ3g1vY8%ѺՕTu $/#.0U4FؼOc4~G4ʑd4s2 ,jN|,H_[$"YS"X9QHΫ EہTA2P$UI8%kP3G$_xiEz\ee ʰћw>Fᝈ&ʅC*s&D|YEO &g&7;!Et[_]lHZTVtT˱R/.&3N[ʫZ8T|7(""*)RGsyJC;[Ň,蓊v^nITLΫgZ^ M9t _H%-q`^=k]]O 1td#!#4ex.QĮ% ҇'Ž§iwĪMwl~ 6&1$]Lkb" >bMt,;LjLgXj|)đ@kl6#<>?$2CTf& 9MH/n&zE%UYC+FU0f%&ni]$WULV do(HczrSrZ)/48SQvK 0lCI|s5fpfcKXlj)AE3LStF;KrZS,ݖ'svVTճv~>C>Xq2'%`,g/oOn͞Bsj5S2dJ2 UHT7% @uXUgEj33|xM)}Sǥ@.]gw3=9N Vt sM *"q]6K9cg 2cN!TJIqlI{Jxz  Ɩ8#B>"oquapUK!%vhff[ĭѕȲV`!: 'Td']b#p-AU8|2&;͟Zf {#x?(i36Qӵ mC@>vn4t:s[,Wٸ"i_ 54'ݵ29 FkD. dQqHqN, {c~q3:(R}{]}ho#ն2%k\K~cqiaʽ3V,;2֬1Ҩ缻W)|bLXAzi9@MNdKC]bS-{IaGmm5GM0~nkA:zyOmzb]bez^O~43%2 o%TLLJL`Ky'JojS~izo̸o @M ?Y7I_Dn{{{\)1I5 X%#; vޅ9qV9aϜ@'b(F3: |̾N˶ﰎ ҔsN%h%]4A5[BfӊaRUzhrUK 0,XNStfϊSOʪmXo`*?+#2l'ji~!⦌),­-=5-~4\H`0k<;a+zhzن*#Z9x̀r95l؅:Y3&{Njn&ʷVX<s69猫Ie_֘=>h> zuP<}I6Uww[S(5C[U_6HG}iV4ՐN$O$c' 3[40;eDn4,=ge">u I9ehZ =Fm8f4#7ܼɄotk qj)j"Tb%6("ʇks z5ū7hA63qw_->hi0.QtfF5r'>X~v&JyWh7nMxثwթMvrT27 ++ʷӦL6A3YV~}hlNz' V~S@S|0}q1egg-e?;Hz^=P0-~?i+QK n_(1fOg}=5DWz&X<ߧ{2,| /:\/̇y4S賗 of~&k [՚>6sGM!k@MOvԁ ͤm3ih{/RJr"{nq Yۍ_?v1K+z6fܗzȸ*IݨC4t4|yPɫu><ߘFd`AM!%}D:Y=KNn`;a/E&FAd⠗CA5ehh[* +f4=&i4~YffU¶۬2mUyeDUw ,GwEdL.p> Ÿۖv۲?@ mwQ.?5> { Ӱ+AZUYKЇh77my f#:=_AQ|],>y pZƀ=Kj1g>hyHKq{wN.D֕7Knduljҿ9,ްO 2CsC h}[U9׽~B Y[~Ƈ#H{75ߧx[nmlqjmڦbكuT~нwv:nKfE}r A6˚ǭC4l! y`5Tȱ^n_a%Ÿ[7Ћb5l6 Bg%aȗ஢~Pͻ1؂WCWRƠ_}@FXԏXb$bX~]~9 vXY34 "Ё o]΁ )j0# jF~pWR#y O{ӎ@i$ r6K `oD/rk&YC})XG[bؤ cݚ-U8&y!M3&pB \te 9W 2ϧf,JHyW1a{+8:tGѣ@>/|§>+|}I㮮%"X EQ\&^ӫ|rmO`Tj@.py$) tRsrXnO28]|qGy6T8𔱸ajؾZPp*òcT;Q?ѡ +)i=ζk%!\[y"cnN}G2_":A Wv٦reKRsYhfaGŏ7Y1.TLF?u|F{&^ ) w_;~QF?\Fg\' s̤IT\CE(HWQI"+`}^1q.į@1|E.FiF?O);;]9?px 8&G{Z> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23383 10466 0 R >> >> /Type /Page >> endobj 10455 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10456 0 obj [10455 0 R 10457 0 R 10458 0 R 10459 0 R 10460 0 R 10461 0 R 10462 0 R 10463 0 R 10467 0 R] endobj 10457 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 373.7423 145.4837 384.7423] /Subtype /Link /Type /Annot >> endobj 10458 0 obj << /A << /D (unique_450) /S /GoTo >> /Border [0 0 0] /Contents (get_libs) /M (D:20210608081201-08'00') /Rect [104.1732 357.5423 140.7262 368.5423] /Subtype /Link /Type /Annot >> endobj 10459 0 obj << /A << /D (unique_449) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_pins) /M (D:20210608081201-08'00') /Rect [104.1732 341.3423 160.7187 352.3423] /Subtype /Link /Type /Annot >> endobj 10460 0 obj << /A << /D (unique_448) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20210608081201-08'00') /Rect [104.1732 325.1423 161.9287 336.1423] /Subtype /Link /Type /Annot >> endobj 10461 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20210608081201-08'00') /Rect [104.1732 308.9423 148.9102 319.9423] /Subtype /Link /Type /Annot >> endobj 10462 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 292.7422 164.9372 303.7422] /Subtype /Link /Type /Annot >> endobj 10463 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 276.5422 180.9312 287.5422] /Subtype /Link /Type /Annot >> endobj 10464 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10465 0 obj << /Length 19 >> stream q /Iabc23383 Do Q endstream endobj 10466 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23384 20690 0 R /Gabc23385 20697 0 R >> /Font << /Fabc23386 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HQthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1J endstream endobj 10467 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=900) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10468 0 obj << /Filter /FlateDecode /Length 2726 >> stream xڭɊ$y H*3|kS0{2t]ND+޾*JZ*OϲiL{C4{?kêZ^y|P:$ xw6x/@ 0gJ)p(#`j?" R (G|58ͨ$"W6C9l5V)ω f:`"!4M)'NhIqAq-eqfU# }^uxDPO<1ԙ։H ~*tAgn b'JwDC"dH&"b AX&A`XL( *i,J\k V9hft$܍ڂXCQ梞~ .ت^ጿCVkvy58 9"8耍WۻyRŸՒ|4T]7vH61%a @΀ FiՖ9hHhpvVp1[0=6 pe54=_5תB| ;tDu^aO^%C0zc[|e'TE)FM 랊,ٟ|~QIx- B$bM }S ]d%=5k`$5xk[  xN5go3]uƄ)Q ֐= m,}[b⮱ QSUkٓK3jjez֞(\uʉ.s#fGsLzõu<`Z~WDM9`%->'J+UNڸ^06'NjG+TJH}*v9sbզzMP^hXT nhwՏ(-@L?yYOKD]- LpiȨ^~VlEG!V ̱ZobbہzUZ4Шpo!V CwIkWLA4s@s]aŪ< N]μsl?/@U򥷢45MWqsIv`NbNZCRihҐH9iS{})F8`|賭 ߩBJ洑`(-e)jCAov'mz[sJnq̺d:l+@:hzY NrufGgv"9סG@gqLΫqt#p)T0p=lhsbl S}=FXK2VkLXdDI攺7zpqb|AP!gh8uCqs̫L>UNL6jGU kZiZ)3EC R`gcXC5V6"omjx㮐r j#1fIYaa?b_6Hacנ }`!-o3&JIz69VUB|#AR)܇jƼgԒMyk)zڈ>e๊(\/Y&S`vlUGyEv9Ϝob@[ RbMhD."$ю߱6yMtB+Iޮ Zdy^.=+7=NUvY.OfhtdS7Y-U KnyA=+ؑ<  K2m-T~,uM$ 4U|26K2@D@yJ\MP6'eW,*\*DV'ZBQKT^ȶ1ٕg0MuFK1$%#~39*L_28HcfX f?mWĵQ1S^тSw~4m 'A8qztb .w"2616V);ɍ2ƞ~x*egqo=ATߦ#|xZBV?݋6:fY=l32Ջ |K_>A͠ra5fZ5*x;us/0F&H:swyNLc,~&VPB 8^.9cp瞈?=dO<f &Y|w:Ɯkk.7ygع5Cm*TpmszoY.W[?rUaz+!z8]ܚun=+"تAYtl endstream endobj 10469 0 obj << /Annots 10472 0 R /BleedBox [0 0 612 792] /Contents [10479 0 R 10475 0 R 10476 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23402 10477 0 R >> >> /Type /Page >> endobj 10470 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 89.2941 381.3955 100.2941] /Subtype /Link /Type /Annot >> endobj 10471 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10472 0 obj [10470 0 R 10471 0 R 10473 0 R 10474 0 R 10478 0 R] endobj 10473 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 324.925 122.505 335.925] /Subtype /Link /Type /Annot >> endobj 10474 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 324.925 161.9785 335.925] /Subtype /Link /Type /Annot >> endobj 10475 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10476 0 obj << /Length 19 >> stream q /Iabc23402 Do Q endstream endobj 10477 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23403 20690 0 R /Gabc23404 20697 0 R >> /Font << /Fabc23405 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ? endstream endobj 10478 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=901) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10479 0 obj << /Filter /FlateDecode /Length 4344 >> stream xڵ\KWy1| H39k 'ov{Oj4Ҭ[f_=XŇ] 9W^muva) 7ug/sH/_ޖ2&3_1˯gZR+4\R 邞P_/;q,m&V|o?-,F#>÷k__Yi|f$bg$|Ƹu]⪳$%w~:_;`LrNY:?)k%nd2~%r:'!EX~+WPDꔜmEN9ԗ xz W  m`٥.T(z]ZC `9H/F+|P~FpX918馀p6<,[TF>EHWHяx |7蟀s~B9]fޏPUfiCu>h4jmDxCˌ `"V88PP 6%"ٰmDb+Ir YV[b'uʃ9Ol-vf猆<|sƂm;SUl aZۺ^e:^P*[ -χ%57̀atPó.x,wãޅ ]@PU -V(5NǐڝcG$h2{}@3Kvge7},NM*S8zDCGQ'N& .+~KTQrܚ(u;vWGW#EY |YӋ<pD'+;pa,Xj XQ<$$*W&$!¾ 2z®D(S ӹg[]Ez17ҿ*aZ0;ULBLJد4SIZ(dSH ҙzu|ilɶñ#SB@V'b%ai2\6ZV2=^h-3 oU^lYK 6ETYX-aBaeprK7  /\r-J/$mݕ|ԛT5JCUh\fp1$q9zK#֍HF5qԉRVCg w$XEWu)W&v+T:l!kudCísի2{8=Oi-{cWjo[;{iigpPKG U6 *;Pzy+ҽ{ˣPD~V[ݫwv^:ˇV7dn[}Do>>ٷVM֥6Y9ShN c:{0( C(sh+u31`kL+u2[fEP[]Vĵno=xP`8DG,b8l9 lq;po 6QCҐ%zD8ѦvwNqϕwNbg]%#cNGFϸKs!LUh8;!9z=2-ƶ-VYF~pO&GDpd#K{l=SIs.2؃mnk o(z@NT  qu757Z'ay85:x6]|2FqG̭s,!"Z9G!L"?),˩`aSZ`;}kJG |g>e a@UvL{0S"Fxѷz7=Ҟ;!.HMURՔ!V_aocLG[RYg?Q]dPF'MqMSkR%;؇FMDzk;7<9&&H<"t#UYEbpE6B)n,г -?Cm֝iÓ*%yáZhClSCАfj9Q"Ԉ϶cR;:Tn4q Е@|8C>X \&=7f&eȐk=4N=:߲:w-[+7݂PEDo|]18$SU'7Z6󞇈!P4S&)"v;M{\jIUQ fq4Dy5I_ۡ6=$U%NbOF?UϽizUa q/]EZ. <_(}_>6Hd.jy, kbWE'H4 =zaߛ*<; :m2)P!SԲ3Eݙy j5ͅ,2dXX`Cb.Z2ӝ<<.r?_Q]T)K+8:+oɉ?T@:WF^)x}3S8 jCXiO %FJ2a#N- ˨oR**a)Vg*|%+345JiET)m5ŷ۽kgTJVl]9S6F^K>j2W˒ݍ85HpQv2O?)tn[]堨aqx _6a}Oo'|o.53|'?eJ9/~`PsgRT;DԡRuʣ2mkյ2br+|pC ԥ;l Ct^$Y ^ endstream endobj 10480 0 obj << /Annots [10481 0 R 10482 0 R 10486 0 R] /BleedBox [0 0 612 792] /Contents [10487 0 R 10483 0 R 10484 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23421 10485 0 R >> >> /Type /Page >> endobj 10481 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 10482 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10483 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10484 0 obj << /Length 19 >> stream q /Iabc23421 Do Q endstream endobj 10485 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23422 20690 0 R /Gabc23423 20697 0 R >> /Font << /Fabc23424 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10487 0 obj << /Filter /FlateDecode /Length 4792 >> stream xڵ<Ɋ#Iw ?7D@H VЇO9S54Y U"D)7U+$~}-ߖ˷*~=j<zϳ*~ka.ooP$CY.o}$)~[0yGW okowGXx/SZ#t%m|Ws*}co$>W_71*Y6H 6QwtύE>o˰1m8"|}cb%UVADvހ^g;`k9 Cbxo[fD´{.[1T՚/ۜgT"O5m!&s>2v%î⥄#ozH8Ju eyD)3{"B$zXS< ,fHwqby= =iJ.wLw0;e2}`3wPkfk& B0j޳@S$Fz!z9i+t|' K=b3#zU,j ${f!nmITU9.Y?R'QDobSUr͒W ;3EG}dJy v և}(* #pV3̳N*PZeR^݊iƹY62uBD>ԈBTꁃ :J9COpYF{kƛ^^۱9a_.g`[*xd[c:z")Od9_ѤϦZ-Bt&$¬CEb&(/TYMhY/ZױIԷbc/6@){ P;Q% tK2&L}JQ ׂlGzT}/TkeV}4[H:ȥݜqי,ʓZh6(K._)\-͓rr{UrUgTEy,ԓ۷;igW+.cyt'L{3$}޸{j*o1KhkL{s H!Qׇ`GvfCUY#F?K} az;2Wb|80},\݅Ṯ[(hK)麗,&HUόHܓ(Xzޓ}t8"* 7qkpr'p[V)f,$i;,X& S-q(dx+}qU⥼jp bJ:^7j"oHUP{,]/KE_fHtWI38;9QTlչ D}Odj <=8˗UhntVAp'VղpOY]1>Ln$|R  u;9y"p۲ U: 89Bm5v_I>[hiN#t/Y ȖxߊWOZe]A8a\nR FM~ a .k0塩kn#q:hT*={nl*T HJ:d&H%uЄNkX& QX~V*o]y:jz.M_d2+)G%b' GAu2)c8qK}|t8v3'Ŵ'՟biJKbWtP3VH уuPl^CpO"5u N{]2VzթmC#K4i^RZ?*>1hh_*T{ѣFU(u 䃮gqםx @7E<"lv10[ {;fU6zXdNUiNK.H;Mnn^׾>Wއx>׊.R^k=w#M(YJ_Wm*j~[y)4垷_nъP[v/hN:@4Qi17J3cn["-Iił/ٟ6@~ mPoYOG^|ZuinoB07i5;{`{ޘLq{]RH&(Z:! NQ# 髣ollRUm=iw }SXU 2߱n(4oM,孉Ak=ʑ "ɗ7`v߾{5geǔOtYpVZy2Peny0 ߖG Nή')Ц?!W>Tò?gc5"t&!q 8_IiLRom_TI'@E2%@tBB jE|n^~@`,?VT ~C 4j5 Uh׭v9:g-/[ח1/3dm?` JOCFp8aD{ cȗ ·L~ZC(MFbRvPY#fؼ /ojnڈ<| "0/ 2F1),!f!-M_H̩r mip>t5N2pG֬?0vnM[ǩSI_Сr!cG"m)"ں.%lXHap%~|pʗ"x*q*cqh0rb{AIFG?otmۻB2|'J+G  3@TW>2!;k=’XD-{=Sўmt;De1:a5a]JD(YX m)?*qni[emdcm]intu4wms)cs͝OHqJq pSj8-ۙ[6wy169Х܂Ä9s68.7$hb- q]5Kuz>CH厑{ |;|ByX01?qxN|2p1(A6tk^9f+È T򜮛?t!YF>PXޙ6/ Gޮ2׼E R&%}Y԰KC endstream endobj 10488 0 obj << /Annots 10490 0 R /BleedBox [0 0 612 792] /Contents [10498 0 R 10494 0 R 10495 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23440 10496 0 R >> >> /Type /Page >> endobj 10489 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10490 0 obj [10489 0 R 10491 0 R 10492 0 R 10493 0 R 10497 0 R] endobj 10491 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 474 173.0772 485] /Subtype /Link /Type /Annot >> endobj 10492 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 457.8 178.0272 468.8] /Subtype /Link /Type /Annot >> endobj 10493 0 obj << /A << /D (unique_540) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20210608081201-08'00') /Rect [104.1732 441.6 167.3957 452.6] /Subtype /Link /Type /Annot >> endobj 10494 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10495 0 obj << /Length 19 >> stream q /Iabc23440 Do Q endstream endobj 10496 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23441 20690 0 R /Gabc23442 20697 0 R >> /Font << /Fabc23443 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo R endstream endobj 10497 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=903) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10498 0 obj << /Filter /FlateDecode /Length 2180 >> stream xڽYn$+x @(@U6`$g0 t_3T w#c0"KN[`SO/9,:>/ӏ߶!kv\BЏ/wjm:Z#xp<@*(&d75\?q<m=X]|Dz+(aY6 {X>Y[nIk)ކy72KuFC +q=uY6^HŗjF Űlh2>D9d|¯Ӎ|,sXPp9 d0rP#"~=*|V!ʧ*o+ ږ:I\=z^Arm g/9OZL Q9\) p[^s2t3's&w×Kw`vjO)Hrdlǜ"cbq\ yg$T\+qI/,uZfbõP\>ӐKiPR%RrE`F8 us?eL'*H󁌳E,.OyME'JO'}Z|c8hY(~6Rv6|s’^q J Ą~,"^iS؝;%6ckH% ĂS luv jTbo.guT(,MK)n g]M,}*L&oVҊH'v&w%7%W%|$> Oϫ z,-> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23459 10507 0 R >> >> /Type /Page >> endobj 10500 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10501 0 obj [10500 0 R 10502 0 R 10503 0 R 10504 0 R 10508 0 R] endobj 10502 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 344.125 122.505 355.125] /Subtype /Link /Type /Annot >> endobj 10503 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [127.818 344.125 238.9565 355.125] /Subtype /Link /Type /Annot >> endobj 10504 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [244.2695 344.125 267.122 355.125] /Subtype /Link /Type /Annot >> endobj 10505 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10506 0 obj << /Length 19 >> stream q /Iabc23459 Do Q endstream endobj 10507 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23460 20690 0 R /Gabc23461 20697 0 R >> /Font << /Fabc23462 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( C͠h#bwo endstream endobj 10508 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=904) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10509 0 obj << /Filter /FlateDecode /Length 3971 >> stream x\Yo$~ುn>Af2෵Cu 離X<`=W7>b6뛬yZz',E%N_/N-9~j]V~yP==(|KG~;k7-QC~U~"dOOEd*r"5+m9h/2Jw a^"aìYҟ\y2.7AQЌfhn$YNragJgO %'- }&@ ˴]k>D[Q}@yd ˒e ~ZR1UޚchSNrzV)TB:OZj. .Ehg~`[" Mb))g"dTT3nj"s{*^G` F#hk>f̘69 ÔD~i#?Ð=Zz/c:&v F&+_a&%$*·(0&)BI0EAL.?q Qx > XxZSohij"IӚ(A,oEZQWhJ_uoEhCJp`2`,2 cb;/L,MrjdRD9tIzgs;"H581YIZ #| c;MFˆRr? Zb@K ,W+ u`4kj JoXdQ%\\`%M-#dan%[0d&YLb\Z Mq)NNV4dUlj&-wn[p=s\ِWF}׿آhDu)C /%ܩ\d^P\e?[8ks2Q!s;-df&b] 8I9:uKp;bq𶦼ú7E{7b |bjP]||vVZP;&Vߚj`r!=K| BF{z Y͏z~mEĨ8<čJ y0zA_aLK#!-#Þ,Ǘq ݜj mmLm ; 6-+;r; *TdGnfAC1bea]ekEy僷B=krC )K@hUYi_Þn•;6NLqq:.pkuƲM?g_=n$K(y]P{eH$xZH?TeQd@i{7F^]߇\NEP\7-pە\,G*.n^8neG9q=++U罖Q1=2Z `.0jn~cO{~Sо!8dpvG I IÞtO8R7XA0FLU!0bŘKNcPU/9 S`p$װjBEϣ_ٲs۷qf9*ӁfO:hh2RU?fۨsU(Q(Ffyp`NEyETm]/Ot;\}ϡ2S@jfF7NvLz.Aͨ|eSq`@tppe9*Mm̪܆r`0J+n 3yEd  B?[GkPvvZ.0@,6wKK'@`Ev Iϋ MᯅS o^`Ч=~= v8ƽ{{xn#iE,֎{n=|EZcWJFC9uudWvpX|v1{2 L .*q)Nf }e U^9ҥ4h3bcBxv;X?Ae05. *IG6e}1YU8NJ.qVe|s\|$`^?|U 0H (T9ܧ ecFpa1elCC$-rK9)/ s .Cprm^T9Ѥf7T3s2v-p4˄qKm;.@s>;Lfv}AހQn:$Ʉ'XR5$.r 1h#cZ{fcNeJu`WRn>dP>yCĽ׻T}]e/xdl)ʃS62ܜ6fIK4=rj =kcRlM ?S6hrleaTkwEjQN\F\톽Uea?mDpݯoUHS6['~} wyqfc|Z( bz (NqؽSv K 7|C1EHaj8Xq/߸ay-r'b|_'_;yN/hCd\l3ƶp3F!?l]Pk~4QY[СRGeNUC4b t}\oPw]rssszIQN" U$Y( endstream endobj 10510 0 obj << /Annots [10511 0 R 10515 0 R] /BleedBox [0 0 612 792] /Contents [10516 0 R 10512 0 R 10513 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23478 10514 0 R >> >> /Type /Page >> endobj 10511 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10512 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10513 0 obj << /Length 19 >> stream q /Iabc23478 Do Q endstream endobj 10514 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23479 20690 0 R /Gabc23480 20697 0 R >> /Font << /Fabc23481 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛV endstream endobj 10515 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=905) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10516 0 obj << /Filter /FlateDecode /Length 3500 >> stream xɊ@ɹ/tUu 6Ƨg1̻;\R-oyj)#2~SFiK*V3W#M?2>?2F}uq)sӯoOZ[u{?}{%wh 0K1Ox&€Q#>ӿ6[]aRmp.muҭ8ꅸ`{U^']ʋ 8i/P9l^hKHR^TƸ 4; |' ]9Mk. х(/m +'tBJ#5sdpGKqBZi$9 Z!'}}k0ȸ*\ȇ) VuUQ؆(x9*-80mUnQi҂*)*D DDzEz w!oUJOp6ugw-/ {Z6 vV@pjpw6٤vgd>-i^BhKě%&/d_IIa6+ӦTΒ?TOhu+7OFh apD9$ZkMvI;}@ pQf[V 'B E FѶ-8;*hUaԍu *yanM"QF㦱I2N4O(0ٮ"pUr3Go`FI FRZ7땆/}R?jH tyR|7\I$Ҳ S9&hPt˘ x]L6zwHlU]l}%jkK&#ɜQeWl s]=ӖFso5)٫ϣOms/Wc[-,uE_ZlsiUط,CGƧMGyJ_T%&R${"n]cMѪ=Vdtȟ+=e҆Qo3icуlN=%)ROvgRVLɃqovbwж,k^tzMG^bRmWN5;P|{"MN5B=f5ȐSG XjFXeṋP 4HbX7ՋiGJklł:ܶ460E? @`y8޹Ȳ1;{)?ˎq\!ַMk&%ƲI.Drm!-vռV4SiҜ NaO<߶0Li z%ԯ\Bne>O'JSM0xƧX8{a'{9iE4eu6BZ踿;;Ñsd v׫'M54䚆;M{ E0+1k'RwWM)⮆ōad-4y!W[Hj[0YygUoҊ=tqU:xVePqq,2wa-trgS?8yd-_P>n|O~&&e-S*R2l vbTF>O}Ȏ 4yѷ#7}0[<]1!OmV*?K 7ԛJM73YJ0l8! 4$@ 7i*%aG 5s/=>ݤ:c[rE86LfkG49;0Q#Qn8ugk0h+M(M6Lb;=;02l^crBfMV Wbcҕ9w'A)sb~%sԑOiQSYI8ekZH$#QVyĿ_+|}IY X"r e-K^Q\<&^SW+3##M]SeQH+3rY8/퍞CZN^epW!\[b'h0rakAIFŭ7iB'ja1T*x%J7:!`1i=>!n/rI-mk4Od,'i'[27x0 Yk< BYr76Y/KM,_xk|ԗ"n`h~!]nn=FL4512:QXL*qNa)M$}ݵs\@ _P.2}8Wxi5+;Zw n{~yvOH<h>G}yp%ZF@&`.xBw3BBpƓv3\,$Zgi/`'( Oqc <۴G!Q>=;&5C*T{;Xd~\L _Y.?sJGHD/} >41 endstream endobj 10517 0 obj << /Annots 10519 0 R /BleedBox [0 0 612 792] /Contents [10532 0 R 10528 0 R 10529 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23497 10530 0 R >> >> /Type /Page >> endobj 10518 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10519 0 obj [10518 0 R 10520 0 R 10521 0 R 10522 0 R 10523 0 R 10524 0 R 10525 0 R 10526 0 R 10527 0 R 10531 0 R] endobj 10520 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 181.0247 686.7] /Subtype /Link /Type /Annot >> endobj 10521 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 145.4837 670.5] /Subtype /Link /Type /Annot >> endobj 10522 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 149.6802 654.3] /Subtype /Link /Type /Annot >> endobj 10523 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 164.9372 638.1] /Subtype /Link /Type /Annot >> endobj 10524 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 195.5447 621.9] /Subtype /Link /Type /Annot >> endobj 10525 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 180.9312 605.7] /Subtype /Link /Type /Annot >> endobj 10526 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 175.0572 589.5] /Subtype /Link /Type /Annot >> endobj 10527 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 562.3 165.4707 573.3] /Subtype /Link /Type /Annot >> endobj 10528 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10529 0 obj << /Length 19 >> stream q /Iabc23497 Do Q endstream endobj 10530 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23498 20690 0 R /Gabc23499 20697 0 R >> /Font << /Fabc23500 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy81o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10532 0 obj << /Filter /FlateDecode /Length 1293 >> stream xڵXKOl7 Wx];H 0F{U5Tc'$ÀB3`w]*Cȿ/?<mсAcrOWDGDxh=}@#]w!z㵉7v`{'fNh+mI1S<@eN2#odZL=UԪJAf"Y3;(O@SG}V f! T_pƚ8 }O2#YsQ^qn0R9\>{̛_}UQ>x9?憻B"pY7 x9enΐd|38R 63D `/ :DӎA68jex7łN|dbmEL:2>%:p-Y#ƥfV t$OtN nFbySsZs#:WpᠻzmM,ʣ ueL#hkԩRm;|$1 8W:jct.j6afEpAv/Zl1lj_O- p-vP G5jW}LQw2)M5OQ\!/y1B[4ۘ뵥 G2Qn x虜͎ΨKVhʶ9|yǑKL#S՘TKQG֒;UP˴aNfxYYĮn&-m9>n}V7Fx$%ҦmmKGپ(ǚ9z uj2SL5/5L<`BiCd1e\ie^4=_&q=w`pBH}nݱ {jZoBnmm?P 0vt8P=k;+Vec7u9B6_9ϻ?_z픩U>ÿJ7/'G3gsn&VEZ!>lH endstream endobj 10533 0 obj << /Annots 10535 0 R /BleedBox [0 0 612 792] /Contents [10543 0 R 10539 0 R 10540 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23516 10541 0 R >> >> /Type /Page >> endobj 10534 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10535 0 obj [10534 0 R 10536 0 R 10537 0 R 10538 0 R 10542 0 R] endobj 10536 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 372.525 122.505 383.525] /Subtype /Link /Type /Annot >> endobj 10537 0 obj << /A << /D (unique_56_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20210608081201-08'00') /Rect [127.818 372.525 175.888 383.525] /Subtype /Link /Type /Annot >> endobj 10538 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [181.201 372.525 213.849 383.525] /Subtype /Link /Type /Annot >> endobj 10539 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10540 0 obj << /Length 19 >> stream q /Iabc23516 Do Q endstream endobj 10541 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23517 20690 0 R /Gabc23518 20697 0 R >> /Font << /Fabc23519 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴Rg<~~?va,6{`B ]QLu4SokWECfٮc85 6\Hn:|/(h&c I`2(.X5g$𣈺ʔfS~3cy/ KaEr63po.IͿkcDA ߞ|ն9)evP(x P`E ۾{w$H endstream endobj 10542 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=907) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10543 0 obj << /Filter /FlateDecode /Length 4127 >> stream xڵɎί*s_+4K~?oRKH=Udo'9}̤ߌTeuf ~4Y>N??ɚ_cWcrǗ4[o$ogc[TAabs!qL>|T_i8N_}1?M_'Ē^3.Ӛ/Nߦߘn2kzBYNg:Cz䵎PNϏt3P*';$dv0Q~~sol)? YD"/\'[),.Xn@;ٖ%F@DC€o|0n)>|D,i²ӷNT`9zͅ \(gM@WxRjH9p˅&=y@V`Z"pT,Sz:3 BZe@d@2k@{DžZ(] rϋw6I<%naLu $ɦdfgʐE R,]K1VFڴ5RHHkAk fɠWTJprC$V F$SݒkFHZ*ִ$@*,][ºb1uꕭ!8*APFģʎc[i+Cq7EMU(h{r1(gd5㵸tPn~,DQD#G9%/q'oik&l珈FR;B-p\7aQ-L,$aKWb(7 ORmOPtBf8qEHmޮ"U_;:J7F]SاJgBJEBR6K+yf?UQsBplkQlj# 7oXB;cP_Mw(~^ ~>؁k{S !< |[QKڮ|_y61_Xirޡ_WÂ6/ @%foIa Pf\ n~j)z'_ <`"O wx~ WkŒgRJWxZLr8f\W%iM;U7䄤kh<&+mPc3 ܸ`?׶v[<8GF֤0<׵^L-5PK(HJ+++df- L ΫUefL-VX5D3b!N6[ҕ^쳠*Ge.oݘ^A|*51Swm+wlV [a=.Ǹ${a$պQI({{ѝˊeh5;TX,=T:+{QJ2@2'X~W n-l6@ʟ0̑Cˁ~M\D܃J5@;qk𲅼!u'QݤV{ROGjuDGZ{H~?riWM\bugR<z`y?{A Q*4`uڍFԸ+Xrwȁ`xnF{?d`8J%,Zu63pv`m o~3;GmǺoͤŴk.Ц&ae"+Ҧ2}wnp][eGWPw]q}OX_'e^xi1dRjugy^h7z|?.hL>}D_Vc³QHs얟ڎ=74`XT,XˀJ.rcSS9`zrRf#Y̒C(_&hX@cM8-rb90>.&!3SyڝG YrEh;4b04B!f tKz`m2dG8K`P j=*l'3f>hEa={&8Rrbו}kQts&o;rLR9 "Lu PUbǬ^[cGš-NC:XzҢ4/KrFh`y@JGCY >ud&dfǶS}R-;8Aun$3ͷ.%WWخsoWWXYr T[Tݹ?0mMWqlBW9G$[ز+`Gmw`WR,>-4oS%\!Y x[Fu" ©qM~m}w 3lΩWaQZ< H7[XJ≘1NbHK&#pV`v)hP%^A{0|c,xG&$f,s`+ '̬FYXi" 8\ͨ£ax-T ͜  CԔ>.+IH_9Q2ػ<l D -YA8HCȵi amhT7{;?^DA;IikFdi;8@Q& H]<:T5e4VIUڅ"c<,y5v/4R^tu[ngmj7z!x\EsXcbA̬o#}M2^uZo , 3_4Jc|9Zyq D0*.e}AC͇˽.vq!vE-bHTb pU5MKv*B}aZϗ%lmKc[$zl#fidx}7밌^~M]`٠Mihz]\m֦񝃓mM@ᚰVu|p|s +Z_k+eĕ㰫US\|jtCtFĵ߂b )\(;y!ǸM$f5N_0LPduM哬FUɅѱ o(Qz *^SF*5;2F!9CTڮcm;p^69ȧIlER_'uժ0&:Y9D#W4Z>d9 )xն#Dנ61Y;QnƘfeUd#[Q 2#/ܜz]KׁhΛcOwc>_!O@[wu-Pmހ\1|RrI-ekװ4-_z޽`TJVlCYMZ%zQwhfiά~bsKLT*lH&MvY*5 ) qf?ws~[;f:w>IsKs* GqNrǭn(j):)Y kx\9 3/pv} E x(N ęV!6pbCBr|'!Oք|B)Py_}>/$/mڍ  [>7ۮk;N~戮Ǧ[dJZqoM;EG {yN__/VVQ77i>%]lzEnaF endstream endobj 10544 0 obj << /Annots 10546 0 R /BleedBox [0 0 612 792] /Contents [10555 0 R 10551 0 R 10552 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23535 10553 0 R >> >> /Type /Page >> endobj 10545 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10546 0 obj [10545 0 R 10547 0 R 10548 0 R 10549 0 R 10550 0 R 10554 0 R] endobj 10547 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (delete_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 283.1423 217.4402 294.1423] /Subtype /Link /Type /Annot >> endobj 10548 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 266.9423 208.5962 277.9423] /Subtype /Link /Type /Annot >> endobj 10549 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 250.7423 217.3192 261.7423] /Subtype /Link /Type /Annot >> endobj 10550 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20210608081201-08'00') /Rect [104.1732 234.5423 212.3912 245.5423] /Subtype /Link /Type /Annot >> endobj 10551 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10552 0 obj << /Length 19 >> stream q /Iabc23535 Do Q endstream endobj 10553 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23536 20690 0 R /Gabc23537 20697 0 R >> /Font << /Fabc23538 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7dthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?* endstream endobj 10554 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=908) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10555 0 obj << /Filter /FlateDecode /Length 3181 >> stream xZKܸW@crs0@=98}ٿz"%u{amHb_UQR_QxIŪU3*x vilQ/ֹ..Z;etP/OĬ54]g z/PpBD7iGʰ(ʒS8J~Ps~P &ē.I +иj< 6ݪ>-1RE\8:?ex_Sp`h׶ Z?XpIxqw57~kT^![Sv~f@b"ՋàZ4N_6:`hw=hvM3[0M՛7!DvnD8ʛ%R|q1OY׋I1_Ed0A/)ovmvs:9yk*$6 Ub7)\̠LQEUUaT#p]^G!4pDMsC7nh@Wc"`&qnoGn-as#o)n?] q0=T6RD0d.9璻1ncyA[A!8K&)Ky&eL%0v&AaiPFpu!>5V4MY(s,0|n)fMLʒq Qup9D,@qe!`}Z_ <<-)Aq/cL3=d;Zo0*^BU(YiG>^qul\#YVš ,(CB$cpRE9_0] EC„0db5Mا\cE (9T|?X/ [Czd, 6;ek۟t TZ[7/"Yzp||z|s3wz.#/߄lyQ/Ub7Q0|wEU `J8]DU*AJWP >k" J8Nt8nj~Nt*=XKDXt*C<ans3?D#2+?bRUϬ5}rzW%; M}&.(e^滳c Npn}c,De< >qȦ[R L\00ccm)5q8w_nɖivUgw #Y~l9iEPKl)Sw_WLRD"H:3ؿmJߴRPO硻NXx {֞u!lZG;W9dFx?)M=G:~NnV#GZ%lG$;G4/#d4 #̵L/-JH'75L̊͒[$D{"vz,VskՉfa#N lq[Қf-6dej|$ID7p)K6PֲhR'!X;Ҽ]gz]ua6x]:|[+gQRVo44mnWf&24a\LG]YxmzZ뵚J`%4ոNMm١k )t⪤d'ȃ0H *de ×a[B 1T\Oeڪ#Nz% k=sY.l /X&|k&>Zj4yefT| {zNևcV>%x'h nRRm& EtV[@EL'PP~O+Pz_>/&",s3YE C{JZCWӇõ=EvuÙ0951W~qۚ?*,◌C_r}sC/ڒ@ tiǚEG?_ endstream endobj 10556 0 obj << /Annots 10559 0 R /BleedBox [0 0 612 792] /Contents [10566 0 R 10562 0 R 10563 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23554 10564 0 R >> >> /Type /Page >> endobj 10557 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 100.1326 381.3955 111.1326] /Subtype /Link /Type /Annot >> endobj 10558 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10559 0 obj [10557 0 R 10558 0 R 10560 0 R 10561 0 R 10565 0 R] endobj 10560 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 10561 0 obj << /A << /D (unique_56_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20210608081201-08'00') /Rect [127.818 301.525 169.6455 312.525] /Subtype /Link /Type /Annot >> endobj 10562 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10563 0 obj << /Length 19 >> stream q /Iabc23554 Do Q endstream endobj 10564 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23555 20690 0 R /Gabc23556 20697 0 R >> /Font << /Fabc23557 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM1L endstream endobj 10565 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=909) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10566 0 obj << /Filter /FlateDecode /Length 4247 >> stream x\K6WI91bO&e֋/Is v7)ՃEd& ;}~J.MC?M_dVcrӧ?hퟟ+_!ɯ/<86ԫ 2TY*etܨT5nIAN~>|R_ `)h~_ ?MzKKzθ,L??Yh2}dddFd]/a ˜t(OSow||Y{o)k "hK!9`7arg |x9@ D2 #\:GNr vco '7 >Gt1/>>?C9Mضq_\ G`3Xc3؂Gh]r9 @ciמ?hQhvDK1lùeYnQ()٧r! 7\ xqR4rbm c `̥lvv“g2%~Fnh(W;r\8gHC( GYWrbzUQ 62+1}{T8g0gy5uf,T_ـ3;q!3 8vqKjRJ lZXJ SC 1l*/Y!lҪ ?c, Yvq2 1fbȱ?3\״<~a8lz D>}ju fw i]g >۰ߝơܹ;g!*#W @Zihj*~6xL[iFA9Dp;v&m訑cI₿-%j%\qǼJ 1UVyBJ49[& J=[96@5LSTlIRu$dXr ҷr/>1`PܘeP8"dES1m *Ho]VNY}3\Ql P#9^E'ܩ(}= 4`GuA4 ,ELBP2 D3Iq]o>$}؂e_ !:;8V$t9+޸> J6 5{f _5عGQճ>iq;S)3Ѩn2]_w-vdMVWs Ȳz1-/I=d7[AjvS7keYʏJ {lgt C#`pw @ !yA|G"sXW_%W.&ZRBC!aW;rCKF(SHC #5uG3v0<8wD`h舕_SXvk9ȞOQT֗ws:t'UrxoYZ|D=Й,!@eũprKuw  'Rv-JkYg >[Qzvy4*j8m;]RTz)8zfP uvl/̖L۟"mv݁nZVlCG 7YTשu-ۆvB d~ Y J-uպ:2{8vz4{TcEkF,u>u Y߱N]dmvPOwX uG-7V`O_. GV`X]{-6=( ȽrzC_܆9 9cC-@._ayûmv:B$0,a61q&!H+!gZ0Z^zvNt1 pv%a(EhU溂 nr+v_jM޶N WĞ}틊m:ԢU(r.i0G#{m+tXE8pX06 S@enzb-d@5H=Pژ{Ӭ7Q\wUS14 nUTKtGRx (W=:ufM եN8*_i]H}Rt[u Sul@}Wbs1aYT+~늶PY/{QxU8T]8 j9>_ d J Z1W<Ϲ3/6H.q@-K*# v~D>/`1΅fLphe0J)'WVEAw֪],\rr1m_<;}x.i[jErUQ ڸƸf9ʧَ %'fA~ؐ|I]5$sߐ7I8nMV?L;]!,.(Wpc-4m!bY_X>,;CMG%F+TmfQ\rŴOK )n\Ԁɸl"KEj+q\lʌ4Ν&c 2Spߧqot ՠb0 8h87yym6 y]ns-EKG0ŰQztM?Z+;jm!+-"86>X &dd iװWKBwZetk*à0c8Q&Bv9Ks1:-LLae7%%9"՞rmG_tcU.0&& dd攖@oq :$=hU=Cv#i:x=:/v4z6&Q\%T'*1Lik[~3ʰq(VD:@bDUD7i|./J>=,yO|^!<ҝ&,2/Wi7{(K۞(Nz1h 7rm9a ]e,w[iM`FϾnl61/ӿy"3&r+tQia Dva=pʜZDh/] iAZ_ӝ-m4<Ժ\xh4C[jo`<]Hhzo7_RG4Ղ^º*Gv.h.FI4J;)\ ]-72=r1$hnfMyXWR̒Yg=C,A`HRJEZ =\j7KZ. Ҷv"%ϵxiXnM$^Qk5j!Ol޷\jMU2[gF/Uo29R\Pml ujͶ+f.zP40]^NQ}=eNOLZ9-n5qkq_k6(woAOwbR-5Fێ+vFuǮ.+/+:vQ)LCJ΀!CJW| S!> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23573 10572 0 R >> >> /Type /Page >> endobj 10568 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 10569 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10570 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10571 0 obj << /Length 19 >> stream q /Iabc23573 Do Q endstream endobj 10572 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23574 20690 0 R /Gabc23575 20697 0 R >> /Font << /Fabc23576 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7hVthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 10573 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=910) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10574 0 obj << /Filter /FlateDecode /Length 4873 >> stream xڵ<Ɋ$r8?H $Ut:4#D`ȥhcw7}ZZͪ kgl\WoO];ʮ\)o p?DIwy[c0QU(0ηazlðAq hW833I;UZ8*b}C&SDp mcw2ę6A r\D|-XeK*Rʘ#|2y2b퍏0 ~LB!N(P_us"=(@oY+7:^}XL..Zڴ5Ii`&{U(xX騴 .'UԁD־z?w8/0gDYjQEIYZZQ Q5AץKO$8M s[I`$uGdC[p8}h8MaI{9li&āNAU*HLPMͲ5DR'GD4POtA"H3IuJTc6 67:%. Я[& ʗLU\>Rd;yoAD>iA4K陱G`[$scRvMIc7^ jX2/ƦݱtA2ɠ9I]^Q6,8 *X' j x$+ H)w< &&]&.3vi_f+it2kLɌ)2)sV3#:vFgmwV 'Q0Ob -T8us6ENf ][\K M4~d1}\G.<&+mYŒK3fY) z48UZYE/ o+܋^hwB,f#e3 k-3s2Tdh=Za}H_-o r6ǏHHTcx~.hEE3n, i˔V0+oȨQ@x}|4dIL`:LlKlUǚĜP DyNdG$t69y\6kG?P{ o)2`ܵq>הDhĬujfTҪvBH0ǼFCkN9rߓ|>f6q+?PD5SB%=f8+2D#FiEM奓uР̾~ZTSR?hHS_q8DCO|cتg1 t3AR&Uɨe<LW++Y'!ҁҾe, -H0ܯhh0ݩJwϩʅhig]-|`iFno@и#Ysx2>Pï Q~玌'P[Wp{"ÝMьiٞߓ0M4k-`Zlaao ㏌Ӷu!5yz%Uey6Ќʤ zJ`_M;ꠂpXWkK;,P/d΂9GVr*H`84a\hFOT3PCYNi8RggeƒL sΫdKs8gt%4M% DSL 'BRR"lr!Nap= o'2,?*)wٴ&qdT8!lJ_f(4#͖Ocm%r%4}?}nE Oi;H= Ou4޹RRv|X2 [S2ۜ:@V(oя`ըnMܛ y5*>-AY|0x>`_*i{`Eq'*쭔`W26f~lE *f߸P69z۬uz%l" [z|7SAn>66oY ca t~a\Gh6ExJKt'T~8j'{ !6WL6YRƞ{O5qil;;x(ts)&u ,Բ̶|w_ma KjMמ8tl'~TIe3)]rޗԻ%m@'YCGߠ/ǎ`obVopE5% EIJ%CXNc+aCEZ9BQY2+(MkUQ 9ux| uԬ-e&mgq :UERMѢE]~"pX,Rr ukN5VŬh^;fl6Fbǽ~]Ֆm_mZ~SSHIZo [#p~$x\GV6^p#j?"{v*pH-z6u@]zFNP~Ǿqmj8l(U=Yfetw_gO;‡mi]ޓ.I9sܠ{j?项I]։Z%n]BA&X̘EoStkG9Ik͗s)dUd.eG".h,~%~[ kiŗ8W^ڱbqE{Ebz$J֐،E=tOM<ܩ96pCR9M_Ky#}~y jTũ5@罁{y?ְUv\.]흎e1rO#_KNЫ翧0X(L6`@U`35]N((Sž*SVv类KyzwT렊-)QJ:ek^KUi.2|X o#F ,!KӇ^h:9mvup%܅|C |~/U/{j+/#gr*8l`0QKGܯJ؛E{mI3j /^1:-ͫpBdMmb!ְ|aU>1;L B/X[4Cqo+p{g9)ʃf΀8j9Zp?<(n>dž32XR(8Xj,bm5T'v/p6 ڸ~@&`Hҍ~"BD+3'S}frSOS#H \ r2*.Qx ]ft05?-z kʥzm<扌 Əׯ%&>,j@/T D:ʥqBnuqD2ˎIeS'ow`|C Y<^ea|KL+a[ȅTWqу:B!b+ma8v_N8/O](-|?k<:88J}~x) endstream endobj 10575 0 obj << /Annots 10577 0 R /BleedBox [0 0 612 792] /Contents [10587 0 R 10583 0 R 10584 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23592 10585 0 R >> >> /Type /Page >> endobj 10576 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10577 0 obj [10576 0 R 10578 0 R 10579 0 R 10580 0 R 10581 0 R 10582 0 R 10586 0 R] endobj 10578 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 201.6332 608.2] /Subtype /Link /Type /Annot >> endobj 10579 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 581 218.5952 592] /Subtype /Link /Type /Annot >> endobj 10580 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (delete_reconfig_modules) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 224.1172 575.8] /Subtype /Link /Type /Annot >> endobj 10581 0 obj << /A << /D (unique_455) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 191.7112 559.6] /Subtype /Link /Type /Annot >> endobj 10582 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 532.4 165.4707 543.4] /Subtype /Link /Type /Annot >> endobj 10583 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10584 0 obj << /Length 19 >> stream q /Iabc23592 Do Q endstream endobj 10585 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23593 20690 0 R /Gabc23594 20697 0 R >> /Font << /Fabc23595 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>P endstream endobj 10586 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=911) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10587 0 obj << /Filter /FlateDecode /Length 1643 >> stream xڵXn7+x6m 0@n$GQϫ*=%HaY|siE[m?QӏϺY. |Vil%e1Ƅx?);;`0*¹~a+~ Оv&t 9.+`J'L: "t4&;AIWxa"Gx'w- YlQ>lhmrl留Dĉd6@co$:زS6ޭvL;ΤhE;~A?/5DKM ym_>#:9j"m/w#e MJ)ReFf$Lv=Ns瓱d GZ_'ȼs:6ЩaBdXuIimMO TVh8wU\oAvXGaRhMX h.@5NOZ ?ODt7 );=sHK60BAIq6$?ZD:8fOgRJտS>ل _BKJiqIgګo#;,PlA^VvEdL 0,ZtejqWL-I򏔄rubS׊-nU57EY,ˡ,ֿM& 逪6GR.;VT?\+̵!)`,>b%fɶa xqS#¼]sm{Zeҋ&\q\#L``Vؚ"K}&@Z1t<ѱ;:35Wj#Fyu~e_z]s} yCݩkx3ٹWL=J/(;C| fs>&lVaX k89 쨗al1Nj_/7(i~n`86py %SޥlFbF \BU@sLТ0;mU.䶀G^.jYe J)ksl4=2Um3UL 8nEݰ0G[$UHe[7JB<̤_Uv3iށlYq{"x%Tx3Xigqx+!\BLm 8uD"kjeU-۴ ui4SoHקUʼnDѽHOĭˁ[KOa cn7 b^qKk)Eq o>n8`#̶do뫯\}m_G׿Й>˅/Fc%ҍ޷;kt?($N(F(//*dD }9Keӯzt25>=#x^9Z_q&G8];0<;.ˡ|s04V<ɭuHėOlc x˧1͐ʼ!->dcsQƃ%`[E"s[c/Ԟk;e:a/a}|csC s)Db=ܶۙIdc endstream endobj 10588 0 obj << /Annots 10591 0 R /BleedBox [0 0 612 792] /Contents [10598 0 R 10594 0 R 10595 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23611 10596 0 R >> >> /Type /Page >> endobj 10589 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 109.0364 381.3955 120.0364] /Subtype /Link /Type /Annot >> endobj 10590 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10591 0 obj [10589 0 R 10590 0 R 10592 0 R 10593 0 R 10597 0 R] endobj 10592 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 10593 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [127.818 310.725 160.51 321.725] /Subtype /Link /Type /Annot >> endobj 10594 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10595 0 obj << /Length 19 >> stream q /Iabc23611 Do Q endstream endobj 10596 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23612 20690 0 R /Gabc23613 20697 0 R >> /Font << /Fabc23614 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10598 0 obj << /Filter /FlateDecode /Length 4129 >> stream x<ˎw~E4@hKe7yؓ3 C2o̪ninFO)`dgE ˷֙%%y/,:&[>[i9Yo$o/ƶv\{݁ 諒5ߖw5NdKоyzxj,-z%C^3.Ӛ*0~]>1bf.K\BYOgz: ]:B9Ougȫs~'FdAN#jB :hh6d厦,cIa$3ܖ ^0)k A[MYc0D08QIF 1%]ѧ[Yӂe?g*&ӳʪ)(@% 8o0E3Z.˵9s/_a Yu!ƊRp{%ϭ>֊Y(20݂<̤#H=΢0Ո.ʍK$n1G-NL:2I:47P!4ďЁB pr3JҺ̴:P.*a7z?V0wnIgw٣N..{n Q̀C9,|1(өEKkv)`L8t=mW:aϾiX<,0?/LDE{T}u9?yvMzXTq՘uꕭ#֝*CZP:&6li>W$+Wn&J8gpiq(`xviFs`A ,D4rY >np$ 58 ZrqnxEjt1Vpyg %-Ka+J>{-%;F-:b{/F.:'H’iA%^BR;,z+ yց ,Y$ˇ.)V̼g峵͚ v,v( SwGdB,"-ܔ5ZgZ]ԾtQ)sR>竑1^$˲Aa= Y0~g=j "jj jy%fx 29_9I>VaOSU[9q̘UDELx:aavs[ rv[Lͪs&lǣm_j/C{4T7^ܵqB$7T>_l_ݽHgy a\ԾȺd4;=E]][k2ã!#,,}eks, (J6s ~m6^JwaYXjcpRMhb70e-2dƣq)#H6(^[B܃mʵox|rtG^b&|K kga4olt-4x 7m@Msc؆wg@#r¢W@e_}J0*%;T 4&;$vB$xFW$=)~O@tƳ`.w/ ܹ`>8t #GlrjN ̺oY4YW `8` N :*Z3beQuaugnhk!՞գ* Y?P٣孬>efGafCf/?lu˻0{6#{4.{4Ufj}fwhͮYmv"?ы>GiM\c `3JJ0Onss+Di Z&)ctst'vW8wjz4(!=T"W#{n\CW m?u99׌_GmL%@&d'>ՠsFcR3&r˘N<fF5蚸Φ1v =NT1/ĥ5ޝ@WϢ7fqR#کe"bDb,d#V泠_Ӑႉ= lj1267`mT?!2f֒[@[ѦN֯-zTy<riw>3#JrӤ#!u4J#Bf;5mU$zqD|&RJ9 crWzWr%u{\Ĺ5Z0)Rs*T1[F,Na-dSF<0yDrŽ]xHeL<|(4Z=!NVZ`o|;;c4qY̪ ޝ l|c ZXҖd)5Mx77i'_4\~8u!6cHFn|)Uˇ.,&BaQ g vn݇{8d2)ⱹ|8/Rz6\2hι+eN4ɼH$Y(rpcT,HcP`nMEUiV1H T[>w_Wga8@  6LPRIraɜ$jxr­^M/U- 7S]m{ÍRٙP+qfTMk@p lP1aDŽj鉗}7"ѻ}<9orP|hzN+鈴mlTFATR~@'D::.6yG})=#Xqm< H|[lb$ ӱij@rGF3ĆW&BpK uEс$g_-U-N}֖.)UY`cL~9,j=r.MIa8;ـ,H}_`oJzMC0g`\ԫ>d2j5˵؂Qj(\M)9Nj|]j'pFiaA{otȈ>Z ܤU1jO0#x%~yK\gjZn=o T))$얲3,͈ ~)WRjj}et/"*|PCS֊ ,eXrUCe* E&b&7 .>UV\Fu|{V9(Rqfzv}Rk~%K7M*W㜊+|Sssv/+`pOzC5yZ]~_o&tl7rށ_䇸QҽGTJ*T<9 T]QBG\ lm-.L"ɢ?{ endstream endobj 10599 0 obj << /Annots [10600 0 R 10601 0 R 10605 0 R] /BleedBox [0 0 612 792] /Contents [10606 0 R 10602 0 R 10603 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23630 10604 0 R >> >> /Type /Page >> endobj 10600 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 10601 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10602 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10603 0 obj << /Length 19 >> stream q /Iabc23630 Do Q endstream endobj 10604 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23631 20690 0 R /Gabc23632 20697 0 R >> /Font << /Fabc23633 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 10605 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=913) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10606 0 obj << /Filter /FlateDecode /Length 4650 >> stream xڵ<Ɋ$Ivw ? tTn!]cl"*·g޾yzU_1ϵӫwkxJѿ_,o|UQfo?(=Cx)?uE1Ũg^Y)e^owزڸ5@Xx/ t\t|W s{cd>+_1)jU7͖5l>?Wyx]-ذY۷#"1W&V&ZmUD4o{ 5c9c ;ė|+HvO]bk!}uuVEQHBIG%ੁn!We8c{M@emx  _GQa9۸%skfOB(^k ₂q'wɦIƧuvuѼc٩bTڟ˦ʦhK(B.՚7!x+FOX6e2ɸ-(wh_aΰG@]fY[t[ޢ*[碟lIHћu\UGUWnAp8U`ʹ)jy&ԁ`EU*!HlJZ O7̲i UBƎXC4A8h` $}2mb.mn%qKg}}o.e)RCa9%jz2`L_z.%N *BDY"~NH]e jI;/G.o&TU솧+ZI!|EIgdIuɸ^> 궞h2 (wl[Y 8==yJѳߌ\ lN&.W% -TC͙Yb)Qoa0FC3Y-G &HImYy)O Ɂv]Tص+`Idُ?= $Tv2}, is.r1@fK%>lƬkڢGxX)?^:7P?J3#eNp=vw=b 1PjN 9ߎ4/: eiQtF=sJ@,ÏzZ6N7Nn9nntBfam@B ng/HPAr1G9"r4aR.n-'46-։,7[ } "ٿB&4Ko`a*yڐ UUn84u\Hzϗ\調H7;MT/"Ȏntv&Q/w7fA熎G0bwx[id{S[g̣^ǿ'`Xƹ<M,3\j.9 y졕L>[xAAM0U6^#;=EZ0@Jwڤ="`ed/TX݀%Lrvz28M1&}{vXE LoV Ojϑ8R ۿhlI6ʝ6s)DW\Y;}?ĭ5XW'$y_Pg7xȯm'-a;h7mB뷟mUlQʍ$طv}^cv_y]Bʆ".{7<ew=7Xgƭ y:ApLd*"j(,c̈́V{ۆ04&m>& ,Kғ%` kmEME{"aIKHN\8 l~TbF7lzq }yʼ. Tg=*>YXlsjK*{feu.UJ>* UE` fG]YB5{o6G,6/D92N{|s4#g:ԇ݀C]tOt.#\F9G%r/ cH2wZ6Y|?f(dg t>جLU`JzN_;])o:R#' ,fnҧ@댿3f؉@D3ڝz8'/XjQ RDd3(Bnξ9ԑeQ\̠9 e '݅@;أw5D[ ѧN_=1We.lf EVq{#(`(0s+C|R0v<@v_oYj4|DP(k;f)~"+!Y{(1:Pib Ī[={?|J^$SR߫?imX~-c嚸yYs37>䔇r0F#Fm;vUmg_;1o$O3 A կdLU5#"8tI{]c+ Tc[ZO/y7vSywUF<ɷ=܋"ࡩ}9샶S? ܄g:Ir_`t)wڦn>UrgVAt{~đ<<]AF/Dܥ%[H?X{{h~}`zG7-/ߖɃ צ{Ԑju|Bcc#B_m{pG-n%s>Y~߲,ގMvX~LX `)65Bރďc@PQ,xJ uhD]nGᖛ/{ק1 ]Jܥ:c iMXX;1L )?uaȇD-bW^p0iSA6cE7wvPݒaؼ 7oiWnڈ@Nfsa=_8(e p80 }H?y6hh>aQo@\'k&t !D)w(zG5>/|[>+|}KkD`&;.t+s;8s< ?U:V<@.p,H-?[MP /,+j?xI>8Ky&*D\K\e,N F0l_p/(hG9.tĶT*+wT|{pٛynUl,.ƌ/Dz'og?3/\.F'_QǬL9A2~S€oes8vd2O+l7{}Z8>^tbyɘKD{8~nqqr1s:X8LBC8-.]M6dMMp*SF/`]ց:>{ u:nuVt9ZG^zţ80df& K^wȘ7uQZ?.y'X}O~O,ߦlTʳx>0^O?HD[&Uk:G\c1YS> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23649 10616 0 R >> >> /Type /Page >> endobj 10608 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10609 0 obj [10608 0 R 10610 0 R 10611 0 R 10612 0 R 10613 0 R 10617 0 R] endobj 10610 0 obj << /A << /D (unique_429) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20210608081201-08'00') /Rect [104.1732 489 203.6957 500] /Subtype /Link /Type /Annot >> endobj 10611 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20210608081201-08'00') /Rect [104.1732 472.8 208.4862 483.8] /Subtype /Link /Type /Annot >> endobj 10612 0 obj << /A << /D (unique_434) /S /GoTo >> /Border [0 0 0] /Contents (generate_reports) /M (D:20210608081201-08'00') /Rect [104.1732 456.6 186.4147 467.6] /Subtype /Link /Type /Annot >> endobj 10613 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20210608081201-08'00') /Rect [104.1732 440.4 145.6047 451.4] /Subtype /Link /Type /Annot >> endobj 10614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10615 0 obj << /Length 19 >> stream q /Iabc23649 Do Q endstream endobj 10616 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23650 20690 0 R /Gabc23651 20697 0 R >> /Font << /Fabc23652 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7$%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛX endstream endobj 10617 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=914) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10618 0 obj << /Filter /FlateDecode /Length 2025 >> stream xYK7W;|?A؛al \*-ilMV}`?i MǬAKCOsꧏRfcf&꧗ǃ1)x#hLw0JE7'?;uƆ=۴. 1쵳X`Ϛ ݫ3>BYD^Ab[+|>A޽5&eB{RY+'H| D{$sh1}Gf[' 0j'7nE.>GGtl_hnInH/nٻ́O6s #>_EVi~EAWaQP̶jTXd$ұYϟOqO@=B#i{ tv(2@k)Ė?Q2x?6DE*9(:CS6/Wwg+ wk2p^~|lZ9'"pwRU5vud9mЋXĿ8a_ec \چ˚2,'RRY<$"HMH!aXv$8b 2# 3h&1zEJOR| RPMtW!)YdؚV3d͹f9΅&X›aܯ-#sZBޓCә+ $: ,'f}7x-I/g|:~~%ר\+WN"x|-WW&_2n ; $9?$@fsԈ"e@s5³6l 7GDNFlk%\Rŋɛ+0\n;*ug8SmL.d'\#Thf+<;hb .l,# >2va50,ywm6SDnF>2߀W7Yf>kuA[&/Qzܩ#3ٹiZ^h穒 vy fù-/#uYטUp[ļ GjEfE7r;5~!n8Ll1dq!.ߚ츅]rZk0vӰ1[ԋ9Bf&;GБ|VUZN TKq ;/_EigUklCݳcǀ-]pVSA/ -Cׅ53Ć1:ӝb$b@wmgk|L(;|tE\<ןr{$(f3~{$'pI@i>a!=uG v(v[ΕzJ>/k{ ihb %̥%kf?px6/ endstream endobj 10619 0 obj << /Annots 10621 0 R /BleedBox [0 0 612 792] /Contents [10628 0 R 10624 0 R 10625 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23668 10626 0 R >> >> /Type /Page >> endobj 10620 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10621 0 obj [10620 0 R 10622 0 R 10623 0 R 10627 0 R] endobj 10622 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 10623 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 310.725 161.9785 321.725] /Subtype /Link /Type /Annot >> endobj 10624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10625 0 obj << /Length 19 >> stream q /Iabc23668 Do Q endstream endobj 10626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23669 20690 0 R /Gabc23670 20697 0 R >> /Font << /Fabc23671 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=϶ff^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 10627 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=915) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10628 0 obj << /Filter /FlateDecode /Length 3934 >> stream x[K7W9:|?# 7'rXd,\oj4s lM7dUX$,]>,5,/`~fX5eǃq9Xo$o'c[^xT˙.~\>|R_#d`/Kоyzxjw!EdWKDf{3Ѕ_ʚtOw|\< ,O^x~T`%.S:CLj2.kb=(Nb C#>GpZѧh6.kgX:g` #0~~&i53䟁9VFէRҐOP D5G=eˉ9FnϥI4O H1Rig'mrLnkFe]Mja  /ƚla@ DGD5`*17enM2P;q)gx<"xAypqM0 o28<Aj~i`]0~%kb®XZ .ňf~+ƚQ7٘'6lPdZz sB͇ESb9OR=l{! &0x6ly>g,(gn:]ܿ+C@o `nZ4eU@j kigxh2F34$zгqn,ջ˶l5q 8ݒ6|`[>^QELlmX#d s %R@-LanYsV9GH& MaT2 lAp2;z s""2I$3{|#aN]wv/]VN iy4}颤(:@Fx)|Iꋠ0m{:>ӈi׉4uII[R K_zfxXͦAK¾\h؊yì"+/ plRme˗ʈB}xf;~{Zeck1Y8|/d)ڷxCЬ*dSiҙNrdP.ZAd2Lզ lv͝ 2iV;{7,B/y6#l V-6&Lw\aٸW3yRM0wo 7 xѼkӓ?{$V?{(mVO.( [|Y@G%foIa S^<ÂۣE Uyā7B /@F7\S L&b[>S+MDTJ<_)eqkv$I|ag)%%)zM9tƽh:w,[/L .\^wMqn9dTl("-9^bԀXZ+& 55Gpcp^M&{V\F W*J!##nq˜|`|7I6}j,߸JM]-2;7&-;{aռQiߨ{N.e:4xpJrU{J_rq6[{J n[Zmwճ2{8-Oi%{cj/[;{ nNR;wVP*oTvx *CS{~Ge2B=Y}|˂ `{n.˟[]{yس^V`h`jm;4{{6VVZֱ_go9Mk2RG'p-k>pS?i3㛘8ᶛ2P]&FN ѩp5tPq+n2BBth}zei7cRr S8>eA= Gv ChVX-PAhP 7lQfGe5%,hLF)](4NtMW]pP̥2̱Ց]"G<֔VDFǮy Q3}1iM@ic+ {ǕƂ|`0ER7IH/ͥԄ(gСVsNL^Fl_Dun(թN x7R5'_yك-ϛ!|2j1)U]A++[uqkJ%Lĸfx~HD#W`>d7IVx*;J!0ї:e\Q.m(0n~pذLO|m PNŋӫJZio:b5uIՇ뜫KΗ9O̫-;Rz]D-kJ (Cp#Qw~( i %N:R; $nmmϸ0LV)L{/˿#mdM6Wbbs>79'V}".0(s,枲|( #=V< ns 1SgY1sœR{jGmGՃ,[^Q>V]6`.Z2ѽ p3l5eC3R7PJHJWKBGG_w@fn]ܾaK\%INSf"5|e.3G/ޖ/ACEIZgBѡU+lNR U~H%[δ47dOIs˩%:7,{(5:g-ٚ!,Z)zRw銭lȒgF_ܤs$zWYe;A2 b]frPT00|26YOQ}O tI!2lT9WӁ9R}Agހ2}U8\ R4\׃V{_?7Aє§X FqН Ypg\ !t`ݼwa5>LL# #i-F 3'xkdX\% eY !?lD -tzq;SC2/USFIݯ\WpXK#^ 7dq A endstream endobj 10629 0 obj << /Annots [10630 0 R 10631 0 R 10632 0 R 10636 0 R] /BleedBox [0 0 612 792] /Contents [10637 0 R 10633 0 R 10634 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23687 10635 0 R >> >> /Type /Page >> endobj 10630 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10631 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10632 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10633 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10634 0 obj << /Length 19 >> stream q /Iabc23687 Do Q endstream endobj 10635 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23688 20690 0 R /Gabc23689 20697 0 R >> /Font << /Fabc23690 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?M endstream endobj 10636 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=916) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10637 0 obj << /Filter /FlateDecode /Length 4626 >> stream x<ɎHvw~  Ơz?m0HJ2 xK0?f=+wŸӳwsxKѿ?.ݬ6ԆE);k>Y)mp<56V`$Ctwj?oP+#]XNa82Z-S{6  'FCp&57境uŸNNjt /yR'YbMEX&)OToȶ$bmtK]^ .rH %`"FGZ֊ ǀP ^DXȊwa.jmDy|`HL YIH,uA|c صN0XZU8.XՉP Y ZA}BpMIG$!&#dSE9rQ;KtK/>)" N t<ȶ S l5½cC"㞚=6 |0QlBzGF{Ik8eMu<uţCj(llxn /wY[)TKkE(&W 5?Yٽ dq `3Lv{@㚗O?5/uNC^>:ynyM 4P\9'Soo*Z5EJPQ ݬlңr fn)U]+_aKwV A -(K~qIے?/isf!B['_Zɔ ffBNrx5/>!.>Y^Mu dH2#$P8W(aU`8XMBZeAi}"r;Cb\g KS$JK_؍>W% gAuX3r KG\̼%)o`JWUu998h(;}Zg禳؞mr^ HCxT~& 낡>tJK*{}%*n%ط$`%b=q3FC]L49 yZ.q^ȹvVTlc MXԍ YVb\%v]k"L2&c[Ƒ :jO7 hyLFfJwݏuE ૶i"jHα7l9/=<j hc?YoBd˾,T-2*+~,iI7jHeVCy7ރt「Ŧ4Og킴ȁz1XC}&<yHk&i+gdQȁuq{h>W˦9Dg( eh$^Rˆ4L\/C^P X#Tקa&r.ULjD=6k\"#?k_~VsEJrZ =כ 8ovH+NżmVCdA46 qc5edgS ^!T :a{kt\^wOU G{T8YzHC_@*$2>})A.UFržz_15쪾\ os_ʘ-R,c׽%t[Lt,EFa-`|ܤz؛ed^PRB5 C0ohPΓ3 rquUy'y֥[Z$V&;7n5sajG$?y︔c] nfrkgt9nft̜̥; u^(LVAmBvJ:{ V u6×E/-WJ:ǩڑ>r8dfs}FM;{;WCUn:@taj8PK:ۗ^xj$վ~oc?xo;]7k<&3orfN @!SF ⍝,/dkXiy QZ pīR¥ۿ ;즗x7ײV󾴡5 d#0Oݤ̚W[` .wbmm>7TFk,Zn*SvlT #@m <Ad ~xhξ4 V}|-;'~ ΀@=?ko/XY?XWaƤ8}O;=p[=] 1fOߝwEM *2{{|7IG:0vw3d3 {oKƭ/ }zHѝǍp&V#P? _b_%bB-׽Gi|:$ԘzU< `I-AZzaEJ7CILdSvMhmSOq^2fJ'm2wTEZz}U1N>.Ld&IiIa/lc",Ƚ)b+ʮMqW!2 SnJ3]҄tre(7{UKd]-uzy A>X8QA56y Fjff+_}yokaup]NԋU8!ۧxf A|}ݷ7?4գ͉ƣf%MVcp,b=S˗ fr˫wb4M؋} P<&)[BJtp+t1b"2>d(sb'!s]xxi2=ӈ->=:c| }'= abdbz4YS `D/-r`czBma*B׽jҍK2 Wa?T QpҔ>dYV+L/Έ%BQi sf3u92n)HاNմ~^9݀>}vyᛟYkK*6kKDV1kBhMWTi h'бU2\(HS@Nq0DR}3zSI%YT \S$h0rfkAIFW?:e|L߉RuXoHbCy+rm≴MNyG2]"2p~fNe<+T'ke)i;a#G,秶TLF;\J{&42o]sics$JnjF)f2>:fF#:^v؉@J\jK f/lQycwW2CgПq{yBYw:?y޽JSG qUH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23706 10647 0 R >> >> /Type /Page >> endobj 10639 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10640 0 obj [10639 0 R 10641 0 R 10642 0 R 10643 0 R 10644 0 R 10648 0 R] endobj 10641 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 323.7385 155.5267 334.7385] /Subtype /Link /Type /Annot >> endobj 10642 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20210608081201-08'00') /Rect [104.1732 307.5385 160.4767 318.5385] /Subtype /Link /Type /Annot >> endobj 10643 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20210608081201-08'00') /Rect [104.1732 291.3385 209.4047 302.3385] /Subtype /Link /Type /Annot >> endobj 10644 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 275.1385 180.9312 286.1385] /Subtype /Link /Type /Annot >> endobj 10645 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10646 0 obj << /Length 19 >> stream q /Iabc23706 Do Q endstream endobj 10647 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23707 20690 0 R /Gabc23708 20697 0 R >> /Font << /Fabc23709 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10649 0 obj << /Filter /FlateDecode /Length 2905 >> stream xZIWl@ xh-r :A~jᪧכ$3PKb꫍zRo1"kɤK￈ӣ˃wZ>~smXR+/_J R'˝|7PkV(3J#˰P5j+q H{u' t'3#LR и( wgaUu΍" *@BSg,}80maV>69i\qma_m?iy$s*R{(g,  -Ă؞|e{Wk"un9Pk]SHL ta$C\ԢPhwA%Y(,e$yQ$;с%XuJuw'fh1&}>;PaF^#D,{jC6ØQ }ԏ*? "ȑXb욳TuQC*Uc-p!J.#}y[ׅ-Fa?Tճ|[#0U%f)3-x3`&Y3ede\Ik\5nwbЊp<19\gc`<ّkLᶑLzþ{;VW~SDg+9WL4BqkP0q%Puoh6ޮ&Atl*^r'v:=GNtTA9F^K.Ry萸`qbNlI Uma$:D귂&bbt͠K܅j5QSe+[ AuWOChukAViyֈkiAHTk4sButz6*b%˹1_ӹdIɭ19$j!3뜎lhPZ>6)7k0櫇9@hMIX2ͥcYUv_s-ṦTH!X7ԙ5SF^_Sj @nF>,KYywToq Ri`,JC8_0/oyRCsڣoӮ 1Xň]٫ q ٧a PkĤ-2hE`萅=Kvx$;zjWnwՊċF2кnfGRWTkLܔ/`׷,|gleÆ&Z y@Pf띍<#4 P?z,*Eq|2iaČG:}c}2%@@c`ect. }ӍXFE"cw\YSOM+i(K7ŀ 7Ga7s#Ǥn9\t(Ajx ._OJW>0H4$S~A~CLCqs©μ"cQW팉eߡMttG9%D:3V\3ZG5AتDzE:~ )N#.h X{;goUUn*c N#[YU WW)3Q`qaxh1V=+ 9CA`!Z#6\҂ '+ Oc;cMs\±ɩP8E5{ųfܐ2&|_!zRq6Zm#rMH "k6q9לws>['Qj]*u\MZv>M<2#jp/8%` 0a?/Lgћ6X"-a80׮0mގe>yG^ɗR]/ "'\|bV)bxLLeD.F[IG4b'~݊z=Va!t&P?,OLk"MMU&Pf fIG^sB}7x7*Q'TV{[h)l0sĩf{!lsi-C3MEJeej> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23725 10656 0 R >> >> /Type /Page >> endobj 10651 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10652 0 obj [10651 0 R 10653 0 R 10657 0 R] endobj 10653 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 283.125 140.952 294.125] /Subtype /Link /Type /Annot >> endobj 10654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10655 0 obj << /Length 19 >> stream q /Iabc23725 Do Q endstream endobj 10656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23726 20690 0 R /Gabc23727 20697 0 R >> /Font << /Fabc23728 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`QVthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10658 0 obj << /Filter /FlateDecode /Length 3461 >> stream x[IoW<@3' 07C&3A /[/OzZAؖYͮ*~U,%MT|xfd2$iq*rUU19IF.V]nw!ջ;c;-ވ/vx@ɯ?_7[|AqcU 䟤Vdxv%ZS?(wx"4Q6PTҡ ]t#=\,O^dzxK 􂑞#t7 p'xP;j \rH?TպbmΣ_4cbL&⠬F|g5*>D4ڨ$mw tk (K}A: f(\!NhI1`o+dU ƂMS>'}I38;0A!-sN(1}ҨaWg1@d9W>󑃏ZO?4@e}V_X?,y1^\ [nCdI^IfUX8t!)0 x u:0Z]Q|\JF(p,ix±#0h! C -aM}9pp6FCb(o&Y")jaOT9q>u",h"U[ 0Yp`(&b&FASnK[!8{Ԇ1h5; !0?C]._UpX*?WU~ k W;5$.㎑H-+Кa >+1qE#hB-tka8!n/˦qGcp1- z̭/L u 1 e!拉J2[`{(7ILD]= / ?WHڪuzvBdiӇV蓨/5 Pjް6H31ޟ1c+ûILD7i( )U ~4_ib5F+XgGZA8G͋ !6pKW6@?kRXbz;;/l>l"naawnNzaF.ұ\#ᙔ@';לc|<.Yڝ|S4{ 2=z_ӎ] zw4כ+xQ;ސюמ!iܥ/Dkk@' tFӹi"B4)s)9x9~:+|k{O$כ%A?(}y^E~<1;}%`#n}}DZeHDcPߑc)>(C닌5ĎL;XnͿ 6;T$rapf1QZ@5+NDA z♔AU;Y#xat5-;JKt-1'[ +JI&V4[k2^+bfl9^xjԙ pU2QlaNI^Y\w7x8ci"Un&Z'fe4= Ni =+!zXYlĕl&=" }-DVAc"Q!-d6AFemv-f`s$yq0թ4}(r="1ƽӖQλ{GPˏZŗל ؐ-؝6D-&/`7^$\/-6`OL6~}v}vnAqdćőoqdT#}8?|`4f=Un-7 %Chh Hzx5#rOzw Юm7d& |_1w)ai+zd9\AtiVCـSV|@SaFLp-((p m8: Z\ĚQLҾu: źZfEq't(3k4kn"Qץ|:xk?+c~?X:QnKPr"KӬ3whXzUv4R;JpvԀ9璅T( 1_`/d-"$t>LyT{*Ӿ04HZ ː;ix@ ĶM;ʇ'Kڌ|-n|G Э[u3QT2N6?P՜di&N@3~n>y^R1صMԨq_G>O{G4Ց3S]yy_pQ8/ZD~XԘL ƥL|NTk՘(_`ƏK%Eu6D;mUP_B.xeN>JZL'*ˉϢ3_)/7(ϽxuR,_4<郲vf:,SI^QDMGQ%<7*ǰ<.̓߶>')Sw&ӻ>ͣp ̠f _lL\d0 &U>;WQ,Lʜޭb(<[- |w*2hvB%)b(i]yh6S![h:R{ ӂjk;c.d5ue?h;儣_`_]_%t/Vᆇn|,'Z9įRepiQXij8XxZÂ< ;RLBj<ѡQ8625p94_j˥ wm[Y~qh"&>54.VB=Uh.l 'i[5k-b,"~~uk&> O eQjXYP6VVxgqҸVg4_[W:zKf EZMf^\%`3lYgY #@ endstream endobj 10659 0 obj << /Annots [10660 0 R 10661 0 R 10662 0 R 10666 0 R] /BleedBox [0 0 612 792] /Contents [10667 0 R 10663 0 R 10664 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23744 10665 0 R >> >> /Type /Page >> endobj 10660 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 640.5577 381.3955 651.5577] /Subtype /Link /Type /Annot >> endobj 10661 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 587.8884 396.03 597.8884] /Subtype /Link /Type /Annot >> endobj 10662 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10663 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10664 0 obj << /Length 19 >> stream q /Iabc23744 Do Q endstream endobj 10665 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23745 20690 0 R /Gabc23746 20697 0 R >> /Font << /Fabc23747 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 10666 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=919) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10667 0 obj << /Filter /FlateDecode /Length 4692 >> stream xˎ#_g~#萛rru*nI3Zbj_!kVgר\?1eѸ2ٲRE7]%f4qv,p.ŇhY|͋_vR$,!c*K龴+mxe)2k~*wZp2 QrO B5q˚Ν=;YK!Jiy99J͔&hͦB l 򷬏BL1Hcu4_iyLrД*GD%%S3O EMCARsD$rK,P7,*H^w U>7|j8w򉕼dvJh[NhU[Y$RYh5NDXMS̥4h .4U)ɑ NFqz+-]$I'UF@~%+բ웭ǽ) px|(6V(E R{\Rcgo8}xȂhQCndTqFFԅ3ku &9nu$RZ*&Bԡ5_,@o RyRmp;Sj]-B.5!g[RŨt& H ̶ mڦ$ 4)˟#A0TǸgo;1y͞Ucw!lzTkKNڣIMe撾vt ƊJhmjZ]K<,q =[22䵫e棅XڬZ<~>kX)*I)'87u|ܟKN Z%q[Vo+Rk/a^s$;[6q$iY\Ůxko: :^/dm@}!ЈS;)RSw{EћB -YHM1eOv̌XVinA8za:@exW7h5wUɠrﯙekj岛!M)Gc1M#у;~o~os뱟MTgaȳ3iѓ~?mEwMfhfP{V5?,q.sل'<%Zs Ԛ4Sk򞓚AU æ̢#۠ Ҷ޹#,=>sW=ږ-iOʖ+oSY(5K]ykS9qF>g= 9XzMfő[9rܰ/?,"rV jϞ@\u 4vz.5!9p%ξ#kY=IԎTϔXżR.|va+Jvyi[Թ{^ ,+ i:Ga ensֆ[HI $޹o3i;[6<ohmWٹnSMvrTR Mo^L6Ag"yu=65i-AfUXe "d/oCVXg\a!?CsBi5aoV=7sݗ^ lM(Ctg>v)>w`ݗ~_2nu-oXs0'4L?o#h b^Ka^CAX$h/&hzmzS.oIqlHfcXrҡBu7~XMXt!>2S]OV wN2hho*''6>GǠW'E^zl EJY]K[WD sʮ"] 2 )&; stQdIh\EXԗa ZiDT^wGGwY;MɣSGeMFg!dp{P%atd܏Tnڇ8E)ڐjٷ){|̸JMm a]  zU"w k(4+7Mn[bl~'){ںzKz ?rd)^zo*(c:4]&VAT e*tװts~}ne1Q5{N"ƥP*+i\O3;6%b]Ce=O_|旆wqAm=^`ohoR[0@B8@lDH _@}YM~\,m0#%t71ǁV$[aR 9] t@gmyi2.yR0\z!NxD]$0e42#~6paٺ\@eؤcnpP6׈3 mWHL' ܴy/@NZؐa=_2pP0IAKiƒo;u uY-5; zK}*w:΍W@vnBE^o-U'kKDv*BrxMC3׿zON:TL 8y$Җĭ+AMdaD +)"//NQ<O"Şf,Na}$WUBmۻJ2]|%J'ҵ)`6 @Ow~h_ʄBrK慔vx"_mwo} u ꘵˶.7P_/X^+YtHWaooes8fdvn`B]p߿Ztvb>hy̥d$Kn8hx) ᩅ0bRJ nakJO6 ,?=] U^{2 76 k@H]꯻BWt0bx{KTg> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23763 10675 0 R >> >> /Type /Page >> endobj 10669 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10670 0 obj [10669 0 R 10671 0 R 10672 0 R 10676 0 R] endobj 10671 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20210608081201-08'00') /Rect [104.1732 490.4308 172.3292 501.4308] /Subtype /Link /Type /Annot >> endobj 10672 0 obj << /A << /D (unique_685) /S /GoTo >> /Border [0 0 0] /Contents (report_scopes) /M (D:20210608081201-08'00') /Rect [104.1732 474.2307 172.0487 485.2307] /Subtype /Link /Type /Annot >> endobj 10673 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10674 0 obj << /Length 19 >> stream q /Iabc23763 Do Q endstream endobj 10675 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23764 20690 0 R /Gabc23765 20697 0 R >> /Font << /Fabc23766 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9d)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}>R endstream endobj 10676 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=920) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10677 0 obj << /Filter /FlateDecode /Length 2310 >> stream xڭYIǯ@c_)k7O5t}-jMK ^{[6\ۜ1bq~Sk/O0;dm#+xgy~3P1LE9 t^dw/^ʤ'.A7΄z3?šϤM;wP\km|f)K~7pU,U'^y ,`E? "b,eNb3~NvvP>Ks5X+]hm ڱ mZkt(NNƖl¢+>l{sn9hg'f?Ádj}Q.F yOF]t!^İi&sTSZv~4FX$+`B{@b%qmK tҍ"brtp;"Mh-b!T9;h#am55q'y2{ʱsw#'.:zȫh|m0$)얺-#-?bǥZN7T}ݭIB+BCgb>ίn9/k#V#{rciv(0[4ǘ thWɽsę6g^}%L[޳FT FPMΧ1AX9[eDV]d"Ar|%=ǥy'< qZ9s[KL0+9|7Hn?kL@E}ՐCH!ߨˌe9/O؊ S;ѧBԯA(>tѿڪVXWYc +XCW !~?fc;>c7{s&--wĞJ]P`DLA3 OV Η(lWL1}f!Cy|P_ήUw?pcAocp&+O6G ." 9 Z-@,>B*` xV T߼AmG_kj@\C.H&Tn|aDݳzUC-1/S)g(3 aR3NZ|ԍvOB-r9|&0n?<2Zgn!IK p~ݭqfs3oPoxVWp=COsA}iPhp3{Lg^fq“ŃMQĻjsM`SQ d!lcѫiXڈ)ђLϤ)WL'1S ?|4VZ/nQ1D2q k: UNIQN8|^wE@6Űgy&Avid0c[$b]㴖HJO >" Xm6l@zC-# ?cP7~آy]+Pٱo+hO z񩶗n=PLu?,}k! }} 鮥 VU`[; ;z31b\y_{DH:PD1E~Q 'Hw雲t$Ix79|o> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23782 10685 0 R >> >> /Type /Page >> endobj 10679 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10680 0 obj [10679 0 R 10681 0 R 10682 0 R 10686 0 R] endobj 10681 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 377.525 122.505 388.525] /Subtype /Link /Type /Annot >> endobj 10682 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [127.818 377.525 183.115 388.525] /Subtype /Link /Type /Annot >> endobj 10683 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10684 0 obj << /Length 19 >> stream q /Iabc23782 Do Q endstream endobj 10685 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23783 20690 0 R /Gabc23784 20697 0 R >> /Font << /Fabc23785 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v`~1OEҤYȵ7-$G5U|t%w JJhx&I 5\fP=(%ypf%)Rwm[;0ROMˡ|72ْԑJnRLsVWӬ.~7‹!H5h}g:g("G :gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10687 0 obj << /Filter /FlateDecode /Length 3876 >> stream xڽn+_1gR f>9=]%J~$jں|]b\eiuva) ~C>-Pt)cq98o,oOzlHWP=ȮC>ȀO'($8M/V_i1:MFo}5[ ߗ~kk5-lb#y~\8bv~Fs!gD?ESl [$co6Ptֻ`[c*!0rbԱ& o))REJLޤ܀:]|NH-M"MkU)_rcQm$tD>h# f A5:lq]qEWujco{1xq v\#v5v;*P4Tն لXtqANkp#Av1@f= 듕 :w=ˢ(P"b?ݡ49x2v\A UKͥlN(XLD,%՘|tmgC2j:pD*n|%0Yy&59ɹ3-QՇ V5s2>b['6-PWapr%y_$7]1WJ$+IxbۥܸCDQK D:wJݭ(j>b Aq?asKև5?!EsR0]]2UŲ.ʕx/<80BM>'ゞ;w^k!pSK|0 T#6M$ei>o{'s_`*KMd0N)%[WxxDjy`\ fU Pc8"/ '$]CUO0.3XDW A Vnra٩Ի旑jSKM2 2aNTLVR6EԪXfKJ5^j_5D3R%^ZҕQHppUywgzb֝i LEجz>vP @":I_OͲ7O# .+WY{r3cʨ)!mI&Q n+lդWW Ü:gLEB?q*mƽԃ{׵+coNbY4@R;hMQh3;aemG垉nJ8֐gt+Y҄oɂٚf[SvWrr-[Vсǐw b;}g 3S'[,[F.XH]9NX,PśC S?Pz' tX<xQɭU;9^Fpz7B)ceb,. b#} XYxНH-XuG*p&EBd)>$:V$nNc?u8XȐRgAqybs8qW""L }Hʀ*_)nCrb34"ĽL7 ~ܕ$h"5V<_d }BKsb͛rv0Fܹ{.6pSz.d;ܵ>}s}u#.WQkƴ1I/i[l-CYQ4/ r6Ωs2hPuD2O>A õpW\DD&6%-.WSK̘7\k^MBYӷ DF C܍1d&h%Y6ei$(a.7ۋy^Ž"Xd'[Y7ζߏsBK3nd$qxظhN&,zޯ`5 f m즢ydB2tۀ^j&Oc:ʍ-+{X oT푑\Qj8d#AߟsWPV~pu:!"1`P[&kY[E(~ynp/rH8e$D/ēkxZ6[lOl$o.|7=;5 |ZnGkWY185u&F ו`Wpx2@i$ihkG[?̛8rmѪ?\;6=wmi7 j[;_g; {o[L"iNޥx2wI| };32ƬF<ɑg'Oy7r%]d<;̕\O.j``Zd0aMdbxK- azAN2"-7uEBpˡdB1nFs\VY;P悊/L*ZT8( :h]ġ`!Vһ xT;]L຺P@|\y;@W"N.,_ul oKhE#xtSN[6ploc1_|B^m_><2U3E݄rށa3D8@9&Uxof&\$ʽtD 0y"^lڥ 'U&Xcؼ 53Y  E uYV+rJY_Fp`3ۖgH,;8@MQ`F+d暁q[H?`W0 (zP> |§+|cI[1X Xf?OXx>X&^SWg~9:+#r=  "0ǯIiV][`!Sr My6<4NX F0l_p-|uJ_ UT,ӲSlT(5ZLC g @ZO~_CJ> ቬ^z|c̘XF"oQEj* zOB1 K>꓍vYkhfQvn)rK,X*H&cMavՍ:_,9|ϛܗշL2rA3ݸBH%s> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23801 10698 0 R >> >> /Type /Page >> endobj 10689 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10690 0 obj [10689 0 R 10691 0 R 10692 0 R 10693 0 R 10694 0 R 10695 0 R 10699 0 R] endobj 10691 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20210608081201-08'00') /Rect [104.1732 360.2462 217.9572 371.2462] /Subtype /Link /Type /Annot >> endobj 10692 0 obj << /A << /D (unique_181) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20210608081201-08'00') /Rect [104.1732 344.0461 200.1812 355.0461] /Subtype /Link /Type /Annot >> endobj 10693 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20210608081201-08'00') /Rect [104.1732 327.8461 185.6227 338.8461] /Subtype /Link /Type /Annot >> endobj 10694 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20210608081201-08'00') /Rect [104.1732 311.6461 168.1327 322.6461] /Subtype /Link /Type /Annot >> endobj 10695 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20210608081201-08'00') /Rect [104.1732 295.4461 172.1972 306.4461] /Subtype /Link /Type /Annot >> endobj 10696 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10697 0 obj << /Length 19 >> stream q /Iabc23801 Do Q endstream endobj 10698 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23802 20690 0 R /Gabc23803 20697 0 R >> /Font << /Fabc23804 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 10699 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=922) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10700 0 obj << /Filter /FlateDecode /Length 2596 >> stream xɊ#_c_@JRi6> >ǘ*Ce~o%SRmgΌ/޾wmwx/:],2?Ib,%eӋmo x< <•JW@k-{clxsqƜdL=ںA?{7V d'I]hY`5aGڛZIp|: 9tC^R!l=M[Bc=|GxN xiǚr\+2tqOK8p+'#m,)p| >+IΕ3p?v&a5ė`INp1NEh:yU;h|Ԉle O?0 4q?4sfZ[i9譙;[RaMGt3{Y#<@2\Vna=p1P8 %bIhoI^_mBi5@n`$b"n1pCwտ^Yg27`#>z 16ץ&+ ŠwJ};Q%ZJmx-i⇠ҜVX9i'0. V`a=-cI<itKxOeK4I8Fi^5ED޿~osQɅ sKugj0=G6\Udv0>UGN҃I#A| <kԊ^Զ(:EuM1Orw~#6EAx?䝟_xh_6G V]_ h%]!bB,as3TO ֤g5Bu ,.xTW_n2Cv^^,/1g=o?ob[E)KI4mu9  w)WהwS>#_OPf]*u...rw847#m^=|U<< yɦ:-pcSX nSCBk&pi/Ǹr}Rk!t79/ ]Bf>m疐RNY ZQ+W*H)`tD; ڔwj3xSIpX]fB߳o1nV8Wpy2g#-k; [֖R` Q hK*ze5A|]{gy'2 XY<.8%@HB{&dEVoYICb']Èg͉vBGZJ 6=^=j7-K[6%Ri$BbۚT ~gdlo>Osk\nZݾQcD0zᬅ('IPN8|^-]1 M?K]o.)VbWfYg/8}KCId'2䍒 xW$o4ⶱ0bV/z*qTxEQ"jcǵQYov]A HHԏqoF=in_~ nNҿ}AgBc}tIRZJ:;a#"qs =r둈?^O G,w'L17W $p(7HT^|ͳ$?9)nVpOꜾ{_fgA!Mͽl%vC K)"Ԥ}Pk>?n endstream endobj 10701 0 obj << /Annots 10704 0 R /BleedBox [0 0 612 792] /Contents [10711 0 R 10707 0 R 10708 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23820 10709 0 R >> >> /Type /Page >> endobj 10702 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 122.8364 381.3955 133.8364] /Subtype /Link /Type /Annot >> endobj 10703 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10704 0 obj [10702 0 R 10703 0 R 10705 0 R 10706 0 R 10710 0 R] endobj 10705 0 obj << /A << /D (unique_56_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20210608081201-08'00') /Rect [90 377.425 144.9175 388.425] /Subtype /Link /Type /Annot >> endobj 10706 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [150.2305 377.425 201.1825 388.425] /Subtype /Link /Type /Annot >> endobj 10707 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10708 0 obj << /Length 19 >> stream q /Iabc23820 Do Q endstream endobj 10709 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23821 20690 0 R /Gabc23822 20697 0 R >> /Font << /Fabc23823 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj2 ufg[XLۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}Z endstream endobj 10710 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=923) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10711 0 obj << /Filter /FlateDecode /Length 4096 >> stream xڵ<Ɏw~T;@Wʀoޛ1 }-3%I&wm?'|/t:],VYcWR7Ƅ'}rJ}Y^kuIIVg[-,uxq7Na 3ETM%>x3<]rfqY״&%XYd>>O~7*U4AMqF\DmfLZ.`gt:lHuh)/+xZ%]p\z^+ۺQeKA*[ &quHrf@zX PG?8{CQG?*{P$0k%aPƖ%o4tn1, mHY*Vh.HyOZx',3YR9!y95@.SS _pS82{e7\:yUK* ߲ōKD~h%4]˯к0+EMդc]C>DPYlo JI*lx$fx8#.L%iL;7 Kgh<f^̔f`2ȟ ܸ`זw[:#&JeRkjX^FssK 2 ҫʃg4,$HRAM +Q=V42J0Pmd+SJ@Iѕ|7)6c=jШMCKb蝚I`B-^\}n?E7ިyǞӥX&PI.cRR2&voe/a˲V7V]U#>'S @~KM54 )G!E8l&VR57nx2#'3ƕ\@3MnY:qyϡ=/ r%J{ ݦQs060GnSiF,I:IJHqi᭤D]Q|4K)emG3b0t@آq8QOT ZuoFpϋXca^ T=AH,օQՖ'"? TNZ[>~4NC-c%_:涯T}d_"Soa/sJXJ(Y4+*sTޢMv!ة$c6 Sl'c#(ؘ^}5͚!K 혊~vr/wyaơ<}7EБ&n3*1UQv< Zg5SL=X貮@Ze4$ysG pveHR a$D;UM{m\cJUfrxmedEq?=eD3}O՟Bw W4$EKktZMq&aS6KLV.ťgIASautyoYDo䂂#=ƸUe>;_@X) ZI`!صt͋c՞r0#x%^xne]3ބz@Rx 3D\=򱷸xiEe3 \ʭe3giVjo'Eʯ@B1"`}1GLܥ%NF q _ k體xevK _S xg4 7ax?䳰[Ͱ #tO_ZMm1E^QEj(uZrR!'tK>jwdeъf)rk U*lH.ALv]59qf߀?ʉ>Oi0ݟ4e8$m^FW[gٖuY@7'py44L2}՛TMnzѩz3DQv3hKeRaRm p`V,Z~j~ ,O'ȲmH~Q & &slrn=^⦻UVpoJ;ASS zo{eN}n?K+:78ԣ?M_`y^pCn$Y=ֻ endstream endobj 10712 0 obj << /Annots [10713 0 R 10714 0 R 10718 0 R] /BleedBox [0 0 612 792] /Contents [10719 0 R 10715 0 R 10716 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23839 10717 0 R >> >> /Type /Page >> endobj 10713 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 10714 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10715 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10716 0 obj << /Length 19 >> stream q /Iabc23839 Do Q endstream endobj 10717 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23840 20690 0 R /Gabc23841 20697 0 R >> /Font << /Fabc23842 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 10718 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=924) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10719 0 obj << /Filter /FlateDecode /Length 4710 >> stream x<Ɋ$r8?Ȑ t4O3Bf [|1\I&;vssZ\ 1?zOޭI-E}9[VoOm;YR:Ix)'}E1ŨgoJ۬27lSmo {Z88/JVZK&d*1,:ȉ3&9KKo:Tֆpq:˚ydr} c$bH 0xp ?f/9Ӟn6_:7~ိN/6L\&Q&A]Bp)lD)& G1xD)YNmAy~E ?QN΢luڀ4eswRuSK7 /UބjUuUx/: O zԓ#ۡͣ #ˑG.{r[Inr; 2.0i/&;7CE^}>`*X?dFѢQf>||:>DW@9B֪ݖEY63*voO^l5/yꝔx[Ltsk.%SZ0CkpU{(LIP1IOטs(r5 ׁk[ q{hJϥY)CT7$O7Nn9nאBfiCr@꼙g=~)u-3{s-&o.^oyJĚq| $[wz!s{F^{!C3ti2KxQ ~5LeywZmH9mJMJ wՔ[ӛzɁ&*FW d_ڃX&ƻ3Փx)VZ_w+씵&gy<}{ҐDXEn*=rNhz8dVHS^='a1{e=I+9qE~`ճkE6$O}ƕ+Zq"gYO R}7&*i#ieeN99|2VYْ27-e,A edkj*ŹIFcQ|LfF'~} Q S*M]# QZ Buj4D׾6[mQm:٣gKˬKվ}5z^лG$^z,\V2 &MK%9"X~kR:'UqM̨R(yJlEGuMˮ$`.H%QG?}9/p&-5{c; UaOH4~w\Sjq)Yx_^Wde`$nڪoD-+w`}Bmc?t )̞MWtg>.ˎ=\f>`ό[˟}z9;Ap -Jׅo%PC1J譵fB}sH)1iKP?%ɒZ\w3oHfcXҒ\YO.i_?v1E) q }yɼ. Tg}*%WTܣEE#ImIelc"ΥJɭPUTkvT, TStafuW\B޲7E^vKXa (7:E.ZZIef_&n?+ʓWQB,n^^A=Y _7УHɺ{©ͯݵݝ1M*)3 @(Sb>YA RpBOEM}]kq EE&d^jW/2\LKeZm_~_F^$*Xjm1cI*t҂&pu񣹊NWq/M.MdZc0 8d37`ha҄XQQeScL `D,m3q[uVO_To7wmT^Fl]̓\z.{gO.%&61j[HMCKnWq rm ~y(wvk~2^<<{iTkނl@8y۷n*׸ɋ2kier[_Z9*_6L•{RiLd>?꾒)xٜ_ fّ9JԱGO+[/5u zؼ PH郎bt,u!yޔ?E 6=~ٜ5#8/o-iEi߉*[bEw{VqX(m+Yt.rCX'oፕ7x|Cc;c}&˟c{ @`k"e7>{s>Y7[.nGovodeP7옰/-{$l/kLDr l&1#-Ɉ\z!_+zu8Wb1__\_f@R!ۼ廰tOcX5(} YSc@/-r[*aצ\@1l2U@މAMķvKa,,<^i"\P2CB/9e,|aࠔ)4HЗj5Y X"r0UZ?+.*sW>9{| ?U:V|@.p,H-F6WHvCũϗ;fc7!?"H(BñNt0bx6 9=d,uJ!|I8t]r)H<*.>,jJ endstream endobj 10720 0 obj << /Annots 10722 0 R /BleedBox [0 0 612 792] /Contents [10728 0 R 10724 0 R 10725 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23858 10726 0 R >> >> /Type /Page >> endobj 10721 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10722 0 obj [10721 0 R 10723 0 R 10727 0 R] endobj 10723 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 190.7432 608.2] /Subtype /Link /Type /Annot >> endobj 10724 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10725 0 obj << /Length 19 >> stream q /Iabc23858 Do Q endstream endobj 10726 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23859 20690 0 R /Gabc23860 20697 0 R >> /Font << /Fabc23861 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7hЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$^ endstream endobj 10727 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=925) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10728 0 obj << /Filter /FlateDecode /Length 1413 >> stream xڭWKk$7WЊ0Bn9xC|U*c<emzz[_ yǚ)h?NQ;ϋhڠ55Z_M{kchOxN  75\Ɲn0xiO6;]V`GE`S_Ab-- Вvڻ;fcSʹJs9QWxHf1kbD2wXօӿ^5-&GCe߾ :4.?'HI2e@m2[ө$dp#g +^e{Xu: fǃuAxx"޼Sȼ՟sej \MSpJTLO)a=y%ԝu񁋮5kˣqAA%@Z"taZ{IGig Z) V~^ƕa~'L)-\b6E䱆TIɁFrL5p f bX2iE*g[!R)i .y:/O,f(ěź1"Сe :H A} `rr-_oW0sٕ?ޭm}:`4,~ :N/BS#W,Acdl0NΙ4C⃛W )8-+vl0wNq֣dGE^$pYm29=h7JQв1絖oT6Fo^rcBGɦ%xT~eU(k%vO껨ee#+'8*7]YQy9Y2`^O}25%tLZ/`J13XJx ѹ:1Vb8 D5C48|ڦ^.BM+ŔDI43đ04\$ݠTeEb@>X+r~E?]"c">BLkj(*/(/x1P6~1Fq8btMɧw2Meȯ4&׵- ^*i$ #:g?ƕq‡`+c+ U&=]G*~INDи3XGP XMr#b3_%/ҿYу+q,|<".ΘX1/9JMzuS0xt%s-5.?ݿa="'*“`r)6[P?HIC{S}޴{qNZ*;sAۨrN'`Ka{KoX"zn*H_,QWf endstream endobj 10729 0 obj << /Annots 10731 0 R /BleedBox [0 0 612 792] /Contents [10738 0 R 10734 0 R 10735 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23877 10736 0 R >> >> /Type /Page >> endobj 10730 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10731 0 obj [10730 0 R 10732 0 R 10733 0 R 10737 0 R] endobj 10732 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 10733 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 301.525 150.6705 312.525] /Subtype /Link /Type /Annot >> endobj 10734 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10735 0 obj << /Length 19 >> stream q /Iabc23877 Do Q endstream endobj 10736 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23878 20690 0 R /Gabc23879 20697 0 R >> /Font << /Fabc23880 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`bЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?T endstream endobj 10737 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=926) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10738 0 obj << /Filter /FlateDecode /Length 4175 >> stream xڽ\K8W@j~*0gAIYro)2 }1?'b/K3KK6X忮~f|LnAkƻBwc{]|Ten=\:zAo̟m ڏS/@_ƒ^3.՚(oh|fLbfL\XK%-ID.Pgg䵎PNq]kM l5[xƉ[}jO?,ϗJ`12y6PvZ@jY7#ƚU9yDv$}2@koM0IDUھ^ db wr82EM?kr`)a j *;2T+$LXX?f*噣gd'w+y>r5Ӏ^M45Tu:nov.E000@v=){ d!Oc%z $~SiWcWXSը [ݶtTvEeo~Qe Qc20yXk|)jӸ8J)HJ?III#'&%_jQ\팶k1f5#,Z휩K>2=Y`to nDz 4>RR(񆡶:hb:B2,B+dfwi`3[ \-BOg`T C `[#LNo:=h"/G`9yo0M~aa,Uwdߩ*;dvj$ k6J=j lVR8)?\tƳ4mP Y;"0q+ZZQov[>H9NQ㲣ԛ׹v׋R--XVn-%Xl%!0jbp^M2V\[cӨ pQ%[l&UĞ9\ MbW1׃NITUjzT }p3 lZ]>7Aݳо^'OIi=X&OTɭbQҷ\69T df:ms%,x9QZ}L_ZZAc4E&1:9{a]"Q܇;N5O2k >ЀtswͅW.QAUE@n]< 0H@RUVCZ`8ear C6QFf4*MeLGS~ I{Ab t)"78)"N%Fʈ!Qѫa]ni7+,:-#v -_:if)+'lrϭxm;~Yh&,cYb?% 4y;#QT ^6ӧ;xWia9tC`oza1IS=qgPLSQu^0ƹ2mm Acׄn'>W8OE&-&xWx\|Zz=I̚f;Hd ^kNmF5whgGyF%"}[s jrVSIp8Ob D~$ \ŠiRB?hrB#6Z7ivdFELB %2lijz{%aVJLa5WG]ܶkuiV:-P/ݏ9D K2#Kt) 췲Ve8| K5dlMz&hupVjaϸO5̷oY}7 D,w/RvXˌ꼻Z^X{ <]5-EA خh mCMRO]oi^'~.`IA(ʰ&,E@ ܽ*-khtܷJU@R͊LeL$yj{*50jҟH)mRdh\n c%Z9-Q7~^٦YP Zܵ*<\YSBZ8v8bvT%+o {JXc,g#f^U\똻Xx1~M]?4,cy%unv-/}T%WF1nlAhqLWgy=G$ ^?Ncdz3l𼢇 F_O0>Ns"y6'qfE0iW +}N>oȒ=S*>-у{wȧ͝یJ56MwSe/}s8W&^ 5$H 1Xd~C`Yj*s_V1 ~rQI-xj+P>O\-} &%!XD˅)e0woiE1^Jj>=\9_\W[`[izu涬&-УvOcबD9e(SdYc(qё*r\Θ5.SE> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23896 10745 0 R >> >> /Type /Page >> endobj 10740 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 587.1191 381.3955 598.1191] /Subtype /Link /Type /Annot >> endobj 10741 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 534.4499 396.03 544.4499] /Subtype /Link /Type /Annot >> endobj 10742 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10743 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10744 0 obj << /Length 19 >> stream q /Iabc23896 Do Q endstream endobj 10745 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23897 20690 0 R /Gabc23898 20697 0 R >> /Font << /Fabc23899 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!KF>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}k endstream endobj 10746 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=927) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10747 0 obj << /Filter /FlateDecode /Length 4936 >> stream x<Ɋ+Iw87(DBJJVMLмj{DHʬCTx,/ZX"_!K9g𔤿?oqQrk{x8?R(5PK2IJߖo;LUߛPUG)?T)oE1\I_=ka zu!v[Yc:fU>(aۢՙSrA FF!u 0%RZ#fjX<ob)\Y@Pw+،"W֭V!]n` Kُ-̗*z[R^G1߄gdq}. MLk('eI]DIp|ay&F3C&(>Wxr;rFx'P[cݡv\a ڹBBY \-ɥoB`K~'ʼyQFb#* ) \$~?KmlaU?g;v,"垬r h*z#`EX+l1T&x&T85b坅 B|G**Pm;AZwEFTEmi 4Aw2QuɔdZ^g wz#e6Fzˣ&3)uhS"ihK\$x4)&N2735tDᤩt0kqw:&" /3NY`}km Y'|Xϣ=8=1g\eT)9l9cG_һ;sRHmVBol]=D5cM ,1clRdb&8"\HDh- 'TFD *Q+*uRIsExI:?8yQ}!>E4+|1V :CS7,;L~҄euրh ,n6BT߳7%WSD^&f*ê? jotu3Q㙺ʋZ8|m?k" Tz<+qX&Jxr$QA2a1/UbH({WRhgI%1uV b N L/K!Vuj/$HfeqSdt', QeF2}=KL,V嗣bzc7f9Y=vo)v"l`Ž'~7ɪݓTO*. R+1e1E*T4SkFJ[ g68S>n_vR NTHTۀtzq*0bNɵ=HəsrZsr+PAd{" =о7%`JPKq)F&`*adjfLz#ɏbXO- ȭ0s ~ m+e>wXVmN[ٺZ`ft5}rգ}&UlTM? j'm6zHD5"Q>A;Ev܊3:QK|9/S[ebsub48SQ%kN&eD;E(esltlրքWfF*EK<6q`"aVdz]zN ҈OuS6ibUNiz -VcQ5.7\lyEd%<z6&`ҚE_Dc۝y\R4a|5`bI_bPVv[Q}W`7JZfzc:ckTk 6&nn8W`|zkHtMg-#k@MT"X&3ؚ d ;]MYpB!jyv*Gl @#\4WbG"6<槞OL{Ż~o6nP^۷MmϬ :2ױHUViGd@zsavvHEa)oۆ}L{FzBs-NaSmgܷ]!S:-\J[[#ϊqԳ&Dc5_zj"Ma~1Uvdַb"-1źD) bՑzC7rz3y֜؛SǍE1[r ~4lS۽eu[%u6:JͦMQ/V,#ZN ΁V{!qxʏh[jЊq|֤D֭eH)̸k(}[sXAƓ̅Csp4^^!/'[g7NKqcy8"MBh͔Kicpnc] !.l"I|%leS;-"ӕ-ۯ]L͡nCܫyuYm}f`8rX qj, <9sf 2֮m=|VަEC--ge7uڳ'K/Φ[rGh/;j0c%¸ý,~Ŵ!Z"X))-.άUEh/x<Zm{}S=i#rmXa,0,k$_WYR\*$yOEQyރ>hٹvvhrTR UmgWxRv&녪m[ j*7Gs u)8Yо uCZ?go/o۾Y_9pU΄Uӷߟm; u4:l;v`yYE}Jk^|G3!Y>OjE-qKƭc<]jj4BVm{IO |&k}_7ΥEMa 2EV';j:Sлo9+99Kۚ&Ceu?D ߔjh<UgPqW.&{|yUCXe0wNw k/,YV'RXܛvj*90WVuN,t $N--#ifلȎ*X CڙOl@sá( & t0@.V6[WAnS{i))Fnw@zN50)5=xuTgR[)!*܏Aw`:Kb7nn3~| _͒ˉmrC' >h[ރwL A ?3-y ý 1U+kɢ Wo#"rŮ RlY9  c˯/A7 PRh6bCUA  qK]Fs|y_<%;C3 aa tO}(= )?naCC\~ XQ+ &?aIԤ{֬Q3l^arXR5I7-DA)3 '>tX`ࠔ1ebv/XvriK?1`7S ܑ54Gbo ] }=z·<>YkK*6^%"XEwOVw>8s*? u&(pe~\H8ĥʨǂzEgGꀧ)_̳@Ĺ) % ~/T0vP)LDvEXaHI\ yKDJ;Mg?3MnC^&։n0:'P:óІN֮&h3y-/D[*lH&Mv=ǂntu{_ۉ~F\JF؜9{U!aq $L6X ŔpZj!tjp]ajr*/j(wNLpDTĪAy@j4uf V.9/G^[ƣ8pЍz& 6# BrG |;|ByLw<`i?` 6KG+Wt0bx}T7UuGJ5C@e`1{g$y65Q+XH0c΢˿ȃ endstream endobj 10748 0 obj << /Annots 10750 0 R /BleedBox [0 0 612 792] /Contents [10761 0 R 10757 0 R 10758 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23915 10759 0 R >> >> /Type /Page >> endobj 10749 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10750 0 obj [10749 0 R 10751 0 R 10752 0 R 10753 0 R 10754 0 R 10755 0 R 10756 0 R 10760 0 R] endobj 10751 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 288.3346 145.1812 299.3346] /Subtype /Link /Type /Annot >> endobj 10752 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20210608081201-08'00') /Rect [104.1732 272.1346 153.6732 283.1346] /Subtype /Link /Type /Annot >> endobj 10753 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 255.9346 144.2737 266.9346] /Subtype /Link /Type /Annot >> endobj 10754 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 239.7346 146.4572 250.7346] /Subtype /Link /Type /Annot >> endobj 10755 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 223.5346 164.9372 234.5346] /Subtype /Link /Type /Annot >> endobj 10756 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 207.3346 180.9312 218.3346] /Subtype /Link /Type /Annot >> endobj 10757 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10758 0 obj << /Length 19 >> stream q /Iabc23915 Do Q endstream endobj 10759 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23916 20690 0 R /Gabc23917 20697 0 R >> /Font << /Fabc23918 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`1RthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10761 0 obj << /Filter /FlateDecode /Length 3017 >> stream xnίyR/aU ̩ t_6.R^n%RWJ欎ASC_.E[>Ӌǝ1k&]M>ɍ?_a(ʙ%V;c}5Ƹ{XOxz`刋(`xkg/ Gi,JgʚZ]&p3 ɘx+0_,`d R<5SH:W)2`Yi*1"$e-L0`exHKET7UNZSFg\ 91{#z[FoωEYbWb`֢)0d"}*:@pG_ g@*e/tm I.م76eQ8L۱lrAC^RՓ+.+:& 1.8,eѨJaeclѦė#[`۟}(tfC߳n]6 GDs4-K_,s3&T)C-P2_j6Bf% z1Vut+V%7M4 ZllBsQs5ҽ_Sëw\Ga6D53x:dN T){i Kk{H4PWbE}͔K%qttKrzCF @nXlqp;,55B&a"Y>w5 Y[7-!XfLp%3u#OxntPe*z2"e1 \8l E2#%ԀgB? TҮ 7ƭozw9V q4 M󅛼0B帻yM Ytwʃ,W٤MkJ:gS VkAC P pl޳Ԣ=%|DS!zS[J`ÖY=呷W <*={|͸7iʙM~:(1p~ջoKn~<9,m1iS@O6K O y~\H!\Ó%<>f ¤i)!A9_O5yGͪvۚ pt0Wd;5\0.>1 &Յ?Z\3NU{8;\([ 3sH';8k. '̅fẏcuےǁejZ<5xjyS[<[ڲd2`S-Ҟp*;] |YT+8 \M<~{NȉӇ8Bt6/߉op:'Pc2S409p 䅣2N~0t: (gpcWv\ Mẘ>A'DCuHa] }jJG+tIt ]a EQ#׺̠3B8﹚D`CߍPmRέْDEHE ]Xu~yqϓW(v!g,-1z PCA+h6p( ,I\x%^x^e&իRgjRo;J (܇ 9"gL10fz>NKvtDnP`2YL{RfvmwE&dQ{bxY'hiRZJjr`#:ŗa9/t 9^Np= E{> xUD0E \Q+aS= Uc=> qx㑟09iQ!ٚ|C ?l^2a7Q.=G5`&XH)g endstream endobj 10762 0 obj << /Annots 10764 0 R /BleedBox [0 0 612 792] /Contents [10771 0 R 10767 0 R 10768 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23934 10769 0 R >> >> /Type /Page >> endobj 10763 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10764 0 obj [10763 0 R 10765 0 R 10766 0 R 10770 0 R] endobj 10765 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 10766 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 310.725 150.6705 321.725] /Subtype /Link /Type /Annot >> endobj 10767 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10768 0 obj << /Length 19 >> stream q /Iabc23934 Do Q endstream endobj 10769 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23935 20690 0 R /Gabc23936 20697 0 R >> /Font << /Fabc23937 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuXL|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYc#x#5>S~3ȚCC 0yT endstream endobj 10770 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=929) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10771 0 obj << /Filter /FlateDecode /Length 4183 >> stream x<Ɏw~E42<|0|= =@syظefuW7T$ b NH._/,/`?_?]b己k1j|-$y⃒v]w˾-P_<Q?DdoKоyzxjo,YKOzθOkJ4_u/..F5-Xq_oTY^VMI]}3q"O W@T|gԚh IPEDJ[TdSzf˅q> ҊTmzp89QvQ]fT{:Sm mGUM>π1H<2a/\&4 iόn Ǭ:ǐR\Ҁ VN?ۮ٥Oo{7 +FQ0#O4ZzZ?Tq՘uꕭ!Lnf[w: i ;Cekٰt\\!HDkr?I3ON+ EMU5*P'<|8cF4#9!ГF`DzI|jdqw2; s+U렉is Ba!0c~iV&cٲlo |Ή8dr`ED^Jea?:hF[ 4 g.HZM27/7Z֝fNkwj.LV䣅2Ӳ>_+LAK%;w 5QH?/R6> C@uq`q֥6y*!Oz!B 8_뷹PNIlu2bVq0 pnffٍyo7lrş1y 5?2wSS{.q#]3Q&:Q<Žp$c[ |#}Ѓ3g\}o|vK!K_Yo}Bݬe5ݑs n6NGwumİf;%֜iTl;KG'KorJM~+#~aءFr\rb`~T}ǭӓ[-&3}·3n^p۔vCB]~]>p|>ڝ|&Оym^UPi~ ow>mRRAA9C$aSLr85&J=B4T ',1̴zXp"ȁkKaVzmx9dTl\jMZ Ksbn[z@AzU^dpl Ϋ2Z¤Š( %V42b!NF-J/Ug 6{TV>`MhtZ7RS3uzf؄u{?t/TtԾZ7"uoԼciݥX&PI.cRR2& _ ˬ[en`míwի0G|F N :P4gܣJ5@;qk} y֣u2i?NCV=G/Tvg*HU.oeW-70[ݫw3[2{9f^lg~m<2[G_dzfl^~=3{@VzV?h9Mk2ƌRR@'p/k}C$|nx n1n9:> ŕ9x"60~(0 pE*`hňA^$ky7XN5y=&/˴[\JM8s'wxx?~rxrM0uiZ'Уa*]5A%LK=6RXaP+pXH3:H+&r\Z-@Ap9D#򞅼!$eO9Yw,AOSF0@' 9Z%9\lIzbe&O3 86::Db93xlUqapxl6@ģp%=$8]haX< ./^3)!+5k&PR"(GFHB ~ u9`*V򠜐]Rd+DMDcEҹԫ(( 1\{AM ~UXF@+Y˔8t$=CFu8wM.jJk`9t$ҙ*U7zZfZCLkU8*Żp/B;6chGhǟ]K֑mu9x_K*EIܧN(Yg;j~+<&̢kƥz\w;JqkEk)?c-7[vmXf &$D?xJ]1 "IkcUmPIG`^S4+OG=Y=evB<2dB5t;V{;*vcb9nB dӡD*)-!t=3'*ɱW܄:j LEWRBiSaYϨƎţ%cdҗKj@v03rڃY͗A9+<USp㟻ۤ6}{͖t{+KMzS :O`78HB\ JjW!WP7^42z[lD+DxM̼mYiA"&!FѢօZYI354\.8#u㬰ѬDh"?m~?nUDQA'3׻N(TgMN MB(2{$k'tnX-ތ v>QQ|4-F*峊T7T%VM i- am;tW_KRṄˁ JX8NC&N0%l&n -*"קl_5SMD}> A؁^ZT'y67P:4 c~H)OP=z4c`M-R?Tj*T:cf)AH9HAS(mMt{Wcz<熠4e =?$9 W)O0s2nƧሕ(@I\$?n-+P-OvK ՎʜT]Q7#]#r}k{K#dυǫcDE 7[e endstream endobj 10772 0 obj << /Annots [10773 0 R 10774 0 R 10775 0 R 10779 0 R] /BleedBox [0 0 612 792] /Contents [10780 0 R 10776 0 R 10777 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23953 10778 0 R >> >> /Type /Page >> endobj 10773 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10774 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10775 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10777 0 obj << /Length 19 >> stream q /Iabc23953 Do Q endstream endobj 10778 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23954 20690 0 R /Gabc23955 20697 0 R >> /Font << /Fabc23956 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10780 0 obj << /Filter /FlateDecode /Length 4638 >> stream xskT9/3g14Y8FYXu xI^+#.Ұ0hz5XOc^iH9hJeVsJ䒨rS}A"ɢ"J|R0 H$mE"_ύB^FE[>{LS, +$P5|މK%$@)3He.a@hU)Xɍ/`4a*-G x#? Vj)JŖd}ڿ#ީ+_[yQKOxpJ" "Tj=`.oRgohqmRQCˑD"Ȅ2:z`ŐPޔC$LsJ*iH% 0@jR7kusW4DA"k6-IV/:@4cG=yKrZ2S^!1*`" ;0O3K %`JP>a~{GVѪ`(Rjfz;KRb͖'sv7Tųv~ .AYq27J lfYKNߖw |I˝3ݒ=JȔe65*Ϯ"q28 NhmDA ]=G1̼[HGw HK) V4D[vgG@=OΨĨ\KK[3ck"֪c =ǧơq}U{}`ќHi nq/.=c{-=o{<}P1sR}}\ڌ+7]C회zd\n4ױɸ&ԷE߄~;(^:LvNdaVI-崫=,}ɯSec9[Mn alnu,{.GTnv:6$U7e[7ӵO3fErM?@8wx[o;8D}Ѓmnݬ-lLZd'slVuC#m` m_Y+k7Njn]gsǵ돞p znv i{NjM j!>R^]KqgkMǼ}5nЪO>ZhA;tnᗟhv%QfHYmϖiF{JE> cFyNv'1˺2w<hమ6~gVZ:I1Y6xu}胺s$k/Φ҉3_+.SMИEdїxs) q(D474Dj1t*QD>AŸ?Va@V,Iju'% F7{sE>X~K]\\Ѷ~;MΡl{_ xFn";/;69D* hOv}ie_ HޥOU~u-4c[sy'7y?+$rɤ_ٲ~ؕîWt-`9ukƿ#nE~>=mas |¸a>Oσz'K_-jU#P?_a^fB=Gi.:$Иzsғ`Of]?"&}BaX;j1ڸiC}gܗ*ECGcP;8Up̢S^@{Y wOų6=ura_X5{)2% ":춧nmPMYE,c5Cޖh7;{k-w~mh5-v~FF~Vo.M{*>}fRgmZBFN:-y۝mc7&: w (.N#soǛB}~Xpli['C˝뎨֖{`w''}%vVߑ74ml4-eX2ŘIp;Qz"Vp|:,ok<A߳odiѼq m(}u[-.߀CFbF0Bߎ!C,# .uhI_ ru8nӇWnb1_Ƽ> ȯ@R˻TglAwoB_tOc(AqoX(0QKK\'7_[;> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23972 10789 0 R >> >> /Type /Page >> endobj 10782 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10783 0 obj [10782 0 R 10784 0 R 10785 0 R 10786 0 R 10790 0 R] endobj 10784 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 291.7039 146.4572 302.7039] /Subtype /Link /Type /Annot >> endobj 10785 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 275.5038 164.9372 286.5038] /Subtype /Link /Type /Annot >> endobj 10786 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 259.3038 180.9312 270.3038] /Subtype /Link /Type /Annot >> endobj 10787 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10788 0 obj << /Length 19 >> stream q /Iabc23972 Do Q endstream endobj 10789 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23973 20690 0 R /Gabc23974 20697 0 R >> /Font << /Fabc23975 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKX endstream endobj 10790 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=931) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10791 0 obj << /Filter /FlateDecode /Length 3289 >> stream xZI$W:],>2or- ,N?x0ƮGm#ܤ+gU?Y t`_1=-v \iq_g+A..V9Axؓ{x5m&MiI֨P*IK@;C`ҘT]YjUhQ;KaS}aĽ۔(m컑U]ú.DZD"Ny^& k4 ͼ[DU5J o l n OmT4z{ԫ,9.̈Ę+1Y\_aߜj%}1-kAl _]epKI KX O]f# G( ~gvaλ Ywhî0Y\ޕNKo"0I\d3 [ˌ27+`DYuh"ܨ-jK;oZ1ۡnl,mAeK+eT{6.&GĪVb13&b_xTljo\*#A=ѾQHDT.P33]-eNL$ΆSu.tG#FԞ׹ǴF۴Nٚ-@=tXOհm $M1ǭ @ϱ]fU: !NjDXs/GU6_SYL.tM6|} ky;eSׇzJ-@h b_\]n ZC3=m`uu=;vmNA%X*Oh*KLG1?[V"rK˺ a }G\5qQZOkM]{ĩ2xƓ<4BN rT{&t閍ḾTx&ӾQ!{LrC}o?aym!m2cZS:?0 &|6{NJBDSkD9f&v "B &Hன}UMJҷNOl=uW'aNq]Zc!Nam5jVkz ~oOmnIo2\pb\2n9~W7 Fce` ڞ]lH"Q1c&(aɐe֣PhqD{3Tt-A;gvkx8ӕ0}H&xYk7:|M8e!g+!j,It2bS7nx  *|PaIAyw ,RȽzmTCJnbU/{+=G:DI4v}hFFԳDm5L,`rwa, ݆&1;lzDn{KiyJj8SU=L?UC!BX\z; aaKU%A, y]Jr@9ѺMDVK(a^cd&#RfTNoK369RG#eK& sVϤSd3/)`̬`Lݶ1d[.̸y]nME<6x6l}JBqh[ͱebѮ];hJǑ-Q!˕}uWoT%! e}Kϸ?OgWY8&$-I'?l#>]n|Fv`.zZ"jrӵm2C2)J0MG)ڃ0<| ?؇k ~t.K >R-צyՔJ'䤶=Z*/)5_G&g\+H;yqB}.Co0 0yot7!DDʡ<`w`T)[ёG4Q!3)%y pv]:Oae-߲Ե~ sAk,Fw~|/ET W+kj @2h6~b,'iy>YVT ^鰀8@gz/R hr6aACdA6ҊHҮ!4.snGj˼ WBt(}Cp&~zFUࢾhHař_@ 37=a׃j'@yS)m x 1 A_~)irM$^'!V٦r^cpיQ4aTp%΍o\ڸzɬĶ5~ئ0b[V(bû72=YIr'ekˉl8{Ml^3C%34La1L9C" 3{S ׿LQTWP>!#@.2 0g=d~x?% y'y'nRBm$ 19<`#Sy{4 'btź ~YN5")2_=8q{hC2> m$YiQ% =^]QQ:T!1}dYnS&z6NOj}>YTz endstream endobj 10792 0 obj << /Annots 10794 0 R /BleedBox [0 0 612 792] /Contents [10801 0 R 10797 0 R 10798 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23991 10799 0 R >> >> /Type /Page >> endobj 10793 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10794 0 obj [10793 0 R 10795 0 R 10796 0 R 10800 0 R] endobj 10795 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 259.725 112.8525 270.725] /Subtype /Link /Type /Annot >> endobj 10796 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 259.725 150.6705 270.725] /Subtype /Link /Type /Annot >> endobj 10797 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10798 0 obj << /Length 19 >> stream q /Iabc23991 Do Q endstream endobj 10799 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23992 20690 0 R /Gabc23993 20697 0 R >> /Font << /Fabc23994 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?$jQ<<9C99 3/s#9p!gf \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}Q endstream endobj 10800 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=932) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10801 0 obj << /Filter /FlateDecode /Length 4149 >> stream x\Ko7W9M6 Ќ<rsb `Oc/^|<E&,*dQL~vH>M%LO`oG?Y3}|Ln6yvV[.o!ؚ;ӧW o/F?ӤdgKffGe B#Mf쫋nYIayt3 k!b*-W#XIz3?e:w,V-͋ѧh2i´ӷ??(7D; :Əڄ#gr4!ѝá}U=m&ah"0-ڵT>57j$1Wy`բ+pK"dc P(5lϴ. 8Ή8@2zWB-<-#Rs!2Y (Z()Vȏ1-F}P@gn;37(yùZLU%9 z05Zui^bU}BsT],/ WEb!g~h+/֥ȘʒE9xÆ]>DW} 3pMpֵe烗,քѦq$Ŵ!%sME5z}71_Wcԫf7oD C543AblH|?V@Z >Og0LHD!42Z7{ş1ݛ'KMtpjn6mޭqqY;tڧ+F&ez:[ڛYy ~^*PtۯL Mw>ZcSGϸNWarSu8/Oq/u9 nx:n+}i>[σ\iL vMuy_M_&@L^bOIOx '<ע7(P_@HpotCgd~Ý$_ t(2Dlmw}G,Ԋv@Sg k;ٹJo0!eL`8TfPȦj"sH{LApb!KK#V~A];$| };UFˊR-RsX^ZcI-FKuhUr`fIu&ՐY-a}ŠS/Bk)=4ddąh8ấkoiDu0&O)+}sy-UhMUqZ_7*.WRU3u *^-h}%?E^y)C#IY 2%ܨ\dV\dܪ FҎ* )dd+$Up( QJv\Fmc\ )nnw"~ uͻ:C#u@*]S4=ɂVt/_{ [ݪ76:أ^_2C#1]1#-Kudt~ n wVnLݤܫ=n}ٖ|PW^,<݀0N΅nnUzJH>rR59V>TӘtXϱZФ1b ]^n¢2VcKEA3_hf{ ψx{9p|Y+#ֱC/ 7Hb|T-W5%yxZRgA68bGvmu@) 7Nq# Q7EuRM <1"=Ԉ\ޥŠ,X>3WͭSu䝸UmL+'2SE*AJZGp[:_?a%{5̻0|4k L)'S_'7Ԑo.ֲҰKD#Sa>dǫIZx( sb10ٛ:e\*Y]-1MX&>eVD4ʘvI'nҬyY͹:|Z3?=<"2/gi;i0[xj.h %N:R嚎s3rcm%DV>0LnΖis/ewLXaӎ3t1Qi9BDvvaxq0 ʜPx\ e{40`:Gu3eYL<5s!)~y݊}T={+tEԽ׺TlMe_(8~b$dMEYeWMdt9ԮҩRQu/꺁yyWD,/zvW n;Yf=]mu_ Kꋤv %i EZp(!HYhooCR. ܒv!*5ְnI$^ TjdmJ8hާ\jIUkߥ+i/X>"Ή/Un9\P :1{39(*Rgm_|'>;~> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24010 10808 0 R >> >> /Type /Page >> endobj 10803 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10804 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10805 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10806 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10807 0 obj << /Length 19 >> stream q /Iabc24010 Do Q endstream endobj 10808 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24011 20690 0 R /Gabc24012 20697 0 R >> /Font << /Fabc24013 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ? endstream endobj 10809 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=933) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10810 0 obj << /Filter /FlateDecode /Length 4687 >> stream x<Ɋ,ɑ8 2EAe- tz3ZkDdfU`"+csss\[_1kW֤񒢿Yֿ~Um RvjK!Z~uǓR)ؽqc ?oZ \i̖l q_OJa獾VFEq^e -Sy53,"O*w|`R\ti<։ a5}&3z8vcb6 ,PW G5D灏gćY| = y pΈ+O~=ب-daLembu[p @! ˈ8,wYZjpn9,Nx^|^Sck{ jSI<ΜuPLdiNfUGc7c+,&y?XA?u-)@cԫ6i`x%3")EJZ)"Ï K˩ E"EmE " y`"HmM(ыHoJP)>N/K#Vuj+HsVЀ>!&ےQeة`%P}:7rM':>ȶ S l5½cC"=6 aلrÉt4qx:`뢋G'{TQ+1_eQ/(KЊqS Lk { ,"D5x80G@m<b׼|SrZ2S^!1*`" ;0O3K %`JP:a~{GVѪ`(Rjfz;KRb͖'sv7Tųv~ .AYq2'%`,%'oK;ΙMnɞ|uj%SdJ0 HTgW8fs Uk(-}=CD]8}i/7ȂdFH|9/SbGqPaU`8XMf!Z2#}G>puΔ4Yɨҗ.,NpWufd6븛1rL骪?.= eOtvW:ۓmbUN3׫ihYʯ"eCa]04u"N)IeDXЭǖ̽SWr@#贑+#a.-)PC;ץ]"ݯk`hM:&PфѼP+ɋ7 R!8y.i]KVG`fud +k($1$:$H饫~͛GăRN$s'^V~ڡU}Q5ZMV+ďNuVe^ /"I|džKLv.hPISuGlE4{]Œc= 6'>btJ.]2j\H㖌lQys24UM T"9mF1gb j"wP޽ԒDMd3xm崫v=,}Y.FN I9hzۓrkwęꎅO{2kHtvo+[w>};SwDE\fo&j=:]]_a} |ʸa>N+KZD%S5M<7j%J!dYiu(=YR v?ݪ"$&A:AAah;xj5ڸiS}gܗ*CGcP[LzT1Nށt)-) wPŵh)**þkvSܪ@B9hM4J`d&ey<<F?H'4mIń.uu[-.? _(NkLĨ1d \۱w z!~ @,S̗=Ø S}Iu\), %?`x 0\2Nkx&|jIymW(Bma:*B6=jҍ[2 Wa?TM Q'pҔ9O@YA)S(fL=7 e(kwn3p%,`KJ78^i{?-G JCM,-bqMZUТ;kz.嚖D\бU2\(HC@qG0BR63zSEn%YR \]"h0rfkAIFg?i|L'߉RuX(HڦCy3rnKD`R"ď6Fet;Ee2ҝ5i"xg6ӥNRLA4 6f>RO~Tq~iKemdC۱5ٮ`rЃ>^8>.Sp1OK1V<65\А8E|*;AxPaXA䥆C0҆ńH|+P9.qoW _g ut^|,­ Y&y'ѳ[BuJ@3㎟ J y2W> WD67,M x>^'c%?)2HpƿM]"\!ktU×> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24029 10815 0 R >> >> /Type /Page >> endobj 10812 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10813 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10814 0 obj << /Length 19 >> stream q /Iabc24029 Do Q endstream endobj 10815 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24030 20690 0 R /Gabc24031 20697 0 R >> /Font << /Fabc24032 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2Z-LB.FPBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10817 0 obj << /Filter /FlateDecode /Length 3903 >> stream x[I+Wـd42r0rq~jҭ2c5jv7Ū~d_zN1L-Co_.efzw h727·FH0pOrᏇ_a*gϽ~6Ƹx8iϬE0x}6:u<#!_s<6??Le4yo>9Ôi*̘0y뼞Sg& a'gdtfZ( Saj>ٜ̆S'89/P%SRMSEjB^Ζ&L;t210d,_@ 8Fzh\pпxcfώgh9xS2@$ɛ.45hDxP@f_y8{JF;腬S2pӇy%"b](na 4+<,!heHo ढ़a.ᄣCd {AT*}"/zM3LYQ ]lILT`xT0u+8lXu[Xi_΢krox<-X ϙP^A_گŃ UCK\_UD\jX$/ t? K+oUWռ\Stk+ڦ:`)MZ$Z`V/XS߃)V3,aӖV7=t` mt 8ZjД49t|8fhTA[_ ڥvhIL?T+Y9̳&X? إ|A%8J+wĒF1uA< /MU?,do{Da (H19C$̀-F M>'VfܯXKE2oż[ :v>YQ7Fp[?70y.S$P"U~G&n8 {NT,-xǀ}@CKz`Fo;"V}5$(;x׹i/=&)CX=d='$wk061r~ǫ'ss\mѭ7ijTR#1µ{l`Q)}^.^%΀JRSjq!ָÚFX>Mmek\bw/`feb0:iby[C>/E/ժp kj F`)9廊"k,E5nOj2hʘk\KZvxո"Q>+EyoJI=gr0E)vLE ?~JʺRRJEoW)-~qG'NR 4}wH1P{AϚ6Y'=xTnϏ}06oKty.>Lߣ%~k x^,&shnצc(o;xzcÝW^┦h.EjY:}k^78KzlxHk8?|OTjyE{]>Nu-US`j2Kt(^#.uKtMV3pJXKumg,ٖXz"fo@/@<;? tf&TJͥmVnQ{x.ν@ύG,,cbOдY[/)@)4ڟMd1hFE,ٹϗLYxqߌwӝfbęyzPW6dܪmKc5j2-a6fܔiß%]VֹȖJñgshYP{nf=bya笕G Eث`0k1ȹ>O`cj| .h"x/] W&oYjBlf3qH6OV=p8vW.$P|5≈:ŚݑvpڗkV{ar#ySwBJz05y-A>);Ҿ<2/ ׷08O~H;CHFVc,yR-E ]E D}c7Nu=;mfuE!ċ` 8@E|5m,=DEsVi[/PKM="L[Kgz`zGF5 "] ӎ0 dWa,g| _p!X#1,]`-Y9e x4T{yng,L?г.Jk_b#LUgAx13c &eq:! VD:k6qWnr9|$`^Adt b(K,b>A\\ea zAc0L'W-+d;-5@?`uxo=gקTm7jKdf1YbҟwۤkzzJO7YjWiΙ<5ҁ\(x$%q4u<rXiOHܥ5xHnQaw"5> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24048 10828 0 R >> >> /Type /Page >> endobj 10819 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10820 0 obj [10819 0 R 10821 0 R 10822 0 R 10823 0 R 10824 0 R 10825 0 R 10829 0 R] endobj 10821 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 507.4 145.4837 518.4] /Subtype /Link /Type /Annot >> endobj 10822 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 491.2 191.3372 502.2] /Subtype /Link /Type /Annot >> endobj 10823 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 474.9999 166.3397 485.9999] /Subtype /Link /Type /Annot >> endobj 10824 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 458.7999 164.9372 469.7999] /Subtype /Link /Type /Annot >> endobj 10825 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 442.6 180.9312 453.6] /Subtype /Link /Type /Annot >> endobj 10826 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10827 0 obj << /Length 19 >> stream q /Iabc24048 Do Q endstream endobj 10828 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24049 20690 0 R /Gabc24050 20697 0 R >> /Font << /Fabc24051 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10830 0 obj << /Filter /FlateDecode /Length 1870 >> stream xYKo6W\@ ߔc﮷@o) P6)u䒿oڍhL NZ$;E=EG!䱆QZ%?wWtK5i;&(`c Y@Yt#<~w6 x,Yw mF]"Tr &8)Gf]6(է,r5^d0ĴjbJ`ŦqƯy]/''Q :8V'H|Ȳ+rvW2F'Ivh-O9ߎY(((x;_Sj ʜq?HjLYL7\5)%r6iF6V:y/Χ#;3$1mD̠p/#t=Ws4ĊSN 9h '{iYIu,M~q -DnepW5zcT/eTM&$ sڬJjTz ]H*\yI'Aї*Wf2p:h1*g*cuO܃}"XiZ_f! ̑kLL(Cp玅ߟhO6AvRLOx'\ }vy7lfx}<V5Ts4XOUQj6(]+ay98T4qTEzppx6_{׏ endstream endobj 10831 0 obj << /Annots 10833 0 R /BleedBox [0 0 612 792] /Contents [10840 0 R 10836 0 R 10837 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24067 10838 0 R >> >> /Type /Page >> endobj 10832 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10833 0 obj [10832 0 R 10834 0 R 10835 0 R 10839 0 R] endobj 10834 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 10835 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 301.525 150.6705 312.525] /Subtype /Link /Type /Annot >> endobj 10836 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10837 0 obj << /Length 19 >> stream q /Iabc24067 Do Q endstream endobj 10838 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24068 20690 0 R /Gabc24069 20697 0 R >> /Font << /Fabc24070 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo  endstream endobj 10839 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=936) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10840 0 obj << /Filter /FlateDecode /Length 3866 >> stream x[Ko$W0|?Af1 䴎ԃь,byd*f/(;%f|y]*M/-I#I?[^>>6NF]>.P==..;IF ;7.X>|H"`WrupU?,O!'xF^~Q必g55A*r^|Qzy\\qqp'.:cQh>Thh,x! (큩^# G/x .?@E+-e\~5+Ay kmK A#(p~Vڟ =l^Y!)lWu@qJSdyg`j/1Nx?@)]O,0qK`x|ewOMf%_ &~h?ꊲT@hQg2 M%YaN?|;qo[G(FyHAFF[#JHz8=^} PC0l A|>\ WB=@ˡ_oscFJDD8l(:l&zNlakaU04O{RZ̕+yޣXn[}bBuTńCPms7WquT q: Ƣά.s 2=3 띥,eb(0r[r78xɁ}x#ht4O4C'q"4Htm*F(wl.􋙕Vs4sgyug sZFV8Tb5L3'b7,d-t9ٵ2*F!M1*pɉ@KxFs%bqw"6i9 _E0>}N m 74dͷjwa}c1$tYpDa^wT aҿjmJ1_\X/gwG|h<*ڝ%ݜҵYܽ.\IjFO di2 +nu(7!#)ĸ] Ο\psv_j&,9^i|0d_񴳼EZL״ %ArEq_+Mvf ,Q։߈ n, Ǭ~a >QMRCUow+Xgx1Vm,#S+ׂ+Y%xai6R6ZFa{rVRUʜZ ,&NLn =+,+kl~hx"u/7m)Vz!H TXlEKk@|7Rlu!5N5bs3qk]`F=/ }6 }obԉVCg wdXMG겕{iP" d0E5d6@c,Fn-N8:sƴLK1UiE8pw=Ʊ{Ko^#WFzU& ~юgKX9-m2bXU(NTHyuNjDQۖtvxfhi7<E?0;? {v6q1`H*it1]t8jxhW GOTe;M'v KBM>iu ]<̍UugaGח-4i=-/$WRGO+c$5˰~9LҢD<yal÷Zb+r#Ix~y\K Y拼<ƌLŻǽ&q!(| E.ZrV2h-}}l=e졶6Oě+߶*&C[+aެe8.bEZdzEV |0p@q.;L^2?-b(.6CivJtݯ)bR'2sOH{?ĞIzC߭Gx-o8JuXtJ7/.ToKKֱܸUM! cG>I÷Hu +lʽ f=QbOܤK.ʣ6*GkxR,Iy|A]x[+{iP;R _DFሻ!9j"|)% ܥlKqG)S)iZkozǥu/"CK֊+Se_c^bY.q.|mv+ʑ課v4d[;unkY ~x _Jl轜4V%w~9lby؛>i;4z1"֏"]xVkH@]?̧.'~#EN7|؎vXα 2 dj!d"H'L_G+=WS|.Cu;l~s2sZm;΂]o(pm/$ r5^ϝt;GGS뒓) F yQ f'bk endstream endobj 10841 0 obj << /Annots [10842 0 R 10843 0 R 10844 0 R 10848 0 R] /BleedBox [0 0 612 792] /Contents [10849 0 R 10845 0 R 10846 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24086 10847 0 R >> >> /Type /Page >> endobj 10842 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10843 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10844 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10845 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10846 0 obj << /Length 19 >> stream q /Iabc24086 Do Q endstream endobj 10847 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24087 20690 0 R /Gabc24088 20697 0 R >> /Font << /Fabc24089 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM7 endstream endobj 10848 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=937) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10849 0 obj << /Filter /FlateDecode /Length 4612 >> stream x<Ɋ$ɕw ? eABFfAN5= Ղ?mǒ- ٳ/Ul○^[[rVo?6lJU-hgSù{spD8V`%C\YF  ZtZ yׂh܊o!ګh//80"UJ+0yW.:x[Wq ^ӄ9ԙr8Ν't T x%輿:9_3"+3tcܒO}ye4qttgd},.Q|/2L!SL:OLn6NddaH\}5I'6B脗5q˚ύ=Y&M%~" [ SƨW#}FLwDAS-SE$DM H,P3w/X$Ei@ is( xn$5(ޣeTbѬ`X$ҬANDX*&JC*s &D"H &Ln|k wmE|En$=ZTV4*TKP-,&hN]zoE-Q >}M(ыHoJPIC;KCIEIE/GD(#ʤzCB{S~7&A8eWRIC*-1Vp g\ӽ1 ZW4`YnI$z!>jQ<y?bIֽXq5xQ%VIJ`6-5I):X远/`״879A0U| X[y#-ZtↅVqcb(/",V|gŻGt6[J|`\$& һ<2K]Pv4 'v->  ߥ:Wa $˹I+hO.}I;d2D|l2GY.vj't TΰxM'ESD#dF7|HdCf& 9MH/ҜEmp;Sb\g KS$JK_8>:QCՙ9bLafޒǔ?QR0x4]>k] lOQU9]x\ef}U~8. 낡>tJK*{}%*n%8$`%b=qGM{Po7MNS%]K9νЊmLBZ qN:hЧ@HU]1nDA C dMƶPIujՑzK@L66#9i2 >M: cUg&j6H7xs3r2zuZ9XUQ\?Y6obd5Zs8qKPr.>խE8 8ϐÖ.뀋@)QX8svC'umn kL> .Mڥ]bArrL wt3h 40_*$hb!\4e,;~s>rs 83_+Ysdg JQ!SsΝgp Gt<SR)>Jm:@ИW1EA Hl_0OTM' F; HxyH7ԗ}+-rp`hycZՕ%D`mY7g>oi-C7W02:!Zt CCARfQF)Bo},]DZa~бJ2aTGlR=V$#xoWwmX~ܠ,5[yu8"k\ƖM=;f.cv3-7:f1HWj.]ӷrA`z@d$cA6ٲgҖӮ,LAt`o%ܟ ܊,EB78;wN-P-`7e7ReShLH-wϭh>wny6&-f2f;4A熎Gn ɝ,oiT[g̣n;.>v? nK5 Ѵ.=God&.̰-[I39g -:Ji u$vTFjEjgmݵڇ5TӺD>`{Dryw'1˺M2#68M[[7ݙqòNRoJ]åh՟֞#^{6MNX)wtLjFxޓ G_,ġ+VŐZNJQ.\ZSny'*~k5KYZAߪU>| Kp6l~gH͡!{(wEƐ"=o}$Rza+fi+a_"C \{_2g395'kU >-A֖\lquIomV?e?Qj7JQ~ulΘo4C%D-wn-ly6[~IVMXDzz&eߧ{=0,|!/_2/̇yл}u2XvV#PR_b_fB-׽F9$Ԙ,k[nV'KjzKiLI=EJ7CILd'MƁvǁ.jq6ȸ/Ow3Y%T9땹ƠO*f36c@ HRZR XH)k)2rm)**þkvSdK DF!tm4ӵ}3M71P ׁ[/JVb>1d1v;w/ '=߮dj] ~ ;m ʼn~tRh1%f'nkd[ ny3So `F┱k&tf*|[D)SgD{]Bi6XcifRgHf9?* :_ ]>yZ>>y]Smcjuuo|Cc|DѸ/(-M "_Zz6=iz6r Ű)B6׽jҍ[2 Wa?TM Q7E)s5,rYA)S(fLǰ3 ({wÝt92n)اxax-Wp(u!r7G%|^·|VڒǽkKDVjBhMWTi ?:J Er ).]c99_XO%( /N(1z2'A#L5\ J2.!WЅ.S dfNjOC Gl\@Ztz\(kcFX'c#;mjvdtj:D(#llK=Άo8b_RYd@2vlrM+/{/n9o O endstream endobj 10850 0 obj << /Annots 10852 0 R /BleedBox [0 0 612 792] /Contents [10860 0 R 10856 0 R 10857 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24105 10858 0 R >> >> /Type /Page >> endobj 10851 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10852 0 obj [10851 0 R 10853 0 R 10854 0 R 10855 0 R 10859 0 R] endobj 10853 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 201.7 166.3397 212.7] /Subtype /Link /Type /Annot >> endobj 10854 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 185.5001 164.9372 196.5001] /Subtype /Link /Type /Annot >> endobj 10855 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 169.3001 180.9312 180.3001] /Subtype /Link /Type /Annot >> endobj 10856 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10857 0 obj << /Length 19 >> stream q /Iabc24105 Do Q endstream endobj 10858 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24106 20690 0 R /Gabc24107 20697 0 R >> /Font << /Fabc24108 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd>3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 10859 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=938) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10860 0 obj << /Filter /FlateDecode /Length 3591 >> stream x[K7W@@H-]g/_|q lvX]j/1}Zz'.E&N/kZɗ/Ug3I)R{ WQpƞW70jIiR(_#lS"mQ&+ⱅuތCRStV_=Wh\2i[1F:W 0# O*O\j`V-ڋ O&:><I[ F la KƱrih:YҎG1I]YX P̻E$^9Xtvii z MVe.Kg 3b#1J f狈pl GmlԺVw' <ٶN EwsHzpŚMzzsȁ#^ݤnqn0Nol=KߐDucbIȗ%Hne# DQe29):c )>ݛ-:k{y+4Lco(SF-F_CgV/є֠l*t1QNe̖P d'(5M:ttA+qryyDh|{aٕp@RȽxݚA;X12HͦL,C q¤1U秆ڣ1֤ {61*PGyU#1[z O5J?( a31)#[\@b<4GL!,hQ"\o15o>#K n}͚b ;J6  $GBm]g'7_n$;5d;(.θ\Nsr6J8FViWzughue* KN͘G ("Q|98=r@?PΎ@G%ɈX9"s1g=q,jߊ@Z4yyc%9!SƢ >1-2rTQ\bT/wg$;NI5 WE1"ӦBBs9ly5o痻1X%0$6|=qQ]O7|gء;z-o(~MC!:|su9,˩4D<-[6١h8 ~2Xūfܐ2n&b*RUI9n[ITڵu!w9ws>+;Qj]<:sO (|Cp&~QhF"2/#7-tk M8r緍B,Wa8ݒaڼr}"r+_ N "'\|\dM}eb5N#&'rYw{ 8OQ~3*L.m& ^E~iLew)Ib0))gOSŬT1j꺶4uE\r&K~FҥԀB|C&k"Ҡc(MU^ %[``H=WX*-oYAơĹ,6^v+mɍ]VXgoReGNH4H~ß_1W<zBڷ܂\ªҗVj!,.Pji<ʺHZ"e~x>)|1{4_Gc;tZ44dӷEr`<+z'btźU ~ X,&w~'G'p-Fo,-1 Tyù\vya%IiQj:{Nm?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24124 10868 0 R >> >> /Type /Page >> endobj 10862 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10863 0 obj [10862 0 R 10864 0 R 10865 0 R 10869 0 R] endobj 10864 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 287.325 122.505 298.325] /Subtype /Link /Type /Annot >> endobj 10865 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 287.325 150.6705 298.325] /Subtype /Link /Type /Annot >> endobj 10866 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10867 0 obj << /Length 19 >> stream q /Iabc24124 Do Q endstream endobj 10868 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24125 20690 0 R /Gabc24126 20697 0 R >> /Font << /Fabc24127 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo H endstream endobj 10869 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=939) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10870 0 obj << /Filter /FlateDecode /Length 4349 >> stream xڵ\KWyu& 0Xrs@ANNv^|u4 [f5Xb}2JMflߏ?|Ln6Ik<ƫ\B\te{V׫JY]k?/>}QߩصMAva÷e/29.nY;|[D3NǯgFMfDYkxp ˜t(OSow|<|,o){ߴD>I +S ^8XH\ٙi!Iq/+wQ]'փ26hh</e̋Odӄe~kp="~ } zwϯ` Ч3 'OeF6ђЬ-(4V>P>NrEPf^kx М3  uₗ<{cc} Z\4a\BH&j1dM Kĩ(aDsv)BP'El x{PAҿ8ȁճ'%] @̲LSV#֊05G ǎX+&6e>쓗-iʅ^ =:h^}: Wz@ Ӟ#JR´a%LJP(?.138)8d h;Cl͘xL)pFdzcc AMyLߖM0%}hkrIyZz#(wʦ9$js -ҦDAa orpӷ ^%_c_< -/AՅu ́7FpF="L&dk~w$"HNhn4e课X7fZR2N70PH/&9lP VtE@8tƽx۠jbKKcVHEFح@ vZRX_ZG)FKuhNy`eI& )G28TVz .Xk}ψ p"u+ޒBu[؊7JSoRC:RjfꦵYq&͠A7cd{adZo[tFtӲbtjt pE/Ydf?ASYOQD> yj&Z0Ct%, C2H<~u#+)cpWt@e?DlF>YDn%$j- kb([;G]Dh訹b{Z :`i%D~a|͠3%:ی US>^2Oy) !* i`8BS >/%y$*7mLW釄mцO4Vk8+H=! L0Ɇu7z=xs <[ yJ\ܝ2:_w +WS[Q0X8ର;@XUo Oة< 7pqpJ`?2@qXN+U_r.֓xӽ9 I61M{dIJ;78]Xw %va#@"<(,v7īu')lmjV0-m?'rFɍzpJCL= &-z;(ڧJT]vLj sl$~+ZQ.z[:z<Ơ?nfH`3 _fq!9D#ܩ0)Zy se-7gWguNwKTv6\MiwOFRw8':lQX+bH;+w)]fnҬyu_r|Y Z ?}@x;UYe^.;w{(agʻ.H :P#S8'ܦfPn@PcyJ7E[&ݳnΖys/m7wzfn}/:.͙#AcXbsy2E\ @f7* tg:A Vj$0Wf(&qcIu;Vcow+>`|;Ƨ5֥ h)ĝ{rbL|q(4#Obc4+:Z!d{|9u@yV,;cKH-p\8ȭ: GlRD $5_/YJߤUu;LTC}pKRZAkᓹ%%n)[;~J)5gtkXnEd^#+5j6e ZK.[_q.|zΑj]em )dKש7ۮ؛AQŐBw/oӽ<ᐸݞ-\#sZҭS+nn(/*B3>xL>6F:u1 ^;kQiPⲓ~9bkcSO3rPT\Iw}s.?`UO0%BO*ߟýxY#VNx endstream endobj 10871 0 obj << /Annots [10872 0 R 10873 0 R 10874 0 R 10878 0 R] /BleedBox [0 0 612 792] /Contents [10879 0 R 10875 0 R 10876 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24143 10877 0 R >> >> /Type /Page >> endobj 10872 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 515.2192 381.3955 526.2192] /Subtype /Link /Type /Annot >> endobj 10873 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 462.55 396.03 472.55] /Subtype /Link /Type /Annot >> endobj 10874 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10875 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10876 0 obj << /Length 19 >> stream q /Iabc24143 Do Q endstream endobj 10877 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24144 20690 0 R /Gabc24145 20697 0 R >> /Font << /Fabc24146 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 10878 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=940) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10879 0 obj << /Filter /FlateDecode /Length 4689 >> stream x<Ɋ$ɱ8 ҟ $AҌ=?| ޣXm_cmU' I]5:Fd/?*~jt_׿TmU$+۟$(%ogLR? |7iaW~{[e Fx+/{:G,>cn1KD2w2px  uIo)1HnATuE{[t=vLnm5fLxKq0|.p 8>T\x3Nj-x`9)-OdGA zOP"LPp=y sʨ4F^mZ;J'z}` r!/)_ 5q5T+(l̲3|x% .oRhwCM\K;瑪՛:I(xRJFr yRZoOz.M(g#8P# ઍ-͂bة0B'dJ1bWf>HUT|D)fV}DV0 @ K A#Q]R)p^Va2F!%:ξb 3"HkwvK{3U=41,Tj4&rˁs`>W ™+OEUC]Wm2$]rRc}w(/{4(l|lj:zXey|&T:/sl SH,*(ѪPy)pe~V(;pW3*![ܹ)^ȅ95-l0?iP=pͽbuRKjGZKzȐQTKuX<*K>5yR@ާ3"/$˜QAƕt1/ډhFMXJDks2PY7{()&#I4k!d f+^rn&D}ֳL@UyݖnIL|SE36Л$"EɂIJkmiRU.);7-ql{qLa$@7O3#خt7Ȏ߮?\SWܖ.'}*I 78C XK7VkrpaI{l^lMTjY:-V(ջy]@P)5<"$4 <ݦQ3#6FJFiKE2"從 )r3'ZH|^=D92*nJQyfwːc/S˽LjXXuhQr}p`6Ԝ&ΤZCx_?oPֵkb}ں|R_׽\_VW21v̖ٴB&/Tn R%aAwڽr'p{}ᣬ_gx89akDB5Q$|yZ=S[|{;OljpczB7@UrRUG݅}%/3俘.cb9,pyƞcLJE=sݩhzBqOA֪ץ;6gKG|sĩnaҦw5ҫ.K?rR[@]j|fԜnzB6w7[h'a ZӮ<변' }"mV2wusrV[FlsaNBIu GZ٫l"/;_=kv䗯aȭ=raiI?, '^ucV gO^uh0V].;!9p%} #VF9VW)4GDw`+Jvyzdv׉%K58`}­. |߫l{'nH}@\-}70qh8vH/`@Hc~j8逝,>SU޺hh,ن!LA$pPyd`2D|Պ`x3d?֟ן!Hl1SUA/ŭv9;C̗-Ә S}yHu6t  0Z@n9%x tj(s/pqyk/ok>"ًȌI =jҽkDfa?Df:MpҔj,/9iap<_8(e Xy=kw&qL+wٚW΍g@iRgb/w(z ·~"|˫צTl|^X X"r0Ue(./g^GT)~aT`PJ~!18ĩ+zG #+ߊij@ıʼn 2 % 3Oc7m x|=SݑV80f'?L`r#,I)p7W?; oS^[Y=Ci u t<]|'ɏ7\f[TIdl2ڡdlW0>^.?κSp;1OK11{l  8d8Z!-n7VC[4WDqT3` []R Mȟi? @mͰ2nO@e5-Yx!33pm$Ǽ ~厥+W$o'F;9>` *o$Ѹc!^k IN?*}˩Q5*yFd`w%2Y8xXLW./w$UšqGE 7nHc endstream endobj 10880 0 obj << /Annots 10882 0 R /BleedBox [0 0 612 792] /Contents [10889 0 R 10885 0 R 10886 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24162 10887 0 R >> >> /Type /Page >> endobj 10881 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10882 0 obj [10881 0 R 10883 0 R 10884 0 R 10888 0 R] endobj 10883 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20210608081201-08'00') /Rect [104.1732 93.7078 143.8942 104.7078] /Subtype /Link /Type /Annot >> endobj 10884 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 77.5078 164.9372 88.5078] /Subtype /Link /Type /Annot >> endobj 10885 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10886 0 obj << /Length 19 >> stream q /Iabc24162 Do Q endstream endobj 10887 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24163 20690 0 R /Gabc24164 20697 0 R >> /Font << /Fabc24165 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫JIӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪGFxaH&E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC-]@ U8Ρ\_@E ۾{wL endstream endobj 10888 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=941) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10889 0 obj << /Filter /FlateDecode /Length 4017 >> stream xˎys&4hr۠=M2f._l{;L,VMj2YbOosyfl_/'73|nC]TZSu|3.(~~JZ?{6t6XZg*YRljF贂5jAMY~EcޙJtA@O,s~gbQ.0tq{D@H< ABqIT!BcaU9"vOwS'Ecmh߸N_0x728-wcq2}Z|0x>AFgUX|?4BG^@ H ʥB{@P">{@dqf"  ya@,[<];pzad0Fj)6lPzYM'o3)3Ud.T qZWt? UPY J&=$"+#}&>0zuȭd)Fr*z>hс'6W=ŸabÊ(H9/y1M] 1b=-v0~ -Y/yZ"0JuY!R٩ԉKDs {Z8@Dp8; F$,ҫB%ڥqqqsz1m=FuQ=1}/#әy\ug `ӨAm|(e8c^50b+%1 ]$,KRfk˾[!t+dQ`DVkI--dWbcS#4an Bn #u^ԲJ gUթI}ϥ~4᷉+ n3* gQ> B`ig]]l5H}ďa*pZ~Juѩ㴥hœ,H`q=0`n+ʰvlȺU侵Kx* ևMM6V킨>3*2=DiLhJ=T0wZaPu$[>}7iͭE6W$y$ k(v,7Qb1Z=׷\p睪TBbz+ʝ1{w{1/Le߶BynV&9hY9mn7\?ޖ&>~lqedݩ0{n&ЋY i_dV*ckY]yꢼWK* J>+7.StI2^LSľ,zCªw%ThY qU5A.уbGy-{\Tn6q ;UE(g\X/FE`SPexL3omo[F 3~|riy %JFvs,~1ljrXi):՝3o{Zie>S'/MV7p)ʐ]O8L-;T˥]]>fgϟ;}j K4Vf DaltvʤUF>>dǬT;}b:gWjhhSf6{A镌79)P^mBNm("W tP8Q`e!4ttn{s/>]:c",jtwmx@mz^-27tmGByمXF۩5X]wЗnS2l&1__M#EAM7S`͟\Db>mgO,)S2 ӝLUyvIPϦUu[Ull3@ujO!Z[lT#^Hi(%(ԩ5m3챵ꚰrfC' c3#MĪ@'ZdEfS@Qeiz skp/nUQ+q(N`SV h!FǭWif.-6 dfj|"N`&XDBI@`R!Y;<]6nM^&>&ԬSYyOj* o--mCf"A4A3Oqnurij뵈lHf%ԥq2^BV )tb[d%9ȍסHú^*~^=-mbЗnrbιIc|틫>\8; }cxy z'e~x?x'a#\Bu$ 19<`5s]B}?z&'<}W?nHgaLW8p<\d ]sƃ0=u$7-PE5N7Xi_x$yCp>Vîmbʢ"K endstream endobj 10890 0 obj << /Annots 10892 0 R /BleedBox [0 0 612 792] /Contents [10898 0 R 10894 0 R 10895 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24181 10896 0 R >> >> /Type /Page >> endobj 10891 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10892 0 obj [10891 0 R 10893 0 R 10897 0 R] endobj 10893 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 180.9312 709.9] /Subtype /Link /Type /Annot >> endobj 10894 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10895 0 obj << /Length 19 >> stream q /Iabc24181 Do Q endstream endobj 10896 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24182 20690 0 R /Gabc24183 20697 0 R >> /Font << /Fabc24184 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$M ^|v,9)C ( 'C9PmтGŶ endstream endobj 10897 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=942) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10898 0 obj << /Filter /FlateDecode /Length 1129 >> stream xڭWMo$'+8Gjj@F=YiQNxh<WVdaxa7./묎Ag[UoVץ~6D^Sbԧ;qOwmstS~GN;!8Xh,~h:)gd҉q3?~zU&NSG{-MBc} v5"4KLIdg)}`"H *flhu> BF Z.Z7իXfqVfT/膭s8J>mDחB8bq-5M,yw9qt%g%.CX⡀-yMR',!}Z/O|),MA7MVϩZr3Q#4} "d>N6d3g@>`*#RUj},zgc8X^| :cjx?cN{H/Q<_xCW;!l'> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24200 10905 0 R >> >> /Type /Page >> endobj 10900 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10901 0 obj [10900 0 R 10902 0 R 10906 0 R] endobj 10902 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 372.425 140.952 383.425] /Subtype /Link /Type /Annot >> endobj 10903 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10904 0 obj << /Length 19 >> stream q /Iabc24200 Do Q endstream endobj 10905 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24201 20690 0 R /Gabc24202 20697 0 R >> /Font << /Fabc24203 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ  endstream endobj 10906 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=943) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10907 0 obj << /Filter /FlateDecode /Length 3827 >> stream xڭn$ί&hF;|AN묃@ {^|H3z&],֛UM[moyu˫}VǠ.C砝՟䐲ן_ O >j|>gB$L:?*kq3wd# W&_L𒏝}c}|1}Y=L8$w&-Xџu(W5̛ux\Cŷ? \iDb²&d9ѳYb̳>XMNgH4uv\~O<)y2lㄈ NV<!i5D ې^M_  DžiKFK\J HZ,"MKE{)I2y5 [)Uvމ)b PsmPA)mV1(U!(cC px92$FG#+zkJcDGPJH!G0,"un2잹]%,蓺,nX]XUϲ `שv~85~zTe] "}(qQxbg6h?΁E/]ZqV;v;u~ bN؍\.?Utw| xnj3@&3^ijr`}zuW̅|A$vs'6NQ]p4"s,$,_O5hRQZTT@76~B׳({qngD@bGvҤ$ٚάox;x؁ §߸c/q/}+}8 xW iF;jNi?4EѮM"`N\l+KmRbuQ+#`W=-F fjh Q/?@NH:CU0Ü.$?5xpmi j?6D-HqƣeRz_FsWL-5P (WV>nY[Bj,,9\6JhFZ {t7leDelݘ^uAB*71S7%D;6&%6"ً#HF%qIiӹX&P/ctS\ۀ- ;YBo͓ lYvݒae6sD0%5zeYidA]¨SֿSrrߗpkюGCƑ]dt2-ۼ 2Rr7&lYuM` f|QvrǺ{ V90א\´ JK9Qzwϒh:Sv[aH(AVF%V>SbDvMvIM|YɅl̑EѢ*E4'sk6uh[fL=v uim`ZI {$XvTB3GC^R0R!UUMԔq͒Q~wm3m xcѥ,9uwDàkOyOUH<.vpE+mz9}-"` K|/Ʃa/ }]_{8+v($}~,Va7qs h Kγl$ 89I|.[Q;'S1#i55dkXS4!$+gRsVS v"Pt+w䂩QgS"1M 2R `2nM% ZWںfxsu"?<2M3 )=T a¶ӹ(t X1tyKMOixM~*Mp,aj2|639_x!M3!p+p1@ yTw9%dy.%iPʒoW EŒ/) ".,.m8so*z+dƞqsK-W+un>t~GԽחTmex!,/(.V4ʗX͸Cskr!#Kwt.ȳ"`1T'OZA^P!\[ h0rekrrmHYeX$3S Q1}V8cZzV_Ig!a:h+ii=uKDu2Q Ykt#-{S{YhfQ-&#E՗"~`ShoEcû"k{1oKA̶ӷ W>wF;.ģO#wy:|pPp>s>7Lb![Rd8Iu}`s5M^ endstream endobj 10908 0 obj << /Annots 10910 0 R /BleedBox [0 0 612 792] /Contents [10916 0 R 10912 0 R 10913 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24219 10914 0 R >> >> /Type /Page >> endobj 10909 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10910 0 obj [10909 0 R 10911 0 R 10915 0 R] endobj 10911 0 obj << /A << /D (unique_687) /S /GoTo >> /Border [0 0 0] /Contents (report_stacks) /M (D:20210608081201-08'00') /Rect [104.1732 237.7077 168.9357 248.7077] /Subtype /Link /Type /Annot >> endobj 10912 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10913 0 obj << /Length 19 >> stream q /Iabc24219 Do Q endstream endobj 10914 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24220 20690 0 R /Gabc24221 20697 0 R >> /Font << /Fabc24222 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-ZݛE endstream endobj 10915 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=944) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10916 0 obj << /Filter /FlateDecode /Length 2624 >> stream xZI9Wܐa  [<aS)BY̲ fl"=ERj7WꬎAUCSOPcf(){^qŘ3&xx<>ibR@ƹ~]LJ0}j2LȁJ)`A G(B]kDC_O6tx؎m0/pc)#i~O.Aę̂QS= -IEDs`,8abgKaּe%5VO6C +<CƢ+Iذ3GpXu,`"':GhP8BOn;q@IǥgH;n/”*s`.c=?KayG:'Kΰl<6[\>u?Fn%Yǽ{f N곴Ιl.mHÄHԧɌ:DHy2WA,O>3nj̈́Ű~43iBR[]m g_#+!%MlYb&6ήr8ɛ{#.,Fxw[c~/En\ǹqzOd`I. lFM⪁ ½揄/3 ?2\ސ 5͙Fx-0mo\H&oOݢm:7<Ɗ88Ib荻hP1y&*Qj㋅'t@n.\f80w~:_+ꐜ)9fwtBA6cv,vC~l`#|Ucִ7ZZsu;;s% p} l%#kY%~7&Sq᛻+Av) Ńh(åf\5g^]z}Q{[zIz^xWǫ)~+w,姽\Bl<=l\ vpa>[>?$=Nډ=^4zlB:)i`)b.WL0݅rvA{!jRk _a&UF[#q Tv7_{YY+A ,k!qj FB}t Lͽ+9UY8`+6KpsSAݱ9$@k_'lb>`4| 6G4>"b5Bf)>B`b )+iP] RKe2eUFuE[\.xO:eoPHZiD&VL@s՜g̫%湗xWojCH pn`w8\"ėh2R8C/s̘ c9 X;#jjB;K71mdz^_\hܪDU9 DNR3r vlm`%81=#WAKBu ԩi}!nUa:l3HEM51.Jk2<( 4d׬!U͑RUԥl-2X챶ꆸq%K¿~>#T +lZeRU3ME#(YұF'7 7+RT^\HSqDp(\ck3BFǥ)mbi%DK23ISzl1#xՌٴIjԈfdj_>wssZ'»ֱQ/3M,"oQE}i`0I;) ϳkČ" `btb 5&ى 8.) (^̱kK"5&')@Tg=@FJD]V?5.w6WQ|yp(g?džNNȆ2``?=~\ҜWS>-_⷟ksbv/O|(> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24238 10923 0 R >> >> /Type /Page >> endobj 10918 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10919 0 obj [10918 0 R 10920 0 R 10924 0 R] endobj 10920 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 400.925 147.728 411.925] /Subtype /Link /Type /Annot >> endobj 10921 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10922 0 obj << /Length 19 >> stream q /Iabc24238 Do Q endstream endobj 10923 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24239 20690 0 R /Gabc24240 20697 0 R >> /Font << /Fabc24241 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 10924 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=945) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10925 0 obj << /Filter /FlateDecode /Length 3506 >> stream xڽn6ί9| n;@nI!d'%Kؓd#Kb=YEZVY7?gu X2wuçSRËΘpgԧlЧƙn ,>+xr r(|@_j}0NSA?~POȠgMV~/t͚jnꟾWN!0+ww %5Xou?k|>/t9SEzdF`ޗ,Id~F c;<,k[SrT7D3;ahB/k5v6/ҟR3n܆5t16>ѝ  p;~><|Α].6LHrhW 0Pxא[Ey0d2[CYylt*Wq,AsӁ}{Eah!zOYaxqI.5.hMC7VP@0Z": wh:F@,(5|O$0g@e鷹  8s|#Q6=⢦N3dB2TTx̼8B&SFvڲx9i2T6.!e>^}R@|UyMXD>ZfKJzp(ulbi#^6[Hs`]⚔] MH)NAW4tFUnjޔwj&MT t/TtԾ7"uoԼ5w'$KYM2%ܡ\fQ2\e?k[&'dӚD݁o4(#>&{^izX_!؟nq'ӍdJ)+FؿWQݬM.:)qBFNԒh6;]cw6J8a'`bDu@plk습Lho]41+q5Qr YE1h!wd>Ϙr\Zr{]0M>kwǹ/V8kD#C?/j0)v33zp8mI%YC°ք}T b-<Vl.1kTeX֨5SL^\)Rz H*ЊiQ 4ReKPV m>d 1rNdE^\U欨\"7`!#F95aJFIH#ue)ߜ;2$p&g VDw*kzY*4N% < iXu''6쨊mb>Nݶc yMnY'j,MOto0US4S f_QWG]/]s{Zc/ZNCTIջ Vae! >ӓ"R3*6\Pe W6ɼt|N20Љt;63A4݁%pt?xoSS5cr#b`;іu(W0`n88OUF&b̄0X$Hھ]TcyjdK]} 3Q 8˩oecXiޤjѕdȸKˈWp.YtO7-udjPꨥt龍$Ѓjjxl*xruG7ml:3mi%qÅ5AWC ɞ_ XuFe'[vobW2.Ph9&[5JھڴꚖ믅!:5Ыn^^Wˁ\ ]klP~>;g+mw>^_wثâ`ݟ:n&8;¾Ֆ!e-jF]fb(R՞7\;FukemwNĊ~\q׈x`WCB}&`EZ(oI":BD\m5E`R^ ";,ehڢϔpI0S#qJ7ۖ1;6go CfXY7?{stuo":B[2(/mts׉QhB&zG87d/|aFK*[M4~Su[f(bûԅTl}hI43  bT/y۰Z/߷XqS X3+zy81\H0MNH}Js^x0P idA?f[4-+iH;FODu,153\D Op\YN&y.ێ%''fiVۋXǡڅ9>G-ѻ~SX*T0U|[5lG7S?d|JXK)3dt'dWA|,Y4]ir endstream endobj 10926 0 obj << /Annots 10928 0 R /BleedBox [0 0 612 792] /Contents [10934 0 R 10930 0 R 10931 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24257 10932 0 R >> >> /Type /Page >> endobj 10927 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10928 0 obj [10927 0 R 10929 0 R 10933 0 R] endobj 10929 0 obj << /A << /D (unique_391) /S /GoTo >> /Border [0 0 0] /Contents (instantiate_template_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 256.5728 686.7] /Subtype /Link /Type /Annot >> endobj 10930 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10931 0 obj << /Length 19 >> stream q /Iabc24257 Do Q endstream endobj 10932 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24258 20690 0 R /Gabc24259 20697 0 R >> /Font << /Fabc24260 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}& endstream endobj 10933 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=946) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10934 0 obj << /Filter /FlateDecode /Length 1182 >> stream xڭWj,7+RzK`j3Ny^y;Mz׶)(:fƹT.֩cyMW5>H̝+ ZDz|۩l{b 2abyzS\׋S-pW-/r!}j1_A[ gCNiגP)R~ 1b$20SǙ)VS껨mf#w5KpTyNР$-< ̵LULG9S6^8EXK-x4xeپ̮ΰI AYY 1f"TIoD"KʼnF2uuEʱJs{LdoS%{J+94SQHƌCcQdD?QmmeF6!'BM3jQt('('x!Δ;ҍnSFq8bXq=㝸qs9p+u))LamPVbZڂ4>n䕒q3*k 6W Wk/]+Qj̽؎͉Q3kB l(&}>h0 ^sF>syṖ"boz(:澏 ҫvJ;&{Foptͅr(nD'{VCcED-ZމhcmhQi֮8#[#?H DvmM6ţ8n85`X*1u/ uAY ڻa}99P)ELl'r0})_l;H endstream endobj 10935 0 obj << /Annots 10937 0 R /BleedBox [0 0 612 792] /Contents [10944 0 R 10940 0 R 10941 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24276 10942 0 R >> >> /Type /Page >> endobj 10936 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10937 0 obj [10936 0 R 10938 0 R 10939 0 R 10943 0 R] endobj 10938 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 10939 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 301.525 150.6705 312.525] /Subtype /Link /Type /Annot >> endobj 10940 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10941 0 obj << /Length 19 >> stream q /Iabc24276 Do Q endstream endobj 10942 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24277 20690 0 R /Gabc24278 20697 0 R >> /Font << /Fabc24279 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>F endstream endobj 10943 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=947) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10944 0 obj << /Filter /FlateDecode /Length 3888 >> stream x[Ko$Wl`| 4- '9v/_==H1vM_=XdWj]묎AUC砝O]}XrH;cý>8w,w':%NyoO?/O;L 5AfIkGf1 Wgd/?)+4+_Wv˺uq]u˽Np{OP#]C$(ԟ;Q#$cƲxaا̺~a*HTMnxKJzb?a#Fp@#/!Z?F]ƲKXȬ Lc-[B&`;tϏ3])ܽ Y'SZdnQK1=ϺpF~ LL /z#㩃r9]ɓqW*z۸8'C AmqKІbnDjAC.C0a)5`+1?pp=Ӿօ:`\ Ab ga=N<} X:#9̈(۹z| k=Cs#a!Ș8NbO*;g@osƖeu\z^¢auʘxAql 3x+<׈#Ƶz3).~^=hG.׿P՟P՟Q՟,wC@_uԡh9+ Lv%Zἕep2<냕u އX.@N0.^wwDF}1|a4 γ+?-NXyT(9T^[(uvzbދ8@2UGy!8S*WvL?F6"oB_1L= $)V3qݷt=P7v#2!ȝ6hNduGxA&$z՗7i9jk avݭ j OOg A9&zwYa 24 4]˯+< }2p˄0 Z(ڵ!x {b8Q J/,o&RN/#[m8 :Jد4SIZ(dSHN9tƳh%fxpTƵ0UAuOm@ q* ֤հ\ڋ^2 ҫbUMf-  }PSea(J5Vj42J4pmd+Sedel݄^uQB*75S7ACLDőWFS}{\; b$Y*e,VU*s]Uƽ^; Q,a Y ísի2{8#Oi-{0cWj/[;{ aG;åvB.U6aPف%MHŽUB=U}}i;ZݪVV뵾Y;Mzxm~n~nQ֯npo6jgs_]9nSnӒjoaѣԡ$ cݒae{e{A^?v,J= OR4 |O)LrK4fY]HqCMHCv/F*K%pțwH0^]{&y Od62*hWFkccvNe 7a6`H'Vtgtddµok a u_ ѦM˾C0~N_h[].G!ID+6QJ[,5bLD;5NQH|r .ԧY :Ҵ3Uz1(aMzu-޸Q vo5 9/9|=WɁ aWy`:Z܇AroIH5bmD-]fn^h@:{P-ʈ>Fr%\TeBf6⫑t#UYmg|;L t PMԀMOu~gafsX)nw헜 *7 L.!{)xj;V? ZV|n$ n`#xOhb@Gf~s;[w(gŋJZilz´k:q!$9W9O̫-s+3^E*-Уv}!.΍D|(uXq@<1\ʭc)\O"Nmڻ~k0438ͳ 7/Hhf" ], T1'z[Bfu' Pn$eaum$fӪ@]x$o6tcKjoxI3պG۰UʧP>^S>b.Z2SϨ.VIԔ7Zg'bȡΕj÷ -/Ƚ"b=1ǑK+\8ȣ2 *Ekx\ f8_p.|n\Kߤ2L;ŊLgB?1u/R|V:>"%n);72<$VS[|}LXz"QEj(uZ B>|Ee* %;gF ߚdr$TYe;Arb ]vrP08Jmܲ74vXaEQ;TLM'˚gyg=WJՍʜ/PZumv 1uSMRwp[f'ɢ& endstream endobj 10945 0 obj << /Annots [10946 0 R 10947 0 R 10948 0 R 10952 0 R] /BleedBox [0 0 612 792] /Contents [10953 0 R 10949 0 R 10950 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24295 10951 0 R >> >> /Type /Page >> endobj 10946 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10947 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10948 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10949 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10950 0 obj << /Length 19 >> stream q /Iabc24295 Do Q endstream endobj 10951 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24296 20690 0 R /Gabc24297 20697 0 R >> /Font << /Fabc24298 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10953 0 obj << /Filter /FlateDecode /Length 4676 >> stream xj$_gCľ@!PIo >ڞ1FmKFfV4m-^xYﳞ+f3>kznN/)t:ru_PՒBv}Y)ip8G1~kDo?X.4fI68YF ~^[v} Zt yǂjIЍ4 p3O~ٝب%daLeu`uKiw @! ˈ8,w5!)kϲ~1 sЙ43|g5=sgμa(iʃq4'sͱHWG]]aSa jMc}40rД"G@%r%QP}A"ɢ$J|R0 H$mE"_ύB^FE[>sLS, +$P5|O/JvHRf\D%à 8 _Vd#_!*hUt[_m;[$I-*+ZP([ki px|(M(ыHoJP<.PZ. ڤkD(#ʤzCB{S~֛C 2A3!̋GI]p8ވ3 Ax Zna+ZP$K[=ѐٯ>jt /yR'YbMEX&)OToȶkRt 6:_Di/q/rH %`"DGZ֊ ǀP ^DXtˊwa.jmDy|`HL YIH,uA |c صN0XZU8.XՉ>P Y ZA}BpMIG$!&#dSE9rQ;KtK/>)" N t<ȶ S l5½cC"=6 aلrÉt0qx:`뤋G'{TQ u%ȢeQlIP-e#@\5dd6q XDk& +~pak@mb׼|y9s!/ؘS^vk`Ч%`eJP:a~{GVѪ`(Rjf=vfĐ-O /0sKgZ]\dJ lFYKNߖw |I˝3ݒ=JȔa65*Ϯv"ܕe'Ty6Xu*h _ F!ԿO ŹBBvnbmu(HsQ:k^"UZn-i8 :U#Y::f-pL|%SKɁǁ@C:;7ݔhX5j@Z&.ǣ.7,PX }9S_R+Vt+9ľ%sT-Ј;rpl@ _wtr5똄BFuu(#uPKIY)Y4*A,PeeE,+ שeg^MC6';ycȋ]ry5nSh[lQەk'x : flEd\t.㚐6c꬞ǠZz\'HaՓ7_l 刄Ei.d6Ib]-t VnԊۍ3`H}Ѧ7¦7yD$1yk- qqf;ECܒ^7]pc87QuyHCF I4Wۅ_ӞbNOkۻ>򎕇Di.#6gnK=9cE >+XT+w#Q7Pv=L5X1jHlojK}f*og-UBhu",6c*%$| J\=tJ} *V }!+(X*$A5>)6R)4XQn*QoU.$2Ṟ+3I+tx6l]d;- 4>| -sD?(7XOLN{-Й.Umzxշ ӛΜ)V^fNv L2s2r--9m@_kh@w}TmvsV300v2ݏ܈uZ-Hzo:˰nO+sfsM)I){M]W{n{h-xݬ-lL`0[ѝہG+İڶ/3oFoF1=fP{8U3w}.n9qI4- }=uף"IK=&a1o+B-G Zj<*5cm^~'JMt9RoybJ_"^dK$O$cuv 3 k4e`*7W ai?,$g~uъV jϞ@Ͻ@&S'\g&N5BjL8r&BaX-Nu5JK`'vjM)r?V>- "tEi0Yn2 FMn笋a-*u ~(iSs(wW6}^Ѳק˦:ud&H%}0ԦE4dcY3C V wLze1 6i*8)1%"#צR`Vvnlt (N1]Qk)+@}rg(9O{}يbzs9\W4us;T# vD=B"jgd3n(SBȮRKBm2)=\i6{.f0Y ]Ml~f֔He[=KINn=D KW7M#0-^TV e˾;LѴ:X*C"/wo׃A.?/ 6.1fo=:逝1dOZi '˯T^=EdqGi'V/&-$ A>GZPϿ_!cK`'(1p|9|b>?y|4"?y~JuO7؂:Q= abhz4YS ߱aȧD%ҋ/eS(MKb'qPn]aؼ | I)s5Lr2,Q@ |jĒ(kw^; Wc0GƇ%%yt\_i{>+ؕ:{GѣG>.|>*|mI%"5E7-(s5-#Έ  \%ȅ"DZ9D'KZ,C O''g=Ssuw % /t-;B%Nփe-ݭ%g6 ܘ5,i㱷{2"2]p~fNe<3T'ke)i_a#MGS[*lH&ڎMv=w7/D_ Xc.ylg꘱ 8E|U_A0G$y),.-a{EJUeJ07KK|я/3к:}Vt^p<ٗVh!μ J@3㆏ J y~iu獐\ (F*Nia<_| dL ƫzDBxM螫 #׷vO*h>soT$YTe.rK!uA)KG_ !\S}`s5?Q endstream endobj 10954 0 obj << /Annots 10956 0 R /BleedBox [0 0 612 792] /Contents [10969 0 R 10965 0 R 10966 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24314 10967 0 R >> >> /Type /Page >> endobj 10955 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10956 0 obj [10955 0 R 10957 0 R 10958 0 R 10959 0 R 10960 0 R 10961 0 R 10962 0 R 10963 0 R 10964 0 R 10968 0 R] endobj 10957 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20210608081201-08'00') /Rect [104.1732 262 143.8942 273] /Subtype /Link /Type /Annot >> endobj 10958 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20210608081201-08'00') /Rect [104.1732 245.8 153.6732 256.8] /Subtype /Link /Type /Annot >> endobj 10959 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20210608081201-08'00') /Rect [104.1732 229.6 144.3012 240.6] /Subtype /Link /Type /Annot >> endobj 10960 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20210608081201-08'00') /Rect [104.1732 213.4 166.4497 224.4] /Subtype /Link /Type /Annot >> endobj 10961 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 197.2 146.4572 208.2] /Subtype /Link /Type /Annot >> endobj 10962 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20210608081201-08'00') /Rect [104.1732 181 150.1972 192] /Subtype /Link /Type /Annot >> endobj 10963 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 164.8 164.9372 175.8] /Subtype /Link /Type /Annot >> endobj 10964 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 148.6001 180.9312 159.6001] /Subtype /Link /Type /Annot >> endobj 10965 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10966 0 obj << /Length 19 >> stream q /Iabc24314 Do Q endstream endobj 10967 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24315 20690 0 R /Gabc24316 20697 0 R >> /Font << /Fabc24317 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 10968 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=949) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10969 0 obj << /Filter /FlateDecode /Length 3404 >> stream xɊ#_c_@JRi6> sjǘ*e~o5R|p7٩Ȍx-RKwxȯo>;4>Ro"rIR+/,F_J|Ïp ~Sa|+@Q E p^Wf9ȗ [@w xn+@+zvJOJ":ڣKXm`(P* f#v)ar~q87*Tj*FF&:<A[?-(xUiyIWE `g8![F ʱ\E _ 0A:Y, v+XгP4Z}N|3#b#!NFE87XYP vځqb}eҧ3R 9{),;Rq V {"v cПUUf»t{ziAhTt, e-k͈2WJ38@" f?$~{ 7~w+ěvuaEu;-*F#`*1NVW!\?v5McΩJJ= EMvC IIf(z9l &( =c?DZ{NEy\.)krՑh:<Z՚DATpS$ ugkjaGV#:wxu;tŒKU]7'h*_MȦŨuEg Z$xNY{`R(Ƥz<[*g@FTDQp 5S7yPo$^㲊$5Ėp^q8wƮx#`Am3FFYs~K槔,~EUe,jcT UƕHi849InJ1]naMe* dZ)7ĵ7]{ZR(sk:Rwoaz!25x8A j4Q\mu٨u[Z|-ɶu*a`/3U+nZ78;R31̲ŷrrSy6hXA9yU\$DC(z=,]29):cM>W97K0Pu~$gɟs<^O1tKY#lX~7g0*&nE% vd{036,)ĺߑ*H.y˭_Y33(6~,[ׇ~wN%f^%Htҫ bkͦ>Rw #F*Hr8b}jLx@e,OA8a0 .fjՠ!EkӭRݶxsI2}4j225;4J+;)ܠ/1bN=ްwuDpks5uYm⡎y5OUAk!h:kJi8Dzf Æb\<ȁ]=VʩjիdH<͠|{:kX[|7ت@.YŐrp uoX7.Bҿrٰ֝Qfбpɽlo y0Bᘱ7Yl)'4EEߊ!BƐ;NtdN0{P xTUzO x pcmTRS4BQ7/V?Yg5ո[Z) s(u&khu,9U`%(~WY*7]*}vu^ekJ |"QG~~Cx .bg.~_! S*X't ySC<:j'ҁ>K2㛿,.T#HLɟwGh"azK$=PgxS#(@)rI"O|kq:G:ڌc6:}g6 1VZ}%pH>16p܀+Se8@:YeKLs-ǡ`?GXΌ]6 >xt31nVj7w5wo,ZiBdv3L@bHn2hי?@{>u6ΧWi8Jyp+Vϟ i ~0J ywrǟ ߔA?,ra k fr,UKyE6)o% _o' \@b>mgYS2! ۈ \x -$#)P,gJGN]=)n3pOU'W-JT6I&OjDרdS7>T1k34UF3K Gj$Wϯ^<&5_Ǯ>Ӽ5k@G,%D@L\MRmd<*QqѮB~Geh(\*;+qJ7[yLdJ;=37͘⍴_Ok_eu"=p~u,m+SL8qtd)ZALLO_PHU+nTex?W 3yWF"ݸ4Lrrx 4kz<۠>Sg!SȘ':^Np= 63܏p\M!0&/ px_w tRjYThY{[䇟ʃ087/)G!%v~ SO t:0HǒEC/^ endstream endobj 10970 0 obj << /Annots 10972 0 R /BleedBox [0 0 612 792] /Contents [10980 0 R 10976 0 R 10977 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24333 10978 0 R >> >> /Type /Page >> endobj 10971 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10972 0 obj [10971 0 R 10973 0 R 10974 0 R 10975 0 R 10979 0 R] endobj 10973 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 334.125 112.8525 345.125] /Subtype /Link /Type /Annot >> endobj 10974 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 334.125 150.6705 345.125] /Subtype /Link /Type /Annot >> endobj 10975 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [155.9835 334.125 188.6315 345.125] /Subtype /Link /Type /Annot >> endobj 10976 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10977 0 obj << /Length 19 >> stream q /Iabc24333 Do Q endstream endobj 10978 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24334 20690 0 R /Gabc24335 20697 0 R >> /Font << /Fabc24336 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuXE"|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYMޚGFx?1$ Z`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶN endstream endobj 10979 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=950) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10980 0 obj << /Filter /FlateDecode /Length 4133 >> stream x\K8W@r& r57bO \/7^|)Y*Xi" !/sK^uuva) u%,._~_A琲_.`Lxz\N]w1źNIK3^g[5ZRX^|SC'|[ 5пe7T6 WgdBqqQkZWM`OK??.u>5`LrNYzyT{穑//IxƢSzB }*p769X8zc`JZ&U*COBS`H f8`o1-01CdcC5n%~'F#O?mEti_4u-Bb Gȹ*+0Yg5Q#ZlMXUkM<QX:I,v\GզXdFtx}h@ zB\;`v# ՇbH["ctORmҮ⃖[g&v}p]NY?OZV'hb • *?X7͚鞶H;guzKg#7|nh1~^~P[ʰP#\ ^\tжN?CK+: 5 aZTq9fÚjt梺k U+{7N~[I]VXq+흽Bӕ^ fJ ?7@F3|eiiѲĢ,x$"HN\ ,kn6+[mH)ѾS+,ZG!!v[m0*Kد4SIZ(SHҙza tLn\ A Z_[ۅVrtTl(&e:^h-3 oU< L+ס4(,$RAM2[RVZF W*Z!##DËԽzKc6עV?0ԫT5JCUhRff .ͤIqJl/jG&:Z_O7Z^݉ZVlCg wXUWm-W&vo*dap X:Z>W>aq8'LsDuh9fp;jqk𺥼úi5A^>j@>_sjuԪC[q;Ma~kU5>ȅ*a.d[} OzKm[-OM:Ix;S0`ꍊ˜0s3̑a܋sfIaaU=:V99XfdzRoi>VƔ\Ye #*rÓA0bb!]AŤR.>n$3^t?W^)亼oҘ0Umd8$̇8䃌ѵa@fT*]ԝ Te4cGU}aY,*jd;\`kLpǕ n7ā em=X{`{LnHb#b'mF۱<:3[y69}YZuULݜAA3a/DFD11fprZ[cTCI]yт-.zSY+>9F քB"4;/rއsp8ɫiOz#I%i m& ӆO$$osƙ:u;R]{hE:1_mZ]TdBfz:W5y V5ZM@b7USOĿ i%ZD8t<>;kPA#`6EhhXPd3G)G 'm#qd5 QU,O/C⧭}kwcWsx2fXE!άkfjYY 5vʎJx2ٶirȰXu] (G^OG[IK9hv j3^hWg;Fe[_ K~a;ZT1kk 7n>?ʺ])m]!1o",bʔ50kg|b 5B#nEe(~Vs^+j*;aզ`)B%YmR)xj:ׁzuk5kp\iL.@xmeb;v(᜷Iz2v-&n6/|9,H|^m_~@xne]YU7i;=U iwn$YrOiExpe10\dʭtƥձ>TvRĤ0Mpjahdx63ߖrGȌm:bn@}P rr:d6~".I<0(KiW_m$%va%ԨAcF ̕GfcM8ƹ:/.Y]@mGӃ*Ʒa|׻T}] es0SJ%D?_\T)k+ߜj7t;cOΕh 9o5SR-ogP+"VT ̒ 'yTXg#S,I`HRgMJQE2t;ŊpWB?1^8cQVQ),pKٹaFs[tv/"ʌ*BTCK֊ Ʉ0XUc֟KW\FV_q.|kzΑ]ee[?)tn[]aqx _el<>Sl\ ,~R"^_Qc\>"U7  Ixxw;}o\I%(}S⻜a j"˝1,SH!>L=!PXs~ ~5 3 ~YN:,kk۾W/Rh9s2aӘx@QTpHVyTӬC> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24352 10985 0 R >> >> /Type /Page >> endobj 10982 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10983 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10984 0 obj << /Length 19 >> stream q /Iabc24352 Do Q endstream endobj 10985 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24353 20690 0 R /Gabc24354 20697 0 R >> /Font << /Fabc24355 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 10986 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=951) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10987 0 obj << /Filter /FlateDecode /Length 4640 >> stream xڵ<Ɏ+Gr:t @4$ xOh ɀGDYYduFY[dd[&7_GV͚-H<%?n?pMn?~kjDžЛ * B|>0oۏty(<㿷 !w}cRI. ߶~;r\*c&xB-·Wo]EBOu\ +xC3ʪoLL-cƎt'Q0lnbQ<(䂺(n(*_$P'X2\z7xx7&ǝ Ho2;2X!xdS IfEBG]'ת(:FsA[:tO=pRm',xBʄ~TjծKPd\p<*-4)f %m$MWUO W嵪Gy5n%ΰ'6slﲅsLs VEvnSyA|)y=t-ޑGBv൅I;+G('%UՔv,LD" jJ$^hUWkL"捆؉ <,IX5#]U)ن*ɭ"bH/+q>q*^3}c&|3F>K3ȳnYD9TSEwdrict0J%]1xH3ז4&) 3 S!qVɵJdXV1 nEt,( i{i] P 2.,n@t Ur~未30D1%"7jb`@ݮJOrUF5NҢhC$Iw ~FGnHb^\[rFJsNB+hTxzkûHis֒T{#8}{if9)q9SxI^%󜥘+k zSsrQ5?b]h q4)W\RsY\KUӝݢ02EgN϶ZEz۾* A" (ll'ێ=fĵ4-*VmecӓV;T {P.Da.K.m}%dk5wqhK@3L%}{qRL R@-c~J_^ǞG xhO:.}ܷ7[gRZ[twO=ݓe*R.GkZjdڝ&QʱEAbMSR^(?9Xb`vR=r[\oUZbbubc7N /J^uf 3<+n{"N*ZZQlSAdb}A0C%%Bs۷ALe}鮫U";]MJʡEOkݪX C!ɊU۴l7Gk=vYF,*h/g|7lL @8?6<%L~tX ]Ig='ط4瞯×L_X]EV{5Wӵ|{Ѥt~`ukṐne-{K߰NN0KX`5s3Ը*`J4&n,W}n$(uQ?hɒjN~S;<`v9)J][*et;8ulo:y.NS^M wNz3Y%{;evíN1WKJ{KFTŽ)l)+*CߓN 딳5Q;3 ڙwug3e8c1RTsfX7;OcXS~Tg\y6<ΎlIjȵVž Y9h& x(# NPB\>pjcݔc'])4',--<7u5FnuSoFV 2 f,4RٕTjf]y{Zj=-e_6/:F=e`JځIk)wR۱,yۯ 㾵48Kt5)to.,c4]9U_?)c3Y: Y\G41brdzTYx|q$Ɇ}49oLfijs~+qA0l$0E"Nb=W#)Jކ)r/C7v,jzAPrYqV*&,10Ԥ{V sVi#T+[ ōO02089 ψsw+I3fI)ܒ54j iK3 }=~]Ǿ*|mKKD`$_WO_ӏK9'K}+B,DuiPqH=HKKz&,.a*}$JG?Q(mB2JjWXii?m?tM_HL|KJk:)wlψp~"0uG zXRJexGڷCVkVTASRM^%#ʷ9bemId@2dlWэ[u1/hK@;My@oG8@Dkp@))NЀ\~֊ps &a~Hy%Tf"}h c]Ys>\O " f}zѽ6UBmrJ@5b/HvȨwqR> oX}O~*o x>60O,# 28:`dľMsWDBxI蚩 #b/]}t]gxZN2 { K‘k ; SwHHX}`_,jfm7 endstream endobj 10988 0 obj << /Annots 10990 0 R /BleedBox [0 0 612 792] /Contents [10998 0 R 10994 0 R 10995 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24371 10996 0 R >> >> /Type /Page >> endobj 10989 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10990 0 obj [10989 0 R 10991 0 R 10992 0 R 10993 0 R 10997 0 R] endobj 10991 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 466.9 169.4802 477.9] /Subtype /Link /Type /Annot >> endobj 10992 0 obj << /A << /D (unique_575) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 450.7 178.5717 461.7] /Subtype /Link /Type /Annot >> endobj 10993 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 434.4999 177.7027 445.4999] /Subtype /Link /Type /Annot >> endobj 10994 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10995 0 obj << /Length 19 >> stream q /Iabc24371 Do Q endstream endobj 10996 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24372 20690 0 R /Gabc24373 20697 0 R >> /Font << /Fabc24374 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$R endstream endobj 10997 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=952) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10998 0 obj << /Filter /FlateDecode /Length 1967 >> stream xYKo6W@ ߤ~6,ƗAjLl'Y@,~*d?RSfoLs&ESuYpx0ߟq<|\X"?xߙ:LI'p _ ї/hI;3fK~5?B̑˔gh^eUv .V!9!-杠О_ %c,h3_'744yrs^5d/$)Ǡt m#<ϋyxfhwٺ6b@ÅAONsL>x40Dle>~g>PNJqnpokS*eG"YpLi֧Hh.Sh~Q!uJ9ݝEo9nȩ{>Y$' !N\ :J34Zm KBH!)H_xyƯo0fY8M3ۭ̘^&U'$( ;_$a*eN2׆F=V씲k"f9rJ w _)M#|ΕlK%k㓉ӜTq~rB)(Ho"k`. [NS%v>dYɇWKރo7^l'E4 q $}6"f 3|sb2pL/tFI^76wJ*6e Y;K77lQ']/VdFIo;_.@Ù.2ADнU6BceVT}}#?7oX'j^t^b -˰ztE[*0)Oi{nE갍TwDGn-K uD~}ra2>'3/l:@Vcmd$@;UߡY bԡUpl26Ѩbp,S plӹ'E#kxڠVҕxdKe.hf o43sØIЪ4tBBwupYua㎘zj(n)l(x1T(ҷ~f@S1Ŭ\`=Ņ,~Suq ui!ׄMmPRIX^ԄN٤䍔Æ:rcU.]02a_h)\WwJw\hq6։Z.7R2ڄkU:~bzEx£/>ƷMXIe,2S>=j'ҋ6u&*b@m&0N|u&po瞅?hvhUI\?IJo0v8w4eRDtOJi'?9UL endstream endobj 10999 0 obj << /Annots [11000 0 R 11004 0 R] /BleedBox [0 0 612 792] /Contents [11005 0 R 11001 0 R 11002 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24390 11003 0 R >> >> /Type /Page >> endobj 11000 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11001 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11002 0 obj << /Length 19 >> stream q /Iabc24390 Do Q endstream endobj 11003 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24391 20690 0 R /Gabc24392 20697 0 R >> /Font << /Fabc24393 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11005 0 obj << /Filter /FlateDecode /Length 4414 >> stream x]K6W ߔAv;@n "M&[7%ʦl9IGf**VIѯSxhq9oR V+7'z בFBclD{npjp~AGyO?O䫫!V ?x*2_ fUpDGLR^#&X?E.5V33ɸ\b8Lc5ĒJ G|q$%($VLj(>TUm!8Ӗc'n p|Z`7$ N^NPfeY1@[-av*e*N[8_ҺA |A(6WqrT_*w(K ;V*~$BVTp80.1ˁŠe U}ΡP`{ zMʩ46-21j-' c'8{Nsfe 9 fok;nVt _x,dcV<პn>Hq xb2R5Sn e^kKѮaaY@rZf334Zo]P1"HgzB\ia(owpv6UzLj6ՠm|1Cp2.@An-3 1ǵ`J{tP.8[Mi8  l4z$~8=┄Fg?UqBar]oib@ᰠphHΕ)T-"# bhĠ[Dk )"343“@: х&;ZiYve E4 -4|-+* Y%a.lʜu՝mBsPAEF7e_SF3SOϲO 976]BK ^ )׶YK3- E [t>J HHkZHkZB{\ Zhk![\ t-dkW] Zhk!\ Z6B;\ ZUBZHkE[`Kέ#O`ZՋʲZ? @vUdz`WR])l%-p*RŮ! J$[wXd&9BdX*i~&a wBŬ}.sxrb<|%Ąps4ս#_ <F;^\la[!] > ;OJFԎm!U |3~.Ot@m7Lh%ˉM/yNu5>X!ڂx9GuϕzJy!ioޢJ}}uf4H۬*lu)涧o+VЫ-khm]84itSτ^]셓֦1y7ޔ3t_U fvs͗JqXjX1bPY_eekjnDzyf^{йf_Rmk}~7`&Q`?r] GzT_-pENU{PƵ R`lٲlIjMj %5<,צ[6m1ӫ=rY4Fz_Qr*U5˫Z: 4{2 'ZikD 9HB3[p*s"~xX;9 NG`,|ow&6hdvwET":s_:ArW ~?[e ,tP8ܛnu7*Q>LJ,@)F&*r3S?.@Zs.xdMlx#Ug H;r$\,'(JPJY닅kM]<"Z!<d hT0eTћNY\.)0"Zxa!9jJNX,E)FB2Nk8$Hױ[gi*URhsLH+8+mݪL U:5:ɨu-G*vikdj %QFQ]Q8xy9 pU j [myunjzԤ5j &V 5~F 5kJN.$n.Bt < NdG'reCы\3Ev"edݏGzV#Bjx;Ε!E:R&gdĶI\^0㚝5޷Md@9(g` `_]7'T#ʲ*lBe:`c=\.+dW8glYLv[!g{1h4 kN߃AHA8 AV7 w4w =+ރAs -_uo}w.{hc"Ðg~A+z+P5E`[M~̉NZ.W( T*,щ ( wU 2Wy`z{~'+49/ 0;0\ä/v֯ͩٺϚz0nUS̹ͪ%'&KNx2_et.aWQQZhk(~1M`K DԯӇ D\q90ҙrJG؀Z$=2 JLrk!=_g̱(`609AYo麗(G{@3>wނ"-ܠrߍB ))u{I`FoVQZ*E[0@);IjSe.b|kWx*8gZ愃Z@ng4N.gi:"`m#_p!d j6Wu>0t1෴gS$|.8[1MCeQC $޿`]|%xSNJXTR(%>;eva>ǟ/!%m\ȼ\Oús^%?r^D0՛hHRLE@p@osJzQE]X&0 - -}ėP76W՛lf\F) 5ҶC 9VL;9ɛy~rRz6HNh'9!LT{H ﱅ 2XaHeixL_o Kf9ӮC[-N:FN%(wԸ<{F2;Lx8z5z'b{5lv1srGxG!&x3lu^/'[Se#c!hU˜'WxU~.6׍?<!=^P]5aG =` :b?\ endstream endobj 11006 0 obj << /Annots 11008 0 R /BleedBox [0 0 612 792] /Contents [11015 0 R 11011 0 R 11012 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24409 11013 0 R >> >> /Type /Page >> endobj 11007 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11008 0 obj [11007 0 R 11009 0 R 11010 0 R 11014 0 R] endobj 11009 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 573.725 122.505 584.725] /Subtype /Link /Type /Annot >> endobj 11010 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [127.818 573.725 160.466 584.725] /Subtype /Link /Type /Annot >> endobj 11011 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11012 0 obj << /Length 19 >> stream q /Iabc24409 Do Q endstream endobj 11013 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24410 20690 0 R /Gabc24411 20697 0 R >> /Font << /Fabc24412 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 11014 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=954) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11015 0 obj << /Filter /FlateDecode /Length 4600 >> stream x<ˎ丑w~RC$%P@eVnŞk/ \/AJYYݳ x==Y`0"5}d /ߦ禸Lî|qXusyQN9u}6~>,2魹m^Bss\ a>Y~{g3sx)0U\0Ϋ_#<]<__yvx- ̎NnROنXXE2 Ο0LMU5RO^7 q:vL:y u#Qä6{RO?FjsDgGHm~y$4yVT\V҇\.9ރν!p?\-v&l`U<- hF]! f+ ؚ* [#b*+{?d` TN ԨFtG{Hα y0 G)Iʱ~\,\=K*FIJm;.,&J9M=/^Њ ~#-S[g3w<DϦ|cn M(BWۜf{jxTв+/OR$^ $w{"m&  + ml iXvRV́8yq9KvUnK"t#ߊhcA (T`kZW+pX B!"M%V kҕ'Ӌ/xԤ3akO=QC<YK,KgZޑ]CJXMTQL<6/ )* \N_Zl1AT{9Vd,lHu*1󐀬w@Ctzbnw'Vy-| %' Y3ُvŪݢ*+@">ǦGp ՘@^yK [AiV%2|}%[KcB,$`9z0MX3C"ibAjm,^)pꝸv``k;J:~ȕ݅ kVj{W{5񀶹oy E{~Nz%̞@jN.hfH"7Z# $$,*oڄ.Lz@> wܥ h+ԄrJI,A-QځҎN?Cr 3Cd*wU198Rc. 9U1H{LX{ѕ Y(YRnwHU"rXRberb[`[=xC%^F@9Vޠ]D N"xU06=T1Puҕ ٱ84*nDk M晪ykz;mE#iX9 ,j**Ŵ>IU;]\c|ݸA 2vdʁ @ _/CBW$<83ҳHg :]jΞ ]BZ pP_@E[u<^CzT:c~B7Z}mP\( Mc], Z \~JPЃ| ц鱺ɮ}U>p:p^;Tt]x_DxG-AZtT;UN\eeڪS)o:sI8WG}UƭﹲѦ9qk®m,0}rQOCCXAE{&ÑC׌肷z.}>lL ~>2i޳;ٴFW%cOfvrlv4¼m<(Emc \4ePrsT=YٶnwsʈbDQm/\C}i-^m7]5))v{<ʤ]چ+52ϱ紟Ӗ3w"pVn[ˎJ΅V`Z hh4d5 bg/"8HkiJV̬aδפ8#]xfn~f/C iu4|6UDnau0 &p1aa|3VˆI~P&SM] ~bX1͝۹*0}J6.ө5 0C$|Թ4!ӯA' 9oѯ4k D+>>e;M-an:O4gzǼ ](fy|xb`0uʳ|ot2 hCp.M|^s|s CތO@GYy-7a= a1^ޑ΢6Y enNsƓnr(14&+fT5D3l^E`r~R53Z7,ހ )359y,?\) gH  =cQsqeiط@yR D-w(z G-x#kL/@߁'k\?NɝxySskr!#"fK-^g[jI.3 T \*cq49Ա}q*-^(\ղS,T(ծXo+,(i=";KZ! }Di=evmݭdԱDZ ڬSyéVn׭[uY/hfv/Lqn||iKemdAii]iCcZ:n|lg(b_/5jl㕱0oBBo-ltYNXEWӃ /Vڔ)֝D///2IxyF\b} MY>,ICt\3u!C.^30 zi$D|듳>xgd~OyXdWuj-b0گұ&3_koGj@z7ݣ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24428 11020 0 R >> >> /Type /Page >> endobj 11017 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11018 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11019 0 obj << /Length 19 >> stream q /Iabc24428 Do Q endstream endobj 11020 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24429 20690 0 R /Gabc24430 20697 0 R >> /Font << /Fabc24431 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMK endstream endobj 11021 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=955) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11022 0 obj << /Filter /FlateDecode /Length 4093 >> stream xKo3_s1|?À%Y|@EN_S M.Wd_.9{\_傤_垠FS/D_wc,\Q~ 9HO-GɅWL )ŤS}_ ; M ~ggfh0!e% Nj3 `}!rn'{iTZ&юY#' -\SFǝ`4Ij"Z<(֎Kr Sd jŒ`rJo(6;,ƕDXeN nS*7s{.$K"?\ȷt!hQ2Y }ЕBTw ~J'[ MH&CֿuGB>H-s(pѭM<ɔNx )n%Ot+bVf;N7݌D4F-bv^EVjˎvz4koe1|#ux !U9(J@UEc߈nПKBp)MRlv2'Q߫`b6,X^l-VLK_ m>cA :MneE XQaLVx|e' 2oF}W|ĞiJyAE$+܄@Pҹ*3(; ^~}l $L]UBvx[FE@5)µ1JzBu4&Y9g3 B/ÇLFY[]%&R)˄ \nUo^'Jo0%V^0 T^:lLA睺Sx=D>0즸ekaܚ8 ,_EqIwSKmޤ9۔c+:0oCko%%b|2:u<:q}Ufa8!x>dVK ]aUaэN?g/Ή*+C"r&#}ˢja{8oY#JWTccd.}W ePYGp&ļz\e6^9@Ť1){g#v}mkFޙmDM bUX7݅^ki#62ۖŶy*?l˘VL a9`EɐacHQqnu+F4:*I!L!Ln7msvǏ6i!i&K3%%+4w&tai >fk֐O5%jL[C9\[7l&d\̎nv6<0;R w1<ѱξ53T>S C2<,ūKo~KrJ?}S Ž{ fo sIZQ&}գZ&QYo:3kyH^6ё=Sodr`6C"5UIG#u^Պ`VlÛ_bέkoM.Q66\oHv;n%3NzFxj^H3O[!e㶕3|?-$rIf]+ksԒM}yR7<4RfW2Mv4y}xåZ؉iȩ( $vOG;.end$ٱ, 0jAnvnⲇ;g#Ն9/R4wz b{jmWW8Erpy9^7Q+\A8Bs1z (WW9:~R3t LبyLIv@|* WY{_kG.J_+L+]gWKM!1Ϋit0t a.j2[ Lw'rw 7d.\=!#2QΩFb7Z- Mq:qSNMۿ}׾GwKnF˼ Wn*~2|Xw5!ɋch݆98yJb2> (f1}j%cUOrGY0a,p.(={8i-s&|"wZZ%,I^Wb&}8̅Yr_L=YLjc>:"ʖn \ ,H|T`~I^2+ͻ 7ɮ;Or7: R2Ұ6^ R;}b3"0d:O)vj ,9Fd"5uL:,k@8|V1Imc 8tŜl17c'3S/NR=a Q.,<}6Lh 4p7L1LRSEQm 3S+h'iM;;pBAMy`UD7`fv!|.6:(M!G7  akzNpPJ>"VcV1 eDڽ; 4|wH1G-Dki3jXa+XJ&҃M>{-x=kKDVAED+|;:vM\.#?<.pI/ĥ c1I𨩨Cj#M'"=MX2 Hׂ+r+-ĦmMR96FJ'!`V3^ML-2s[OauPZom oѭd6k5jN%H(iӷkMR'kRdA4 #|xjUEҖD&]Mv=$v4t5-bI֨Kp=v5Sˏ HEo]P!@j5t4=lL:X)Ρ,af$!2T# 17'f<}<Du~x+V&Ҹ8_p!B9P4'Z72 A<^Ny/X }O~2/s X|~38=ɘ WC ҘVK3 Eʰ}XMP1%<>W:Y˧`tt@FD|pj/' $$=|N}`_,jؿ} endstream endobj 11023 0 obj << /Annots [11024 0 R 11028 0 R] /BleedBox [0 0 612 792] /Contents [11029 0 R 11025 0 R 11026 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24447 11027 0 R >> >> /Type /Page >> endobj 11024 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11025 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11026 0 obj << /Length 19 >> stream q /Iabc24447 Do Q endstream endobj 11027 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24448 20690 0 R /Gabc24449 20697 0 R >> /Font << /Fabc24450 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶f5o#x#?$_,o)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11029 0 obj << /Filter /FlateDecode /Length 4578 >> stream xˎ@3|?/9X M SU,>DI='Hbi_g5K_!SsTxK_N?YㅔfVR8O_R(KyO:K #Iyon8^y%< eOd6y@ߎ 2<Ҭ//V:LJOL*l<`/ um+H\Zjh4\B̐ϙpJDaϋT3( [kִ4|? ܗ<;dx?&8sI V/Fe%X"D+87y鹒Ҍ>- 2 I<(`RA hK*ŚŁVcJKf(ʤzڭPVrkF' ,Zt קQoT%&KoM3txhy"Mxl,B6/El %6kYρ*2+-,,H&@60y*eQl̀ # Tz@)uQ! {2YC@ zƐ*cH#AɎwQ.; ~_K`EeIEFs'qu5A﷙ F97R OYE!h/VTGIC/L`1yDf!ʚ +DiiX'V#LQ?] r޲ڶMc[i,00! erXuefn!:Vy$Va`"U /:S)lC7G`;pD1Mme˔7"/pH謾I<{d^tlclA&Y8To^m0luPDTDX?cjH,>UtK]"q}0'>= %}w9דy=CG4EC.E`;3.&SۗB[40ߔ'_pUρ/>EҘ =0J}Y3^)3^S)(|J}Φ7Qё>y遠CdUlSp.N-90I%r/]c5tƮmR$6VW$ze^Vs|GZ)9]cȕ>ll{VliX]$E-,VN٫E傃-.'w-3O*Uᄎs VYZ$p|褢}>W[J皣l "|{&ƨYq$O :9Ƒ/L$$TLdBpOi:oI\3z? 16rd[IP=~'},^a)rW*k gO /"/YG N9;!(, S+0O| ů "epꊡR<߃h)g[uOQ~= t./w[pV<d^q2*jKOƋhZq,vٯTu}z\lDjK'[UsޝRbcMmrrh׊)*\6Q/uh KRĵpWj+bHRzC[:zX1i4u)l$*ĝݗM0{8*g9l(υIX{AzM mp2lƵ)E5ŠBjiy_3xP_q)-zY6Db>?r}p2xZ#W84}tZsLap2Qd"3y9Vǽl\X_3ۋp$Wu / +Q88a ߨ VrU׭ r: cR}Y<g= w9(_a as"I8>`0M:'w;8m$}HKNڡ벳M.S&mrhx}25Ad"R k<|)7Gcmӝ=otg+kyf_C{$jl+6m@Wa4]Z]/ X)G7=vh[:vH t:qjyN1Rg{!~͒݁w #7W~,pe]Ī)V wjϖ8Ͻ8κw'rS5jrL)l+D 46kB5E+>-Z7H+.N1'Ut֭tǖxJ:O̶b< ӪI)>ykCd .*zaWw^< q(Noa"=UM)9^#O[LX/+ɫ۶泥1[̓ڦ'64AQnikj @FƯa% !-?C t )YE08fXi=mx~[aḃ=>eZa=O>-H J7l_5P84A;$,2"tYB|y0&|1ɋ 1 % 2De"?bQb~ooBÁ@N'.WU$c>1Ƽ@~?|%;ܧT&vaz6L=Pz2M a)a/ r`MdV2l\>/OkʰɋHB tuwa29Tt ;pҔ_s䠅 Y 2oF1),oG!q~FkBD7ܙ:)|?,@\3pG֬0vny5mm?`S}=mMbh,9Ŭ-6f?'_p@WBGG"-wC[Wr6aDbJzg=Cku#LU/d4\ꍯF'ݶ+T3QHW\!eT~h_|e^’y!zN֞ma~]"ݰnf.J3<+Tk]͢Cy/*xs87fmdcm]iD]pݘ߾̺Sp1OS?hKH;nUHx8dR5BH;<5wUbhp עY8u5Zy"}4I0<o{>1Kucz<[FQBy0dfz$Jm *ng\ - O)߯ y;D&Lgq /XߕIpEY<p{\r"\!{4f+øuC(i0(5"Y?e |ҕhCpvm"nzzIdÚqؗ9o q endstream endobj 11030 0 obj << /Annots [11031 0 R 11032 0 R 11033 0 R 11037 0 R] /BleedBox [0 0 612 792] /Contents [11038 0 R 11034 0 R 11035 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24466 11036 0 R >> >> /Type /Page >> endobj 11031 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 589.9615 381.3955 600.9615] /Subtype /Link /Type /Annot >> endobj 11032 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 537.2923 396.03 547.2923] /Subtype /Link /Type /Annot >> endobj 11033 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11034 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11035 0 obj << /Length 19 >> stream q /Iabc24466 Do Q endstream endobj 11036 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24467 20690 0 R /Gabc24468 20697 0 R >> /Font << /Fabc24469 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11038 0 obj << /Filter /FlateDecode /Length 4777 >> stream x<Ɋ$9w}Jd7>4sʞa[<͗,(L,6=}ܗh˯KglO|҇j wtX>~]Kx'gC[m~U| ]ǿ`[q+qM0VJUX:F [|5rrt*nk0NW4u.pL,+L/ 7"O'Q!$yچiٸ¡jz%$DXlhDu[jasaZqrEAPΑW;c E?OۿAGװ!%x >_YQhrau)q| JG@P#G@bQ\3 @L|a@<t|~ClaRMQfI`Ú)@l#co,@yLLV``MoFͪm@G&"e!;Pl6m3] zm3uB {5]C6z]T/yT9BQ'#ǙqF|iRZsIܝL5s<9VD-*Nn5nz12oe*ۧIίiÙlHU ¸BYX_D^u9%bo&UoN=/hp&[ݖ.%H<'scT384V5y5F}d5Z@NaC(Ԋ3FN*[P$UID&9fP#2ko?hV$X˦@ 9Ma7O|H坘!9%.T,@/r8~)qbٚ=LU}Eee5Gq?᳅>1<DŽw=ʫY:T|y*kb9dNɍ]ŒV rb].GUD*S"l3X빪2rp6[~QBN dP<[l~J S&sWmtQ&{dĶ / 4U20e`W&UɓQC,>DP *Aו(Tɷf{~%t :@dހɀ_3dZ96 fjߕ7*3*th7.AR^#W{zc캡۪AFA0R>D97l,nā!^Fj L{441``b˻2he(eI,<8.K{&dwQRh J3aftl_ ̶ ls-_~LsrNH58TQ,u*Xm)*3JUwnIݪEA[p9~u+gnu]"Zb`,\w,WD`Qy{eը]ՕWmA}8 WR! nf }U'pVYtgug2c ı_?MǼ> gu,몝vsmqkͤ'_JZUcC)Z+eH+-9PڎAѺq~,&]v/gOSJMEՔQRS.788s;3_ _CEH1uQuXۮD9ͮpSX|Ct*QO<&`R$_-?LmTWs͍;h6.j dM6ݮV?D^=2Y6F,NWkK T͉;TѹcG$ZzJL <]@N256-A$Ndo _j}Z }[HWuÀ= 8 '|zҷ1΍iո#:+}M>g]T4SΠ-n$RҌ!:6BM>Yt).Bv5¿$vl*" ]Sʱbop.9lQ"\k!{ >L/E>}9~K^^]lNj0 A! =2>@P^wPĵF_5ᐺ=v`K!LM +QA6+:)| %tʼ k]XGr Űצ ^xDuk pί0!2&p!\P1[ 0Q܀YfUL*{]vPdxjẃ9B <7w:!Wj~`Wq/xnUǬ]lAʥ~dy!V4N/qV#IeeS'n7rŐx/Gg%̹y5#̋&mqN RM_w8h'L())6έֽ?yeFm1Rhwe(7|6}s6?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24485 11046 0 R >> >> /Type /Page >> endobj 11040 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11041 0 obj [11040 0 R 11042 0 R 11043 0 R 11047 0 R] endobj 11042 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 416.8 180.9312 427.8] /Subtype /Link /Type /Annot >> endobj 11043 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 400.6 169.4802 411.6] /Subtype /Link /Type /Annot >> endobj 11044 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11045 0 obj << /Length 19 >> stream q /Iabc24485 Do Q endstream endobj 11046 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24486 20690 0 R /Gabc24487 20697 0 R >> /Font << /Fabc24488 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKL endstream endobj 11047 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=958) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11048 0 obj << /Filter /FlateDecode /Length 2325 >> stream xn6ί9(W99 K~?o!EJN$qC-=.oȒJ#5𕊕ϟe32x iU|0>otXv';k8=W|i"JY(>?hsOJw)EWs^Zkd}aD{\63(MV& &:xh}|'7 {94(g*cӥMx)7y{:]1MsǢajy,3Qg hQLq*xh9㖓-ZZbZ>+hpb3hfH|H͖1%wa|~4ŀʘ;A|:QsSt[` Ĭ *5~i=} `M"Σy, vhp: >UI/el/ۭn#g n2 Z>f瑵 XUvKJ\ >)MB`o> f/Qbaf5]Q@#RTz"Z2b쑿sXq̸KQs޿>؃aCOLI-:$9TGE@Ye6i;ć`+29E dJ[24g=|$N]oxW~I[Eѥ@D`!kh\'i%E&!:*fq9Za&,T'qJGEAegG`3 lZ2q1}d(|!O҄`wT*B(0ẔU%ot w$^g˥&娻wt˅\BIPҵ}{wUZ\d盦UҩjyJw%JzIْ48 *飆6Ʉ5<缙J ͉dx (h 5S`jQFa "ęfHw,TjyC@ć3 jӃziBA!sVB:`H(zK~{79W6Fg*vUB*O3WU@tM ,i{A- E n-gL4 k2ue?JF]~/7XۍK$M| }]Q~c[heaׯ#=UnZh\ap9d3_0a[oӄg߲@)t<, D1D9,j( KǛ,t9gDXwxi`@jѕlkNc5CgMT}n6T@W(deHY_fNA߷)xLxG;\Bn|+0ـ/INTt &0LZhlчXATc\;u|U%q#MBI4|}Ĝ)pR`\B43jAAP1Ϲx͹|3#bͼ|JZgn*W;5qxLO)߰u@11ȧg8]QM@#Vt@ᤕC {7X7(hB)DpN~ ty*Sݨ,x+Ɉ tCH6|*kBκ r|J}7G!-I T6E!On褴e~TT-@w T\_-6РzhXQΌEAmmE4^MIaGjѧсsOh*}_\Ӈc}Dz$YKzIC@V`p ƁU,9yz}y$OW!+>GxR}*$v*&׽8'Tx x|Bݘː0X,Gk74Fs~6X=PÊڜ]=7xdU\}?2ҳz0`}0[w-6- endstream endobj 11049 0 obj << /Annots 11051 0 R /BleedBox [0 0 612 792] /Contents [11057 0 R 11053 0 R 11054 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24504 11055 0 R >> >> /Type /Page >> endobj 11050 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11051 0 obj [11050 0 R 11052 0 R 11056 0 R] endobj 11052 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 354.125 140.952 365.125] /Subtype /Link /Type /Annot >> endobj 11053 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11054 0 obj << /Length 19 >> stream q /Iabc24504 Do Q endstream endobj 11055 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24505 20690 0 R /Gabc24506 20697 0 R >> /Font << /Fabc24507 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11057 0 obj << /Filter /FlateDecode /Length 5260 >> stream xڭ pi "|zPo@+-!q5@SA)upT^,}98 7;nAUӝ &xϛ4D$ PDkcΖ'DvI\rZ@ݲ:6,М|(V_5[0Pv.E`?H-H^j9 =*%Jm`@wQPQg5DdM`RnC}N+-8+2Ƕ¼gԺNlRbO7qWzUn/BEjȞ5z)bk4P  U-U1-שުWna_UN'54Y_U2Ω,NE;ΩJ5hDJ]6p f<1dQ#Yg*ؽ=X.wƲI jd)9qJ bcjx{DhG9+wFN;|`+B^ ؓ4YI+aŝέj]Z^bO@X=np?/UB;>дP_o@|0 a80Y XȋmCph;B0Q4C X_Y$hpUR5;D°bqmǕz*I h ީI?@NH:CY0,YUH@*pZRժ #@B4U,ZVkz1@-= *Ι(Ԭa>`9VfdYq9\JhF, ֽfK qقN^W kT?ߍiUMp\7R3uצ(zfb؄2(BكDtV7ʟ"eoxz\SwDw.+t*VU*}d i xf$kuh7fW n-쿫A;#:#O'0G-CЏJܣJ5@;qk𺇼#u'qYb7|Mb/%zn[[C:H3w%C}TcC`OFg&i['To4ƍҠFi}_ޕUPf5q#Cl{?d`8T;V, CY݂j"O=ٸ?kiʢ2MǾ8[fd~>/gvaN&$vQs/%`Nq>'ڏ(pWpl?ܱE=sv#S> I>< n"aփoj"eoG~q@\"AWi1ܳ^mTz]1!L6Od@_]P}K Ƛ-Ę&zBX )Q/Pbqe0X`Y-5?g-t&'@uGoBv/~< u %q` i̢ՀEB0EXjB4+TLF:%9nEzFB(\FPYXJeECm,>zGoHcZ|cg7K0{RPPfXaMjd8ܫRkxDyv h)+#hT)b0EUxpH^Y`x\T_:UR=bnͿ' I,K:~F.epX *APv1dLڄl3wF`ېYiB&@ b̰PY2LТhPm:* 5 *PCW(:.@|HZI=g*hŵA@2 :-U)wP;ΒZ9\0I:5$$?Zq>ŠlZ0P+ F`Q;D˩#]d _-l#"/ +y D-vu5/[  y C0)BGZ/,ǒƁZ>4D.^8ߑARYwCANd"Mǖ9xжb2l+Qq=ߵoCUĴQL* S6Kd=ɂ@d ͸2IE _pMBp_zud _j)U.7gŠoJrdqy'RW=qJiԜ㌜Pw?+v-,Q.6Yu&tttm 4 "ZhB([% rGXWle{Kmר7zMxxAآ`$'ZIq; eIQcH~+*v!떼{I/mHe&~꽜өK^W YMc|Pu5+GQ<%9bUٺvYjvϛꆺk`,A,v+,jd>tNM%)s9\:&6"0}bcN[ȶfF>/ML::DI-)+syOe>"ՙ4W7-7)p 1~ϬCxKy J (?PgKyyQ^ܭ7_k凸?#7qCrmpQKܙqٲ{pV Za)F99'-qD\f{` eY|ޱ죆pmVtxPjDO#u6 \Gs^p2>/WnueՓn-sry&;Ø Y~SMc\nN5ęk XǒCwQN_,WX&t> c:ĶjK[5Ǿucxgbf]^Hu]j( -(sw.</_HoEszG^!/@[w&[˛@]+0}/Nڠւ '.:V-.Pko+EL#4gAM1H;&g=O#AD XtepPq>hPʜ0Op?x3bDz]]8بW2@l0@yRGgԣwN>!|;§>*|C“x#'Z 9f?MaxMM:#=1ZaTj@.pyDaRt@)Xn_`œ? |̳Z!XWb4d. ?}pm-H'yv J22R &ZI| gFs~H%!ai`³s-^z޽hm*|PCc֊m(+6XrU؊&h݆,.4qAvd2l7ڛAQÐ-jS?k=os~~ۣʆ}v䜊+CI~]O|Z\]LF•tENvAa;tg"Wo,m7SmUt +xWV()9 |pgB} 玀s֮$2Qt_ o#ݳOb\v| |2x^^˞(O~-p N_nYޔVb?s^%Ǘ*kW# )7 9ׂgXL!ϼTS}Y԰eVmj endstream endobj 11058 0 obj << /Annots 11060 0 R /BleedBox [0 0 612 792] /Contents [11069 0 R 11065 0 R 11066 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24523 11067 0 R >> >> /Type /Page >> endobj 11059 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11060 0 obj [11059 0 R 11061 0 R 11062 0 R 11063 0 R 11064 0 R 11068 0 R] endobj 11061 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20210608081201-08'00') /Rect [104.1732 248.5769 165.8337 259.5769] /Subtype /Link /Type /Annot >> endobj 11062 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 232.3769 159.3712 243.3769] /Subtype /Link /Type /Annot >> endobj 11063 0 obj << /A << /D (unique_692) /S /GoTo >> /Border [0 0 0] /Contents (set_value) /M (D:20210608081201-08'00') /Rect [104.1732 216.1769 149.2567 227.1769] /Subtype /Link /Type /Annot >> endobj 11064 0 obj << /A << /D (unique_688) /S /GoTo >> /Border [0 0 0] /Contents (report_values) /M (D:20210608081201-08'00') /Rect [104.1732 199.9769 169.4802 210.9769] /Subtype /Link /Type /Annot >> endobj 11065 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11066 0 obj << /Length 19 >> stream q /Iabc24523 Do Q endstream endobj 11067 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24524 20690 0 R /Gabc24525 20697 0 R >> /Font << /Fabc24526 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 11068 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=960) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11069 0 obj << /Filter /FlateDecode /Length 3061 >> stream xj$_J@--Fòg 3}#_U]ncg(UeVfDd#7i R˛lsF/)M./OY-_.ŒMzڔi9{Q2H9:y1h.}=bq*x W!YCw`f%OmE+XV\WQ>zpl,3!#VWT4uEjT6օE% i AZ9H"`GយI F/L?3L"1͏Юa1&XDǝX SX~Rt_LBrC}dOg;"y֩R .+epl}f2+F"3j+ 7?0Fu+(-3ފp^}ĞU ޘݳ@̥Dr )~=\7pɬ䰧cB] `},:3,zv1)^bX_$splwM&1F;13{D'k@&;z7 O\PK:[YQ[f4g(}+N1 D]lchۣisߎ6Jg)G#o=jqwi!--ȶMUojeAOP(2rՓA"ޭr))LUgM>dʄ97*˲b [Χ:WǏmSFLW f^Ƌav) >x184.,qpxs< W9DwEirTi>&jzVCAF]&Vl&V5\̑W8WO_UrHG:n˙is/rN ,shɜ#ob.Ĩ@\X-X$dr~U9JᖩOy5;R.fZݮtbd=.4+ڹd,=R&k=^  rJz ʐm\V@ڕZ\<^*/ @ qM7( 10`9T-m#3Sm{Ka7khy DV)q<ވ}2!vDK]Hʷܲ_nĜ?}i_m|\WSҴ69'p59rMxBTEl۾ɯ} h1l3(쌆؄'DG<:uO9J'AiEH3# 3fiy>2n_:PμgfA~t'?7N$qY^^,o?8woMp3 \U$Bٙ6`j`7^[SqD Lr(KӜzi 3]S.#{l)8+)]Zޅ:K-0^}IS8{_:'?}nWo<$L#_TJ%LV ( &B4 1@ū0ȚPqzƴLSfPQUXex _eR6aZ*Bp߅ %e-t!e04 AEDFׁCaU%ng+Ŗx yhr*,УrF>L6sx^/1u4t}UJb +lXM.Zi9˴שl61__?DAɷ/ɯb.a> jUXSܰ{hĊb)5$#Z*:'|3TYYOn3@Uq6e!HTpeCMm|5? :b#|u=aN;V6&vmUY'bt_Op=gKv+ջ 2&׽P+ ! ecP*g BMTvb|XhrA2Hkөo> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24542 11077 0 R >> >> /Type /Page >> endobj 11071 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11072 0 obj [11071 0 R 11073 0 R 11074 0 R 11078 0 R] endobj 11073 0 obj << /A << /D (unique_56_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20210608081201-08'00') /Rect [90 245.525 124.21 256.525] /Subtype /Link /Type /Annot >> endobj 11074 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [129.523 245.525 162.028 256.525] /Subtype /Link /Type /Annot >> endobj 11075 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11076 0 obj << /Length 19 >> stream q /Iabc24542 Do Q endstream endobj 11077 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24543 20690 0 R /Gabc24544 20697 0 R >> /Font << /Fabc24545 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$T endstream endobj 11078 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=961) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11079 0 obj << /Filter /FlateDecode /Length 4422 >> stream xɎ%W.0h_<M<FNA0`7mU6J*Hm2|N_^RglJӿ→/'?Y3}|Ln2Ak0Ʒ\B'ckヒvx_(ŇN?oD/z)h^<=<5C_'=%'=kg\5K4~Tv,0~>d0/KX9P?>L09x'ZSR~P|h0%Qk[Od+U%{JP AQ 0~g0厼<(+~X/ R1zkcTWx @S{~}rq2i²LPDg@z]鱳@#8@03䤍,@Sw/jMD")s>>0̎" d H%dzY qx>"|wڞ(3/8 dpAE٣} ]KU%1=Ž̛)*&T;y&je|jXkW6'|pv=F.6 +VU;ڻBmz 2s][2 YBŸ?l8,b< Sc&4-oCB1u~P̔64!R*TGܖ 2H\ sQ[#۹[Mݴh u@3}·t?}=8Y+#@Ӆ4}i Q |Av2"Om< @9aь+2_}eUJƾQ ȃθpz(I d ֩j? NX:BQw0mP}3*3e2$8.P. RSCfF\[J+Yg sr[% Z>0ԋⴱTUH]Tf[25ؤ{a^i_{[Htbdv?a=WCE>/U>k5je6V=VOjZ}} 1~cԭZ[:K{&cՊV_EY.z2ױZ]dZVyN𒼁p|P$+윥21SadPO,gΨ~]*BdaL ''O=<K:]I"P"(, -"x! cKeIM n9,,=!Th~;>֗ wMy)3YczlZ BL5묅|nU@2 f]Dɟ2}aѸ1)qk%$!n8=дPTU 8W[!}o@^? D 38D-ڏGKZw8<t3~+uge)Л?Rx~gK_G\9!ޅX>Iǵ2AmDZXVCu^iANJ(M ̊Q'iV`D6gݨERu3m$${5f;dFr^FLbm -v {<5l{jԽ%ƅ+l9Lk֓z/;sh%C&-i0&W.ω Xv}5EN#g&߈=Yӛr6o-,n]D_1t2 Bu>H/ꇋVkbX >D42SLVZ~^Gk0g:Ka)r.&jtBiR:Ir2| [$&U? [nĘ2Ub>4$Z>v$PxvB !Ԉ(>8~2u^r60i6D±Io?JPƴ]\:of̞+Eȩ(F0/Κg0TVAӛ̼"$ ۬uk)3ms3@НWn܉-ȷ_AGiYǣM|lVC߽ˬ3z;$ _Tx7C 6v'sjk?Qg u?qΆ A+GѦdX/qsCV:̑#0R:&M}Ֆf{jM ⹕hWԂ8 (APӇPO]6BX$!zp%ɎrV_\`^Ka0PC|jgHZ˜WnyJpN}ঢ়dœi0]:NJ0ԾG)SVxlݏCt_y>Jcƥϕk3栗 |2,t\,d_<!nJCI%kZO=CC zoke!KlזJS\ֿ E̲u*$%3B] endstream endobj 11080 0 obj << /Annots [11081 0 R 11082 0 R 11083 0 R 11087 0 R] /BleedBox [0 0 612 792] /Contents [11088 0 R 11084 0 R 11085 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24561 11086 0 R >> >> /Type /Page >> endobj 11081 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 509.6731 381.3955 520.6731] /Subtype /Link /Type /Annot >> endobj 11082 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 457.0039 396.03 467.0039] /Subtype /Link /Type /Annot >> endobj 11083 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11084 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11085 0 obj << /Length 19 >> stream q /Iabc24561 Do Q endstream endobj 11086 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24562 20690 0 R /Gabc24563 20697 0 R >> /Font << /Fabc24564 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=@dQHLn2Wp:3ϳ-nYUf//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/j*; JtJ‰pT[Xݛ* endstream endobj 11087 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=962) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11088 0 obj << /Filter /FlateDecode /Length 4637 >> stream x<Ɋ+Iw87DB*:A>axPŷВU 3$JbnnE/ NYsznIO)qfo?GmJY%˷_27OQ)Sp+87a&{ᛣG8% 'Umpi7PZzsai#>x8vBH6-\XZGĠ-e˦bms_"X#@?'e>WҪ.d\eķ4hOĩ׋@sDaN!f%qvC% s"%$RW*:# ^?O# ͤf+siuL`EZK(KMXK'PiZ!C[hVjotMsuGB|>D=gGX/;w4H1,2*5@):?S k5𱹎anq,i1SuwFN/-fgbd J2TOmdiwVadֻLsZTz(ͭIZ/Mཚu]`','ZfyĚ:"J1g0gQ4XisҀxᘌb&q>,~&=QsJRQcq(H }mdU[@ " = y`:*HڜD u̗+yo!ZYT, +dT;r$qJ5& dw<2 ƏNFV"0`/{x*6&U"Y *?($B̲T1T6ُ7AQ 9I*ЛH\e_mj{T3iWtMLldDx^!]SO \/u4 V% OJF[6rlc$-& @x#%p$0Q ]Ȭ*ݔǢ]65a\oХAn&?iՏ|{DʹI%Ը!'藍X`OC6Y,LTԆe 2[B]pY@ǝriֵY1!qmRm0Qƕ 9.?N,ﴧ\oX?8}5u<Uإ3llxzjU3l1&-RuQ],A9zE WR(znN3uNq7٘0SQv,Lp)05*ՠy›#GUL룕gH*a›u&1ڙM!w's%ӫVGVc o1Cl1KXV:'65vHb5`ceMnfѲ Lk'6bmĐDbG”6 돊r:{ qėu~*Zl(ՊMd<YGu[)3X_C@񸬺-o8 }Nufd6x1wmrL險?/=' e:;t7e4=)N VI7XAC`ۛt(ξ4$}U^m.@ڪڳ$`r^7W=.Ej,~ȩU\Mmrֆv{ :X9YUNCt1NIUP1n~ݖ])DR2sK VjpCQHL`@R*> :c<_{9ˌd^Rgf*ِp --|S3y'M޴ގQv;9^5k+T ǻ.$؛6\9ѵM%k-cجׅz?RpnПǩD^eϓd] _"r.]9q7Wp{gdSo!ecNh7P,9rX2[ںŹ$6EFc : 7Wmi=hT=ixQRlvZk}1cyaU"BT^󷶯`*Pd3Cf|Lhu·(.1^=&45R$6Ցz"ql9 Iw^?cJ$wY m%iWSMaTV`K]%mDx(%dg ]չ=[FpczY'Y6 mjs44mN ugOQMg13".LZqsڈO_J0QPikbTXB46w`N6e;v9ԙ_ iVLJ;Be:[KXhN *&~&;+ؿnxB-_Oh E<h<Y,2MVf9E\uy^IOh7y|Mv(5AbB] a0R`Di"?!k 1n }~8Ճ[1[̗13ԀKu6|  *0ƌ@Ix/N' ^"-r^-}l=.Ӧ A6yE Z UX&x!M3"pB\ P2XxHYV+RQ@ =1~={ JCM.ׅoy |UK6{:kKDVhBӿ(.&^ӭ|pty?U:V<@.p,H-{ʹt-|ʱ `]QLH<8Ky6 K-/۔E^hZ?oeN|2mH!$Q禜W ]sa ʩBōx,`~_\L ~@v$}`s5\/ endstream endobj 11089 0 obj << /Annots 11091 0 R /BleedBox [0 0 612 792] /Contents [11099 0 R 11095 0 R 11096 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24580 11097 0 R >> >> /Type /Page >> endobj 11090 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11091 0 obj [11090 0 R 11092 0 R 11093 0 R 11094 0 R 11098 0 R] endobj 11092 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20210608081201-08'00') /Rect [104.1732 222.5462 171.2677 233.5462] /Subtype /Link /Type /Annot >> endobj 11093 0 obj << /A << /D (unique_432) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 206.3462 176.0252 217.3462] /Subtype /Link /Type /Annot >> endobj 11094 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20210608081201-08'00') /Rect [104.1732 190.1462 175.9042 201.1462] /Subtype /Link /Type /Annot >> endobj 11095 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11096 0 obj << /Length 19 >> stream q /Iabc24580 Do Q endstream endobj 11097 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24581 20690 0 R /Gabc24582 20697 0 R >> /Font << /Fabc24583 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11099 0 obj << /Filter /FlateDecode /Length 3150 >> stream xڽZK#B!hI4`|{֘eRFݳ,U_507 |՜#v56C$vO 2ѐVpE&WS}Mh1@D8 Ry SL @7%`_hiHr-&m#g@z`eYSJ9Ͳ Vc ,Jނt3͎pz56mTC*!TYr+MCO+x65+:,ՊsA!`9 @Wt݇.:g1#.(!4]h3j j"/#c1àb_sQκ|?ρ|( ˰QeCDZn1j#Ze|ljQm*d]0q7RfIWgH{TE6]R{)523fhNk|qO 8i 49Oh]˺RX}[ 0 ͌ђK%P/LQkξRչq*Uc- H[C:1-;u0(l 7LD3pj$JXY*ߑ3ɚ)&//6[3" 1)<\_c99r 6ʤ;%D-x6uG>b:32 i7MǭrCehki !Kg"x#ryZ\J1&AZnҿQGR(sk^8SnCdjxwUo]⮖>@ZLp~N[Q_ o1MOFîdycmӪS9JƜ[(ذݢ*#ZGT^}런R=G\S/^՞. ɭѣܴ9~(c1ɮ;;):cXGh3Z6NcSNzw))9܏S[p6uftN)֚5~!,jG!Hv)cojYi ʥ4d {ަe Kd+gf>g2#nP=̃D^/IEk-tD+vҥKi6&hu'? I{QWFL0+푣ys7bPTУȠ/cyLrV `쀢1m i+@ M:/gc:< B8 C^=jh=bfIbӦMQPDqxFTA֬:oJp᎑(!JFES*zeSSW4@bbTzN=ϷPɵԤ6c(1a4T2I:ߥm٘?i\WgcPSŠj#S{~@ۀLL5lc6 eaGaG$vA<{x0w۸]gX5b ~^:f4k5Ok-|ʚʗ!kN9dCn.*<mzvAdk}z.{a{yIq@3=76 #'S8oM0I1dRkH:3cBXMK*} 0)5Q. %VC~2#2˜!cie*ϓE{8&u/& 0$jUX4cJ:7G﷣ƘI4k N@.? '*&Os;cpk6١Yj*6V0\ IU|+dh _e\MĢU~׶KJr,W@ u#-e]GoqU0M-aGb˼eD^Io"'\|bV)b^w{Mۈ\LAXh]n5.Xwfyf\߫w9ߌN{n#GҒnT1#UH#RgA=+#5Y3Kʀ_zOJo"MCUPf fI+0_Q=WTFoYAR%S8JjO- f8,m{> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24599 11106 0 R >> >> /Type /Page >> endobj 11101 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11102 0 obj [11101 0 R 11103 0 R 11107 0 R] endobj 11103 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 324.925 140.4735 335.925] /Subtype /Link /Type /Annot >> endobj 11104 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11105 0 obj << /Length 19 >> stream q /Iabc24599 Do Q endstream endobj 11106 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24600 20690 0 R /Gabc24601 20697 0 R >> /Font << /Fabc24602 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11108 0 obj << /Filter /FlateDecode /Length 4009 >> stream xڵ[K6W)rsb EN:eփZݣ{HbdIVwK.N~խlпo'9hg/URӫǃ1Q\uO ,޵a.vowm ?_O+vpEGƍWCIfJeGf2 WgK_4GFkDǩY2elãNpG᚟ᗠ}<3.Qa[w{ʆsf7=⑀\:=Q@=vKnwǺ̽f{*9\ui{kdA`9ώ*C qTvo)̱-SJ΃]B>3 \O 2-^Ro5cO&l J`.D+%8G|2.S Zf#wF&aֵn< EpU&3?ܞ3Q ^fA 0qGc?7} D 2ξc>;1CFuaۋW>> ed`Q a8-!:,qڅ?ASDtl9ZRk=fSR|yy3VR+7σ)߃]2X)t)3-(Bb{'4{6rLl8\Xp&h5*{C@ٮ[` 3xXp+sDԲ)Wd z'v)^ZY$Ik (dƣ4Ol8&\R2h;e_.W&ح4}_ws}ة3v^ZQHeU%qɊn^ MbW1֍NITUjvTC,Z]_ܓɑJkuRi=yKt!e:Rƒ*EH*.&©ZGY؀,\B>7 Jnb>aUR-p7*qo𺦼zE^j yAj&Ԣ+eUZ]}gw^zگz^;Mn~k'V?ȉ*Ü[P'"-;jV˴./BFTܙQsoT)eh8*%P,c0 u2[f EPw[ඊq+O*R":ڬd@T, ۲e`W=8qqN8QP v.|qweRx)HZ[ pOI8NYHsBUK_/%AV*յKf#yni82*/EC]V։)mAϾ3Ej & Cײ.|XJܑœ8l+L74V!)#Rk6}µE†j3v"RUJڮоi-$1[Ҭۚm,(rDui Dts4AF + mmz m8Hl@bLrEFTŅ ąFw,R+aPqxTt|,L%L16 CKwi`a9b*Z f}*zDv\)$ JZ:3WNίR's`0dA9H6xa~TZ%]"m6J-5BTkMlSP[ou`%Ǜxa5:Ct<6)mCj,f 12}hV ƪjA4_U..ɕ\V6V͐PT1^9]"Y W15үꔺ0a g7r7 =!P+ߴ굞W('1 5l*М I㉅qᡞ4+DB0iLUKOŃȵ ~3%3"w`]@cI鲦wc{{,9*&M1ELI 2%yj>y(lE[BH M nLWsh"ǼA-{V4=#6{:@mJrcVo1U?x8zU5Ķ/|!-s-D.\];×\MfIY)1ü̃WWćւm3-nsj?\"qa' =WN!ΞW۵zEX2} 5W+Xߩ|zK6hn\/:O. ڪڠ VD:NNv+]'ndxdsuɹ͹$`^`[:s[rQCpfs(g8D 6UL<75%5~(n>Tw(~CԽ7|Cel~3$kjR7Vgx0qFHFh >rNLCDP+"6'f %2"%23GZ/8>hZ**b)6jTLH'&W g<iqϽxq}{x*BT48ޕeQT560b#\xr#E5*;^) ۩AQØx m<8 o,2(X xqr'0~4RsKhH9]yI?l@5'WlLA|I'D-G>!:Z>'tP!3Ϙ#?-%GrLS׾=qW+=xЗ[t,ොQ| }S}Jqg#IѡءQ2PO_ŵsn4\*d@E 3 endstream endobj 11109 0 obj << /Annots [11110 0 R 11111 0 R 11112 0 R 11116 0 R] /BleedBox [0 0 612 792] /Contents [11117 0 R 11113 0 R 11114 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24618 11115 0 R >> >> /Type /Page >> endobj 11110 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 11111 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 11112 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11113 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11114 0 obj << /Length 19 >> stream q /Iabc24618 Do Q endstream endobj 11115 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24619 20690 0 R /Gabc24620 20697 0 R >> /Font << /Fabc24621 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 11116 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=965) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11117 0 obj << /Filter /FlateDecode /Length 4678 >> stream x<ɎFw~  an COc?bai7AA%xK0f=+w¯׹<ӳwsHѿ.ݬ6ՆE);k:㬔qJy6{vp5|"|X.4fI68YF ~n ZtMuaA%7(S{6  6fCp,jnEuŸ.`\h/3iL] (w#|vnP`*^wBg_6\WATЍqI>nIЍ6 p3/~]ب%da,e60ݺ%ڴ\zF ^ N<6")]MBHAD@d_LB58itt&B'|na5A-*霧י3oid`#ܬhbs,Ҁx \a g}E6Q4֏iLÕcDASMSE_$DMCAkD$r(E,"H4 E9W|j<7 yEmNhS,Z +$P5F^ @]?2Q0hh .—U)6Yk#D8MXD{bEڂ"ވ>ȯUZ me7ٟ蠀GOG;/jP nB^DzDTJ !5vychߗF,h6^IT)L( l5ޛr/94 )$ >JRIm88x5'8`;=+C "LpzES V5u[R%Փ Vp^K5kޯ|qo1$^+$%I _C NsQ`ikKU.i x_VsVFtEkk8J[ґ.R=M07ƽ]KO [҈U]+ ܠ4'>$ttK2b" >B6Ut,LOgX]!đ@sl2V#>?$2ٛc& 9YMH/ψ,1+;Wbr `5ۛ?VDTT4D7졷3(%l~9fG~[JUډpWc6P"'c=DԅO'ϫ)~,IfdӗS>*6 "  ٹY_y̚>#͹\DVngH {zdTiK ,NTuFd6x1wr,骪.=' eOtvS:ۓmbUN3׫ihXʯ"dCa]04u"N)IeDXЭ̽SWr@xrhUp?ѱ/\y0{50o|cwyv/r};C[.3 k '*y-B>c"̬r@fw\S%Ja¨E0>&%+Eۭ>uaG&]s͋#. ^D'w?ǡCoVco"=V,UHvPVGzne27y uqg7ݡgx-n,Qvc_[{We,LT{ MOWTi|LC<<;w'QYрBhPo$J~YEIT$dAO%[K1Zbj8"%=nD L1@.ސM $ 㙼*tpoܝ{s/0rXu=`:{mϱDcwT֒QVpF `SLAvNCG^GY"VU "ʛq[b+aI66Ti[ .WBN%L+ugO@릴Y0j) v+]d;'%%T=ֈbS)4x1|OUAwgZ.Go; P>etK]^rjzHw揽mDϨ8y;i>W9l,"[OqZuz CNONS;mVh5ΨĨ\SڔD-z~GֱVVz֠}xyX{\ќd1 PR=; p:? >R'yEqD>e->3(]۴(E9(ur-NUoh!HnJo#k4MNfzRV=a[bjbiiS|Ya_ 'yӎ>/! Z20vNl2ݯF*uZadta@8PKؗoNW{hCrݪ-lL`_0/[ѝԁGX;AS۾V5?2ໜcNu6 OYhPI:o`x"$ׅ qLdgA9gXtZlfdĘf4O\N:Ԣ^~yY5O}#[B+^=iJ:sy/ Ә&/VY[j:f//F<)ZT&k߃o'Koȝ#n&V#򽘤ny jońZ{Ć}B{0nVզ;jz7g*xEJ7CINR{BvêMhゞMO_33>d`PqǤW.ڛ >Ko*8)X0%%"#R`++f7E6AdBйi$|՘.dk7m'.(k҈(:^ugvYJj Sr?+m4z]k. (\| U2ȰIݛv#'G'vhCEs7ݍ;xq|wBMiZXYuVЪ)[XBurPl;xe}<[u[rCɯw?P7}4mm_v1{hvGf>E&ky^+w>Y>/7ScEo/d> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24637 11128 0 R >> >> /Type /Page >> endobj 11119 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11120 0 obj [11119 0 R 11121 0 R 11122 0 R 11123 0 R 11124 0 R 11125 0 R 11129 0 R] endobj 11121 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (close_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 422.9346 193.7572 433.9346] /Subtype /Link /Type /Annot >> endobj 11122 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 406.7346 199.1307 417.7346] /Subtype /Link /Type /Annot >> endobj 11123 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 390.5346 204.0807 401.5346] /Subtype /Link /Type /Annot >> endobj 11124 0 obj << /A << /D (unique_732) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 374.3346 193.4492 385.3346] /Subtype /Link /Type /Annot >> endobj 11125 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20210608081201-08'00') /Rect [104.1732 358.1346 190.6607 369.1346] /Subtype /Link /Type /Annot >> endobj 11126 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11127 0 obj << /Length 19 >> stream q /Iabc24637 Do Q endstream endobj 11128 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24638 20690 0 R /Gabc24639 20697 0 R >> /Font << /Fabc24640 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JOp.*x P@|۾{w3 endstream endobj 11129 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=966) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11130 0 obj << /Filter /FlateDecode /Length 2457 >> stream xZI WR/@^܇ CӼLMUQSE-$E~(wJU6Sa?P'[oԐx~ֵv > >,||QC?X梼5օfGoDN^bmp3D=`d >G-%BA owM܊0B"f~źxDa¸6f}4F7`-Q<2 ]F"d\!+8vʠeY ıvoO>'MHxM^ö1\O*li߸y-;6jm>\X_5-,G%X;P MAua ([ƶ%J$^P3Y5S|%+}T`F>־,7ܬձa^F,~ql,Tý5Gq4) 1gyd{;pwϔuQ7"=^JK3eU!iN=QO d]w!$jv:QDߑujCî}{AWYj\i#DBG{Q cҚUX?>]Ot&.W(uHЧa~wIwT+hJY @MT2O-|☥fK%yt&{XzGrAjK@ma,<ӷp6t 8ea=/pUilkigϜn ^N('8]$m[eLKjVޏVGZ8սC1)blJ] ͛chkm44(c]0qgM sԾ oYw+"VV.RQ2N# ䷵ۘ%@I hVͶS %L\usK~?^7GPM@zpnZbrwŴɅf_ tگ|c<MʄMȁ9<<9(L&s ,7![GEOsP[GPU6}߂zI#! އ`?qndz)(yRbrʱ,}ΔBO7o8nhSPMAzbn,|{У TQ5^]K'b+CqŁ:̀E;oE o>g8Զwg jY+bXtݩa+0^snG+Vy ytWoZm=8Pwx?2a /tO %`@ba2g:`Τզj!7D% '(/`g޼v}R%KvW_VԊ]|HQwRⵖQ+WX)b!FL(ޔ6*?he*k&63O^Ҳ# ݥ,!O0z)MY4-{HUk?jDvm}gp8b떴hG}>#4 h(?x&LyH+hedcEmU*Uߡ^$]ՋF ésDYsoI`HSY BƼԋP@N4%VD`?řx&%KJ]7۲Ba6//4I] Au wJF*jSV K|S]iwZgT~Eh;?yTΏQ OQ,tcC# (wJV$:Tg!SC=c #y2^v Jz[IÙ؀~k?5W=.|!7h>'x,+\^86%o$y cMD6 ĉmC8dFS]*X/&N g1  endstream endobj 11131 0 obj << /Annots 11133 0 R /BleedBox [0 0 612 792] /Contents [11139 0 R 11135 0 R 11136 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24656 11137 0 R >> >> /Type /Page >> endobj 11132 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11133 0 obj [11132 0 R 11134 0 R 11138 0 R] endobj 11134 0 obj << /A << /D (unique_56_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20210608081201-08'00') /Rect [90 217.125 140.4735 228.125] /Subtype /Link /Type /Annot >> endobj 11135 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11136 0 obj << /Length 19 >> stream q /Iabc24656 Do Q endstream endobj 11137 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24657 20690 0 R /Gabc24658 20697 0 R >> /Font << /Fabc24659 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11139 0 obj << /Filter /FlateDecode /Length 3640 >> stream x\KWl@2ߤ3|[{YLK~oݭ>U,~`"sſL$;;+_j3{/o0|RB>=IUh,3ti?w Vz#UbvKGbZjW%'/?1xf/˾BevwpՇn瀮K|><0ib|pE^ X=-qg wpOxtVʣFkQGKMVRr׳gyMmC2(hCj˫M`g[WI/0Y/~y .s1z<;IԁvEPZ̠O`Y-@[Ƥ\^ !;[qPk+^`x:.֩Eu|~ڨoMt`xرԹv l^C7IՅ&4{fcvC sGhϽ>7~mC%J\TВ!ڐ.DWbKBg 9 j;dVf`N=n_fpR8m7T6qE~CR؁c_zR?ngI-g~^ 6Rg ?qc1>'ޭ5\1j`DŽQScۄYg1`!cB9JzD˚חUkٷz;Ǹ_Z M tdΑ|L.L.K/{/Xw:M*6s xgkT!}DH7.# )h>!_~⸑CAՅ^"6FYhpef,B7@$5*Mį &ArjEv_)I'MmUiTw"9BNr^ 6EWJ5X՗-%H{n O?eY[ ?*;"b\00ˤ.m#r m;EGYʂRR(Q_"]{歈k魌K̥FaƙmXG ,$e9j8s9R]#y[NRr-J-Y>%%[QZ?Ei-ԾHSC2E*_̌ڒJ)ݚpٞhk}#kmݮQ̢ u:5\^bVi-g׺vːdf QA瀛 ќ486$lQmj6\3w@d 1ƥۚ #P->jP!@;BP+=5ԅVf{!5lP V}̋E+C% ʪAA @iJb UWA͆P7M]1:$6޴˪&+Wl zǐ[о1@E߀vC^ .mvxpvx pvxi1V ?8;{,|8‡pv-YnޯxnE٥ =`DyC*i=gC/BRZY41bWօold8TC]6il*9u(m#`VOUT [,' Q &l T~7jX++k]/C7iF= ~qu{@d,j|\FV:\fA,fΎV"\:\YDKζݒ;Zx~hdggQB9KaNY1OAGLAq7|"[X- BEj)b<b DsMu]=y+{d(:5bǿmRRXs[ fX'tn <ŐtD5&\NL4V JUhlI`ۑ*UipaW˓d)۩i)#KeTZoydXcuARBw ۯh^2 j4f4ߤ4TŢH/&_T9a gAL'f!PGX+($Qe8eVyhJbDHR%%JSS DRj _ )^.njw`tF<2>wꋚEG endstream endobj 11140 0 obj << /Annots 11142 0 R /BleedBox [0 0 612 792] /Contents [11149 0 R 11145 0 R 11146 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24675 11147 0 R >> >> /Type /Page >> endobj 11141 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11142 0 obj [11141 0 R 11143 0 R 11144 0 R 11148 0 R] endobj 11143 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 207.125 122.505 218.125] /Subtype /Link /Type /Annot >> endobj 11144 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 207.125 150.6705 218.125] /Subtype /Link /Type /Annot >> endobj 11145 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11146 0 obj << /Length 19 >> stream q /Iabc24675 Do Q endstream endobj 11147 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24676 20690 0 R /Gabc24677 20697 0 R >> /Font << /Fabc24678 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nUӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11149 0 obj << /Filter /FlateDecode /Length 3790 >> stream x\Ko7W9M6`Ќ<rsb ŞM igZ3"@YM_=uR>¢//S)*$I8~vVۢ`/?Hi vR;(L>~_I6ꠧI[_?-<%$gD$gi jjG2SjTVeK2rLJ&pOJ!|B),2ɸp&@@Ӈ#P摛'6o8;B A >A> II5 Ӑ2 =,ZgA@8B+ I*wJ;)O{Lk Xkf߰j rlCq}J?BϛK9e ~)ɍ) N:STZ"v׋mQ7}`$hxX?e:@:B2t` fS/a[P K ']iqǏzNJ[گba~:%FN`!H%!j/3qz*m?}b%3!bb~ "wIz032f`H):|:[dЬho=%u Jd\1VSD-rT5m f)B;pXQThĒ:y5[DfK8 a$84H A7J٬2uj&U*7Ӈلaxj6٤(8Ih`#An䧤!-ܬ,Nhg6e<;m <ǪtЧlqkxЋ"X(+FQωxxvOqkcUI`R#H^YpbcXz*'`&YL\RfK4L ā Y gR)(Y8~̲˃Mɕ_T AY?䎞@xBꗪJrDw<@s|dzr yl`,ô2W!y&`Yf4d $7XmFraDg$Ν'aEQL6cqK`p_^6;gy~7qc'|C1!S ftV0;Yݚ\`4]K@k<K^JW˕RedȏM]j>H ,%x7*'/+ #e.;uJʼ6Sy;O M r—8 k2 Řm"izh,#l BU#.Hhh]BTNix-PN|3R2bԑ I&q.ք9 ?ݳyDBc{\S\rv/3։3#<1弘=$SN?'1Oc2I-1jztNB,#NY N`e'(ۍfF#?ܒ2nK`ϵMv[+8𚘆)+|kɑޓP|2"I> ՕUF!qP>FxAfH!X%gavu@ѦmMW7;Bg1taq:R.^M t!wqzСsEb 'Dm 0uċ]IEF6+/CĀv}:@fJRB: !\Ng0ů3kAiU;ΩUe9%kɧݒ;(t)ldWQO"/#qYmevF:,X!$~BJҸpP.!L5'q|OqvF@6Mf:N0 _!3$vCChTg&=ې̡{ 8e wvYQJ?L;NX8=RD1cL暘;f-J\[ O-ش:[GWOjrW twM m梘LI_!yZ2`2_ Q:〛fI, b9))TeJSL:k2G8I8TmIzTjhZM(q͔BH/ҕ"N!gGmO2=/*Rt_ %xedK$2ϕ:Ѥd%YL9mʄYtQlޥs7Dmj2ʐBF}5l킽et5v fzn>OvelJo:McXLFo~驹* s 6}I>`f}:~n=XsnG,+4?d`Jߪ]y暏bf|R.7F \nb:Ԯ 2Px AIa( endstream endobj 11150 0 obj << /Annots [11151 0 R 11152 0 R 11153 0 R 11157 0 R] /BleedBox [0 0 612 792] /Contents [11158 0 R 11154 0 R 11155 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24694 11156 0 R >> >> /Type /Page >> endobj 11151 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 553.8192 381.3955 564.8192] /Subtype /Link /Type /Annot >> endobj 11152 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 501.15 396.03 511.15] /Subtype /Link /Type /Annot >> endobj 11153 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11154 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11155 0 obj << /Length 19 >> stream q /Iabc24694 Do Q endstream endobj 11156 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24695 20690 0 R /Gabc24696 20697 0 R >> /Font << /Fabc24697 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶXR endstream endobj 11157 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=969) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11158 0 obj << /Filter /FlateDecode /Length 4879 >> stream x<Ɋ$Ivw ?Ȍn :9-jA-{dDu BYgo_}cQ' I/~_58D$7q\%_ۣ/in}ϳ*-Áp}w"ru |Y*m0yGw Hk#n0h e Foi< A~kg#Hc;@ %$> ݽL\Wd!^J- Oߥh`nIĈ6"{ߞJ7@ߖ`gx,_pp 8*@;?\xCH] 3Nj- NJ'm HYe3Tjr (Y8u!7[d."ZɦA(E`F*Oi"%a 4m2EwGъ1n Blt܌9pJƠg3SUFJcԝL&6f=psVK HWҬ1kQ"FV9EXAabR ٘_ Z~ldQ\,X%"XyQARh3-zgp읺RNu&T좖d敉¬|C!f&d ,.A<1%bb9@)VGjq{h`+A-/9}+OUUC]mpr,](}`9˾Z񺯇It$SMd## |D2;W)[o1X3]Q>r e)Ox+!9ӵ@ wZf\%*[%e-)]3?yR=pͽIƾu C9Վx6I-,} %A 0т$p)zWp\f{Ý|@\29ks7UGWhm~ R5K#huš#{mT0rT%lT#>SMR6#ʞ}x>FHեlA ,DnOr2Y[Wb:UX$DDZ4g>ډiU0eZ A{.ur@֍*I&^u*&1h&vaJg5xZ'uj&zE}㔬gr>>KY4vʻظ4sz33Dk!ּZh&Pl[䚤{dte҉.a2_N HU\XwJ:ƅYd l ̓q=ɲ-ݚD 0i:=f>cPMJFgYҍ.D51ׄcT^ӥ$/zV+?}-+JVU*[Ejmb*쵂{nw֥._덩5,+B43-=f;PAI$C]X\aBC5Rk(icq q1e0w\h> Saw:xPبFG$nW -٨۷>_ YA#a?i솋]/Qq(}mjUxgksw٢I/'1T Zi6lțRvƸ8ofV]J%Fx Ml6V"֠)1*Dƾҕix{v7m+}XGyKɶIws'M=14e­T{=,Rjϛe$,aONAqIlG}X>cT ('qkecC|Z WZ=..n?g.zEsc. adxyMއ6-#<~R_Hw3 4=.S&?tGq}FX/n  n &j#lJIMnŽH/ׄմYYͤ&Tc 腜0=;[3gCUZ%W$݆UGMUpG e?̐Kͼ2;8=9Ɂ&͟aKΆIBO{n?mBwJhɞt,ܓ2ܨ:9Tkp3j/6?d{[ yyG-}7LA|eԜpU5nO^AkxZwTP>|^h x6Dk?~Q 9g} ;L{~r&J^Zk̵"JK~'rUEAKOZkᵱ#[}\}̵(LaJbsw[=gm?Ri7kMry!``KٗYi;;]mD=C8zlCC5zat~ٗs zz;ږ?ќ}gAZY)'Y~ _+w.!/m_Do0  q.x|m7S6s/֭oGW71YElb_ccn ]^t fXxApE_:2ne.8_}> 2C9|ˬފݠYk|.ubw aiHSPm딢~9YRܥydʛ92,(h mϾXmFVmJy_2)'DEҺ^xhTI1}YL:8xl/9SjK*{e,eq.EFM;UE`bGEVBd|UM D辴MA._P'8dzI[Hk<&#N:`'fѫ m]47:x{BYN="25A wpc5,ߗv>dЇ15 Zhy[ rv ÐCi{bF~ypئ5B>Lt JOCF;qJ8w6LԐ(s,s(pq /O| Ű)Ij=k֨609/ $"I)5jp< bRXX~K‘k]cdH[`qǜE 'sxp endstream endobj 11159 0 obj << /Annots 11161 0 R /BleedBox [0 0 612 792] /Contents [11171 0 R 11167 0 R 11168 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24713 11169 0 R >> >> /Type /Page >> endobj 11160 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11161 0 obj [11160 0 R 11162 0 R 11163 0 R 11164 0 R 11165 0 R 11166 0 R 11170 0 R] endobj 11162 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20210608081201-08'00') /Rect [104.1732 281.7039 153.6732 292.7039] /Subtype /Link /Type /Annot >> endobj 11163 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20210608081201-08'00') /Rect [104.1732 265.5039 144.3012 276.5039] /Subtype /Link /Type /Annot >> endobj 11164 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20210608081201-08'00') /Rect [104.1732 249.3039 144.5102 260.3039] /Subtype /Link /Type /Annot >> endobj 11165 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 233.1038 164.9372 244.1038] /Subtype /Link /Type /Annot >> endobj 11166 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 216.9038 180.9312 227.9038] /Subtype /Link /Type /Annot >> endobj 11167 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11168 0 obj << /Length 19 >> stream q /Iabc24713 Do Q endstream endobj 11169 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24714 20690 0 R /Gabc24715 20697 0 R >> /Font << /Fabc24716 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p̤ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C:9 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM$V endstream endobj 11170 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=970) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11171 0 obj << /Filter /FlateDecode /Length 3371 >> stream xn^_Qĩ}d rIOL_ZIJ>4U⫷oERb67YN&S}]^W ϴ|ߵaQJ|vT:$^RʿRs~+ I4?ߏ(}z,Ijx{ME| 6:M{$Z8_ j^.:XȊKpz2"b:zV*Ę!"CfXFZ Q%:+ݷ/I:,1BE杜-b3Ky`papi`x#& 83gqG \gx.-uH5JB ޾UU6U^:meH#zҩ0L/) SW0S[}Msn\oüƠY]GB fZTsX52U:\kK.)BNB|,J= -8 (.L<*;a ˺-[;Yr09n#-%j{#yȏs%Y` L=v&C,3Ǟ-pD#w?d&pmMWXs`NCc]tXDA:pG*)dX4 Bp68CAFUXK+HK.G͛7'ؤ{6Akbzd`8kVaod9foAI65Ϝ8:Xl12[PfW N ة׽sK8۹+J(~rK bqwj9N’,{oL[7FvS^2Nϻ)h|ocbA``&7h9Z`0clU^:\htluˎ1nD]/L~I:{7KMK>!jo5 5kӳml@![Slu ]1ҒC(}:I#};goc/1R)+B*A/h.VO:|p&ѢQ:4:ձ߅qMK 9OC;y_W.m`r7c;hVkmNOBSp_zgsxFZrlz< ^ע[ݔb[!=)ʍ)x%.űGf)tUz۞A(mc0tC[䯉!hP[TXJ?X`2vP7NୣxsI#:MU`vpz%!"GH.Og'|*Ok;bӷVK6{Vs*&d6.&ݒ=D&57!/O ϫԀu򥛰@=T a+fZnm!o` t{l.>m#UA-nX2 ŷaLe".E[ p@W1 Hg"k~]&^S6bBzK-$#ZqwEeNtB R<=7g:ޯF{M!QIYO8bT#4UF+K Gj$+T/]I h)+qsĿ&* nE @,\Dxs7Ked*ʣd FBĽjD#GjJ@ 6=a:DRٙ_S=Q 2uLdJ:=37͘⍴_3⭿>Du3p~u8m+SL8qR&O20yV%[U܊oܨۅ.d#ȝiH  J%Ou cDM]mX.1߭_jO%c}l7@uPT;Ƌ*@U1Rg\َ'wch֞wƥ)^gҐ3Ь豿置)o$t=Bȟep?Upr7Hl˜TW|O09A>l 1(A3.ܛa°3O5E*geNm8Xמu]Ag KQu-6pYH`};> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24732 11178 0 R >> >> /Type /Page >> endobj 11173 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11174 0 obj [11173 0 R 11175 0 R 11179 0 R] endobj 11175 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 319.725 147.728 330.725] /Subtype /Link /Type /Annot >> endobj 11176 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11177 0 obj << /Length 19 >> stream q /Iabc24732 Do Q endstream endobj 11178 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24733 20690 0 R /Gabc24734 20697 0 R >> /Font << /Fabc24735 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C^_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11180 0 obj << /Filter /FlateDecode /Length 3787 >> stream x[Ko$W@&h4AN`zяHGdů"z>Aÿ/i×ԙ!!7u<|5O/h5܅Pl+{4o$wX*ޅx>|uxhp}1rpCᑞ3.՚9_#4 ߇O'0OI9&5pZ#TObv6 Ma?Xyw>Ng¥[j;$:!lj;ZPC.\@65GuZoR2)|jk]Yl-5*ֺcSٔȶib{*N؎3-dzMaY1nj X:ZD*A܆bWYF; ŽdVuM7y(~Ԙ{ƌH_d JSUPW%e6f24jASz* SRry՗Mb dq{\ wUE(u V--;a@Fi26@5Lc{_`9ޞw  XKF4$mEy_[m0_gI~#Kޮ:. }䉗EZbmTSAT:\hu~c_,ybhTh-?_I]5Q;~֭^|@;x% Q ]$_ t2El+`9g_}/LbeN/7Wf6a?06J(I l R;6@ƣh۠fVc/ ܸ:bꏟkgݚʨpYQMj KuYyRZcA*X9dYJ|5>բ2Z&CŠC/Bk+obq&Nn:ZVs`*!e.]^XuR|*U5SW7 -7{a^i_{7.:Mʊuh!㥄UK_,"ݫTkIAd~ ʄ[ _w8v QZv%~ܝJQǸ6xYS^A`;jzxj#Vjz/յZ}5zjuV Z_;NS:j@F"7%s;%CU-O +:mP0g^p2z?skś5A-­k^:Kj^8cC^٫,"*qpveSaCy{E rȡjgpu岱"f9m~|ۍ_y53Oxhy/ "],FMKnr@s݋ߜ{'yލ7epZosЅz9bذ\.E'U6xpL^%(}J*JV lɵ9&5UR 8 cP1SѺ40L=UGp {SsvV^\Y(yN&)\ob}Pe f1luOim28X.7|[2%{+`KWM N]G[׫m` _xD:!qwSlYֶmX }vɁιmR!(mOwNCC4gbnVﻪ V:yfLLD9E%`G3vGCGT& c31#h kKUkfҽl61?y 2qDJ3fW7~A\"`9]sI#P51cVf}M8eI Gu)zbU7|VkC*sMeTqL_qτ1H5ɚԿXꌼq ]-/<>rNîrXO'~K.(zc}uOQ`S ,%bCAIzB=ѡp!H6狔\l풖 FԚ⹽[v+"URkWV*$R+Y{.CM,:5,.T}yQsc˝O4)Q2\ 6}MnU7Ihas7F endstream endobj 11181 0 obj << /Annots 11183 0 R /BleedBox [0 0 612 792] /Contents [11191 0 R 11187 0 R 11188 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24751 11189 0 R >> >> /Type /Page >> endobj 11182 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11183 0 obj [11182 0 R 11184 0 R 11185 0 R 11186 0 R 11190 0 R] endobj 11184 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 301.6462 172.7747 312.6462] /Subtype /Link /Type /Annot >> endobj 11185 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 285.4461 162.8527 296.4461] /Subtype /Link /Type /Annot >> endobj 11186 0 obj << /A << /D (unique_394) /S /GoTo >> /Border [0 0 0] /Contents (move_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 269.2461 173.9682 280.2461] /Subtype /Link /Type /Annot >> endobj 11187 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11188 0 obj << /Length 19 >> stream q /Iabc24751 Do Q endstream endobj 11189 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24752 20690 0 R /Gabc24753 20697 0 R >> /Font << /Fabc24754 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /K:% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}1Z endstream endobj 11190 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=972) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11191 0 obj << /Filter /FlateDecode /Length 3018 >> stream xڽZI,W}ڰ*eVk Z"=(eRg .xpEQ&d*t1k1DA<^Nx:{ UVdmsOPLaI+d kJ#G% e`^4 W:J}: Q и( GYRuq L"e^vU1ܦ {*Ro4&.DE'*pF@cL֠0nƅQhLD Jt{-|h Wr:Jz6[4( ʢ[]9EC DbHH-Ozf>i`u0\RWh݁x/D{!*6#'UU0AB3btBQ:Rl 4`0 I8L5K}G>/C"z?q=~gyu4,9Dka5i|n5fb (!܎t qRS@ @!kaY(cC|& KڹLBΎ M"FڤrQJ6_y*b B Y 0&vԣa-o%yHnazޮ9JSg?1]URL 8Q-XheT~S(jCE]'<:5RU9B7TyFG(/e}7/7bgfE819\_c`<ّc\wᾉLzS"cu97EtU*EAGB O5\jL)L<P1-znHYqzi/|u9~HlK](1mTdW 2{RtTpzҀе,Jvj _t}W-I6jIN'[N/cWRq{S5Q5-;؇dg4yS~XgfkT]D3cl7{zSz9|'Sb&66nuDd'Dۯv?۬)m Rø70Vd<ȿp̖[."&(A7!S@m&=.9" ԏCǰ25T֌`Ϩ˞x}d1˼`gx A2pW%S-Rz-&Fl j3eCwq.@/w}јE5BE 0jӜwnokx!kYׂ]7kzK;IsPmO6a͏*~TlhVY{[=W8jpQ{K܄ZUm.[YD>>O';\@8` J3A~O0Ӎ㍌J,!}=FYBҞݡOɟQ߁܅q}2,]lc֍Y62]8+הoXW>KU˼ZoN#/YdMs! 9%\[E@bctxce1k5VTz x G|B8UVOQ,l(+$pŅ{6gPYwZobٛ`!:l5UYkw^!Spe򖎳~5&AqExsX%%*s׃JqM`Kca5DNh?n;O0 n 6 8Ń6<{8>t(w:z ZcOn&Ѩ1[d=Vt9^'2* Oc;c~tPƍU+(GTL(A"ݚ=,uYjjngWY~ȷK`22 Dڃ;Үuj>snGb˼yE^ɗOo'_D.b>Cm11TZoYAR^%S8Jjo- f8`-m{z.ehڢDR_HRQr,i=\Ml$7cfZ'fh{ɨ9m*SޓLزqjZaLc Moezɭ;ɍ.+,|ûЕTlR25R‡R /vKRUQ=lq?6;Hu\|CΠ4ڥ0,X(-&.P}eTy4nQcp\2?kt;R tҔZo3hp l_]!z uz$OW/p?Upr7eCS݊4~˗D 8\^(ssL.E`sMa%Q :劵#[bNuɭ)%г2 ADKA>,N" endstream endobj 11192 0 obj << /Annots 11194 0 R /BleedBox [0 0 612 792] /Contents [11201 0 R 11197 0 R 11198 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24770 11199 0 R >> >> /Type /Page >> endobj 11193 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11194 0 obj [11193 0 R 11195 0 R 11196 0 R 11200 0 R] endobj 11195 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 358.225 111.681 369.225] /Subtype /Link /Type /Annot >> endobj 11196 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 358.225 139.8465 369.225] /Subtype /Link /Type /Annot >> endobj 11197 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11198 0 obj << /Length 19 >> stream q /Iabc24770 Do Q endstream endobj 11199 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24771 20690 0 R /Gabc24772 20697 0 R >> /Font << /Fabc24773 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJWg]DA(~oLI@:UoWWV??ߴj"Gk|ǾwT؜ezo}W"'4hRo ݠ!gOvջSp<%\H\r?8'_Td&sp>T-X $Pds9'bqN*>B)9QZyɣDD}i]֠zfQJxc3Ruӻ64m11:}T}$Dʂ` ÆVe8>϶gBۏF,b'=<%#Q_@YOsF#%pxa ]0sJ'o.)C`A ^/|vw ݝCIXR8J&]B90I NЋm߽?7 endstream endobj 11200 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=973) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11201 0 obj << /Filter /FlateDecode /Length 4494 >> stream xˎy;z[ tUOmrrd7XtCRӮ*tmwږ,S_"%R /j7NFU8}8isub7OJ'9wޗ;SbO4u|\[JIS~U|',W镫7'_TsX#yE5,1lKmc\ΌNNt7q y#o5[Y]4EJLt ؙqI;D9Ke4-blL#1vRpOs]VK3ι>.rN]"?Sfj\/i{.{ #IR>OW3pFFۿAܙ\fbUrE qDc3hIxxMx ,'\ǵ &,n~ .Mڲ cm:eG1Kq5d`L7\|/߄HnXmS?K\7h+_8HR0  7񴶿EtBa@9RЕ*`ߒd$TT&-" a}gP+UWdPB9&i-x63 ~WHsq)C@Be, JRnJ TieqAf.5 s™]eLbhbV-3[ ,ľjf`XƺhIWj!*mآvG~7.cݩ**WZC}aE =?Vr]+Vedq 3K*ZgnЈL2C2%X~ n.ECGwcO̖Mˆ'#NhӸ4x!d[&y7Ŗ}{AjKZ|9Q>Ljq\Z<(wI-KuLHfBZb5!>ӄ K b\jÈ|Z@+rorpK 0FEtϛ:)\(Ys3/0؆ҿpBB'*u4sG3GŐ9j@sGC%;;"4ϯq,e29pȽ%.xj:lTфЙ!8A|0&YXXhQ(4mZF ]OoX})K%u ֜OYP#;F3&me3Ő1\rN@Eebu4̃q{BߨبTֈhDG[*YCl%eA AL}лt&#u\D ?0OnvK5 q :X%B#rB}QYeḪ!ߣ( N1ڈYJ'z6򓇦 2ۚddyd㜌xV֎L:<pNFfuszb5eUZܔtuґ#xZl6G! BCՕ&diGUB4֌=V (+*f\ӗ/pE%O_wl@e XKIIV13 nqe_v,Z$ҁnyGģWmB1R=no(.4q[r715dO^2\/8<[i2^X2 m+Lij!b_ӄh$S %%+@c33g*|#J'ʗW /xW9x'҅Kvars٘JYn-U;kΨyє*fX2\iD_oKvEQ:y(& E1J͂O71΋:$ zk6*ۅz|/y X7k˞OE|a\ V~^V18(W\4}c5|򇷱EW^SUT'BçL>uo+󇔣Ss&1Gv.W17 =pWIF)e |_ӧ Kx괽%K~d 52II%r.?[S=*\ҳz 'Qєk> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24789 11206 0 R >> >> /Type /Page >> endobj 11203 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11204 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11205 0 obj << /Length 19 >> stream q /Iabc24789 Do Q endstream endobj 11206 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24790 20690 0 R /Gabc24791 20697 0 R >> /Font << /Fabc24792 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!Ey/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>^ endstream endobj 11207 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=974) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11208 0 obj << /Filter /FlateDecode /Length 4542 >> stream xɎcίxb/@KrrvTEg{bX;ۢNY>]Z^[.U|ZjO?GmJA^׳i+L֪vR|YMWԋSZ/J` *xJxڗk/{e ;s[IS؈5fJxµ^}p[ y-b4tKi-hǟx}Zl_=Š~p{Zb|-pZĠ}&dӒ`.pY|Sd4Rg'NJײC/ Dؚ8k˜X BݴVsv$@e%S2SՕr&ʘ||=`aBXo %VNFg{]}7ҷ#h{2kx9zF2Or~ j55q(I{R k΃5=-ZW(# mMe)"I$Cp<'>ѷUQJ18Th6pzJ%N ^1^;&:Ѧbtl*VԟMo*b(6b`bȓ:VooGTA-Lɺ(NzJ꯰`^e]# \ IV Z6FZ%$Ktokok 98wC(ӏᆳ=Cdf`TMj`VRTڊZUp"/M# @(GX,@ƣg)^,g78.{)$Puqp|آu {؍[3|& bnU2n*1%Kb6Xfs΂Kxr E_v(gr z[d1xl;:vMՋ綫^YuT5׬klAT(Ե9>ˎ!IMMt QhH`6Zp|nh&SK'TL]]]Vx{co&pe{JReYFi\Z>[Npmy Ud_Bj b@tvP:&JA<{JasGjhO=işYW3Iż1!'vz9g)^;d_Rѡ CxdPL Y|fRr`y(zml7iHJ<z>|á&YIva1CgX _-7=xf׌}N8K ` iO ˌӦg2#eT( 4pF"Ky+ڀVFvvy' \`HJS)=>T P_\M!N3J?/?-.&q1+5z ;w֛/ 9?׶w 8oA9F#-[<ExDAS6R< #a%k9 @B | n>?O!111iB%7B`N` & &g #*+QChYdD3-·E|J2 ^R'w]8r< xC6k60ڨDΠ#MmR;IWP u{9eZ pCȼr3GTq\4aөwrZBud1=**$/d[oryr(".XQL҄gzGi=J(@ڞwmhK:-SL̈́4 hGɠXJc֧y +1@t!Urӧ]Ne&]=lKoR;`;wrY,#9 5I `yv|$-!pOiƞZq5sB`PĂF3U}fXjv_΋5]x*>݌l߁_Mp{w A'~?Vg̎{Yt^>o#OwzVʉoXU`8TZi"ÔCw^T 501y_u;G"&=R+omw""w3#ٜe;E.:=╭6?r&Ь&&G+FW)cN@iRU†%_ubVdUdk3U˭D8Q6Ս=7y2vD*f_cϱ{Of r5n"H*{(Ou\M =]-k̨5kgۚ15xЃm4AzT(IYԵxW9FЦ]0gy_6q[y,k(4ފ;*MG[c>`"fKo5X#)@1n^{ \_eo;z[c$Qumw7̚ X)JVmZ5 'K%u!i.+ΓeQaR¯bqNb)L_@S`6Km\ r@A`'R@ZUa1pK!b0X#|:K1]Wl!;|ށayi Z=D ^DRQ A =6l}y- 5.긖-wl: {RC.l%u#]2*VQp aw܋w9be\T )~3H0g>3?`?!29i'm{;1X#Z{Ig`{;:9߭Gsy?-o0x/-5ܙD`.h_K*u.S?NOӓ'-ݟ \E8?O55eZߢK}DI+Gz.Y'J8SkъʼnШLu/PnTfbQV.υRcD|X>f)|Dnj3Ru!Ђڟ{OeVXZp-,5N1\͔ԁ;U][_-zq]ީ#4o7ILN55a4%k#S_-+pdݵ0^\Upkx:O8\Y敹W ܈L.Ь m%N$;d̳:>/+&n2|_Ӿ&on.+|<'O*B:E"pm/DFx]ʘV> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24808 11216 0 R >> >> /Type /Page >> endobj 11210 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11211 0 obj [11210 0 R 11212 0 R 11213 0 R 11217 0 R] endobj 11212 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20210608081201-08'00') /Rect [104.1732 457.7038 184.1267 468.7038] /Subtype /Link /Type /Annot >> endobj 11213 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20210608081201-08'00') /Rect [104.1732 441.5038 169.4802 452.5038] /Subtype /Link /Type /Annot >> endobj 11214 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11215 0 obj << /Length 19 >> stream q /Iabc24808 Do Q endstream endobj 11216 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24809 20690 0 R /Gabc24810 20697 0 R >> /Font << /Fabc24811 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ b endstream endobj 11217 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=975) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11218 0 obj << /Filter /FlateDecode /Length 2131 >> stream xYKo#yu&0X@n!ɛ /Ճl'd2HVެ6ߌ3w4ɛITGS>.Oh3 jȣ8;\B0k}6/罹 @fFqo& 8tx16?#^!̳ʰR 69-Hnd 2BҔFq R^@Byhpm|/d O ߷:ϧY)L dž;6/3v954=t$7 >-miy<Wi#;GukGݮ݅Ie} ߌ 9e|Og8G;Aug=ܚ_IWcp&1!9N aV* >hcp`E1@br{Lu:#m.cchA 'BDȧk^;a]V1*DcUa|a9 >䃄Mtf3@B`@5ɹ$9 SM;v/u,W'Mo]uc7]>w2̿_Z.׼Ƿ!&4Iv[iS={[9weevݭX"FkN8ѥ_r9EsgҘC 2GEE'3Z/.ѕ<ŏBA>Vv,MsvnSPCu+ or|A xSЭ=u;© m",cQ+vZQqjhޮ%8MiK!%ڏ[̪gSM6TCbՌ.iXs*cAIInB&2` 5aUu*W)t6<·*TE!KamJ>dWiYpUؕNC(9Q2rqD~ܾ̦9;\ \ms#:1~תG,a֮Яa:xnš(=7î$-(7B8 +Z7[CfW#vQüywa k'?Egᜥh㋉#u<\q#Ns5ej"lR}?e B!ӘFAowe&=ÜW̛`^vَ] (ޤ?ʟ`w8ܦNh7 a,&:JÉE>=5d.!q  9ĥ툗*-sXK,۔ȼh>?&g+rj;\6 )(ҭ^&Cy?}J>z_?Y=MD,\t~@W 1)E<{ښUi}[INDŽ(*0SqQHfp>cx IUTť3~I=.@]X{-giFAzPũ~pԑŦ5旝Cō50z;_wևIJHy endstream endobj 11219 0 obj << /Annots 11221 0 R /BleedBox [0 0 612 792] /Contents [11227 0 R 11223 0 R 11224 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24827 11225 0 R >> >> /Type /Page >> endobj 11220 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11221 0 obj [11220 0 R 11222 0 R 11226 0 R] endobj 11222 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 264.625 124.1605 275.625] /Subtype /Link /Type /Annot >> endobj 11223 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11224 0 obj << /Length 19 >> stream q /Iabc24827 Do Q endstream endobj 11225 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24828 20690 0 R /Gabc24829 20697 0 R >> /Font << /Fabc24830 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ:  endstream endobj 11226 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=976) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11227 0 obj << /Filter /FlateDecode /Length 4330 >> stream x\͏㸱y+&,hrd=MSUd%q/r z<)XL;}6q*M_?dW9􏟵Ǘ`5^vu^_/>}Qi$`)h/L4Tes\2ҳv%ff럕d>d֜8k ]3 j"|kmߴGhᬵ;zOuEf 5nv嗉F29&d ' 7/C)-sցt FV_ѧo Li2~O hpK~3@'7`C;m%2 ȀBijķy7w_3ft~+ fuc ڦ7EW}pPv1 sE-"! 1gw'}f:#D$0v = eQ :bKX;pB,cVY 쩨!j>K3Lv'PkOp[y~ac}%T+R]f%!2 6Y6[$ hЃ5 ͨ #Lu!WB,οx<^л4iZ۸>sXW.jL[To+[CXͶ0ٸ 5vG)MEӶpi6͹~p-;u+(dsnd}w#4vp-X}x;u,G"L$Pw7KS(eĎʮ`Hڶͭ@k\ mƺTi%(TRTLJ2=ϭ5 X 2곾P6 tɩs+L~~Q9'p#Cށv FwrV(=|f\HKݱ@xy sIcv G*(辊pZ$|kT'B, |HDOH~OVXOM:eKDy'B?{/"PҼR_QTגXL6zN=Xfx jvȁx,,H`wXeMIv?@B-0ZU֋@׶5QىdvkjīYCܛ=Y]duu Q蔈hEuBgȡ*P49i &8bLEw$mYq:M7˧6Gnzҟ,##u%#?M ; 6sߠ䃃W p1Y}z\=^nW7qf',0uQ\w6vP­}*kG|%*G5坼6Py0YpQ];%--4j^ j x (  F,Qňe i([ՙ::L>8<27Uup&ź|aoZ35D~ &93?Y5zUĖXrV(7T_l!n)֝od͚9ʞ)Y3 :Xlsx0wtᴜ@u-&a *{Py2U$F 5AȾLg,hy:9eRR(5H~ZZ4mXot^U߹I dƻZN%ffyٲ`=`my`4&|a, cdZAiS+<*|TDY$X!=^s*}2r_bOaY0̈́mJsBFۋ"+ڦ q料\90*M~i*(XR\NiƞCXŒQB^7d6@`a+hQ5fU""0юDCĚwVJvK14!3s뤴 C6ۭ ăm c,d^ڃƣ8dO2u2T}+H|^Gw~jm׌(-eb)+'NJ\1cJ[F-F6-O^NA DgUK$VNo{JЫg/VVPD baxaK0! ɢ`QFRfXW6؝?6 [Bp1X2nbIvDa`pEc#}Foڱ]>b:N%;EUAYYpƱnl BX';u\CLCpjcaHB g;SUVf%FיD~U"1[y:rH[eyQ떫{vUL~E2M`F C+A }X(+amWڕ! ֯*&gk$'*`qNʒ0\p~DhHh3];dLd'/D;!&7$6t.2WzUr%TeAN=ސQގ}!zZSǕo|QSboE-p(=_sf +$kjZ\Ԟt$LH'kZBǪr %N̚zXnwbV(C¡Ef)XnP(Ky¥Z*-v R _ ~Gp£JS~ϧ@%*ܵl횖.ѓuU_[ x}tE$΃QJ:g؆>Iӵ,ԋ שXf,K?Z/&:9\P}Ee;Ar 1 {35 ){q\Zm?D#!/c.C{~?E;#9-n"J#X@YGX/*D2{=' be|[@㓒D'Q/'08*$F -`ԾCXfT'|J;WJ+߶NR&喫u<jyE=Xq6wA"fO]N"P3=;0U9wAdMl3#aWzz]~;XvZEE 7; endstream endobj 11228 0 obj << /Annots [11229 0 R 11233 0 R] /BleedBox [0 0 612 792] /Contents [11234 0 R 11230 0 R 11231 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24846 11232 0 R >> >> /Type /Page >> endobj 11229 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11230 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11231 0 obj << /Length 19 >> stream q /Iabc24846 Do Q endstream endobj 11232 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24847 20690 0 R /Gabc24848 20697 0 R >> /Font << /Fabc24849 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMoA +.؞J= P"!TqHm%DEĞqV/? ߰j[> }0+P} s ൫QC;f٩c8TRQ e!Wm EIn;Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?Jhxfd߄}j]֠zf\SSVM^RuTkSb:tD'W9F&[:WɅkEY̌b iV` ^_ Dm뜡K(sh^2 'LsB.&s^t#ER,m'@eݞBr\vhwe™p h#jwQ endstream endobj 11233 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=977) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11234 0 obj << /Filter /FlateDecode /Length 3986 >> stream x\KW\IFm}mrrnTe 62M*>֋L'9 {/?۔ɚ)H$￱UORNGBUG!yR]4~+?uGbo׽"{A>\^X86]aD.c*āCHC!E;[AAql)L:> "< UeYؗIf]adC%.DM:%1FU\/ߦ?O f /NR%':ʺ[L' b|)rvJ/C$ c`qewC}54#fU5ԃh7[׊U0kJhDekNRIj˄KRxh&Q9eXԴk$ic{8ꧻ/+Dy "0=PQ?c|D #t"Vt/0tfxMH|O*^^D^Uvu/ۀ:}`dħdeti2kԧ^K؉4\47kIUu;D"/b\܈/OFo|]h^egh_2k 2pM)Ny5O H kҐj(1߁ٝ.K^T+[[Fx(^u<ȗ GI5I,X?.jfE@ UDsKn z8|};GL͔#d+GDXԥL D=:$K}-/NUnT~灉kr;sq}%|U_7#]Ux+EέWdqkU(ΦD]on++<[.a94Y1c?*_*GùY//OePlae=Uu{\eޮQ\B P!֜rDe.GR S$%%@4fm1W[3ɺY m7Vp{ ;e]AA޻Y ^4Pu=?RoW:qb-XUqdh:ʉu{CO!RWeރ CQlx[e.w9+0Eh96{FzNfy>~voB(. f#k`-)߹?νM!Iģ 8\ɕm]tk)rLzUžb–JԦ7H RgLn;b(%o8[i^ʻkQa ^jd6Y4٦S=s\m=I⅄$`F*H}(2Z^II[ Dzm\˽ -F-E$ Hė-X1i߰6jN_Ζl! 5>jjI +{m* ]b.rn-+]IBVA]KN1T½l]:6gKM0X$z =ˆGqkѩ< ntGPxtʥ kXqsXVZ6;j0@ЙӚ+$/]3"eO3,1f\&x)r-锍&6PhC.|^9fv\N[esgjHNҋ":zVSD$$f(Vy3^7RMrs){DjN|aÊ>/{\ieUFtӷݟn<{{`ٖ-BNм }ƾԏC1uZ[bP6 tjܕc6mNo}~M l6zr9p,L'Bv񑫄ϫOg(X?XS* 明kH[o^m=rQvNn̑) &٧<7V#f?VXZTo&wմ|;.&ʰdMcukVUo9"VR;=r)[ɭkdד?;3G b2%M !X;/žO8 ԫk4f"h hL/X TJoe r]zϐ.f4\:odlaܳO\9yA1e$rMYH3"mqxʴsk*9[s>%1ϖOO0{wvS[6i2crZ$(ꔲأD`$_"e5uZ?LO¿H I'f.9I GF26V:k 2E8$6"sĩHF['ʨi;FI<V g^P7RK$V%@RY|5?ʕ>#Dy0`,kZ,Ct=cۖ`~l%Eoir8T#d+A2nd*Xͨƍ6n0G\R),Wizg=O0=C@|Lw1-_/[Th7pvG!kT ͫ)V4I3NZ9S=ÇGR?{NAgL]H!{-TKZ̝ {$8s`Ft=獘?]j3 >>>}4KziOx :,ODQLľ-) . ^5gH?%xbX=s̜[F" Xz%pHBǮgH0f>/jWؿ&Za endstream endobj 11235 0 obj << /Annots [11236 0 R 11240 0 R] /BleedBox [0 0 612 792] /Contents [11241 0 R 11237 0 R 11238 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24865 11239 0 R >> >> /Type /Page >> endobj 11236 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11237 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11238 0 obj << /Length 19 >> stream q /Iabc24865 Do Q endstream endobj 11239 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24866 20690 0 R /Gabc24867 20697 0 R >> /Font << /Fabc24868 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11241 0 obj << /Filter /FlateDecode /Length 3693 >> stream xɎcίxB-e 7 4?Ut{ djOdW|,_~Y3x$8/E]^WQʜJn0J g=yVw'q| -o4|X/ ͪheY^a>E 8!.:_,vuQn qke`5eqXШT1nU윑:`p˒phQD 6? nEd+(#I }`.Gxzw,cAnJ&f1 t!5-6S3Xi&.bZyX(- f!Io `j'mO2<)WF@`_Bʸ┻YԌ2W;RW镕DѺ $ 6Պ_S+M~\4m̡{g{9BS3H,ʼ_%߂`\4~gUGdq=F PdoZ'f =GSL-W-?N~uTsxr53;cGEI(U,UYz|F# T˚'%,*}XU9n;A[Ugc8P!Md*وE ^HfzAtb4VVEPB~6E~mS%w$<99#G1WN&O`Q.㘃<O4hxΩ*@fXnsJQmuF[>=隔z-=#q]';pZ{Li>Md,&zфPLYjNފRf/H-oJ$ W}{Q/jXN>i 1Vd&2kqgQBnzo 3nFdqvttDTM/y(gJ?\a]w&b0g8OutiZbd^ЃAiBNZ5lGȁ[vIwڍuFg'.G擻9rhGF@NY`9b L8}ZMa 7adۅ[n߶.fį bNFoҞgO%Z*?q>I5!n"tQ´Rwd^ ykz*H>L1DwD)B E 9sK Qs˭k-c؇cO6OƱz3ZtlwϲsҦ܋!K 93 xcιf名wcUo:79WA{TMtH kP $K+zjΑ d|Y5m'{*!Bhm8P|;'p (@lL NoH㖮zS&>i]jQGmtWZAj7f P&t!G/z4`:T~plU*<cHʾeiwʅ)Pfz+םe|~ΏU rm!Ú,xg{=Rm-i|67M+7i O!G0wMCMGǤ-Hdêxw\g8{2Ǽ D25 O^fJ"qjF*o[+BQrڝ+G̓U=>fΣq3_4xeɱA:HɡT&}Otо?o?׃\B ^/$8l=[{X[=N4MղPA-XJmo.ֻȫwZn#דŕ ̿OB-DdR%u>OEFj4<[,侑Ϻ͙'bK[>u-=yIy$/j]n><P*_'x3hE= c$/1ɚ)LJk"RV:VPYH9,Xj(<q> eU*2"5|[XaAZPqWB @vK3 7ʷ%#)CNMG62yl K<Ҟ_7}fy1:fmض2e>m=b*`Y_ kGZT$?ALv=ς& =D?qƜKD~[K蘱vhb~iF WC*#_5%=8a>BsMz_ak*} }u <hw\kc,h?GsO; pe2 @e_z\ga> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24884 11253 0 R >> >> /Type /Page >> endobj 11243 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11244 0 obj [11243 0 R 11245 0 R 11246 0 R 11247 0 R 11248 0 R 11249 0 R 11250 0 R 11254 0 R] endobj 11245 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 617.8 145.4837 628.8] /Subtype /Link /Type /Annot >> endobj 11246 0 obj << /A << /D (unique_708) /S /GoTo >> /Border [0 0 0] /Contents (list_features) /M (D:20210608081201-08'00') /Rect [104.1732 597.6 162.5392 608.6] /Subtype /Link /Type /Annot >> endobj 11247 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 577.4 164.9372 588.4] /Subtype /Link /Type /Annot >> endobj 11248 0 obj << /A << /D (unique_709) /S /GoTo >> /Border [0 0 0] /Contents (load_features) /M (D:20210608081201-08'00') /Rect [104.1732 557.2 169.0567 568.2] /Subtype /Link /Type /Annot >> endobj 11249 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 537 180.9312 548] /Subtype /Link /Type /Annot >> endobj 11250 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20210608081201-08'00') /Rect [104.1732 516.8 177.7027 527.8] /Subtype /Link /Type /Annot >> endobj 11251 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11252 0 obj << /Length 19 >> stream q /Iabc24884 Do Q endstream endobj 11253 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24885 20690 0 R /Gabc24886 20697 0 R >> /Font << /Fabc24887 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pTЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM^ endstream endobj 11254 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=979) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11255 0 obj << /Filter /FlateDecode /Length 1652 >> stream xڭXn#7+x `,rrr2 9RlYB(Y;moWi^t:lOu窝՗Ocjb_u]| I>ӗ2֤8 Z7'Q/C.|qlm:#LhDϓ DhOK9+:(1"wWgZCN߲Z  0Q#Lb<;d$zMn4x,I%slYxV!t5v2ɠ*?EPA4acWSl ћZKфy#dW[~m.Ͼ&Vs~KV]Iow^Gk[hSb|%g(N!̓]\NiS bmCuQDp=s}3-0/Vz0i'c 퍯%g3WrduxlkWl߭LSyGQH@MK%oiT[$&}gf^MfgR;՝+$n]}?'` -KJ;Z>̼aRf? Dz@p1> VG.K>sN$ I>`JiW&%6ckH j`X2#|`m_ٖnǁ:<`jcA_5?#COVhEdDPzX&su\3|C^ݒ?@Gىzv7 w]:}̘3h_R8;@PVq(W0,^I;$:Br֭%5qeLU%KpW9@pe4GobLQwײ! 뵖T67p px b(BmmS#xheunfD[UaGJ7Ҟ%TvMK("4eqnTTd3ֶ~28uK,h%E/^N) h(' ,L ctI2N +9K>O g,M`U$w(XXza4޾䈩] l}H$.4%^$cWx5(Z\ɞFɰ0U~2y^o,+r~_n[u̱C"we, f'U^Q^bP6 (ф&,1?Yqs)pt);i[Ikh8X(`y/ u<q@T7#F*[V+TʕxVxyŋ/^Ϡ2P',B _R-;#~8{@L.o!b1=c!=p< $WBbGc^)o+i(@;:08\Ȗh=(wF}q |\:*,4$~\;(3vG scV1>Y7^}Gҿg endstream endobj 11256 0 obj << /Annots 11258 0 R /BleedBox [0 0 612 792] /Contents [11264 0 R 11260 0 R 11261 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24903 11262 0 R >> >> /Type /Page >> endobj 11257 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11258 0 obj [11257 0 R 11259 0 R 11263 0 R] endobj 11259 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 363.225 145.297 374.225] /Subtype /Link /Type /Annot >> endobj 11260 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11261 0 obj << /Length 19 >> stream q /Iabc24903 Do Q endstream endobj 11262 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24904 20690 0 R /Gabc24905 20697 0 R >> /Font << /Fabc24906 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11264 0 obj << /Filter /FlateDecode /Length 5433 >> stream x@f~x8OO3 v">;}BV8m%ah[6T{[}Eͭ>շ GdyT FQ2HEyv.X}o"#@i;0e"_$up66u7}eFjdPh!:iD>IwS F8C>$yVXz 2[<6^E$ά:ǐeUgTƼ=Wt5BzcZ I%آZ5bNV5fuJ;8T 4~urfBZ [kG6@)AI Z(hXGx2zoALο1X.*DzhnBE3_o'117wj%Hg]L{sVF&? i.y`|b]#b3:n+77QnH=gu0>7qNl%ɻH%N>WK>>%ۓO ݂ ):mB!tC-twV4(h/ъO~:8ja %ˡn3Xh:A&-'}]NA4Ƞ>: CS|HUv~R)úQld) %J(&~$%Zl2\%)_2j* 5^hJwblԼ4$`*oX]Udg6^}a C4Ҭ2E4g`=[!ؠ{y>eѷ`$^1wp˷'1+|J k`8˟QB:(?24D^l}@9yo0E}e1F*%wx|v$8$}V+T PSy '$iiYUH@*pZRժފx !q*JIa~ikz1@-= *:w& 5ki`༚*3%bdV\FW(Z!+#^n qš<稌-`}7W)6sݩj*߰JMM1}Yac|6d/DtZ7ʟ"eo㜺 sY M<9ܡ_be^җ\yܻMJVUsFfWn-l߫:@dOHա@\?wR in!oHɺFR[-[[V?#0˛"<+U*z;Z*˭rnfV7nv#')^>MVDJdoU}O+lOks}C}ֳyk}䟛!(uptB<{&C^y!po>_Kx<I5Θq8ۮx|@ TwF{?d`8;JX0lD᜷$kyǝ>5An=ˇqLW9N DQ(cL ;sKMyfÌI@\(OEQr'H(&C 떬bX'fQ};c,>fLV='S[=s </ۜ!χzdtNB",{2b-ۓkyGM틸gm|GSCG62H {d`rQ6{ˊh7VnդA(^uM3uL6Gb;0wPg ňQ5 H eڹZzWNg\d`;>ă-cuNS˧=l~S^F蛑 2stցOo"ĭ"\Pw7`@7jbzOOq p5~d,2!_O^UfA%ź8{ G !ľ jLw^p=oK.Yj*@P`a=vًh1-sfyfK{ f'c~e֗YDz |yqg;kbTIS:2fOudU'0[0~PVKc+4So֣]e).f[E9maL''#@:7(<'Xt9^EEN-|Lz y;ZQ/C`?ەu@a>@V EQ ~G@o3rgJ"PN젛fٳӄN5#z%>iZFnă@ZqOLm|xF#V>9n 4un7;fDqRvT* qTäxhtpKPȦO EPHARlQK<{N,D%WV~ 렍yrIӅD]Uh9V2Ƹ;UhzVu.pmՃXt9tl'SfW@6@HSt@ϸ*~4O1yp=C1Lnˠ6 1ԇg3>m V;V}o/㕒Ckփa}NZp ˄tmx`4x.읁/ţXDŲᬰk2pVme9͘'s+=மRsQ|>L$ Cj~@DD: 퉵"lZ5ѫ2:j옜 '.M6ZΎcA`"q'r#4m3HM!uw@1m8ǀ/ ĕfcM8&3ؕ::n<[>^2mD9*M,؝n/t'kj\C3SOlY* *\-Ȅ"|x^M<+ qs <$*3F 2AK̿5 '7)D96ӎRIF Qѡult8 ͇3*|?KJ. l K@3畔_ZMm}`݋5:f؆R!iZ%zQ{hZ؉\&#է"n`hoE C û_6=ƿ}Q_|[?U߰=i(BkTɱu=VqdZ'ǥbN8qQ~^ >~c5 [uYPzqW'| endstream endobj 11265 0 obj << /Annots 11267 0 R /BleedBox [0 0 612 792] /Contents [11278 0 R 11274 0 R 11275 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24922 11276 0 R >> >> /Type /Page >> endobj 11266 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11267 0 obj [11266 0 R 11268 0 R 11269 0 R 11270 0 R 11271 0 R 11272 0 R 11273 0 R 11277 0 R] endobj 11268 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20210608081201-08'00') /Rect [104.1732 235.0847 217.9572 246.0847] /Subtype /Link /Type /Annot >> endobj 11269 0 obj << /A << /D (unique_181) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20210608081201-08'00') /Rect [104.1732 218.8846 200.1812 229.8846] /Subtype /Link /Type /Annot >> endobj 11270 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [104.1732 202.6846 204.5317 213.6846] /Subtype /Link /Type /Annot >> endobj 11271 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20210608081201-08'00') /Rect [104.1732 186.4846 168.1327 197.4846] /Subtype /Link /Type /Annot >> endobj 11272 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20210608081201-08'00') /Rect [104.1732 170.2847 172.1972 181.2847] /Subtype /Link /Type /Annot >> endobj 11273 0 obj << /A << /D (unique_195) /S /GoTo >> /Border [0 0 0] /Contents (unhighlight_objects) /M (D:20210608081201-08'00') /Rect [104.1732 154.0847 197.8932 165.0847] /Subtype /Link /Type /Annot >> endobj 11274 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11275 0 obj << /Length 19 >> stream q /Iabc24922 Do Q endstream endobj 11276 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24923 20690 0 R /Gabc24924 20697 0 R >> /Font << /Fabc24925 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1\ endstream endobj 11277 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=981) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11278 0 obj << /Filter /FlateDecode /Length 3248 >> stream xn#ίyU/ @r@: ;@e~?oZ*ɲFDJ~Z*[F~yuNKd8?ӫˋgZ~{mXR+/_JԋQ1`-MX3R(t, Ix; !_ BΙI:~P ~D*g \g:EuYsSH<_w qR6=E``8M/@fNdYb*\`l'ĊF%zѼgLV3ΖZ,)0 ;D =^i{,tQ^٤2dsСUH:G*倱F :k]4hKZEe[4.Ű^\63.CcaYp(Ҁ#>7CŃj3n<gs|GUDS3a11/Yʇ>iYX^qi 5M؅i &̯1Z ȺSGǣ6&d:q|n+m>,&Eec\oba6+6mv<f@?5>-Ɛʭ-֘"T*Fdm b8cT,u] ǶAǥ0yrܦ;>[`bi#'(=`ـ5@D{c%gpU|0~ϾTаO *` $_>wH+| ~UrWl1:A! *e?wbK1f.L 5wk}`O6я# Y t@P[bޮ, }p7 5D׭duRvH0c1!No,j=93̠0q%PNb)A81&wO#Sl䀗n+ځ3+xjy\)R͝I6GQ3@ EI燰S\u%rVmFk9wƲTQeX]?%֩ -~lvCӢd9HcevLˎݕ*ST5jKϧ2w% Tr|ިQ}-|F5.u5j)iv;}p#޶@:$ .f3U #B+ 6 O59>y2\c't8jr |64&y7qAӃ@d]!_oUS;q-?auEխ.E35%}~g5ނi`bV{$ K~Eꅤ_Hft~Dp6oJ#_Ì'SƖ8hn?[S@΀PC|/\֖7>`U3doMUaxa$c꽸{5D)n5ʶkSy45;AA$"6Cڥ<=/UI*>.5vܓ-\ly- {@zZM3/ǒ朙g>S{0TX< yjCjslWrq0eGJ1bv-0uPւ5>Iym:^+{4 G.C]K O;N( o<D/@/p1{h4$9z#w[ׂ2@ڎ|k&;tZ]:ȼ%7͢y d\LM~X nǬ1ӘUЂ@%'*:\EKݘ'yJ \gl (|]p1#jp]Ɠ0{upb%ne&r.=SiZW;.Pe$9?kҒ`cTJr>?3**T0eNm8Xʧs }#%(T@\zREcɢ!_\ endstream endobj 11279 0 obj << /Annots 11281 0 R /BleedBox [0 0 612 792] /Contents [11287 0 R 11283 0 R 11284 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24941 11285 0 R >> >> /Type /Page >> endobj 11280 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11281 0 obj [11280 0 R 11282 0 R 11286 0 R] endobj 11282 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 439.225 122.109 450.225] /Subtype /Link /Type /Annot >> endobj 11283 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11284 0 obj << /Length 19 >> stream q /Iabc24941 Do Q endstream endobj 11285 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24942 20690 0 R /Gabc24943 20697 0 R >> /Font << /Fabc24944 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11287 0 obj << /Filter /FlateDecode /Length 4312 >> stream xڽ&|}[}^NV];mwY㠯a]Wc۸ÇF_ލm\ AWPIp%ho _=\u_-#jg\5[4~Vv_Ya+Q-f -a[_N(vgjYmm/Qf  \a,,ߚ'ȫGN>'Xgu¡,^Lu%ԯmִ`-T`zI!ibmۯƙ B1>f3kHsrf B$>Ru5א֭P ,G5].[S}Tlܘt u;Q hw.]`oВd]j){R{eE24xpZ"GJrqm0jUIH$+d]51?W>8)*F J@;q6CHzMokd+=hMМ'zn+ȣQG&)FĮ!I-L곕 ɂ˂)lN,c92ɎvlTCFd\jB2EJ>=9- qH{%f'5>Q3ZJX})2.!P5Bۋ./Ǩ# 'y}"6Y1eq/OY9KzΊ] W VZCD%fgy&5H(H0A!ϱwIgHqҲ1qNʬ(J#diա03ƪa|f֒`dKUIY*U:$,qϮ?gwŻ4NNեh$t;rfyICIยHjKS>_`C8cAk뮊T8駝f@l2:.nJٔL:} lA\(QTDkI4L|7XX%[ʾĪGl2,%.LL9br/骅td;ܗ{hZ54j;:0ˁnS\mN8` Y#m/m\w$&^:9kɎ"I}!h{Zg ZPmD*Iڛ{1N( \dQ vju`.\A4?nܰYUhŃIc=uNjz܃+ . ݴY)JpB^YI Uw1Cqth瀦|Ea|UnµM&;FhOl+Lh$CoN|GplㅟIU:GymWK$#UO;r-!&Lf, jm1ncaM)^*}1Qg :1"7,!ڀl_),E9R3Th@wY˓V#RؖriB\G¸󑙫h _[6:;{qFƿːVbp9ѩtv }MEJ%2x.ШLj(q2, bБdH},/bHv>Z&LOk v}.;[֨&-e[YY??d<dc>EWvve_[=K$թ.sqRtYp&?.8SP )q!O&a[Ib/)] @2̓(v>\=f _zӀՄXQa_˘ _f oYLoZ`%?|P"cT MUGTjLď dHcqkJ[:oX|JqY:  n6xX9wnvXm4F櫉:eRsXWdWCVRtM Za`m&4\1_ލ> fO O]ݥ:a MX G*0}X@H=`:m8!i| %j"ʭׄYC} Q`ۖXQW`{2Y^ 55[MpD˿i!M3.অp!tep?ZPʜ4o6cY.1"ί%8sl8wP0@iRWIG+ @G/x#:kTa+'|Cq1]x]׿ͩ~+T:U:U_(.pdyS't lYYz*r{9#_(EƉex9X'F+/ܢb1T*̥P?ѡVSPe_F \brs MZO񽿣vo":YAVǬ5T;YRo6YKM,MgũWT_*lXLFuMvY+TRqf/=~U~88>C&mqNDkq] \1^ thqH-\$A ퟨz_}Ư`|CxKd<'}=x屯p%2s q870r>,V~W@mp=ooE^8eڡJz~c1es! }xi8wD_w2ÙCTN*T,yy~<Z|(|kU86GĂ|GE ?r endstream endobj 11288 0 obj << /Annots 11290 0 R /BleedBox [0 0 612 792] /Contents [11300 0 R 11296 0 R 11297 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24960 11298 0 R >> >> /Type /Page >> endobj 11289 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11290 0 obj [11289 0 R 11291 0 R 11292 0 R 11293 0 R 11294 0 R 11295 0 R 11299 0 R] endobj 11291 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 203.2722 501.1346] /Subtype /Link /Type /Annot >> endobj 11292 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 473.9346 195.2587 484.9346] /Subtype /Link /Type /Annot >> endobj 11293 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 457.7346 194.6317 468.7346] /Subtype /Link /Type /Annot >> endobj 11294 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20210608081201-08'00') /Rect [104.1732 441.5346 185.3367 452.5346] /Subtype /Link /Type /Annot >> endobj 11295 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 425.3346 161.9837 436.3346] /Subtype /Link /Type /Annot >> endobj 11296 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11297 0 obj << /Length 19 >> stream q /Iabc24960 Do Q endstream endobj 11298 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24961 20690 0 R /Gabc24962 20697 0 R >> /Font << /Fabc24963 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokWçCfŮc8c.@Br!sut;_Pt2Qh2 ALF  c̙h;IHt(sBD* ^Sl\9 " /5"]zPL.O5HA?hxaxwBD>.PkPݳ(E'ܱYZ)^v4m11o:ٓ#,J[OIkp:3ϳ-nYKGFxaH&E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( Ρ\_@%u^lM>` endstream endobj 11299 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=983) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11300 0 obj << /Filter /FlateDecode /Length 2051 >> stream xڭXK$W輐iPԣ{1 >ƞ5KeRٞޥRR"/)i ~ 5:}VǠ.ÿ_IO_\Vcf&ꧯcS1cΘGJB2ϕ;*K6LJAzMdIR/mm&YC6$M"P~N X6>tIXGF5dS׻iBϴOG%+04n1 HU4϶`k@0LP[鬯yW3%ß3cmL>o[c6Ź:=xQЙgޯƩQ! YgoE?:5ЃфY׋ }*-ȯoHߎj֜!DZ\K9@XKH Χ=$|T;3f}!l5L(s~RD(Ѩ' lyf+͔Fu(uӣ-D,m}g/8?# H>iT:&}dl)؈M^ p4ׯ5+Hy \|mqkS޹FlN\UoxbMSþau)udU0.v񆺷ݥg7 =;)Oi{y{+lhjҵѼ玱ƌ IChcȺ|ۣ3Axg!ԐfwVgt7Qh"&Bf|x#7rp]vrZid0Poi F 46;GOX#LIYE6ݝ|$m@]+_GTP8fL\ѡ$Ct\,k*f O!q1 3.Cb or(268 iiw=IAGSU`{eYCmƝ s~y`Ϗtίhxz{“uH\)"s#(H9u#ݦl5 Ƃ6x(s6I (}3t?5ݰPZzEC/uP1&|ͲHIs} endstream endobj 11301 0 obj << /Annots 11303 0 R /BleedBox [0 0 612 792] /Contents [11309 0 R 11305 0 R 11306 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24979 11307 0 R >> >> /Type /Page >> endobj 11302 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11303 0 obj [11302 0 R 11304 0 R 11308 0 R] endobj 11304 0 obj << /A << /D (unique_56_Connect_42_memory_commands) /S /GoTo >> /Border [0 0 0] /Contents (Memory) /M (D:20210608081201-08'00') /Rect [90 359.025 130.81 370.025] /Subtype /Link /Type /Annot >> endobj 11305 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11306 0 obj << /Length 19 >> stream q /Iabc24979 Do Q endstream endobj 11307 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24980 20690 0 R /Gabc24981 20697 0 R >> /Font << /Fabc24982 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 11308 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=984) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11309 0 obj << /Filter /FlateDecode /Length 4644 >> stream xڵ\K6WZ)v;@n Ş&, L.,>$mw&q[Wb*ZVw8MK1b.A;??ÔC^~x2&^y z5?u;'ldݖr^vrHl++uOFǎ –߆/T͔nx3|;$rYb<#>N262eTqgr>|#4̳1 =H} sP. (\Y>+XOܮ  ӓ41o$_dY_uY^;v%5\ОB~t~Viם\191z"@@3BPaAL3)""9BRrRrʯH( @()f8-!ZJ!?~3v.?ALʂѦ ]2H0`V z^3st\ol,BuX/`\ q 769Dp"˓Y7)1F䚙ޘT6UAlqJK$B*{{.ew|QJS\s<5$#uh]& 1?|{p; W[)*%3֢kzH_]4 Dmh ~ &MQfdo'pq.sYexṂ̐8SRLR)MqjZemuJ0"]uejk3V:%ЍC|^= ,XnC``]@:(mR?5Xݼ$ Ԁ!GfH`Ff·+V>m8%F0f,"^;J dN& ^dgL0 8W ġ3=Kfר5A90I4!$֑ XObČˌew Y )6auL$h|NT"-ߕA(DF"RK&̌鹙'Re`N]60picd0nOK,&[pbRfx01XnYbզ[DnmNQ#6Ƈdʊ0ѭ J6,2J:Az."x1Eqk5wwXFRVx9+K.ua}üa~m'6f^Hzx^*mvԆ9^{T#0&)j=c3C;Vc8s{)] Z#:lʺLR\lsU=͹ʐeĠiqV.//pqZ)_:mt& GU_< :罝L\ ~*tC~hsv{Vh9RHͿG3MuqV9.LD]n n}p{}pW E^e5_Kq6ߠB?q h6(K$/l"m3 ZXU=|+KUu0͂U%bG>^/WTZ(jtHGjf,f`n,P 1uk_[ˁ@|DʊRmRkX_|-աe:S%TДRdSA 3e{ X[QFFZ/Rr-VHmU!g7*Mb5םJ U\L &͠A~ڞD;u)-Ӵ؆[닢ҽJekY\d{lpj 2BFѾ8\)G>ñ8'LGkفGp;qm𶦼zoK_|lC`w[[?MEar+UmS%8߰]054ɡEAvr[ݶ u W[?y߁~ oط1ChӔ$eƐԡ&`)C?1Ll8l#ô"f##cX.o"ְaX'LAS@i;zX>T`EJ;kԈ1V+! kEyf <݋cy[NЌ%X]JW)/m#hN{߻tLir4aY$1A$wEtBGSYʫ{Kd9 ɎkDu>NKiyjۡC۴)o$m5%RɵG2T1]GY 4Ec\\0硩I]QӶW"4'PH|B,qfKe7aK!l- z,SdSw=Yf5gkT$Ռ7,nsK:ä8V*uו6e4[v$u&;M|=IOͶ$u!p VT6-l}?>qqUpy sE|Z_A3MShف<-#̂qC$Z\MU2ak^7ݑO=p0v.fre,*v3ܘʈa#nirYܘZYZ[%7JK[L1tMX#_}:bUM&oF〭g8FX:j׋`6R+iw*dImaZ ;gEߘ)CX%sY $7Y/MLzGzgMTu]x_ɀb 2E09OH*g` *;,5G qWo\Sksse6nΧo9H:@ՎeUpmda:(>@쫱 - VT_XzeTl!KZ=@jo{0 jS ۏ{v`zv;SQϋhƇ@S L> SBL&$>`;nL8_ z 697(Vzb ӑ f_ºiHtB]=ū涖W{K S L@e: dp%{cS?[3J4.S@b] 6WClncsL7b7ǺJ E+Wl=Rr6T} 7>~)J垮$|oųpĀH,/B]`TY~ Ob/6Ğ_booq7, 6_[yj~壿g2ge7ںDCז5\PM~h0`w#w%{',]8(K1^usʴ'cZ+77gmi1ˉiw&Нo[q]Ěʶ!1Y:-2mu.q=Ym>J"$ޏҔ}pC[Wy.3dbJ5ƔGppiYL"04\mnROa&zʆ,`Y21BVnl,K0[Wa%ự4bY?I6ub-hWyPbZFholf<Leq@KcM @HYo[21<W'⒓ƅh/Rߴr^P.):ـ˷X? q6Tms"OaY?ހ\iuMwari x, R#yIXR{ZvMO0>.آtj=YcBbK5隚ֿAly\2 4t<@SXSK캝hGOZ12O]YrPnͬA(".Kq(bp$e})}-%(]S g*|!#3fphUJ>KٹaF|i=ct+"qaF!$ʕe}VTY_DLYt0ptU*l#H.]NMvNE cݽ_Rfً>OX6q+zr9\y0qVŠS~1 <{ezP_?VӎYRWGL: tNunBjyy@G!:;0b:437  $>G_l'\OG9& W4w`NNOxˏ(*x0P ,m;)?s{TM_j/Gu^Sc=>Q<ɱ0ic #V~>5#fi endstream endobj 11310 0 obj << /Annots 11312 0 R /BleedBox [0 0 612 792] /Contents [11322 0 R 11318 0 R 11319 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24998 11320 0 R >> >> /Type /Page >> endobj 11311 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11312 0 obj [11311 0 R 11313 0 R 11314 0 R 11315 0 R 11316 0 R 11317 0 R 11321 0 R] endobj 11313 0 obj << /A << /D (unique_204) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 145.227 182.4987 156.227] /Subtype /Link /Type /Annot >> endobj 11314 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20210608081201-08'00') /Rect [104.1732 129.0271 166.5377 140.0271] /Subtype /Link /Type /Annot >> endobj 11315 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 112.8272 161.9837 123.8272] /Subtype /Link /Type /Annot >> endobj 11316 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 96.6272 156.7257 107.6272] /Subtype /Link /Type /Annot >> endobj 11317 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 80.4272 180.3042 91.4272] /Subtype /Link /Type /Annot >> endobj 11318 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11319 0 obj << /Length 19 >> stream q /Iabc24998 Do Q endstream endobj 11320 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24999 20690 0 R /Gabc25000 20697 0 R >> /Font << /Fabc25001 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11322 0 obj << /Filter /FlateDecode /Length 4581 >> stream xڵ[Ko$W9t~I#99)q Cb==YyC6Y$]Ś,'zbׯK3KK6ԥ/˟_b1Uk/_imOZ'}X3ӆ;ݠSilF ڷ=ts=h08$=pר}ĸ>[b sDP$w `#ݫ pěIʎxyNi&{_`ȗՙpCm~ިOU+n k~rݍ#VwVHN̢0ڼcTO1NC*ĪqEmD}G\ޫPn `znz!T=Q͔DKΑAkz s3,P^ko{NEWW/T ={v@Ƭ/&l0>ȃM}WCX_=Z=4iXhZ˫@mP4`K5ԅxxz.~a kDڡE?CSX&-'9Vxѭ>@O&}&7Si#joDc|A-0Sd %Y+$?wY>3FN,} @ (gW0GSrutM|S|=myq0EZG_k!] a@pbǁ9:Yi: uUZpt Bu`,fѥ[CgqҌ L&ǡ=M;`e tHCbvxz~Z~[(^7.8zB_hy?F&B[9AG*e ?"H 5;]P6d:B*ؐKTjS^mHX2hh*٭:b+$ }1MHAdJ)fbO#v-@#%uɔػu]iSgt%a#J!=4u{s\I~u"e:4ÊUiѩW QwI:C),GbI;(ѢTA">M њCZ}K]S/.)Q=U{GD֝]ީm Z#^tMI#Z߽=ݲqًMLTGtФ?ÍJ;ĸp@w=y&߉G%[ڼQVŝU4C."И5@EidGn$aRfՄ`FUevnP - b2#F?W5nh6sp^؄9 l(k\rUG~6h;"ԃU#"*kMADF`R! ;uP$rBvqNfՖ*fh|,%ut:p W.oidItWMg[g]$8ub7פš«2=ҭeKS$.ZvjJ$%M*-NsخU Zֵ|̻ b=o)Tgc|'ﮥmA=ǻڶ(mv1:c?JپƟ">02y7yCR m. >g#U?l="9n%<,9On 4ۑ[g| v/úߢd6c{:^36\q еwua5`<,ȉqL*Nٰ]V ˇT0qD&V|Jeo+4CJLD&M ~١zs[z=WVSE7Np|r*NRꏠa*uD8ؤ&Ox =doe$g1V;GP ׭>5Lpl6\Տa !Gc&H^ϒT%RR|6E"Fl݇{Us2f{ncZ͘^+R"!ꖄ@1'5 ͕hvnoe^zx{u3ϻL6HTc)nXpQއ]/)HN7 e 94i(`KYXǝSXh5!Zc+u^Ng_ 4l pR3y[w2M+UWn{+Q׻ y9Hi m>6Y?B} W/YjK ,v';פ:"^ Y+í}טܷƤtc |3mJ]qF$`,Kj] R',/R~ѳܼwvyJ^z<ʻ9.~Gb϶;Br 7W$˨zB%xDƓ2KY}r )AGP-Ɵ/M0*%xp)}S_mNgfzkŠ^M̓8,QIޓNcc*h.] [[(C=v;Ԗғ-ˋҺsIHjܞvyb5/ǂ!BY%7>nH*-LHIB>٭?͙~cw;Z;hyR !Tk[x3v^7I Mw+fq~-Tĺ&ŵn6%K~vdB`+hg\@+hΌu&\+}mr˻w>l^7|bunb*,QvOm0} +ͦSY|k56:զcrI5αͶj46W?i8e4 yx}[~VOU PC%] LrQ5}J2*ל>lzP&^4T酚ҧ}0{&)] <{Sg#]$ã g6I}=G|NU3, k%Cv__V xheLILssS@ج,Xb#Zk5;"zTѨj$ od^~}3fD?^p&̭Ӕ`IV+cjotpvPbG|t*\.M-,]2vj{ۘ2{4qskL1 vZ{Fx>-6Mۦ۱Ō&ۄQ4TON79.VB'gޖ ~."M*ȥq3gdb7?޾\6"Y+ܖ~[jGf R'Qm,R#&(#GO5JZ1tUC'd}Fbncx=c.xXڸ{O:YK=r]zrl>h>ϼt >IVyCC {('pJ9Ldo;*Fh횚y=Ic};vXJK4NK5)Tl>NTd~9>5 >i+$pMM*eI:Z endstream endobj 11323 0 obj << /Annots 11325 0 R /BleedBox [0 0 612 792] /Contents [11331 0 R 11327 0 R 11328 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25017 11329 0 R >> >> /Type /Page >> endobj 11324 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11325 0 obj [11324 0 R 11326 0 R 11330 0 R] endobj 11326 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 176.3662 709.9] /Subtype /Link /Type /Annot >> endobj 11327 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11328 0 obj << /Length 19 >> stream q /Iabc25017 Do Q endstream endobj 11329 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25018 20690 0 R /Gabc25019 20697 0 R >> /Font << /Fabc25020 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n` dЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w endstream endobj 11330 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=986) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11331 0 obj << /Filter /FlateDecode /Length 1131 >> stream xڭWMk#9WКWK`0a˞Yg!}*Վ3̄Ŵ*^}֯joM*N_tYζoVש~6D^Sbԧ;qOwmstS~GN;B!8Xx@;1KŇCǓ4'сth6MQ;g/7zޤTl)CGD&VF!f%Z7+hWRɵ)j WMRmM'޳~Ȗ-ySe.Њݥm2O375y ͊QolQb_;u1C4΍j^lԕ/؀Tbj^٠5{ vjD& /\okzGGg3A U} iל~q!+R(|9b $Sk~qd^3d #.jլ~x%[+2 z,R0jnUV?*Uz[?lubpG[I_q\ˊˀM[A[g:f8T ~H2O KDЭ1/>ej}j*4&L20ZXZF&F#Lm{+kEisx(,9RzMv)DTrk|"ƹ-F]9.,WX(̨F K9@9 q|ڈOw+Ņ&40ZkěpY&rKvJ\R4C[܋)cNYM7TO >S^YYU;6WY 멞Sofjz< 2 djprt3g_|}i He~h^n=Eu,6 oc[pLm'vl{ةw%JMu(Zu7xcw5sq=> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25036 11338 0 R >> >> /Type /Page >> endobj 11333 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11334 0 obj [11333 0 R 11335 0 R 11339 0 R] endobj 11335 0 obj << /A << /D (unique_56_Connect_42_memory_commands) /S /GoTo >> /Border [0 0 0] /Contents (Memory) /M (D:20210608081201-08'00') /Rect [90 344.825 130.81 355.825] /Subtype /Link /Type /Annot >> endobj 11336 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11337 0 obj << /Length 19 >> stream q /Iabc25036 Do Q endstream endobj 11338 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25037 20690 0 R /Gabc25038 20697 0 R >> /Font << /Fabc25039 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 11339 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=987) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11340 0 obj << /Filter /FlateDecode /Length 5298 >> stream x<ˎ#7w~(4PJvsXfze~gf$Քw.+I #"#SZ*w|.+LKdRIơ-хh򿞔r/`\yծlrvu?]ruҦ;;T0#\hw O0_78,8&rB_R-!'ektZ0gʜRϜaQJe/}^**eEGPJk{kc zRR*CsAT7\JBNEr0 F8M|~ ?)`A ^:Be/PÒsVȄo9tӈ̈́%aNʤ:0ex}Kd)p #yФ')4B$ ˼`,Xy@EG}}tۿ.\Z"K6AOU4蚚*R"^'Xx66*/gEzm}H*ژ ==E9K8XI">΢&Ts+j8* *KZx e& 8\]`,jqXykn H^זyMSRL.pp_I9c~cye;~΅FTט*fiuTv*yi6@u}nbT*2oT̤bPB7]8s[n'S{Wwee#\RvپC7ZlGN8bhǃwݠus㗁eyÛ8sOb)±u~H') }&s߬Hn!=B#%؍?g{<*t۰u9TTz];yf^ C<糗RU5iϻΎ *>(&!b+z{5H(N1QE|0snUҎO&8rg!wiȯ+ ځOGQp x8:,8u ϣ#x_h@H_ށ{^技CcѡOLgǹFL۫&s6[ש-2˳;slamEz1ppMw,ňN}+D.+ Z_WA9PQ"Zi^t6֗kX=b|#JSJr2LUtXh v!GF:}fWQaaM` hE80u*q.k}ɷVs e{qPX7 CdL3R5XNѠȑ9zEN0`F Z̀ ЭN{-\U]:䖸U4Sȶ2r/Q /7I@D$r#.LU>F鑸[E̴d$Je{G~yO*K[I.NU@l (dT⪕VP\'O{3?s[)r,hynIE^tֶt-ؑuTc2@LX]WMmJ0*ӽvjUϼ仛=m ?SۛƸ`}f'&ZYW=hӼZ>QGJ=iŅu?t~q9(]T{ؠDz4ުa<,aGUAa !5 :cBܕ8s\FfVfƅkB&O^S|t8;^LN:hwۓ ꝃa6,6ߢ W5W]}cm?mM*^ I2_ӽD :cW K^p6-u_#]&TMdw[_;z`?3a ^q,_bEL~kG GJ^=zUEsF^iE6$fIE$ J')DBs3m&A!'1ZaA%čɣi%X&0&a@̹ 5KU46C$h_΅ hWɤfDe(2r#>Wɡ\((<P=[ut#<^ 0?WX8$!p4^%F?iHYG e:ApN4A?%7K( a# @J >Fvvı(9XM?D +bY=8h0fXAq>rQǴĸX,РjTlɂ׀J D0!PhZ )C2 Q'+N P8k9%Tt=+:/@դ^`h;2! xE:ةq9pф+`ä&@ Z 4_b`N:7d=pKEYd6$shTT!o C7HqXk7E8O-ޤMS8%}k_AhJiIk_X^!ܴbz*(-->~:,z >Hm^?۔ 0ytsr u]E+W֘h! W ۫Su{ m^]3P~5\{z&xbM BMWEX2e!eY+BH#t?)H̊!K -ݖL}!X++9pN{x$ hN+ƿZ#fJ""*~kDZ[F[Q\?A(7 [k na7ƒ>HcsHIғ1yVz[ms:M͵lX쮺#k1ko0,P{;p!X{8}$ͥ˭wvL2٦jǠgLԼuzڡ!ÉU2ȃ7:e^.=s7̉ieN8gd8 ;بFSd~տ21 {i$s4z9/Ͻ@xLm^U\Gr}SHՑ'|9TBi zz*u)MJ"t(. 0jf2Σ:[fgz+BZn{p ] h y)`L?&1c|1ZfFOIUXf#&k$Tby(;:;K:3 }P^{j˳_RH}v:˻B7pe\ ^抁sg|œ:|^_=™ ![,(g$'ͩ_/8+|G_l55L|Qb|T+/ixF0AߕO\ܒͰ #t/ d endstream endobj 11341 0 obj << /Annots [11342 0 R 11346 0 R] /BleedBox [0 0 612 792] /Contents [11347 0 R 11343 0 R 11344 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25055 11345 0 R >> >> /Type /Page >> endobj 11342 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11343 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11344 0 obj << /Length 19 >> stream q /Iabc25055 Do Q endstream endobj 11345 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25056 20690 0 R /Gabc25057 20697 0 R >> /Font << /Fabc25058 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱYGkڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XLZ{//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$H endstream endobj 11346 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=988) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11347 0 obj << /Filter /FlateDecode /Length 3855 >> stream xI#Wـ $u| zY$`/pMK@S;)N`p x7XL$<'6أ ƙ5& BpPvu+?wDJJ)Q1IJS=)">H׹3vx~9$2?_C5ڿK$ƂIuU$|fK,F;˗D4MwgwyFhIt)T!Un"DQ-x%R-nqt/9H} $'6ja$ˬSu@`MJ@(Ãþ /a+@`SH~474@8XoaQ*n Ƽta-wTLg]p3*{aVU|7ɍN$-#`JD#_Ԩ%GA F<ǥE0(ޯ^@",1Oэ!U [7aߡh%hD@an"`pC#"AgAn%%)vּ\##KhehZ 1*܏+vTUu8G@߰L*wqmSn2AXhb8TiMyDّ"ѐ9"ʤ jzRJ'1G橊z:N"0 zΤ o5>nE)hf.n{^nu-%#'A/[w( d>i4: AeZN=WN:qC!(tئ-[, 'htFL÷`y#$CKɧ:jYg hܻ5HAdt7~廚YDwoWB%_<pK3v+j}m2oK,+ uZI!wa)m-~ٝ+y@5}b X!BIg!ԫ!M!>G9 m#yVaFQd19gXӢ[ԦfꝞHߺ/NH !$+9^[C(㼷QbIT1~2MŽs&ي)iR^)eiCEH`FԘq)@o&)m3 zHĐTnV6Dv/DƗ'J qJdnV0UYj|V79NW<>\O/>}bXsR %%np뒲qItOJ3mc! /n3fƧ`Y^kI\m7s)*3b~z @O=0˽55'R_[j?EC=W=~aSfOf Z37 kk Enۺab\C6&%^OTSް" 3I0Dn2rYE:6y;ΘЬU\`5TN !ep3` ؏msag6٭)֨V1#Fe3WR6=1}Aܪ9!wdÆgPm7kijݻsY2d)mWE6U]i/7} sNM"9A$*Ifb@{5/{7B?lxf?~{ݙuGY4_2]p3$ Pnxf(KMTj^Z,KrLiEwSIs$Oz#u]_x;##m\lioyBy [^vXŬ!$# UF!xU~$W&ܩ D[<툹5O֤^AX qWZm+`Xª6>_!r 51jvJTut W:b0_>|^%&3]r@P1܇iݪ5JoKF;qHOaD0խ;6*&, 10-5al| 3in: JWf,,'jC\dࠔ1a0yK} "}H8{$\ԧ@\3pG֌tGb Rg" }=*z^;'~j,98Ţ-4f_uN7_ @ WȅE+ _jjwAb{"W;W o(fq bO3FF0UپYPpG Fvl*4JOkƶVHI~ZGP]ZdHi2fꊏh:F6l[2Au|dBY^+Y0e#D?jLFjlF{V Zck͟ۉ~|KH;ܕ c8d 4I;&={.]߃)o\ܫx ;&aD9%|w:o;6qtǵ@֞i%X[Ӻc~e=80䯍z& 3(IOuJ?a& +!/w2`O _t3@H0KFký;U+g1^_ h-AYsS n5D1 X,i—F#RKϐ! :Y԰d endstream endobj 11348 0 obj << /Annots [11349 0 R 11353 0 R] /BleedBox [0 0 612 792] /Contents [11354 0 R 11350 0 R 11351 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25074 11352 0 R >> >> /Type /Page >> endobj 11349 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11350 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11351 0 obj << /Length 19 >> stream q /Iabc25074 Do Q endstream endobj 11352 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25075 20690 0 R /Gabc25076 20697 0 R >> /Font << /Fabc25077 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11354 0 obj << /Filter /FlateDecode /Length 2020 >> stream xYIdW<  O51C^v:xp7YzGlڈU^%z6~O6xkKɾ|x>vK|ѾP0zjٗ?P?퀶Nm7[<m^ BJQ8 g W޷z X#u[e~T h{uՋ!8G`v>ļ1 Zu06}Si#*6U_1˅<0FMѶ'?C! UHU̹VÀ9S txۮiWs= b]VpSaw1\uoʐ@ȇ}7=@-G=#BYFy1UVoKf[vO}uͪm]H. Yʜ'cz ^fxonqx d@?a3P|̯dv3 /Jmc:>9[kW5>: j3ۅ3^.3{ )eo}R?`vJ}5T ϶Yf5g6Qn &LO3n$ tUJhB=iun{ݣJXRRq Ksc\.|>E0_D !f>5S?'@I./]&\dM;]wE"y1*Jϑ݌qըoj< (?eeKֻܸK6OñJЏ^ӿ_;>a}yh,.0X TKb5 Y!!+r%.QT}VU9XZm(8XR۹rq\X !8'kن~Z#ҟ#'a5p|n !㓭:cI >zDKuT4ʜ69b Y(^nLF(}Rg[8;bDzROE5AJ`uԋn .wØVok_hµł+6FvvȰT=4ajwH0NvV\[qd\MAZǕ? 'Tp5{ L7\lxr{ N:؈RCˀ !*DB2xh΃-7[n|g7,W.E,ǘWP9  ''v@DA{NcTՎBBA16=鼈4Yt둱ً|fGY<9⿀Ă2'3\Ctq9waRM G{+)OM "pd (4YP ^h5[9RyT?{2"uwg>OF-钮%fjJ4̢%rMW~8(/& ?(@7@[(HG0|oR ܍{iK~8jf}GYt}Q`swn;̫~jG2ïII|%0]t" ;r"-C^cW-arGPj u9$Ckh"Xg K!]"}-f>33LX endstream endobj 11355 0 obj << /Annots 11357 0 R /BleedBox [0 0 612 792] /Contents [11364 0 R 11360 0 R 11361 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25093 11362 0 R >> >> /Type /Page >> endobj 11356 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11357 0 obj [11356 0 R 11358 0 R 11359 0 R 11363 0 R] endobj 11358 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 291.525 124.1605 302.525] /Subtype /Link /Type /Annot >> endobj 11359 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [129.4735 291.525 180.4255 302.525] /Subtype /Link /Type /Annot >> endobj 11360 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11361 0 obj << /Length 19 >> stream q /Iabc25093 Do Q endstream endobj 11362 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25094 20690 0 R /Gabc25095 20697 0 R >> /Font << /Fabc25096 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n` ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$TrS¯"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]h߄}j]֠zfQJxpfE3Rӻ6{ik_KiRGcb>tD'W9F&J[㫤̵R̃sV7&o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}1L endstream endobj 11363 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=990) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11364 0 obj << /Filter /FlateDecode /Length 4353 >> stream x\K6Wl@2ߢBU|0SڋA_Uv;+E F`?3h7׼ Viuk:?>n>IkrF*rʕ-WjSLGϟU*TaA#ˣAza÷i7T:). nI;fff/#43_ 7 eJCXY 1al>P֖W?*e_#u^kwG`_L~ԕ||}ba 3apMclĽXV=L8q<8;9=6W@ߴN頌'Vʣy6M,Nd!FDgA_f\s܍ʠzQ 5+ڴ`+~1my5SVʤ{I5 0`|Aq+0A'@ ||QBNh1VI07ݛ@WpY}S" 3rFݹ8%ws~/i6Hjx yp(p>5͢Ѧ<VYCۙN4ĐG#%,( 8Őtzl~Mk0 VnxGl#9<pLI/j̍^9$tsn%t!~\MLWXi7zX be?ˬ3}ȅ @S;0.Pf mHm]u]Pj"PG}sKcBZXN݃\h:;^^W\泂^8n$荋sn!~`hRT{FnY zg.X߱ 4z2٬9 hu!'t=Lu /Hk; )"(.ίNf6'athZp%B|헠1[?`f#L\VpFvw[3aGrCK %S3[[GAVx^gz|‘fEҷIDATÕ<mv1goX6+'6KExqzV6ynO ЯȝR9Z}ij빛N?2,ot4B.b[x5+1k_~| = ;ׄxd6z2Elw.}G,ԋ~CW계5}g3݀T>)9dr4bf+uW RsiOGМ'oj&ű#]炗BC,W'u-al)2\JRnbTnX2VlK|o Ϋ2ZΆV™%Vjj̈ pu-\8daNnĖ"4.Ţ8u;URS WsQ3uS&LM'v^,h}?E^y-]'JYu2%\\b^祜e\ڥ;!;-d& n.GGP lQmZ6\Njc\)m}jzjP]?rP[fZ};N Ym]`ZfBZCMH;>iBVMjyyyYƨn̏~8w+O(ꄫB)\[|N3"+Z^PSv)%b:eq]PwOʭHlY-*pmd"+( YdVz#.,0.˖wb(6`fdu8Xx.FYydRyw;h7)wΉ~)gݙ7/)ޘ&~Ze1G\.s2``qdrCCLyy)rv}nhot$e]r-'ݙCssҙ,~c-y|U21;Uv>9)[8b{73,Ü?%c fuL^8c9Vz"PV!yL2K ѣ=-k~ >R[M vA)BžS2Wgdn8vX+EK61t<^3ufe q-DKrU=93`(IV0ĢIy;EO֍ŵ9E;\։hXSŒmD?:~/a-?nj3t@{mMVZ<1`ubm٣{eBo,p>{RjeTkN~Y *- ݔ1L/lJ[LxOPlh$R?kgFqIZqkS`|ģ^UrT<{g݊5`epxqID| +"YxGY6qsFVNi;{Qޚ)YbLS{O4s@wήj:yjQ{ C *l!]G,Zzܳa@lS`>ci&? U۶lpWCIqMRv<-n*RkXՐ Z?af1kـl`Ün^+Ku(SL/\..-h_ mw6tsmX3+]xZ(A8 @V'fI/f,ͼ|ƭ{:iBM3Z*ig[%Z3>d5,vۘj`3]tj0+8F$5iK VT vwc4b} z>hSHO. ƻ@MŃaVԉl&N2 iQʭ ~S 5֭P*'!U1F618P%DBsP'Dg=h$dTiܵ磟X` Ѩ$9'ڝ1$}Y[ѾeIȤSKÚK.>jp3-yf~J73蜥z`wa%Y_qq]m/\tʥq ;3|&i+EL#d4iXl0Mgݔ,Q8<23&r3tP,@PwaA<:tqIZIO\0R[i[Ak+dښq}I Gu݊}T=<(Wꐲ7آ+f :J!7&j55e1y81sr!#/ShfkER{B>6 J,)b_]b69԰};\.%bjCFIz7Bѡ<Vx,j*,pKڞ #R[|ڱ<]HT9+ҕ ycZTz_b3047F ߋdp$PYe+A25bU frP0̡ dn{1Qc:5'W`yqK1St#W zm~$o~"O4>.& Ooϟ( ,/yr/ŷpgBu ggy}/>odtO~,rFWD!I,?'H>K̻,,QAǢu86g2#߫Goez7;fN9Ͽ[|br&>ȗ|V듟R^bX6`Z4,ΰ endstream endobj 11365 0 obj << /Annots [11366 0 R 11370 0 R] /BleedBox [0 0 612 792] /Contents [11371 0 R 11367 0 R 11368 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25112 11369 0 R >> >> /Type /Page >> endobj 11366 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11368 0 obj << /Length 19 >> stream q /Iabc25112 Do Q endstream endobj 11369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25113 20690 0 R /Gabc25114 20697 0 R >> /Font << /Fabc25115 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7EthǬ_{9;u S,!.p' J<jer1"-) F͙h;Id:%*2%ī+/ה b6M CZNNj~ۣfiW!g3ɅQQMwĘ d?R4 <~UW<7!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11371 0 obj << /Filter /FlateDecode /Length 4574 >> stream x\I#;W) EW { ĪP7}ె$;bWձ}v/zQRݒ4V e֏bLCIWB.Dhe-E &nˌM= A欔^rF]%5h<\>.N-' H޶L*¸T)'EP,7^|En%x2Fo*PdT4.t57}t^~VzUFtzWM^%ɔ^=|YR20^T[9wؽS&zO* p6o#l`]kv 4H$Vt)e%\>/js,->5||>碔/pø%jPt*uPg^,. :_ %5)P U@9\A>QBA1.NA9 NDߕzV:sz , Qhi,m[6I!>Ra~Q`8v'Rxfậv@ko[K&)!p{Yr RC@ H_J2Ju M4wlIqg}%F6_SǍ7ʼdh6E-܅ ^=9pD.!N\{cS, n1GĨ+CZb,w\-u=9iMt K_m$O'к=tڻ`}(GD;xlu>ц1=;Y2;BaS^R quW5O<ƭ\ > lx߰ˇ8B\@ Ⱦؿ/;wK$K4<ӳJKk fw?ߘOXMh# R2>iunH*^kd%xO&\#,c͠eL8\2{k!mE#B(ƂNb)$1ƣa>T;xDBi6Ylc /`z~p}gSrZ\[NDz!-\7='YN}މteWʝ" jsة5|[& 㪛 #ƝT"D$.:&4 ! KI`=0R4\p5.8K4cܑRހ աfWOm_Vl7*ҮIC@ki]i \\Q]3[oҪHp'cW({FRTJb,Lmx0a<ÀJ\k]ou+ =w/;l78  Էƾ,#ܝ 9lemP&Ml\ܵʱw(EFNg/^;H^}hS6. T땃 dVڑV];2Uq^%]9b,"@Bցu(ej@.&A:@;|tJ As!e\C^lɿF SdD,%SWiL3p4[U#l78:A'PQi3ƀ5cѾMWu1IlfaO]=J&U,eVjQ2N9ZU!=}SQٯdL|L_kK:Il<}YgܠjCiI{ss0`OyoI1j4RaŞU܁Ak_<+Ňmn`2v۞vVc) wqrWAMu@Ђ4 H 7%;wCU { )d1ǧ1s[e0iԙ: }(^Tޏv=ۀ`oCӓncDUU@}CVkj\R^ubG_^09:dϷ7aMR(uC b.0& \G~pT5Asj@p4m@@\Pè-]0G}EF f =PTE[fEwdGZ4k` z`GIz5̞ Me(F'+ÁA4^>ݡᓸd=y> Sgxq3ua@2&qvEE|Ex3$g$!>#y;껠QX MV@*3>aSJ{a.wP&_Bu5BGUT¤ 7spv.xFJZpfxb|؂7]]f-<0/KkY]x*vsVo:ִ=]wRvbǸzc=Hޡu%Ď! 69c9WBb`>)AW-QPCx*ulcB(X-.fHtsmimn̓iz 8P۔">tkAf}D;; .?Ļs]VhbGPF䔕1Ų=mR'q_kKeIIxi~L*ԯVխKFI_cyn͓%iٚCU7t bmbCFOMG60aNm`u=`m¯7m.b4*f`:+em@hr%3Os2sF7ybOGuա!;:E$gDZO%76l < o鰇ޡ@An΋4;KCYW]i bٽyXnI}q[{ }7:&[N9Jk"@瘶 }^<4jQl 6\fNo:-nWxu-vrw(S<ѦuuQO}pd( ]&'{փ}_%kwnu$bşǖ2ɍg_%ѯ{5U`s,z =Q17LL֐Yq,/Dz6^&_cKlwAf1wN8/lQkɍSMf_R6&}xwU1wN2ip2dϳxb^y:g, xmas:ϓvY#&ǰousM9ӌDŽt r9,XAM@& Ai6 N( =<=;ed\?ij /AńG`x~_'Z,A^i#>20P XxT:\I " 13Z:&zM%Dd9,8P< n r+FJr/N9fcFQkk)@0+JTBwoxV x1ϗRi)nH9LQ_ZM}kG۽kcTJgؚ2e =ǒ(Zc~čA6&28} br]X.Ap/~0Ob|F̥U o 0']ܿ@:\M䵜k40~KyAb2qRL1}WRg"}m/qk+P~$T||TtZ[ST3_R2\ST7ك;\Xhay6 endstream endobj 11372 0 obj << /Annots 11374 0 R /BleedBox [0 0 612 792] /Contents [11380 0 R 11376 0 R 11377 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25131 11378 0 R >> >> /Type /Page >> endobj 11373 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11374 0 obj [11373 0 R 11375 0 R 11379 0 R] endobj 11375 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 243.5731 146.4737 254.5731] /Subtype /Link /Type /Annot >> endobj 11376 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11377 0 obj << /Length 19 >> stream q /Iabc25131 Do Q endstream endobj 11378 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25132 20690 0 R /Gabc25133 20697 0 R >> /Font << /Fabc25134 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 11379 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=992) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11380 0 obj << /Filter /FlateDecode /Length 3436 >> stream xɮί0`73zrsASU=bؖ[=dbU\q  I_ySYvIvzySM/}BJÕN:(U4RRo @1^x?RJ-}wZImiwkօfL铔 H,V/g׾,Ax H\C;Zp_Ɓy /+>uj0'OXŢN!෈mMQq~m02˾s s2Rl"Zhc@4 5p;θPY(t2d.yYS'e$Ed e^'*‰hvHKBW]t>]; C~K/ENHLt czq]D?$uyf</JRm2uup#0}m8Bɭ4-f4,#Ҭ3uJA$T`PLɃ"Ұ,ǥ^U0mțMD3'~QZЋ&l{N D9+<)Ķu|J!F!օWr O#/6= ] j#$ijۮ9m`F_ V'uUu®p8 y%'aFa%2Qh'!tmDJLBٔJ6 Y*ʤ!<0eF`bWͻ倖 ެ#jH`_swI&y#{(eYff{bM*61v3+܏eخEXDp+1wBU2v [zUQ{Ⓢ2  |z m׈gAbw rk=w-q Y5-S4dmYDM>^6]@8+++ &$B7p%xImo@f?ſtRx/,sD>%gAesߠGߎ%=ljo@!)*b}Ͼys}MrBcHp% ʔq7rw yUY6wi%㴒x> pA#`HlB.8Gp Aa/lNE=ETM5w[ . 0IArL چ"Rrq@SL1w6\yGGn[軤m`m {|G;#t\D!]0# ΄<μn{ YaERܰ ,IǐiPQ&ނwRO"UMtXN,P Ǭ=eagTN}s8)=s?-;LH;fg$O l  +S0dLRҐ`&5PߗSQ(8{-y̋D>2OFDy#V{+B6GzcT8y35lS-5ŃQ8b841TtYSS/\̨cC;ܕf۴!t ڡ_Z#BHX97` { a֑?ilG bZ f=_^T_VE`B2h?P]@F<` ^fk:pwjus0# y"Ͽk!J$kUX,] #zO߇sKko'*[*Obd(oNrjǞ=IeCR(ުgP8b뒰pE3Knsq}*Ŀu RUxMMY&dO@~" S<[XZo2=<*7SP!ZkUCA##Gl`/$hr룴C3McJeej|&JE6Jt`^ڴ:kC0]˄Bz%BJksd_M^V Oٖ;Jx֍-uG4Ad~ύƷ]b\k}Tɬ3Ɏ]vXo3"Gf%;ȝi>ʱVŢ9<Ѻ X1x\>|pD<> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25150 11388 0 R >> >> /Type /Page >> endobj 11382 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11383 0 obj [11382 0 R 11384 0 R 11385 0 R 11389 0 R] endobj 11384 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 303.125 124.1605 314.125] /Subtype /Link /Type /Annot >> endobj 11385 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 303.125 162.6715 314.125] /Subtype /Link /Type /Annot >> endobj 11386 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11387 0 obj << /Length 19 >> stream q /Iabc25150 Do Q endstream endobj 11388 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25151 20690 0 R /Gabc25152 20697 0 R >> /Font << /Fabc25153 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11390 0 obj << /Filter /FlateDecode /Length 4049 >> stream xڽˎί F Y9,rr%zj4nɪbX$˗,H.^ZglJ?/,:&[>.?ht{sͶ-Iݳi_[>|T_6$`Kоyzxj?-_ƒ^3.Ӛ_]#0+ߖk,< =A݉ߍ ?*(h]̀qNvFj:Q3>bp񽖣ԤGJ-ገeCUNtA!8+]t=h֢ 1ZxhjP&x->|D h&._S1Y^y-% gD&z3Ao e.e4nģ5:ݢk!3@[:nC& 4s!.  %Y '0J]0l+x1brJt{,q6Vj"C";/d6S;s:.G94Wޠ9ϝd 6V/K{>]!yOSKX [mB- h8 uBrU?8D 3FH*VgƫƽC/J셤i2-0[k.}-#F ĸ.pA7l+?l 1s'p"j$"\$*DTط"Z (fSt)8TiՂA㊳'!Ik0{jn}t!;VpeE^lCY @9aь32 X 1K UgDұpf_PH:qzVoYU *pZRժnt #G[- ;[=jnuX.Y=:f %P[T.e7]VV[Vos{&n;no|'cbO knuuI!p/V~Wx S2T(*@&0jasuK ИF3J}}Q;ڊ j5V.F ,ZBbm ouV>rai=Q:5$C[d>g&럻ݹ/Y)|(qkK D!B=j( AV?n2ǥH@yzT`!1z$ iAi /.?9w+GE  قs=C#h,K:x?ԩ"}PWT[.b 0fX]p*_fڀ*3&8 Q#;V^" E!-&";g!a.i E[̫9F3l.#@3RG7p̒ E"\ǖ5' ŀbǐ)Kް˲q^F5,6N`ܖ[0Xrc(Ge"7` X9@eL[5KA׫i Ov~^&E3|\-/s?&~_oPMimU[ϼ3}#B]{SiJAvu}\ف"Nm}j:y-2l~*k+y•1[3O57hksdC;y 2\ri$-FP6k%7njhuMb0>J\ĵ"Wk$!fsWra;uYQz__Mxo„G(aCfPuK.LEWKYi;BQՉ &N4zo`TVZtM^n.fsh1W[o [v&יZ«@;=T a{vY Px`4~DTaf 4J 65d !e< ,3h n0 0OP2&+|+3?~$.;kBQGF z<7k:!%7~I6y {ޯ| SU> *ݶG)*.'T#$kjR/Zg'$ЩҁQӈuŭuY5Xn_`&ELܥ%)F q _ O|j鳔xyv K S xSW_ᇔ\vKfDN٤{K^DUR*+r~XrUCֿPl%,nnhn>7HpAv2n7ޛAQÐ->mc0D鮖Cbe޵5 s`;'U~JfLx $HyaNuy< lEy\Nb0^8dkG֓Y#*Tǟ*sNS scb;deM|3_s]1agXeDMBd 43c endstream endobj 11391 0 obj << /Annots 11393 0 R /BleedBox [0 0 612 792] /Contents [11402 0 R 11398 0 R 11399 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25169 11400 0 R >> >> /Type /Page >> endobj 11392 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11393 0 obj [11392 0 R 11394 0 R 11395 0 R 11396 0 R 11397 0 R 11401 0 R] endobj 11394 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 263.05 146.4737 274.05] /Subtype /Link /Type /Annot >> endobj 11395 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 246.85 148.0577 257.85] /Subtype /Link /Type /Annot >> endobj 11396 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 230.65 180.3482 241.65] /Subtype /Link /Type /Annot >> endobj 11397 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20210608081201-08'00') /Rect [104.1732 214.45 139.2412 225.45] /Subtype /Link /Type /Annot >> endobj 11398 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11399 0 obj << /Length 19 >> stream q /Iabc25169 Do Q endstream endobj 11400 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25170 20690 0 R /Gabc25171 20697 0 R >> /Font << /Fabc25172 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`LЎYzrq"eYHUC.$.p' JdAb2 ALF f78ECIB%9Wu%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxoBD>.PkP=(%NW!ӘV tw%BJC (aNs(?`|۾{w endstream endobj 11401 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=994) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11402 0 obj << /Filter /FlateDecode /Length 3559 >> stream xڭˎίyFh6 ENNA0離X|ud6-ɪbޤ7|lwYN&]~S^W ߴ|ڰ(eVW^IE|7<'`ɖ+Oh'_jh J!aQ=Iə?WOhOO";”pT9(=\t0X?׿ ]\7 bV=Ia=>¹0ǂ/ev3|ya\?C(߭.G4~9kOB=>W.O2"mn9 kSaЂAT떐lgihx$< K蕶ϛ-z1Κ />>) F$<3l8-$U71UX_:TեM(uv2eޅe Z%17]gPg{@"*jZxQ |.Of'\L=WtEXDP A6KZ-Pj$ұ՗Q%CłẌ́UGƛL sGq;i6Z8P{E7Y1_<{>&ѱphq5tZ}nh+HJP%o?nKd),.p$kuxAD'p`쒳PuV#3J2t1& L"whӌL2l12[TfWrCI=%FT7 鵋o *F!Lu/$\kw:G3X1s@<8:>w'] #`a3J2n5ڙ©Ch k`c,:zѸ0m4̀q>;Lm;JxGf/<=8Y5{N99Bm ;8㻀W!4 VJMݿ]3#oW(ߏqz$⧹)O}M V([[-oȆ: 78žӢbY/`ƈfZKⷝ!&N/\ÊQ/hҵ$T&;nz29d&E<2:):cI Τ2|0DkbnW 6I4k`q'#=>nekTQ{T:0(3GO1FWW%!錫B@VU{j^op+ɄTTy# Gj4ָ()oϋDuZW'bJ F͹z763^$I_Ajvg5а͂vV)4eE⇋ju"I Xm yHqM>+xG*.=Tɩg,iYfW,=ݴjTu}wS^!"4ԑK-<"@Z/O_FvqZ]n9  P)܇b;ζx2#7P/c,MK2ax1S/~PF&TD!n2̵K2vY-|}"p+m`ɯbp1vw2 ш \.1F F@&X$aWY@ pOMW.N3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25188 11409 0 R >> >> /Type /Page >> endobj 11404 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11405 0 obj [11404 0 R 11406 0 R 11410 0 R] endobj 11406 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 363.325 124.1605 374.325] /Subtype /Link /Type /Annot >> endobj 11407 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11408 0 obj << /Length 19 >> stream q /Iabc25188 Do Q endstream endobj 11409 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25189 20690 0 R /Gabc25190 20697 0 R >> /Font << /Fabc25191 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛX endstream endobj 11410 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=995) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11411 0 obj << /Filter /FlateDecode /Length 3993 >> stream xڵnίM@X 99yVOFhlX/Y5.+|~^j]bXV]}S8C~yz^qgLx_NS4ɷ'מ⽒,}Mód+<[cxCiӯ'օ :MV|BѩhlIv o'_'1%M̲Ӄ1#|G`;{] w"]tԐ'0hSbH2JTWe=| ڟvSxrE >HP(t^d.!ZJ!ok mZkl B03W]Jn[tXp X{>z?G`Xdc2/~I< (]Ei.Ub> 3U^\~X1 @1O svLPWdo $;:[ nHθu, 8yV5U Ic"~GѠ$Uc3`N>'l_5m&ܱ9/-N'K٫9"%FnVWY츙dv~/c|qٞxuر٬Gog*'D(>hITqX0}}m`oNG1븃8t[OLq)˭KQ.eu)ꕥ࿡y/JMGexShj6`pB s6'z232T`ae:N@Sw᳣Dm@[:n/ V뼭A a[Vpb$7|l-;‰v˾N;.jP㒰4a)iCm:q&#E ˥`3LD2^ U89\8I8w4Sm@ x>4d>牠 Ax\mNa.vc: M [qldq&{vW&K6+ӡm~?g)FFΌc*MZ+FEaY{ΣTjg{oޡ!+_c 'rr+C8H#5gwŏ"}xL?y8]CZ>e=Qo,xD[gZh 8`t|0 f+Hcuq >@'rb@e鯹 g x$JϠ:G"!(6{ +5w%#)~B8a W=:a`2ȟ<64`?.fw[:#&JeRzX^F,edVץd5,m>seAaep(ulbpC^ZHppw`.'eDn݄AB*75S7]wj&MT!?HEk})ҾQaƞӥX&O[UG\ru}^c d,k}Ǣpkc^ qȳp kXw'هU] QBصAjx66(^74AUMʼC tC R;(a9T"aT#nG{nԱ+-N>a֫_s:"-m>>.I]FNwk(GWpFTO@iюx2i7nSJw``G5]=;6Ɏeh)%@i##Cxzd^r\N,X[9e3pmk.cwck"S?q/ǔP:SjGNȫN`GI09 !l*hk$e-Yio*&mN$uaI%#x"[(&"0_WS67{.7F&W;r+.ޞWNە\E1erW3:*Μn׈;/id,Č'GQ7eHg\I:\ >2Wrlwmyf}g6&g3^tR5!,U:m7r֘6[րavWjPRԤ.Hg"/| eK0Y4NήHQ ^˫~wZg;j&-BͿ&Mz vgݵFC-~i#GPb9~W]Ydy Qd]J,e)dwַ7-Hf2Y̏3gQM$^jWZ;MQ%:ݰ}ab0w6:n]& I%vcc `@w~]´UN /0M/*x'2 kFO#֡)_X_)F_9PNWGւ8;wK_ Jk[dk{-#^/*;l^Y0t'ؚM丄E@&DUc+d;w]گaJ۹ xuu|`7nל{utʮɶm3)DOk]dMj^|?{iУtG z׶;3Hnsݨ(h6?lMnhWwR鼾)W_[}#2;6%cYn}kWms5tO9V55ƋPYAuRteZC!3EWX&W$R J `0X,Y d`\IqtԉR *^\)_n|>/ Gv"יZ8]7{Äs#P.7=D-Q'Un3F'`t l'MLlȆkLp0a׫cؼ ,3YnG 'Cfu'R F&o8-|OY}]vA;Y=jhj+ƞNqsK-Wpu!z!Uޮ|+ʧު|}I[E Tf*R$Oi?Q]ti(K3?jθSskdm!@#4&-j:QP>+7F{SZQr$VT!"-Sq28ԲprH+35>S(ÿ/i,얶s3,È,&?:Kc:bݛ"ꔵfCCJ%L[>j{YdeN~fsK,U_*lH.?ALvUwYlgbOӦh9Ye%w5_Y16b%6~h7v >w"zx>w2=ew'T -tWTߧOE˕9o#`85FG)}O,RMZο\|( JdVǐdTŋ endstream endobj 11412 0 obj << /Annots 11414 0 R /BleedBox [0 0 612 792] /Contents [11420 0 R 11416 0 R 11417 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25207 11418 0 R >> >> /Type /Page >> endobj 11413 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11414 0 obj [11413 0 R 11415 0 R 11419 0 R] endobj 11415 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 543.3038 173.0772 554.3038] /Subtype /Link /Type /Annot >> endobj 11416 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11417 0 obj << /Length 19 >> stream q /Iabc25207 Do Q endstream endobj 11418 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25208 20690 0 R /Gabc25209 20697 0 R >> /Font << /Fabc25210 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n``ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w  endstream endobj 11419 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=996) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11420 0 obj << /Filter /FlateDecode /Length 1886 >> stream xڵXIk$篈@c_@Ԣ3'=Ɣ }oܪ$ݔ2ŋoqHҼy}3L:Ypz1:9u9dm0N&d] ֞֞w?BPM-=YkLp6=x)4U/x+08G.(!Y}B= m$=XdPYrBy3ΪnlHuAB.Ns,,w ).+L+Kzo,?3 N,HSe7w1Afĉ'[ьAjlrWȃc)$*BHˠ{rbKz=$F5I±p\"Lԭ tnyU\"버%NtL`R .3ܺ>[<4[t/ч5v&wn=NVDoM55P5Ø]OZL.b'=г$mzTVAL>Ir&a@^7# kxZ2 UiD/7cGey>f!O )_5Bm 6Jnps/?o^7H{DznAEZ%*{ϰ"00 \T4:* KxXrʋn0K/y Oy*yQ踼Сv(SgFZ|f@/b,2ڙ-y<#6;Uq= aXLF3'Xto.p,Mn-LP(4!Kįi&niɧ]|f a Mhv%zI*v|>l6G7Wk5Q&opY0NM'Jտd S)-XŊ5$oƂ5;~Y9$t1E41YP-Ho&bSnơF hb!e&0 K7t2,i]K>Kn[5^x ]>|ju凴Gw;v ӌi~MW<7:=6|RGxXd8uFIMoĉi?,K̵,h1),ȗY/E v[er52o:}33_ LOH e#4f! GMdE^EEa8y@ fm Bl ĥ6,EvG UL3 *PV--+__ᶜ c=,xgƀ֫E2K(+l(bZB,}?a4)F"q>Y\o.;n^z.5a妸mPꥒV{yqRB0%I, Hey1dUmi s"uZZhEz$ W:_tJ6։ H̃ <\W*|0ƒЗ!=,rƤ29qvkg+mF$10 U-%t=Ÿy¯?}zUT5Lճ/zChS $D|ݥ|GY v${qv^E*Q>apt#yuK;mX^U7B\.B=[?jpx?GӾ endstream endobj 11421 0 obj << /Annots 11423 0 R /BleedBox [0 0 612 792] /Contents [11429 0 R 11425 0 R 11426 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25226 11427 0 R >> >> /Type /Page >> endobj 11422 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11423 0 obj [11422 0 R 11424 0 R 11428 0 R] endobj 11424 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 372.525 124.1605 383.525] /Subtype /Link /Type /Annot >> endobj 11425 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11426 0 obj << /Length 19 >> stream q /Iabc25226 Do Q endstream endobj 11427 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25227 20690 0 R /Gabc25228 20697 0 R >> /Font << /Fabc25229 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`e.ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%eC7 ufg[X̳Oۏ"bH&|%l)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ$J endstream endobj 11428 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=997) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11429 0 obj << /Filter /FlateDecode /Length 3986 >> stream xڵn$ί/ @- 6>9Mb(%pխImы'|l/oKӋwKX?-F/Z' .ߖ>(QU{ʹ7([,uϺ=㛞gEDOfx.OOEi7T(rOJ*m9_YS`VY>1v3˜SN2*_xzAYA ,aBI;q*?./Pya)BBQ e")Gby靈qbB ,jq8iot2Y`eX ss).? 6}!s֛PX2άdQ< e}8n"1fЖ<Ԏېؙ !^JZfm?jڨݲ{foL,63;o ١ƕ!E~ ]\3Yu*~G|>|K}Uqa}oW5`inSx,alM}Cڡ]1ELNxnZP4WjQ$.oYo|t|0G-溙n;bm yֽXY-^~bb?j&GSN("Zu1dda Ib v-tOVgݫZǔ]`֔.F[n68ڀe-a[ey?7\Z xns':jMNxCN/|O'@MgO!xHZmuqwtd9:uণ#S> Cpy7ьsӤSFU(4qjBpq35({謮!wౖk sw9P|-NK9>m]3 ʰR+uwgGLx PmbD6HC7FrKB2.=5`GMcaߴR.qrEpy/[%2й!ȬX=@jql5e207fY^h| Ia5u]QZNvW^ KՒs'b Tvb;H8sj{$u#p ӫ. Z1_tD5'#AӬ]y),8Pjέ}ieL)ȷ[JnWi}5,YLFR" ,64ǯWMU0)\,fe3GZ> *Sqf-|K܃^zu(V'ώ=o崼r=]\M!#!o2uy_¢ete]+{Va3]V䝯o{Ytq 7h%pC,>ˆs =,VDc0z$TwqAGဈZWd7)[zLp}|ݸ]wܯkַn;m(8@i4h]_T/CLqZ4 &*5\^煉[kOTz oZx'8r|LZݱ4% B6>$aA^4A|[,,7ZMe0Rt ' ZmGH7cd6a–D 9+xNO#\X.@@J2Щ?îc:J+-͘'s+5.r QSp&Lcƌ@9sɫ8e)~"-r+1JK_?[OP{RXMRqnhf22TXfLHL܊u n.@0OKb e?"-\5o `9 5i[#ahz+ƚsIoD98 }T=W*_'x-hH0R!;/.T)K j.=1!" @ W4E9_%JZ*ʻ `}QHܥxH.ʧ2*DkX aٿ -)QK_K t4|R _S xHw ѓ錾wNQJ6v13,i:kw/":U8/RǬPTU%{QBhealGsk[!E'U$_ &u^*A }÷_RlgDħ9MןTr^lby8 3703w)aWcT[A\4[fOo]'S;'۫1ӡ{ O70Qy'2gBu͘bd F: Dϰ~>_Jȟ/}y_}>/gIU_J_~/ ^9|e8 JsfOc+%34Ze՜*T9_O(i.~-i7]r2snl k,)ܑA|,Yg endstream endobj 11430 0 obj << /Annots 11432 0 R /BleedBox [0 0 612 792] /Contents [11438 0 R 11434 0 R 11435 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25245 11436 0 R >> >> /Type /Page >> endobj 11431 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11432 0 obj [11431 0 R 11433 0 R 11437 0 R] endobj 11433 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 387.773 173.0772 398.773] /Subtype /Link /Type /Annot >> endobj 11434 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11435 0 obj << /Length 19 >> stream q /Iabc25245 Do Q endstream endobj 11436 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25246 20690 0 R /Gabc25247 20697 0 R >> /Font << /Fabc25248 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3<9 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM* endstream endobj 11437 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=998) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11438 0 obj << /Filter /FlateDecode /Length 2548 >> stream xڭj$_JǾ@QPj6̜1F2.~[,UCʌkTjv鯯Y. {]_E[>[FHW0O~+@1 E9 p7Wc;/x"h9nF!s^(ؔ.]eOI - ~߀ |6&^yۃ s:.1&c's'U`PFL%"h!CˌWp.:kegDH Z`G>`OeX$i{"*yg*')B#Zӳpi o艄7y#XFϙEYb9(uckєt K2>c 8+v PusĵZ%}((WbDRd)etKMnx0 Bo]UL^#vaYP)|gDmZ\v[WKǹ99/fVrOWt>IҬ~DMvA=mRۜ&!Bfв[JS).Ds_sv -ĺ<ۖq`΄Y=i#[< zg"@fz$siHka[y]j1S(m3L8;z/]zzVߡ !Z|w줜)9;s.o/nsV'ыcLZ xS ۲~ЪisBbg-x){h?)Ea?zH9+m/Ypeو4@ujӠ 418ւa4dzwL8RZPy%sy#?b;!F ēTVtQuyǴIכbH=VC>mըHDn҆Nic%u?";Un}S:mU(FDe+ s,pGVF@SM:b_8okjo-}iӭki[ etu ܒPJyDK T]~t$u$uRM)>\"EP(A@rkKf$_QQwE&daUGM%K3Jl¡4Ԉ_FSR}Y_08dI 1\=޶`ÉEqV!frwT #BYP4!QaE6rj!S{,u! >G{[4]Jz[IC,@`_: 2nա5'"t+O,o'LeP9WxW0 ؂56uq',;3x&7E"v *8XOֽ/fkBA#ˆ%vC Ki9n=C+ɏVMMfё ~ endstream endobj 11439 0 obj << /Annots 11441 0 R /BleedBox [0 0 612 792] /Contents [11447 0 R 11443 0 R 11444 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25264 11445 0 R >> >> /Type /Page >> endobj 11440 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11441 0 obj [11440 0 R 11442 0 R 11446 0 R] endobj 11442 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 363.325 124.1605 374.325] /Subtype /Link /Type /Annot >> endobj 11443 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11444 0 obj << /Length 19 >> stream q /Iabc25264 Do Q endstream endobj 11445 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25265 20690 0 R /Gabc25266 20697 0 R >> /Font << /Fabc25267 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( /b6M CZN\;0o5"]zϐ&FG7uc*.BlH,>U]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XL׆GFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶ1N endstream endobj 11446 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=999) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11447 0 obj << /Filter /FlateDecode /Length 3945 >> stream xڵnίyZ''%qnUq{||;Xv¿>gNQWU}KǨO_!s YxwO[-7ҭ"uwn[u7:8^<#<-M[ MBwKv_ '^un̲$SljKwVx;k#<ԇ3=gn?Q/j" Bϙǐ}x̀Bc6V@OdODuM,ŝZǓ_L>`H;f#2Pe/4:]Q> w@{@?*:$c2`j]xXL[}Eك~<>`<19{w9\tB'wݼPKqgYeرNWv(9q/BLu} Zj)8ckNUH8>KĚXwoj(?YcK?j/- @;Gmp҄VW~VL>{3'Iu Zofn[=q>Yhjs 9YG n.;mQz8j(:5z1-TLA*{&t\͈+]v)ڥW5Ջk_4dgI,挋#AF}!/`@Ov=~y s"E5q1Au#uB_}!Nt[ |0 ɷhg! _ 9 GQx&2s9XH{YQ;HxY )Mxϐ !' -A#N1;D%XE,Edb H4C|xɑ{Od)HQٝYV  ЊeV}cc` (jfBPwHWBC-|3aݖ=B#BMc*+w,}M,NW{%n"= ٵaWwB?,[7a'#uh(O-o4xicmj5l;3'5J=` b!/R5;h4~U~BC` OL?ږ Q؆im' X)8x %seY]6f3TR\xXC]{QwQ;N*YJYh! Zśͨ A,uB[%aY{ w1@l';{?d`89J%,z 6;pvIC67fh3t \㔵mN@3tܨI!65v_q'իsrz^p3fGo<{5؇WrktY]Xvײ^۝c [.#O)fɏHͲ MKQZ^gzBNקcL(_SD88%Wz!Î*Ɓ"y7 ǜiz=kB*lZ-YEN;}߼D lRGoctkBEz;3 { tOF^~*48ɠn-ܶE!}.B3*Ⱦ$T Rz`Q^u"]բo+nֶdzk[PzNRJxnRf;iL|hלN3{3bY^&-*R5!~3L/za2oRreQ+Q2 .k3LS M3݊M D5.(oΔ|&pQ4;.1y RJk Nv5>TJAΫSj,~x?Yk\ +C3t7 .KN `M6Њ@')ʰ[2pW@es}53j~N®",<1L{z?:Ҋ'Ĵfp1(r6P56K_, ĩ;466"09Bf&K6 ba2M,,pJJY K?6[DF]4jFMbVYf`Һ+8g۠GGbo> XR{ n=@0χ>8&^SWg~9:'#1w\p@r!#_W9@Z3/X-OɅ4U \S+cq49Ա}pfJ:-;F% RŦ>p̨, !PR~ DJʟ{Mucb=MF140> g`e\ eUlR|C4K\&#%5";abSiLモ->ntQLI}ܣY}bt7m?7e ˔?K<<91_o}9(9SlBȗ%~I۷ y+m%] <5 n6UHa;݅028H+Ξ@ȟf~~ErE{$ .|2nqg,pOpn_nߐm܊"éAw> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25283 11454 0 R >> >> /Type /Page >> endobj 11449 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11450 0 obj [11449 0 R 11451 0 R 11455 0 R] endobj 11451 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 543.0077 173.0772 554.0077] /Subtype /Link /Type /Annot >> endobj 11452 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11453 0 obj << /Length 19 >> stream q /Iabc25283 Do Q endstream endobj 11454 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25284 20690 0 R /Gabc25285 20697 0 R >> /Font << /Fabc25286 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rQthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 11455 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1000) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11456 0 obj << /Filter /FlateDecode /Length 1781 >> stream xڭXn#+!M` +'3ƛ=nFdbYŶ5j>osSOlxyq\e|OΝspb{?o90Gi35`c@<:Ws J{>t Vp{B:؎|1+g4xi$,`F`:>j-`,E{n{E .)KaV.xҋv [fxT iwqR^1Ȝ/ݭEx(%t7||T7 ۜjtN+[254Xi i3`Mr-9b-u ڽ씠ul!߶ dQd{@9 :ckpVD $8wY-WaR]qxB/g &9Ρ% O}H-a*39 f`E#Z櫜wSlyŻd&l BӞg@)n'd.=v_RW(O\WoRۧ6:lpүd}~COM&_i]Ec';烁3D{֤Lau_[xqϤQe-Qx$r|P^?|fNdw".h|$@ ~ Φ< -y7٬i\0H K3Q3ahsI`Ҷ#fMb&yyMRSO챥dP3LR4 UDcIk0Lk#`sh̵#aSY8S5 SRnQYway\=Dx7jH6s5W셰W[P eWd,4,Khâ\EB/}͗l(|g5~F]E,|b})!BƼᩓ+e2*ddLtNS.yev0s[89 /8 ŝ(qGٱY"+ ُf'3#mSbEhVQGg~(kt5l닊=~3*.`[gN}Wl~|C[+ۖg%=qƞ{H5{fDoު;X;~ v3 U"/e&ʡZd@tL:'Urb坅5hc%ĤC-EiHeMjǖzJMyOR@iFxHuĭt\ B?t?,3&MCЄDrc)IeܑHm9:rT@Hź#2=l-F%Y|u]^.rZa҈mP嚇-bp&wJ;)避oVvHS;WrS\3Aֲ8Zfqin+D…\\gzP@[xI qՏ}7;SVc}Ǽ't4kvm%p@屻B.tr/5=YG> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25302 11463 0 R >> >> /Type /Page >> endobj 11458 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11459 0 obj [11458 0 R 11460 0 R 11464 0 R] endobj 11460 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 386.725 147.728 397.725] /Subtype /Link /Type /Annot >> endobj 11461 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11462 0 obj << /Length 19 >> stream q /Iabc25302 Do Q endstream endobj 11463 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25303 20690 0 R /Gabc25304 20697 0 R >> /Font << /Fabc25305 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11465 0 obj << /Filter /FlateDecode /Length 3593 >> stream xn$ί@wf7 Ќ$Y#u XؽS/{F#`WX/5 _yu.uVǠU}S>=G?ԇ93& |E[B/_ϵuWҚkEպX]0/^LLxП~OWZ?.|r&+oCAfNMf6 ogd/?*+0 럙^ۑ>֬:g QhX]0fI9c-'';=ޫ cxh@Zqzx&Y:#΀O-^BF^RqSrwc ^^CJ!?O;ge?~(@`3RrJ@Ik@ 1d ~2䌍@Le^܆ϳ?'kNH1olr \A, <:,riZBCϏ_9xXM žB]@7xo$C } Lvst8=y;E"&0D|G$ +:b@ݼZ8~>D Teu)mW߷jR/I_mV`DO?N4127*ٲ( Tb hXfv 8XFڊ+e43`z`DD@咡<&ݒM.̉l:Fȱ/am0+c^wYڋ12lIjMQGW6T䡴:ʱK`"Fޙq[3`+:5^e,41;_m(DžDɇ13QyPxH7VhKFۯ'n7P~e5yͳG;s^JCy'x!`-DeHvu W}O(4yT }a0J)%+UH _m8p\iPj!rB9UH@(pRR耕_P؈v[<+M,,:m5Ɂʁ~]­ucGM2,m=20La%m!B:@8^-;pU6>au}xt6НnEKɑ$έuGrWyoa,]XK cYwrG&{Z8*Iry bN#%XCrCz*nCČ#XBj5~|Cv0Z%wc|%(uz>9T\aQ&< !(>+or貾7xKDZhe"pD¡\DŽdUj&4-/݇bk۱sS.(TY,)!5,"cDs[Wd%NNGثQ?]6 )4$:` [YT[t'pFQtE,B ,u=DcRc3=HxԿMzp{!}!?8f kqc1*,xձ^% D,1)'7Ő\'"{UYޮ&XeN;-hsSȗ+#5ɍG^|{.^7f}/EBE4 oѩ|UEݾl'Dp9]D_SuXeoƁWvU_kZF!aOoTl^nWQ^?ljcU4eK>(ǁwu,қ BHV-y IevGKhlki 7>vFVVhsZ%+{*PEzF" řGf?ae>6ƍ Se ی ]5zߨYwǍ ܲ}656뼦>.P[M ph: &F 6+Ao6W6G-]<>>vݟM#>p+ǾKdh\+!}K2d,A\ 4kіEpp"&bd_}հ5z >hV03Tu39-U;}bqΔ>n c lW&/BP3^rAyvs;@5 hEޗaehj.s\ߌy|@^m@{wu-ۋ@Н 0CrP#58! l2=r-'t&kX}{TF;)E'&j8a̡jҍ639BfFC6 1bҔ}To29d{. KnW -Dl,ƻ0;Y5̱bV#YaXRG J]@mGу*ӯz%oGćyE|FqRM1:+#1q)j*0t.p -pyd@ ngC|+3{OɅIYp*q F0l_p-PJ_ UT\eX$3S3QXtc7痔|rKٹቬZSz|icLXF"/QE+5jNBL K>vY+hfGsK,U[*lH&}Mv u9vmYtBD?Ǽ0,]o w%~#N"[&zcSr~ڄ}!.gq,pW2S!ƘNž!Q G:gp!\ '.[zCGjQFB^B'c8'Bi+O> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25321 11476 0 R >> >> /Type /Page >> endobj 11467 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11468 0 obj [11467 0 R 11469 0 R 11470 0 R 11471 0 R 11472 0 R 11473 0 R 11477 0 R] endobj 11469 0 obj << /A << /D (unique_351) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20210608081201-08'00') /Rect [104.1732 389.4384 192.9817 400.4384] /Subtype /Link /Type /Annot >> endobj 11470 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 373.2384 199.7522 384.2384] /Subtype /Link /Type /Annot >> endobj 11471 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 357.0384 206.6382 368.0384] /Subtype /Link /Type /Annot >> endobj 11472 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20210608081201-08'00') /Rect [104.1732 340.8384 189.8302 351.8384] /Subtype /Link /Type /Annot >> endobj 11473 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20210608081201-08'00') /Rect [104.1732 324.6384 200.8962 335.6384] /Subtype /Link /Type /Annot >> endobj 11474 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11475 0 obj << /Length 19 >> stream q /Iabc25321 Do Q endstream endobj 11476 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25322 20690 0 R /Gabc25323 20697 0 R >> /Font << /Fabc25324 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo V endstream endobj 11477 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1002) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11478 0 obj << /Filter /FlateDecode /Length 2567 >> stream xڭZIo7Wj4PwsrR Rq+$1rT/j|㳮}VǠ7]}CA[>>xmͺ~xֿq:w dxQ d@ӹu9e `s 'Q?yYZŸ674hZie͖g!FQ; ;t0\ń`=j!)REeo Spb>Q! N(|5i%ÁꇶWÙg2T PUό,'~; 6bAV诰`;ջ r]lXcI6U4>@ tec'TqS3@ Ɔ SD +j0[^#D)wc}2Շsrz`:ܶїPWE6HnazBZ ȷҵ"*#ت3fSrlK(hR|տ-n5[PqQSUkc|# ɺy˸I{Z3D GuD8` 29]zl"9PptLzþ-\mg]/W .r1zc@(aM&i1RCoN*mnNO.:5Ν;3'ZlBP kۀD n]$sSUZ;;f?,T}Bu6dM~VlCD!VXmwZ@uXTk:V Wf^nC~ YSTiemNkTb .g~TT)}+-9pͯQrpAՖ{VʩfGؖ-YԼ՞NcHMLS@T;@{ϔzELHF7F$?T`<(zQo)C{WШs+7_b% R=-' 纲|`vxz!0p␢t"=pZL`} ݉sli쫨̯DƇ"3IGk,27=k( }*"y{H֏rW,LKnZ2$%DrC]K6}pW`H)Z~/AzpLq)&8g +8ׁ&P wz9fjw9>WaZB?c {P!.#͉lfL˹-˜&/~$ V#zA(0pCnf16G@1Hs#E E[:K_y0л0`|W Č `v7BSH x"Gw3-J|G ̓6KP)!0^d) .tm< w;L7o 8p;Y'zA.>w[Lᨏ, o] .|;\B:|=; .bdOOF@l Gp d"Y q,7i6yہ55Z\j LP8Y+ LVOQt^]k'M 㡐Cdд"qM J^'n1<ב7#O< ՛Rge-;JTi(H!g\ax)xyc'nfPV}jzShBx k9x9H?Kz6&r j[CfKQwe n&R X9FtD3 ڔ> '!˞AYxzO#]'*7Y.Ofhd0ܲT5[FKV3n%-yJ7Mex䏥Y^L4tide +6K2O((^DlgxҽQ'TWN GH-G%l ͭh)x$I6bxj)Tt~fdj|$/fZ7nh?{S_cƲ7x݌:B[^Rmd^cr(24 .fOM,qn<5 z& RXM5~PSm[8b0*N'%էiH;9dm_WYLx&W|pD>L^$̇8WoBoǛأXD6>M@19xtS w! h?GsR㏅tTZ+iˡxꡋ9s'+-O}ɋö/_Ҟh7GNW|P 5=7E`gljBS\;cR `ƽ/jkGE?@ iwns⣋T1@]t1 endstream endobj 11479 0 obj << /Annots 11481 0 R /BleedBox [0 0 612 792] /Contents [11487 0 R 11483 0 R 11484 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25340 11485 0 R >> >> /Type /Page >> endobj 11480 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11481 0 obj [11480 0 R 11482 0 R 11486 0 R] endobj 11482 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 425.025 118.9685 436.025] /Subtype /Link /Type /Annot >> endobj 11483 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11484 0 obj << /Length 19 >> stream q /Iabc25340 Do Q endstream endobj 11485 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25341 20690 0 R /Gabc25342 20697 0 R >> /Font << /Fabc25343 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 11486 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1003) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11487 0 obj << /Filter /FlateDecode /Length 4635 >> stream xڵn$]0 6r4n\!)Unw۳iWI(CjE¿^B˗ץԩ%*ylY>.xҞpT ES GAu'kPf(+ gS[NY>J;|uy,qh|]FU߿]SGrFWWoa[>eE3~R +?竁xs28 < g0[$& k  8<Ȕ^3 xGW\'VԜ"<K}a x3l4.ᗸ0{FX/?myW2g8 JhA#COpMQ1P(o&Y[ [ >hژN^tM)x >j _k"l Pה\ 07EAr$0泖 ^3?S?c[䒑kBե[ e&I̬6G ,>N1(v~zsR &uF2pWqoVV'ͤ;m)#taDh֨ ej"VDmE>eŪv!VpR~+չNV6uY% v׫AU6 Xe=_%U[+%FWe2@MH@rLFg1֤d7}ivEDQ :4hY&Al>x[h*0U〪 vk,:"JSǛT;-z_)0N@#Dʤg"'!N`xLj%*_۠R j3fDctrBlHwU"d\O^=D=L\'Tf*kt}4mnl c%0㬹ܹE}J}M K>knY 2]Ϭm$_.Iѻ7̧%=u*6h oa3fl3D.*kmNs Jˊ~65>CɃāo|Gxր ˂a$ԯ4+Gp;x%U0 DG1Ev'}C(ԋ~KVJ 6ߨhI0Iy1,v^)&j/xrLZu3V;7PȍKrVxkh SyTTMjMX{+SKtԒ VgjR0xg*cˠY~9\\c`m%SgO0֭\GKsl~\/Y\~ -g`qrov P5Jaqe2dAx{ rF!MVdg`gB&YеjlDca5@(}t0tkeqj1 Ёә8Ht%Zxj6ELRdgLl [n QYij$'*8xӄ%2 b\w:#}afT-=@*P"L8cx47:K= 4:F&;FYMk0d ҝIW{o]ˏ~{i͞`O:rVO?ri-fs([[WvxV|oYCHNGj5\Meă#r@@pmc\ж!~+}d񴌢5t2D~&N4=zU|]ªC>_헛@vZh4`e*2orc./7c[w [wq۴@ s;CqQEC\˸xjuqΗ5qW,&+ ڮ9L]AJGa29.3I 6q_Tj0xL>bRXܣ]`DZ]]lkD1#aV⚁;f}Mع$f#J}( (zt-oWڐWآ tn:셻kj%J7qGy?au+p%@&pdy$h }| `> Wj!w ~3zf,FF0UYX~Rʥ<м>vP{T{"]}p@xN6|?_dZdHi ֔_;uo"/Qu+5jvOH~/Њv֞PtAfzVH\Zƃ#9цE$nM&d^g~^smDħq:!cwm?'?dRo{M?ѫ[/:#&T+\MN^8p]lrw@6NӾițm9 ~涧 q%zSw'7tx&HGC06WO |` ~$ l2Dd..s ѸK>oڦ^KTvB7-"ׂ8FĿ80sgZ endstream endobj 11488 0 obj << /Annots 11490 0 R /BleedBox [0 0 612 792] /Contents [11497 0 R 11493 0 R 11494 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25359 11495 0 R >> >> /Type /Page >> endobj 11489 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11490 0 obj [11489 0 R 11491 0 R 11492 0 R 11496 0 R] endobj 11491 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (read_csv) /M (D:20210608081201-08'00') /Rect [104.1732 228.8539 146.0447 239.8539] /Subtype /Link /Type /Annot >> endobj 11492 0 obj << /A << /D (unique_139) /S /GoTo >> /Border [0 0 0] /Contents (read_xdc) /M (D:20210608081201-08'00') /Rect [104.1732 212.6539 147.1062 223.6539] /Subtype /Link /Type /Annot >> endobj 11493 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11494 0 obj << /Length 19 >> stream q /Iabc25359 Do Q endstream endobj 11495 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25360 20690 0 R /Gabc25361 20697 0 R >> /Font << /Fabc25362 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7J"thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ? Ρ\-z^lMZ endstream endobj 11496 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1004) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11497 0 obj << /Filter /FlateDecode /Length 3292 >> stream xZK#W@0H99mbl?[-pl̶M/j_vtx~VWkןRcf&ׯGCc .~,Ѕ%k_Or+٘tWCc|~̸'g#q/$OO:oDj⌯ou~R1{erxTBn=i4$Rr\@`4aJPӸqs<|dN^l4vsvQD๑=Ҝg(oTA`s 5߱qA\3IYSeu$Ү3tŢ\v: ,>:c ?g87=T}uC:C`ԪbM65"`Ց&ulŀqlM/x;;ovI\uvT?yPzCs%kBkr޷h@1J xPV;{PR|Nłv&n LםFЎ}ly.h+lm5]8x#DtM2b<B= ط& cO?$&!*blG^xf#7o"A Zh_I JaD,)IȔH0 HH^w+_o WZ•tZ[8qx"=w\yeM؆hLa| LHL.x~RĜĆ&8z|<6C͋wY`)cl *[7 tZr\I ԣ0T 4ۃ2 ]pjYmtx'q'Q043SUv tQ7_09YI+X9q֮k[A(2r 4&lA0{u~/Mu񏅭faU-qI INʱѤߒ_>a5/ju&xR{62/`LzN٤Rq(4PPeCo=,=Ny{1]1"p )qΘfFaPKO4KR6C]J0.I젟Ϟa d5|uy9M͋Pfγ%تyԐ}jjKq xM&cbxy]3ۆ:F71>ُ2^Ӝlyܪd-Vz`*R͟w5:6[Į@W]rOXɣ! %RLh렰C+~`QOiMFX}jMG}:]PB.\SF7:nK:}Klv wvrH`0Iem$SLI (VZ6^g:o]6LNE:1b_tXTt'K~M=#Y/~5oyŐ~/M9 Pkhab`6:(>!ۭs.%餵>$2N~`&]79r/[[nՎ;d8A{=;>`FS7ݭRvsGb`Ė{MGV06]gh`\* ^ԣ&C{!`qђJ&~ׅq03M`ؑgq.SS4jwz4zsc[\cL,s|ڗdZ~!Z'L\JH&k/ӟe<}5Ёlcmgׯ_Wkvq#I S@~:4QrZlaZ@Ha8[o#E `#Ԅ?ZSZ0|`6і̿[} +Ļō0wR"yv/ 8"G7ՁJN/4A<=7/|x9jHvo8 Z &bPA >π͓Sŏ'ۣu#b!NÂ&7mby4䄌M!i2Hkćxmq0"BSԍv'[9W[w0ϳĻz(u]Z`G4C\6ׂ=<>T c+΃3=tC/ c)ک18<%L_~)iqZ )6 \}叼e!5=b>s]CYs - :vT1`@_0l| 3D1:OܳT3RZA-jnV\aO^9]B̟؏p=_>_C^O~~('N=FLU Z(>?ܛ;]gY>)=&ug+}Up9=PykHS'dr;CdU5dB.:r endstream endobj 11498 0 obj << /Annots 11500 0 R /BleedBox [0 0 612 792] /Contents [11506 0 R 11502 0 R 11503 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25378 11504 0 R >> >> /Type /Page >> endobj 11499 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11500 0 obj [11499 0 R 11501 0 R 11505 0 R] endobj 11501 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 295.725 147.728 306.725] /Subtype /Link /Type /Annot >> endobj 11502 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11503 0 obj << /Length 19 >> stream q /Iabc25378 Do Q endstream endobj 11504 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25379 20690 0 R /Gabc25380 20697 0 R >> /Font << /Fabc25381 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7J ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11506 0 obj << /Filter /FlateDecode /Length 3933 >> stream x\K$ WlzK 6䴎3v/!)Q#cJ,>Rb&TgK. MKdHR*<9i|oj]V˿?(`y]Bx{z5.Po4ݳ6+¹kꝭ-N Ρrn=|Yo"7&rFUѿA?K5)#5*mS򗟄eǯK֊zF4I?QCpQA)sp9h2=D#gXQڟvfٍfP3.fL6 AXKmμf)g͞,SHGêؖJ;=yjtR@4S#bUuQA$Bv)Gٙ |Y` ÊcVkE6l cG11O]ՎU_}"jQx(SUؤb?rb?rb?r'b7r?*+, He!,A'06QK#/X1IS4n[.v.`;B33+tK8n:E '9N^Qş TqKx/5Z-?k; 1Y/ C ֊݁c܋UmG1"v6xӽaH&{6wCWN!EltT\v|=jT5R`:>+;5V4uhέ*2ۧ[=:sܠçy[b}74ѽ `MH@ ?oۍ_~xN3ޡDM~_99*Ӂ| pd_t4Evw4 %ArE~ߠ+MUV4, IGn6QJ\C̪5j1ǐιQw<`gW3 I?+ʥ1+ S!r }?UGEʊRR)Y_sUFKthNnU4ERD['fĔ2jRV K &aYV aT\Z4j8mʩ#*Uf&vޤ)l&u@w~/OGC&[_'z-X;D5-lC35ܸ^bQQZ.:nfN&^dn X8RX>2ñ8[O2ծf 6Ƶnjyb jPMZ|fpbUZP*bU_; BI| Bt Y9_Nȕ'zk;nCc7*ax 3f'f0oyDG ໝ 0){7NDƸWM8?l biY_|KdʔCi;z|@+mErS 9VV>lN5V:\(3O}CNEJR{L;w|as&%;rQYL09 7QN9AJnՙ^2W1}1lAǴ1e?KQcE>A ,b3Sޟ)ǔ1v3X4j:p!0`Dl}R!W,Uʫcrdz8PL/ZYsLi N@("dJ]JmcTh?vNuz:*m ?UU#>B؎݈ӾB:M^,>R"Yi; 7; y:?3͙K>&\>b.Z2Zc=z: "&o*uatmLGa3o_y ufzEQgb&s1 ft1~Ɯ+`Rx@`opYFk PҚ":AVj$0ܓ7)Mb%5yĭ׆T|M ev0R?_\4IT5kk MsK|10` _ h s*b񄝆GE b5Z)7e. (e f)# G_p,O)q)TP1u=S+!՞(_}2CϔC:UpFyܑʂ_+xkmT["2/yѕdX2Lx%s)b@)+υ7)΋6l!vk;5f{==W]VLE|rOT꒑&qF8٩{t7P8 ָay}T7߻C7^MI[0+7}b ސ<}H.YHaes/fpIy yRV3^a~ kw~~)&þkh}]GQ`?|!?k# W{m>RG!t9O) ׁ9A6e 4]eivg endstream endobj 11507 0 obj << /Annots [11508 0 R 11512 0 R] /BleedBox [0 0 612 792] /Contents [11513 0 R 11509 0 R 11510 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25397 11511 0 R >> >> /Type /Page >> endobj 11508 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11509 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11510 0 obj << /Length 19 >> stream q /Iabc25397 Do Q endstream endobj 11511 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25398 20690 0 R /Gabc25399 20697 0 R >> /Font << /Fabc25400 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@B1BrTQGWr QLF Ur$bE0)DoXc4ECIH_Ew2%ī+O1%PƵa(Rɐى9_>سFw!g37FEo5o6},L>|"j@͠zfQypf%fhzK}-Ua򷥚mӡMˡ|72ɢԑH_%eVK2 ef[X]!5OFx|1$IjQ=<<9C99? 3/S#9pw !g9g`Ni)QXI"dzQEh^@P"KvgPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11513 0 obj << /Filter /FlateDecode /Length 4926 >> stream x\Ɏ$+, |/@ ̌L}Qs蔣 d 3qq%0]Nh4NZ~]̢@T}i}f ~Ɇ4/~1f⪵[^sL-߾/}zC8-?{~| `i]i?Gm"<n]!Eem[FK?Zk${͜3(k}:fǦ X 3:A$*=&#zUn|@l&a)kpvΎ@Mqk!_LL3W1e޳uHEzK!P$$TsV< ֯M3"&T]]k"9Pu֗İTo[O˿: K >lA. q$fJ< ~Ap 6 #Vg-t/@׬6R^u%D_^3Z9`Nx׬ =o$ɦ>WlGR'DIohr3%FNWts}AD=K{ w,ɮyLXI~"{ B- stBuȫ!#өՑ:UwN)m$,F}q&ҥ\Br,w29GMښ{LHuiS .㹱ԉS:0EcT4c@5HaGޛ}P=HC65 <Eܼru2Up~ 0VNgЯѥJY8×{ r]:!wM$6abDP mm@~B+,Zb- pvIJ" Cqux E9Rx!>+74MG슈AC21:PUSVbցm4,J3b8ΒHR{HMW: +VI^ "!EHҿLI)`Y< SM:1Ei/J$s<9ĩ]RISi@z}V2mcID4ؘZ-.q1c~Dz圦J+?уlv1`7]մXK臑S TFMVPaߟ?%%2NmWC$"i; \E ީ+Gh쉉{Hr oܴ ![wCeڍgP!ˠksό~2/̏O~a<>O<щ[ҳQ\)A M `7X\R>=rRޏ³% kkEјYas(~سo+%$B)"ZY<0Άt:̂S͹Ddْ)\8X XÊ /oF?I^nc)|Cr Bܴƫ\dj^>O`eVrSTbO-`w&ssm^'. ?D# Ik fj&G" I+9ppn)ʻm[~lS^unbR*OV5Α,PۚkL%ntξ8m6u<{ɫɓKuA桺frGHHͥi1opS]EwB'CGjTq@) J sȭ:XJ9_NjAp>RE\ӈaE,0y6כPjGL(]Ew߫p`Nuqlg)ٜyߨt͖]Z'i8̟| {ܡPW~es6)^'kv }>!H5\'[rtHQۥSbf[dfUy ǁS+\z7H73_ Fj] PKIGQ\uWS7J/NkljX{uQQDيG,Z ("Uq2) t*/=JE3*!+f$GZ岩_'׸gpeY <GM>J}-$]7%?׉Ѝp'GMg_ l{%ѽwyd +DSu`Ϋ~}Wj%O1Agõc7]3:}5;3?~wmf-%bO*rr?M ga_M3n! lwd"k>,^ZPҵe%B}!yu|1M_*P#ԊX?0o ~QN; óA~FAz3mo{b0T`'1hg $AWC4XO0[>@O8-CqV!M}ffsGٱSIY&T%{ƽ*Ŏmcž2wWK k}0;$3LNBLr"  41=|uui/}o|u+xvϣvЯwomq'A R#< Rf^s=G5(#&*9: ƠQyu.Rڕ%?2"]$bHɎ&|xtd1L9}N @FL5wT#HH5*/?"&Ϙب#9/3j u=s)72!*t]Bor@߳%Of%fCJJ@Q/>8C)ta."Y)cQv Imuv4]>Oz V:oIylmݩMRn )QQK)w*m^ HSۂȝ vudZ]!Ô#PiftXJ3Zr-%ˇ1oW{/ _.+e-7aY>ax&l$< Ph·Z˅6roǕc8 h+M0T!fzi$ڔ_vu|4-D߀K 5O9K~\Uʜ~EBӉ~ψI}c3QӎQtvkg`¶-_Wp||~顾|;̧>|ӷH=*aaWnk~k9>##7}f@Se(Ȥ[ Z ^ ,|qj)k rݳA壢c/\ZoҪ UӲchTʔOtC{*Ÿk^咐[na:!AI7=&ѣi혌;|PSk`֛}*+L(+|[.꓍Ф̳OMXjeUƸu6۝&_ )LFi^~VX}.J:!ds*lη2WF|:Ѥ<V囘ȧl(piP$H'p;><}S{gٸ{QW'08uo><'/O=3G$W+> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25416 11523 0 R >> >> /Type /Page >> endobj 11515 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11516 0 obj [11515 0 R 11517 0 R 11518 0 R 11519 0 R 11520 0 R 11524 0 R] endobj 11517 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 531.8 187.8502 542.8] /Subtype /Link /Type /Annot >> endobj 11518 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20210608081201-08'00') /Rect [104.1732 515.6 173.0772 526.6] /Subtype /Link /Type /Annot >> endobj 11519 0 obj << /A << /D (unique_386) /S /GoTo >> /Border [0 0 0] /Contents (get_example_designs) /M (D:20210608081201-08'00') /Rect [104.1732 499.4 205.9067 510.4] /Subtype /Link /Type /Annot >> endobj 11520 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 483.2 165.4707 494.2] /Subtype /Link /Type /Annot >> endobj 11521 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11522 0 obj << /Length 19 >> stream q /Iabc25416 Do Q endstream endobj 11523 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25417 20690 0 R /Gabc25418 20697 0 R >> /Font << /Fabc25419 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7pT*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ* endstream endobj 11524 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1007) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11525 0 obj << /Filter /FlateDecode /Length 2103 >> stream xڭYK W輀eѵ@nCNf:e~>R%{\I%Q||hҭ)4~GlۻsFfCS?~N7Sƨ׶Ik)no/Z[Pp:ZSԘ"#fOք`.k^$AYWf4Ox)1UPe~PcM$+4"i|n̋M ӣnL.'`ilFc\a_֛Pc!5԰PIWT.Xbu 5m1AF7dG Uăjaσ$6(==l= 9/f wzl!aE Sr6ͯ6+@ί{qpԧk}﯃j9mZ8S= LI6ϱ8p#m*^V<A 2|4 bk25H^x ay ߔnѧv9G;eEL?/ e)'uY uH[!HRW/*nh|D\ W ?r뵬p a [կS5),-T:. #tM Yxw;ϑo@v|e]Xhh{'HO2%Fse'Ye}GfD7j f9@tz5Y'';Ű:4eflEg1sc!S3a.H8H5ޔ o^#Ox0.< xrwYG!(`|gOU(BZ>qGӌv#X~3FXnt9t'3ݹ@~?j ivIݜ cRx+y,$ES-DFK oI nl#MEQԢ%bCbĴ%>By4zIk?!{I>Ցgpt6>د+ ժǢζ-yY_,ĻJ%gCGp,^@3j a~+SBoQ  fdn_4rⰖA_AIwK9AYL֘8QMGjb&RgLUfxL '8ue{զKiT-੿(Ÿ6#˱]i]?/2UM іljﯿLtSJ9ؙVQ. $tHAav );)b4r,nQ#w姌W+2v2e*M6QrW_QrFHˡ+!04 Y)ʻ37i yӚW[O(_tmC^ O;V ygZn;vX3IӻK czGTŘE/W]<n;aլ'ߑt{4» wamQn"E}4`vbɘ6ɧ)C\yVJeE{Đ}#ߋ7enhu;yz ]N}4;B،,Q5y#O -8^HUHHV (YK $;i&-V:gNkCUyu<Բ@PVb1G(G2"T U4[Ѩ1+GC4E9Ԕ[8)]Fc7눷 H#0-'$TlOBrTz"prCOO*4(Y&uO嚞>cyBǴg)BzAiUZo+i(-Ԡ|XeЙק++Аq?#$w+F⢮e/_qx/zi[Ѻ|1n\$[W7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25435 11532 0 R >> >> /Type /Page >> endobj 11527 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11528 0 obj [11527 0 R 11529 0 R 11533 0 R] endobj 11529 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 334.125 147.728 345.125] /Subtype /Link /Type /Annot >> endobj 11530 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11531 0 obj << /Length 19 >> stream q /Iabc25435 Do Q endstream endobj 11532 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25436 20690 0 R /Gabc25437 20697 0 R >> /Font << /Fabc25438 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1P endstream endobj 11533 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1008) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11534 0 obj << /Filter /FlateDecode /Length 3805 >> stream x[Ko$7Wܶ;@n C&e"(UuvT*HQĒ_+?Zgd2׿5ӟΫcrӋN`]lw wb.ջNmf{0viSמ^JهvtYVE|$擕2ho _=\u?P*.iq ,_~ViVʏ='Z{.*v2,*PUA$Yk rR=^Bᵎ7p\z#zo4߉t'Lk~ǁq|FFDKd08e@>QO h$c'=lJGm=!7Cwz&x(gòbFК~њ \~7ەZ|0x>׿@hIJ??T1`;zm$  8gP$ 0?`ˏ xڄK׏PǸms?GHH $j+9x(#OA|OS&;Ȗz-G;c>X+Hbnځ[ǁGlyUwxzF[ch@-->+je4$ :ܣtb|Aht(O(c<}ddFc0oX]z Ӏxȑ<a]VwF7烪xAn!A+<&Ϩ \R /Y(OuiScZ,ՉުWW v60Z6*STɆ"CEoy?U 8(8(8(8(8 85pP<7,Kh(j“CJć~-xDQc\&>nlLDOz;v&v08"9CĔb8h14^u@K8UNd`?dfxzJPA .$<R٤]ۆ΀~ 1;!f )@@`1W`42Q݁}`'\s5!J8w&$uB\KH]B{df7N< sDg&Er68P%?L9 ~0Y4NWR6'P:n2^(HB|RA *nJP`jЧ/x]PmNss^JC,%kx"*'vd0> yT=tJVSuխqcS& 9rD>!g5E|Hߗ:fF8r&\3"0$ȅ) ]oZf2A gԔ iLx ʼ?ĪT s^f"y_ [)Vʺ|9VSS0;6#;ҫkGu%U弫}D<8tIjɨI6!1WH;9%oͬ4٧Q+-a[Y3$ޑt\i=vg9!GȒYYtlՕ :}ƾ~0 Y334 Wef;*\7s݆KNBJRf=NS„)^ 5v=qZB6*"aL r3E6_)C^ݲy4L-{Oo$l9ptK:WWIXںe0%n*iQHѮMR6r9[aG+̼|JZ;o`T9ܧ郲v$JO/iqCTckRtŔ[9i\?(D4mEL6(t䮕0u*[MR8Yi#&_D_Ji"'|"sXݯ0 ʜ\=E u]wƠ*0W"7k:!KbO~``Z2Tmp%Uwg4Eץ)i3ݜj7$JHJW e )nэ=V{WZ‰eHT)b_SlsSCe3Zz *J1bPQKsA?ѡ1:nP1"RN<]O.ps5-M<\jj۽kg1:gغ\'l_K.h,l 3!N7(..*l'X\Fu|톽QU4 ) ~3~}ǚ~C>eV4 br0lC) >`fp c!Ik.Y[jpFũQ]󫚪{; WCB`c~13~|]U~+5ɸaZ`] <|֡~pLzr;,'tJ9e۲Jn2[>vvy賢"svu![T1c@.rj endstream endobj 11535 0 obj << /Annots 11537 0 R /BleedBox [0 0 612 792] /Contents [11543 0 R 11539 0 R 11540 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25454 11541 0 R >> >> /Type /Page >> endobj 11536 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11537 0 obj [11536 0 R 11538 0 R 11542 0 R] endobj 11538 0 obj << /A << /D (unique_387) /S /GoTo >> /Border [0 0 0] /Contents (get_template_bd_designs) /M (D:20210608081201-08'00') /Rect [104.1732 388.0423 225.7012 399.0423] /Subtype /Link /Type /Annot >> endobj 11539 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11540 0 obj << /Length 19 >> stream q /Iabc25454 Do Q endstream endobj 11541 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25455 20690 0 R /Gabc25456 20697 0 R >> /Font << /Fabc25457 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7FMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 11542 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1009) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11543 0 obj << /Filter /FlateDecode /Length 2587 >> stream xڭZIoyf/ 9 r2?IޓdydkHY}SV+W>UϪTe?ӓ˜UO_Z1^YT9srо</|5Ũ`36-ӒL:[p HG󠜅*3,HS1 h\L&ۇwaאu֍" S@CKg>ko{ąϲ&0p :3ȵM ɇ3=dN"SE*^@}W>3g9hRF+Y5k2uAǚljui]#F$s8iIz4j46\e %%X2ϼLR&a_ĽJ M*Fd'*KZ>̞"{}r[ t9{=*0n{KhbB 9[^_׵FVPEJNDG08| S<;G4cևYd&;W&5o%svt"RjYf=qy°g |DH/Q!N{+[UD/qt![Z?&Kw\>lu |9dA4=p/I{Jtp2CUmqi=o sTlߴ>5c{(plI u*DFECEgNZ}NiNesIf*|2|;v)\Fn?wzl:3G`H?@+TGNނJoPE-Rr!s* \R>MNwhfFA2EVWwq/<wj}%T)LUsTX(VǓ$7}8!cWץ'#,ͷ.dJE&35Hr0 :]P*[wd7eb{3V]jA|Զɳ6H[p!j+̽j@X}bR: |JNlxm0=hoቮިKe[1ncQqM@"F?Q(PuY@l 4# d)X<4m43uv]]|% '~U uP*k˟A59C傜An"uM4ڭu|yɹz7#eϼ< /j*.-쮍4!6؁W{^+(`MO>jچ}Nm[zShC|p5: !^^ǴYz}VYf!r7%R_n^n ",|ڊ6{2|]&!^Km\Ŝ=d#-ݾPCOQ/{fuf①y=]w[@K:O`>QX7+B{ O7x7V*N8FF[3GZF%|q[Zfi-Zdgj|&MmlU3^K;J;C4#S+yiȺy߳<5o,{ͨ#ı93r2NrB/ıtm,h&?}fsyKZe;Af oBl/8n 䍒4d)U$O!Ob6WY 2/ a JY "df05rpx4x\S .FxYuRw>C3d=a,7-M:Xne3BqGsy$Ox'xO!b+]e3ޭ6l] `L endstream endobj 11544 0 obj << /Annots [11545 0 R 11549 0 R] /BleedBox [0 0 612 792] /Contents [11550 0 R 11546 0 R 11547 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25473 11548 0 R >> >> /Type /Page >> endobj 11545 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11546 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11547 0 obj << /Length 19 >> stream q /Iabc25473 Do Q endstream endobj 11548 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25474 20690 0 R /Gabc25475 20697 0 R >> /Font << /Fabc25476 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7ƬЎYzrv"eYH7\Hj;|/((&c I`2(ް0j4ECIB%)WN*SBDQ@\Sٸs@61 Ej92;0o=kDN{r=C186(~S1 2RM)Gw<7!& gV#جhVwRu&[j{JLh]}IRG"erk|Z-48יq|mau3jrk"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B]>%tw%BJqJϡma#bwo>T endstream endobj 11549 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1010) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11550 0 obj << /Filter /FlateDecode /Length 4351 >> stream xMo6_sq-xI2z{m=,nX$ ^//Sf{.ͳEER$-2%w&52\I+U5"yz%|f6^u,=IU`j~3_=%<_^ٷCAwnOd:8߾\tn脖QIL&k昶0r;t^6 z8x(G}s{8WPb^&MzIB/P~%"qB@NXņ#LԸx3{R?Lj8t) P}#^ J<8q |˃]^=0 ՙOVܓRAgNa X~U9Bg'NY\/r,S#V)Nk'{b/o8",Аze- l-RNe !"~v51H\kthKuCyM9}.k5_lT*Gn FSj#0\=ʂ:}IBuFQ,SC?rY%"J_ }g&NI90v]H`$Q'b9 (bH@,QzhΎó_rpD$ȱK—,P'5)dvE:O= UI n1 N=R[ 'S'maƭ wr`G U%ϴko;;[\D0P~\x~Sk\(hac^x"1K}ie1zMA&Q8=F9ۧ9܉y3^q$iǕ"̷2ր "qGkRNOa0`؋y+pa$3bhلJqjؤrO|hFi%tQ @ 1ztQ ]k-8D \)',Iu`-8aU WΆ.i T~hw WIق80l&T@Ee2pj g NMObFy F bdxȰ-F bd#n62md#Fld#n72a762lm#n62a7~Ȱ[ jd:#CG"vjr(([P+F8m1p&;ip4adD+: ҃S\i:Q.,.^gZ.s/لlsJB Ud־^I W@(,fS3t"aCLʔq"=C=&M")3! ʆx1 11^3T W[=ꥰƍ bS9lH ࢼP;C5C'SwN7lqmг|zѳF9/.CP q[A/6. !A%I΋ɖvD&cBrɪM敏';ڇ IT82rCGVB$ `'iŒ):DeVnT^7ĚJp`u}o~8LA{tK zUV1"]3M ff>W-q?\44 tl $U-4,EV9^:뵁ŷ2"fd-~E,+7Hf-JaR/]ֽdv.GY\ ~eQb&2?Eq*jT6D5 ݖn㲌ݭF;zE8hqB;72,Å2!ZV9'3n0e+m4IOsGӽfy8vHqms-fcIJfv yiW6t!+jժʆ!sZ?Q7c!.*MG _ЊCS2/"08nv-!i)[|NO4tW3 ?].CȪ4 aS$Aa0}:̝-jtxU +MdenmEN;C_$I"t0)]'`@ry@7;P*ƃuR1)glcsTNu/ n,˲$P) ǏI5`Bed)Nk? ^iNv.Dܹt5|#lDNgSc-ieS\GnLa(}vJQ1ӆÀ x򱨃*e);/k4xS[b FN>RI*PY^w 0yGDU48E$SQ8=o!A$ Oe7>R`li3AC umfl[;):lR]YT>V>Ac.e/p"Z^!!Xx"9*OU!dW>p(>ހƤ1i;vBe'}&d}":#])!]UhDx-r5RqHdyTF}QK.ҁ{mg3RX`oSQaʡ?͏C*鈵qؐT6p<7HY)TħKKWEoπɄEEns1vjTa0"&b2TS=-bU ̿P=yN)~,X6K—x L>VGh k5nLY Qn m^x;љvhml>5^̩Ci}9g@ j3~xzK xݛ|}:w𫯶/{l,:bDQFZi|{1ma+:'1^ߪKǏcoĊSg3u׀:!eC>NFrT endstream endobj 11551 0 obj << /Annots 11553 0 R /BleedBox [0 0 612 792] /Contents [11559 0 R 11555 0 R 11556 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25492 11557 0 R >> >> /Type /Page >> endobj 11552 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11553 0 obj [11552 0 R 11554 0 R 11558 0 R] endobj 11554 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 540.325 114.9865 551.325] /Subtype /Link /Type /Annot >> endobj 11555 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11556 0 obj << /Length 19 >> stream q /Iabc25492 Do Q endstream endobj 11557 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25493 20690 0 R /Gabc25494 20697 0 R >> /Font << /Fabc25495 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 11558 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1011) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11559 0 obj << /Filter /FlateDecode /Length 5494 >> stream x0zJͬ* p]XyQbsu vMa_^XnbGx_Ib?q˿8M  xO`>Cn2E^l@9W_}?X6h%dI?@tC$!(&9 d?SIZ(DS@rrBzVoYUH@*pZRժA 2k,Yc џKpF-HȱƣeRkj_Zs-J+Lj0Ӎy5UfdYq9\JhF, ֽFK qقNwc!&8}U PVz[Fl&MXuK`OVK":J_O7J^cOݩݹX&P/cR\_K;:CW̸f\/1qm7qer<> ;H#=20 уJ%,Zu6Kk5t@zqI֬R1s(Q8iVk$VBe]Bx@Ti.>'j=v HYc$?L1:2:';kD(-X{>ZmrOU Z9&y*b( dt\l:a-.2VDGYxS>.IK X{=`TJF[eu\DžwqpA1hE$5&Ca$ht)dH>ЬE\lI1c].x6T  Td:hs/2x>L 5@ . i=a'id%iOq@X]2EOW%ty=wF]lԈMnvۍs QV .۸K(@q:GMO$10ʀ qtj"6b2$1ZT`')=> 镣iN]ʠN1j w'a=O7Ƙ&^yi\TS'LYY$5yșEyymT5S;=VrF-] ռa^@Saw  RǞLB?8zʹlN#NS4XQoG0mw|~FCJ)#uWx~l`pi `yrr3NдQ=6Z[,BCӕ|\MmZ5{v+W?ZĕMɊIfoAFǢN@-\{Ⱥoo|B1ɮ<3t7q:gG\1$G`fg ie,0!g:O)WIJ 6iV\ }&6:A Ɲ&WQ6l}n.x361V׶e8 1P.e+E_2v%,d[vDvDvae\XLk^`ի+;p*dFF ]0+CYSCKdަ})S K+7ۤ^f-1`r׬-gSmRvRN/b9!"L[8 jbGqtxG>` XhК,%)YnuT"rQeG(Nv볾@|=Tﶥ(eꚔu}4nve4.#DuO `TS0X)5Dc명>d'I.xj;Il񘫂9S t% QeD>>XI ZF+-Kmc܌|B^m_><M3e-aw{҄(!qBu*+Li"qё(r\iiì>vX߶RAg&û(Gf6 m/+3nU$t??Pʜ>b'[!%^y+lnk1Qc^Yc\RG4C(w/rE{oXoV3Vv&a};}Aq1RM9:#o:\P @ W 4"}]qʫrXnOpc%ġ]r$2lx)cq4}ZzOa1T*ITx!J':Wp @OYɑ/RrI-ekgX;$FI;{URǬ[WV*ǒKZg V4A8^dpĸPYd;@2 l]ZaHax u'Ԏ|_/yB?\F$~$1D  rM_S.D Xe9:t=d/)}i>?V(vxg1%_,m8o=`pLJoPmlg)[I#d/ꝟ??c z}_|Og)O0a҃9CbuOo(n[8ivz~ T;&sz÷a貒$|– a1> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25511 11564 0 R >> >> /Type /Page >> endobj 11561 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11562 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11563 0 obj << /Length 19 >> stream q /Iabc25511 Do Q endstream endobj 11564 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25512 20690 0 R /Gabc25513 20697 0 R >> /Font << /Fabc25514 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*EthǬ_{9;u S,!swt;_Ph&(r$b%b2P.X5gbB%)WJDjIfZ٢a(!_>Y#rU iraZd(~S-(@F Y}ɾ 5Ժ@A9͊fhڴ[쥭}-UaoKdOM\w>@dHL_c\[ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11566 0 obj << /Filter /FlateDecode /Length 3850 >> stream xˎ#ίs1| !7 IRU,>fN؆Vb7X=ϋ^\Ӌw˪Q,j/h V+|tXNFi:2~Y D ^ΗR0ݽ7O V8{  ?"<*7>rQ.:__/j..`vK+_k`` \8$e5rc\!zzW< &UcȞ๐haO'٨H|[{F¬+pISŭ*.+]ezV^b #Pت m &-%$H./KuEĭҫU*Y>/06/b@(@!)P r*eON5/0ai7䯍Y^ۓA-Œ,NVd,H'eEE9c R~p>NZ Hr#e~U>W85dg.{+M4Lp<өd4Ya-k Qul`|4bK'!+>2@{&/.Ms*M4Sz!il$}IIihQ7ݻ 1pcۼ Hњڨ 'F9[֝32Iq;sh5=-[GU,=7uidzk jBٍlVv=`=W+.obegn`,VvKH;L: h iAZr4@#W6׌=IzDz\:c }2Nt}t6Pjr.jC8pGq# =Z?G-H#t0D*FowOs*Hd/|@f4>QYBwPtGdBh\:4X{> lΤ:AL޹p2(:t̘29@ϫ!S4 ϵc$_*8%XX }Qb`<F/OJŬSzi);))؋AJ KRMJ/ ;-M;uqFHdq jMlSu]cR_ɓhAy ~G>6f޹^\ l)9vuƊrcn;r^< PyJ6D ["%DɶDZ(ف-XYŬ,ʭZNxn։>.6v_n:"ݶ>\JoC戧$yPCoiV2ظ['i0 0F-{2='."9g:-dqJى] [+}]{mIo3Rn;fߎͰFn\9lr(3 _=S}nDv-:ŐAw> rB5&F 2؇^|3C ls85W-m@R;-IkrUfRw>mvcg]>NKC;RlGr%mgޖ>a}^XEkVc߶#- NFQ*g c\^څ2{+a$>G$^jooӲ'NU ƑY<ˬGzLMgUl#4_`ݥ2fе|btnЗX4v.V5kϟZcMaC>E(÷5J.|J >.nGlU\ 6hM݈W=BSaf:ʲr7c}Nq&HG^>qS-T(\"u qy6`z\EQۣ#ɠ;̚1r:3E>:Wk'3N@hL:ʑ)hNvB#!H\ lm]w#<:KU]Kq4BcOy u:㈜ɦ=*Z/"lXv^ ADNL1vvMy Hxail!0pZp\N;I&A<}~{Z\~]|A †"_~?,?/VƘYi0 TA W0y anCHm%lKM A#Miqd1Xk, DA1@N`UЂ@{~)6p)71[̗1ȋY]ܥz&,>L!<ғ5^.ʧx4$udųF3?mxaB+B&ݳV&λ?FfzE঍pqAi` IZ\dࠔkfaioȈkwNG+%*@\3pO֬0~ JCM9U=/|'b5h,9Ţ -2f?'_q@WBGG"-D'n]KzB[C7Kog=Ckuw3F##Gl_p/(hGyk xe|%J;G G*g>fMG62ylKOhJޞQma~ xY.8/QìR/ s؆.VLA4^RyZy^ƛ#yѶE$~ڎMv=߂&{auvbD?KbU%feu4ve;ݙu}9E> oS x  8=ɘCnyE9> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25530 11576 0 R >> >> /Type /Page >> endobj 11568 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11569 0 obj [11568 0 R 11570 0 R 11571 0 R 11572 0 R 11573 0 R 11577 0 R] endobj 11570 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 232.0423 184.2752 243.0423] /Subtype /Link /Type /Annot >> endobj 11571 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 215.8422 193.7132 226.8422] /Subtype /Link /Type /Annot >> endobj 11572 0 obj << /A << /D (unique_594) /S /GoTo >> /Border [0 0 0] /Contents (report_phys_opt) /M (D:20210608081201-08'00') /Rect [104.1732 199.6422 182.9827 210.6422] /Subtype /Link /Type /Annot >> endobj 11573 0 obj << /A << /D (unique_719) /S /GoTo >> /Border [0 0 0] /Contents (write_iphys_opt_tcl) /M (D:20210608081201-08'00') /Rect [104.1732 183.4423 197.5082 194.4423] /Subtype /Link /Type /Annot >> endobj 11574 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11575 0 obj << /Length 19 >> stream q /Iabc25530 Do Q endstream endobj 11576 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25531 20690 0 R /Gabc25532 20697 0 R >> /Font << /Fabc25533 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11578 0 obj << /Filter /FlateDecode /Length 3046 >> stream x[IWl+@/rs0@OJ .yY,0,TMUҿh -W?}ѭteןQjՏ\cf&/{cS15z1!p~r\/l?/Z@x?h%@3!p%6269;'/4A0;PhI ΅%dl hC"i,hu}݀jÀъAr~{-C3_"2'0D^8':mA>8 GzɒD~17hA'/Ĉ DA=}G2ugG(}]`Kcĉ:f:MCdqAp+tJZ(-e&lL2qg :WiڝX63Ad (4HԮ*(zз%-hߎ9'E )= ;Z`SQ6`F1%\AbQ^)W}saP{{—ʴY9# ɾq,3_Ihn$Am' e`@i5uP[Q?mjKGUY^WԮq^2 Hb-d&ܔȂi]c&|NaQ>o|zDeZ1n8-X ֻGIƦ4Vۋ.f.IZXشPU5~}Un@ >Xzj V baѭiiVa77/rĽŖiˬEϐ5oi .AeSK"f`(8q r>i '8Ug%_FADKXr^;\.vB89X -)fҁ 7pt]'2wK9pD(g؇o!*v5-nAS<,~ynx\p}xT-RgPn1CKN ͙Y)T(/j_N?~ݏc3,D@GH4Rrߣ_i)X(%8 &uxсw\˅Kdk@A-,},fz#!dgR#a` "&% }<.A~ƒv9h^cIЛJ<-21Ӷ<|f[DGcݑw7ض-4H]Rb^JM-01bqtCl,[mAdž̥[ߓh Q#- Hb%1I|5iG,\kqaӷϫ9%"/\CNr_M#A׬lc7!vb7cNŢ~bQ}bQV,׊EߎiBϤ.͍"ȯg5#T\hje`٘뇋F{cwԢ^#_/|uKEtz+K `z+ml`h#[v/m7 |UnGE|zi71wYNk巢 /[kJ_YA")JsZKp#qt=6<ĩ!;qvÃu@>4v# 䶈dꮈ7Gcbnob+7xqnop)=wLBѾEp@BF@rE\B,=~ɹFWhԪ1ۅ i#`B6KLV>O#X<Q^>eZvmOx&40`)Xn'q?*I&H%KK4ڭ2q@%W%o|&^ ? <2Yںi9ܓ]]pfs3іpS_pǻ am1]b{#asjM ImHBX4e6ٮOjQ4DnS#m rZKG2G~7.+!_K~'zEx F xSoX\@z&f①IG7-M U6U)Oa4eaيTT-"U͑z[[,!)Am)_E??axY0ǮYLj4tid@ ,X~ m5o"V h(nQq" kWڒh'bp$eT]($,EӔXI8SYJ'[ I請>D327' ͹5-Ìڟn{Әcc=H1֐ ?fg9 ϫkFQb?SK뭧 Jۢgi8 #9I ƖБ6|V5*௾6W:Tƾ'aӾ\7PpQ1%k_.;{Y|aN\GQ$`E[T[> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25549 11585 0 R >> >> /Type /Page >> endobj 11580 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11581 0 obj [11580 0 R 11582 0 R 11586 0 R] endobj 11582 0 obj << /A << /D (unique_56_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20210608081201-08'00') /Rect [90 415.025 144.9175 426.025] /Subtype /Link /Type /Annot >> endobj 11583 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11584 0 obj << /Length 19 >> stream q /Iabc25549 Do Q endstream endobj 11585 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25550 20690 0 R /Gabc25551 20697 0 R >> /Font << /Fabc25552 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11587 0 obj << /Filter /FlateDecode /Length 4273 >> stream xn$ί賁&@nv!i;V֗~Ww4ڍGdbU,2,]>.,/`Ο?\b闱k1q9Yo ;gc[έs[B|>Xu}{i8˧?-/Wd/Kоyzxj˿O^cIiMf.Ɵn13/\XK %/I3^R_;Exw{T4x aWX=K,3^Uy(m*h;fP/;>u 讀(L;u ."Kdxޟ)L[ѧh6.}32p d3\ bwܟVg ^<S_zFmY黾O;m"H>L P(- {5$`v< p@GwkdK)Ҥ-O"o߄|7PKF%/\ks_,tLoXH3Qz6vT-< +'dZ?fR,UǴhJ[\q :[֝*}^PFģʎcGiCD-(j@iTGF(AhIz&ِrB'=fg\V "z8!G,-؞p-w+,邶ީo߉,7+cgi祯gxd,/O1N"Y {ܺÈT}Hս%Yʰw 8uq./1\~="yGvE+%,*(D8gREwo{KrwENk&n=A+$7NUF;W8عRCH ƕ%5@1c (}@JEu`~C0b!bl}G(4qPꛀ5}e`RJWxcZLr8f\Pz\K9! g=LAͬ*$?q-x) j?l$8NQŲQ5i5/-Ϲv׋jUi L& 5ki``%bp^M2bV\FW(o1RKCVF, ֽfKk"D2J@Q[nLSRlzP Ua6ndwl&MXqmזd/DtZ7ʟ"eocOiݹX&P/cR\<&*dud @G6@dOHա@\?R Ўin!oHz}~7՞ZzoZ{H~-:[4UX u!@A3w&Ag'i4~-a eAݻFY@%j YP,_rwȁ`> x|F{?d`8J%,Zu61pv`m o>X:9tGƺ]UŴ[l0z/"HxQP'E CamM!\!Y=p}TvԤ׀nIzcd,M͏` 'Ӑ3Ib16E<0Pm(claX0gn\sD+ zFH!Qc 3>έkaN0o&{aBs*>}O93ɋ!WI9}[ O5Ɔ%WHݱL)z1Ѓ@ xUJ0d&<ɤ16|] rBN6NFvHC[MD{hXr"BWԄ0ne `'.  !䗖$ gcgŷR J4\HNށDY.{ђ^y:g,LQfӌO/yx/3n5MIWo Ck][6ކ5 +DI߃V$3GH_')eIʚA2X,.J\_qrsNz[먪u\:ųj(,zV4UYԺ+00E ]ӿ+KI5cNK#z44I,AJ~Gc,bKPXhf42K$,{V+B"!`$ѳKS XJSeeP]N KD}?mMdفQ6ۑ =TG 7SeED̡A?)R[ ]{e7q6b* ˫ "MI|OUÎB^]L8hǜ;vեGx7Ј5A`cxqSEpn"4sS@j$i!g6Ӗ'qo7b-aWۏn bx6)xGm,a[Nv2{˫z.8>N%ۮQr$d8Z>If&7 41%Sd.# oI>> smnW5s~Tq7k{7v{'NUz 68>De-LǍs,2S \[kt{cO;KF-fmjyhiGw&^kӶ6|vx'ukl@y .>tZPuAƠrv;@~Zxou /|[_7\qb2bUړo0N`D妔55:XxIԼ+I <$Q lդ@dWX EwX _cbIm'<'uw`&k1`^ic\RG闋xGR(wQ<&?.|;§>*|}JUlY4!X Eg#kj\/Zg'J8SSQ{4uj]ܾHܥxH.SfO"5|e,N#G/8>UK_cyv J22>ZS ç|?䒐[ΰ4d,?Kһx*|PCc֊m(+rNKR/6X.SMXYtmYsM&G OE$_&eެoYf?v~鮣õFmR@hs* gr>3bx B~FkSr~Wv֛1qGֻs(|w`\L.d!`c{$2Ic~>?_q |_\6Ā]4_<<ӎבi6plv]dF@ ;Uo9}w?_ &?^(%{D&YK ήnvy^]\e endstream endobj 11588 0 obj << /Annots 11590 0 R /BleedBox [0 0 612 792] /Contents [11601 0 R 11597 0 R 11598 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25568 11599 0 R >> >> /Type /Page >> endobj 11589 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11590 0 obj [11589 0 R 11591 0 R 11592 0 R 11593 0 R 11594 0 R 11595 0 R 11596 0 R 11600 0 R] endobj 11591 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 327.4731 203.2722 338.4731] /Subtype /Link /Type /Annot >> endobj 11592 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 311.2731 195.2587 322.2731] /Subtype /Link /Type /Annot >> endobj 11593 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 295.0731 194.6317 306.0731] /Subtype /Link /Type /Annot >> endobj 11594 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 278.873 161.9837 289.873] /Subtype /Link /Type /Annot >> endobj 11595 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 262.673 165.4707 273.673] /Subtype /Link /Type /Annot >> endobj 11596 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 246.473 179.7817 257.473] /Subtype /Link /Type /Annot >> endobj 11597 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11598 0 obj << /Length 19 >> stream q /Iabc25568 Do Q endstream endobj 11599 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25569 20690 0 R /Gabc25570 20697 0 R >> /Font << /Fabc25571 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11601 0 obj << /Filter /FlateDecode /Length 2952 >> stream xZIo\W<@p_[=@n0OH^$Y>#Z"i +W_u:],vvꧯcO1^[DTC4Ax u+qpodX]G߀t4wY`tw ioj}4&9 9`{~OV yI)1({{I@҇xm+ XYp݁Y$ Vi{`V"Fڤ4v'Q_[^'D)w(7%-a(l/pr$cz~RklTmQĽ6lӘbqՌ,'Ofa*,?kdLMI0{L u3i02+kZ4L87N]r4O@8&=@6Í2oN5uHl~s9~SD3$͝Xk%%| >%'M+;B WB';'@ōة/`בֺ;(uaq "дJ$5(@V%_{R-DHVR]u5wy*2EұQy@Z3h $m^d՚:} GײF˼ FϠ55~ 759ٹ`kmŬ< f'~%yY’ޡ^vł99fq>L:`"v0b @8`ڤ]U0"SRSW ̌voA3þ&QU^6H8{{ݙHȾcwנףs,"j~< {;۱M `5z+Y3%ܷ+ܞQdRȥ9[k[ >@HSpݒbNX)pԘ_B| XI݌*H0t"#niY[o'nQԢM}9]XjbR՛\5zMsh83.UZ( &+F/?_bHb d/ory+s.9%39'@dKH9' G3`+gN{Od gw*?ѪѾuz%u>;Wy ¹ ryEKPXv٤\6JZG5JӥQ?SK#BK|b"ܪ+<"]*6Btc|u% GB[ Xt0eM Q r='ad,;Yjg&DGa:Y3V${_MxIsi]&Β+cu]]N,s>[kkxfw߸ض*SĬ쟿A6痜ktF].J'+)YLP}j` J8FtRy3q ;^Ee/+$)g= X 8hNZ$DZ׉[xsuι~73j˼yxW7B}yliLyï8]ŕ_0T}o'{gg)Ĥ6۩ฆ8ܙ%L_~)iv3_ITΰoj@%O`~ b^hXgxңO GQĵD;a#N-lq[/MZ4Mdej> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25587 11608 0 R >> >> /Type /Page >> endobj 11603 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11604 0 obj [11603 0 R 11605 0 R 11609 0 R] endobj 11605 0 obj << /A << /D (unique_56_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20210608081201-08'00') /Rect [90 425.025 144.9175 436.025] /Subtype /Link /Type /Annot >> endobj 11606 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11607 0 obj << /Length 19 >> stream q /Iabc25587 Do Q endstream endobj 11608 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25588 20690 0 R /Gabc25589 20697 0 R >> /Font << /Fabc25590 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`bЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fՂf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{wT endstream endobj 11609 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1016) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11610 0 obj << /Filter /FlateDecode /Length 4325 >> stream xڵ/'׻۝;O㳒blҾ!4=/T_~U?h.ߗ}1rp,[KGzθWkJ4W[LRR)K(kҡM|-u|{{V4x|.0+ؗˋY :3Ck>?D~}c"tG`J u 9A>! pk->D͚loTI_Vd@Į> P.{@Kb^/ޡgW$&gHPV*z@_i2n>>&ˎǀ~}~6;/ Mp̳%b݁C~_@L^}*d'm!h fY "K\%Z7֢`j?0wv=&ro"XF;u^v ;Gi4LC=2#P7-hjު@kF(>XGG %%}$.'z`we.ˢ"1C&-J op=K!˓C5<$ْf* :GluG2H˵ I;HϸltO삆 ~`P,:L AkW_.Y̬0W*"b0XwBzar!|$r0h8 jnju 4Z2͢kt/ZiE!$R p/'$$_]xVuJk![}5'aQ^#ނCQ%C 7.'Uec"e0ޔ&*TbJymd SQu-Dxp5/ %TL#x?'R[M<9\D &;({$?XQA@! [iE|,.9ےmI^&I>ycV+]CyIeYC+_6:/smvG9G2pƴ=YVLWGW˥@\t|lH  5-o?/cytyUIĚ谆?(r8R*ލK~Y%U#ź[]a…+K qЦcUn3@ڪIq9Na X`էњェ@盜&L)Bdg0v+VZc0RN _r:_?aB}AvۉдS;m89o1@iK6o Bv .AE?a8].:QRZ  $?V|{j\`*QhV4!D*y'6h$;LD⦒}1F)ɅA6²'SpRմQn䒵z:sW]^>׳-0<u{|e_#ݕuG><ѧ3,ͭerkf[wȷج2RMFdUV!0zȠ(q H]Z;wF&UoGog(Af`8዗wpp6-fG`,srӖ.-vH5--8 él͇9컕\/cr-vCU Z}H2g觶1s xAmu'׹q7$ 3(,_^;:ҠOEOv=u;T DR6 ;wZ2PomC㍱^6.Ӧx/46h_u-4W[.ј@T5S Z:|J^úF>TY՝zxCwMb}S  ^}4o+ٔ8B%0Bz`| s?$s&ޠ4A IL/spٔgQLk^}o%;!Sg`tҽtu`cyE9EإV}:{8PKj)8XA$r>׹ưAxgECr'&y,{O R=\-reF ǴO(-ASZ^TJ1~ܫEk/1ז'c~3O߻qVjrf&-kuZW{K7jV!x%eb/sEO12]6p;Qi7>DQCu=77WM%D. Dȩ魩1IO -9!!;^j/Ovš=n5x.Qk#O2}6h2& Zhhî!tּ}c<|B^m_><]3X݄r?bx Ӈ( E [D4>7rkG^l>v ~m{a6[5!^5uk gᘜߗDfM67bcҕcPrO,\6R(Ç߶XT 4 j__-~=jQ <.>dIsO8&/kmG\wO}T7Fg-`(uo(.F*sߜj/qYٟ* *\- Ey>߮FFS7+D5xHnQfO"5}>|z/rI-mkgX5h7otXM^꘵fJ9r7U?؊&hL|877qAvd2l7ڛAы!Ycoiǁl=*q$Qvz*^Je 09 fwʯgiK |?_/{%WL \/KrsT-竲|ϯ Ҟ`|2ڪFiΙ)K:p~*Y?նH.S˻> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25606 11617 0 R >> >> /Type /Page >> endobj 11612 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11613 0 obj [11612 0 R 11614 0 R 11618 0 R] endobj 11614 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 528.7346 179.7817 539.7346] /Subtype /Link /Type /Annot >> endobj 11615 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11616 0 obj << /Length 19 >> stream q /Iabc25606 Do Q endstream endobj 11617 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25607 20690 0 R /Gabc25608 20697 0 R >> /Font << /Fabc25609 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Pӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11619 0 obj << /Filter /FlateDecode /Length 1881 >> stream xڭXɊGWYPz&3il٘%Z##˖\^DFȌl8cWiBfj;?&Ja%X{Z뭋GzR|Q'(I`w:@;>?Lhmbcg=)VX HR8LVa oy$33#Ԫ@#u EXυ;LTgAr0a*w9 TL&<dpjeD 5Fte2(`oU+eJKKjдa)."قs>, zc8{@1`LmJ:@Zbz8k~o! n[˶[טU{+!$|Ǡ:Qmbem33FJ ;nIɋلY|XuB9Qaڹƥ}}Ed4Jy3S#=HXN!o=ou+X/5\& "<_5ciݛ:}G]&>o7ƛWx,vhGyB9s?/SW}WkZ_zR?!vL慎2uunIQ`+~nOS/,i%Ӱu (sWlGHmq`wL%A6T/Bq#7=Vw].%7kE,UP06X$ .ðd`};'q+ \%;-S <unfh|Beq J%':U1xLAaE}377= ['NK':v Ξe˧-7_m3~7|2Ou-XQ·1cZA^BFo ,"b`N26-*p8osMTl$e qza8e[į7_Q ̋#+ ii1߂6%a4|w-;K5\"UZ_MmG>>k emn x6m-:RZ Rͥl)4=yǖ:jN#SOG#ފe+8ğD/L*x9I}aWu @EY~|p3^ VUx"fdvUmsG$]koOYR'ܦ}OJ .IYxfOǮx5˼&%LV!cYQ ?߷LmM$b2KQ*(x1R(;~3fbH1*%qnktUun54aS+Tuy/oAFHIFIa7k-ꁿ+VHz- 7zuej*zLRsfo~ nܣ/bZjl*kUX1t=kѿfgLjcyOس BzҮqC8;0o5kM\(+1hZt<+݅'<}Sutݭꘪs'8/%otծ7wAᇏt\-/agћN׀M"o9_lky7l/CAPt1Jt)~ endstream endobj 11620 0 obj << /Annots [11621 0 R 11625 0 R] /BleedBox [0 0 612 792] /Contents [11626 0 R 11622 0 R 11623 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25625 11624 0 R >> >> /Type /Page >> endobj 11621 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11622 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11623 0 obj << /Length 19 >> stream q /Iabc25625 Do Q endstream endobj 11624 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25626 20690 0 R /Gabc25627 20697 0 R >> /Font << /Fabc25628 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11626 0 obj << /Filter /FlateDecode /Length 4414 >> stream x]KWl@ ",6 䴉;ƗTl[ݭ b`1;+ů,>1pb9|}b,N?_ U'g/o_?qOO(9xg\x'BCxz235ON" |#UÕ04/+,\Xf1#HoD~5Oe2Yn"p._8w33\OPR|ZxOLCy{y3'*2F9x>FsM^y w|^1G ~-PחȦEY|wh i3c| 0GN0 ,G.q~ p9bT!CMșo}ȁOWh"2~x4T:KeYՇu`"/8Aåz>9T}݇]mӬoj#y.cT,6c~fG/DANSP(|1GlP&# Pv6_}m'ybz&y*A&6 | zۋEgc m(\#N6/@v/PwhlGG-Cτ>iY6F"KPf\#Y|itjE^OI2Tnhŋ!1c'-6KT0 {[A3W^qw|$9," .n:Rk^x{R%Y9l@ D\OFC! 58g9 cQb@Q@̇Yp"}˥rf*ah^&@(U籟 VMC< % 3wȹ(jp?_r Ou2]+Ҹ3 w򱸓 3 WXH(7a V:24ʙ>_3 x+Z3|^VnV)f!fCal+:VZ;XPZ!  >VV8VX2?ErdJ5"@z_1 r4G4{DG^W&R[&j8]FJ5N%0fX5AxճL8&',Qם`H e>;(bqA&dSs^iC R^xA=Bpe>gnGe#zZoQS1QO00p8{(p9y\![S@p'??,&2{I{^Nh QP0#$i014Q@P*!AIp A$.=yBآǤDg8;sJ|b}3yǮZ9zdN ?Pzh~n޷k߫{Z$]}3:H &ЏNNJIf53^qT~2%>l0mcѥ0 dv¦~XF8,/ZsrB^ka[\X-Vu0ιQᨎ{$mta9uGيr,Ŀ+cH1Ȕzl-vkԋz3n/xsx(oyڶ]rz&OYhޟ]-ogu 7=%_Y`/@=RkW-}Z:ZآQm:*QL=g-P}KRK4v rXc}.5I/o$I;l:MdݣK~w4vF)M;2JwQ눹[<1MEݡ|=~d]Tz6i'GGuWw6-{)_g/߭ȢΟC)R41b K=Bm}]lqY.$ͳa6>}VFCѪR֔=:02SՑWb|BXyii|}R5#_ϭiձTf{˦ll3%ܨElSgniߥwueM *:iɚݕ9HlX/xUEqBtooVy@cm`QQlܡmGO {d ?[m# s#f d~  _}K_F a f"X-AUA*>W`_9}ߠ*AU0ppoa;eB&G1-*p^3y %HHkjM;iiXYLrB* DŽXvCu®,I2\&Rõ={ˣ xBx+bebhT!0=IFiVe:V桔prIFy!*pөd+91OI@✕:; dRNVTdĕMj6~ =sS k}7鞙$h}1?FW^ystJx2:TɸpJr)QLץe_ڥ-,B;s(ƕNEsV/  Ui% pu d>Ʃ[K:NkDnNyנfPdzP5WϡB]TEs2[.>߲SV 6=<6[{ lvZo-fE=}nvn_ݡ5 ˾}/Yח?k_e5R?a+xp7IG_y9,͟=~H_q]Zxl޲[6p{nv {ilY{̝d2xQ=c|JFl~D|=1޲M{cym .s.BveNsNsv>̢lAfǥG4|AE+Qʰ9Jaasrg!Jaף(4_?iv潳7wfc,{DveE^Պ' u…I5MB;\3{t a}ԖKzZLPάPδ1 2[SjmCR^7Ӌ beB ˲b55VQZqY*̳.;Kԑu0UZGvYsا|eI 26.X!:;Td}R*v [mP(s m#SWTITKcoRDd HH[d<@]obĉD̅Fz!'|)#'G)b]) \ q*qjKb[HEJfJ|%'pY,:4w=Dxj_- Tִȯن6ۑ9ceMʜqS2U%=zN)[%e|]ff)Z`,?#ߒBs1*l&H.$ѨBL@,5XS<"bU ˳w] ZۡAZ)g>7x.>FNM/Nm],xrZ9*Smle`HQ a:O>sBZ|ax>ax)GϽ)}o? } X EiJZ&%bc}9gy7|Y+P@WziO&-|Y<AU v9" A endstream endobj 11627 0 obj << /Annots 11629 0 R /BleedBox [0 0 612 792] /Contents [11635 0 R 11631 0 R 11632 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25644 11633 0 R >> >> /Type /Page >> endobj 11628 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11629 0 obj [11628 0 R 11630 0 R 11634 0 R] endobj 11630 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 675.15 124.1605 686.15] /Subtype /Link /Type /Annot >> endobj 11631 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11632 0 obj << /Length 19 >> stream q /Iabc25644 Do Q endstream endobj 11633 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25645 20690 0 R /Gabc25646 20697 0 R >> /Font << /Fabc25647 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=V endstream endobj 11634 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1019) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11635 0 obj << /Filter /FlateDecode /Length 3897 >> stream x[K# W9k~>@99f7zCHzU_ݳ("j>I~oS&g?Ƿ闋~o]4s^JVJ;~k8}?h?چi]ho?z=#ä1G(xp:Lov"4{ߐPxory>1xg)#̌dܓSm"$׭=#ZA *7@/hi4x)Εn.9'u#L̻&\a0<_߮<&!cnA(R]s]%+ 884PSI*ঢ়MQVɘ=>LJ#24wr+̾:Mӝ6&6!Sۋ;7-hZŘ@}תpB ~d]Zu򜇲rP }2C^d:-R;;h%e 6KE#WKsT&)I53lTvH2qQweη3`G+ae<$M>9s:bRggn4 qfK* _,ĒNJgBrkT`9xbH=x M3ۡS%}~X;|h(a벢8b++*Wx>,,!pVPZbQsiFT H$Z #`B`aV2MiJjpQX^AfV68_"ܩER$[-v$Շ7HB!;@q7jBtj۹ 2()*j كś3U,2(L­!O]b(4KN *$0Hȶع^*F-vDH->cgRʱ5~&G,rłh5X!ٱ-vCǨU*aiqn3[zR6dҌ=^\ahJޞqmN~E)#"Ѷi$YjP6,vS)X 4ľ*n*_svqAp,v `PN ci?)a ՗b'^c\E^dbVI;|_WMR-҈/mƢ|d*?٫[]XS/1VaolMd0ia'q3>TY+g%x 2;Xb3T 7B\]' C5ÜŒV]z6*-#6M@bZD't2ډ]?`yŴ Ґ)kKNٕο/5_AeT9IQgY 1' R=I"D^dOiLfqSeI圡HQ%1"qGѬ8vn[g 6v`M9oc|Yk"EZAƀEآEmkjg=ؕ{{_P]C+-!##Θ/@S?˿^U."/v(9 E{19T%cW|t;+Z4՞HW@x < w:y_|ei<Rm`.xnX'YCiALϺʄv)`9 YG7&Ή&j6FBFiiͶ=웿n* 1rx?[p5G~G۴=h(L'CSdm̀1IE&Jz~Z;OY:ym.-ig[~Q~z\3 xn K:3cQU%f7e|^#]#| |kG |_45Z˫CN{[#+(^=zf՗]U 9ϦbkWUe&KZ0gSN$5%>` 좗$[ endstream endobj 11636 0 obj << /Annots [11637 0 R 11641 0 R] /BleedBox [0 0 612 792] /Contents [11642 0 R 11638 0 R 11639 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25663 11640 0 R >> >> /Type /Page >> endobj 11637 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11638 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11639 0 obj << /Length 19 >> stream q /Iabc25663 Do Q endstream endobj 11640 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25664 20690 0 R /Gabc25665 20697 0 R >> /Font << /Fabc25666 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl yVf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0׫, `FcU$!īEWmG;!%=J‰pT;i z7}D endstream endobj 11641 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1020) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11642 0 obj << /Filter /FlateDecode /Length 4547 >> stream x\K#W9:|?a4:f`6`7*nfցVWXXE-+$|sjqv OI/e,J-_~J g[|_vʾ, YJm_5w Rg^I,\&б 7KQO-}`W^ ܫ\*/K*˂ Z#e }RШP~ /H\fV̇+˿?ViaBAi^'n@ Sz}w`BJ+']wv 5ӹY]ۓnI lV`H P,)&zRvU>]'c 2I#U4AUdL.&1Lu]+'_SAQ95,6-UXUeIMԗ8 H4$^`.@Pva aN([+e=h PE(<]$88MH6@:,[&VPʖEܶt۪mg2EO \qOP(hwߟ}Z B|"KuGh3UUz\u]; *<9MV2?,*wE<.Ryӧ4HMY, pQplY^,rLZTYUTjJmvp-8밋I053X To(IlPIq*ɬچY ({ʶaQt޾k}4?o6+npBV`˸q?fD[[wN^] '\7]\ ٺ9&Q5bkmNêFr}o;W#ܼa 5#J*Vܖ̈́mhLNJ(?4F4l$RX3 ?'`ܴ]xq^(w4:Q55'89p eZOsg=9YV8 'KM)[jq?b#{6xݏeM}n$u8j3 tSԨ8JT7d'K9"&:Y(MaB{Z f 9̜Y4<4 ZNl){if7f`X$NKI9l|⊞>L{"mix:njMx]}h,褐`x5% }<`9fM2{|cRAbɷl#14P'<w.jwtb@OsFfHxh䠘;GApyN)P lz%gƊW@U{ b 9qU_H5uC{c@TІ1kg\ͨKex ¨fR'mIЇzDADmΧ‚[XҪ!Qڣ?ɧ!/&(Q~,+CAa\%V]CKҤ@Yn6hڀODU%j+H`6s[OWzxƘ:3J~аԺUtdA$Hglrine@`$b<9 @q+ok+4_'˘a0A4o;hX(d,*Rj3pd)2!@k-g&1kPn*2V+x%@JknvM\yfcfv!>*'6gÈY6~"8qN݇ wPһ PzWu}E܌Z{X$ӝa&HVBW4oWj|Սʊ U3cY jä~Ą)1ժt<\.OԣUǰ! p`&#s|h w" ƗoWw mY|Z˷%@oO& Cf9CH "V1qCŖi9y13||dRܦ5{Ӵ'JOCF;qJ878/@!r;Xp<)z٠"i]P6;ղaguga8-i;I"7MDFofN|I6d8 "M@yP6 #\_;p,I3SN ⎴?9vn<{`ù}Ő[(WxG_ZAx%b"\T9MkMU9U|~ " ?˄8K1'x)W3Ff8UY\ɨѷr'*0i{WTF$~Eh\i>b>rdBw9z%@_\ЙzǷ! ;ꜵ6.'HPгE_/SѕMY2'lfomhS͐Ie-nb巠]p?ZOD? ~rKH;A}_R!aq1\8jՉZtAŤMq:u (^n5Fie _.A߾s9LJ%Z[Ӻcx>Z|tW,K<{7YT9_GǹJ%/+|ވ z.>>|2IL"Xwr> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25682 11647 0 R >> >> /Type /Page >> endobj 11644 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11645 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11646 0 obj << /Length 19 >> stream q /Iabc25682 Do Q endstream endobj 11647 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25683 20690 0 R /Gabc25684 20697 0 R >> /Font << /Fabc25685 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vKχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐى/P|}{Y#rڻ3^J$~uc.@Bl(Y|z'&DSsA̪xJ9ߵn6ZNoK5>%CO4i.dKRG"+5JVVK2 ef[X]M` //d"Xm뜡5ڟAKiܝBj2gԜΘ9cDA^zQv,Q) )ǥC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11649 0 obj << /Filter /FlateDecode /Length 3804 >> stream x[Ko$ Wy @n!i عIQRUt{&bӭz(*/-zQ_1ӋwKxHѿ/㫆szykT.ZIA鐔:/Je\<JW^zqۿď, e}R(}~/š}`mBfQ j`Vb{7C ?UpNm&6E[2NPno."*T [ |FSLtgW{g.Hݻ5af;Ԡo['Fcn3K61v9rez~20}x۱#z(͢}4hvW*=>n(jUܧ홶SXjnS_9Es R4^D>A^o^?FR)y"-% cd~6;T%?Dnl1{>n|ZƧcCYZmߧܞ&<^qZ&nh9L~n'jVںkuvQ&1e*Hۜ뜩q{r%ViIV$r'Bnlm%S?BJ{wB26-Y\=&ݛvA-EX;{⠭+VVbrڃkщm[7 {YiUO)FΎ)FM7eg0IqZz__qc<6 'K{T&'cIwEIVj{<):@|lϨ)7Po AmC y4*v{e&NV<9w#mDEWfn؍ 1V{oNe \E{xAثR߷} -jq5'p,C0^O*{= \SĐcv@=Հ?ӄC]35QCwJb90W{|)I؆>sfv9<7$2_,_3 B^UzK#R҂yuZ#gӏUOh/ 1Z˛GЧ$m,ֶlV~M]؝AQ|ebRyb+Bf5;1PGj Հ:JU5&rbh22_yup9zupFV` WRQ \98#qt۠MG5MCyh1r}nP?8y(D !ax@- oRG@a71fO`vrz``"h /d h@Wvŀrl2֪R *&D^3h0U;RD0+b ]@a 5n2%::TpɡbrbV~*5.Zhe>~PT5ܗ4fJwMxqwA -r PcWN[O3Ի lEo!L{-e]fa9ߗMQrq0H`!'#],.pYEap^3?_Ay(GİbCE'4t_ vΡ&-_q>q)UxE_ZY,ԢO.Zӥe?zL𿉎TcJ!##J|W> aO*AydT*k6 6̗/1kY<}<jeEg 4g7\7a9ϓRi3||"$&x>+ '6Ok9rC]p6إ<ן98Uyr5njv%ې<]l#Ol0?x,aY]o endstream endobj 11650 0 obj << /Annots 11652 0 R /BleedBox [0 0 612 792] /Contents [11668 0 R 11664 0 R 11665 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25701 11666 0 R >> >> /Type /Page >> endobj 11651 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11652 0 obj [11651 0 R 11653 0 R 11654 0 R 11655 0 R 11656 0 R 11657 0 R 11658 0 R 11659 0 R 11660 0 R 11661 0 R 11662 0 R 11663 0 R 11667 0 R] endobj 11653 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20210608081201-08'00') /Rect [104.1732 437.7115 155.5267 448.7115] /Subtype /Link /Type /Annot >> endobj 11654 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20210608081201-08'00') /Rect [104.1732 421.5115 145.6047 432.5115] /Subtype /Link /Type /Annot >> endobj 11655 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 405.3115 156.7257 416.3115] /Subtype /Link /Type /Annot >> endobj 11656 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 389.1115 184.2752 400.1115] /Subtype /Link /Type /Annot >> endobj 11657 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 372.9115 165.6412 383.9115] /Subtype /Link /Type /Annot >> endobj 11658 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 356.7115 192.4702 367.7115] /Subtype /Link /Type /Annot >> endobj 11659 0 obj << /A << /D (unique_548) /S /GoTo >> /Border [0 0 0] /Contents (reset_runs) /M (D:20210608081201-08'00') /Rect [104.1732 340.5114 154.3222 351.5114] /Subtype /Link /Type /Annot >> endobj 11660 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 324.3114 166.3232 335.3114] /Subtype /Link /Type /Annot >> endobj 11661 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 308.1114 165.4707 319.1114] /Subtype /Link /Type /Annot >> endobj 11662 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20210608081201-08'00') /Rect [104.1732 291.9114 166.9282 302.9114] /Subtype /Link /Type /Annot >> endobj 11663 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20210608081201-08'00') /Rect [104.1732 275.7114 179.7817 286.7114] /Subtype /Link /Type /Annot >> endobj 11664 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11665 0 obj << /Length 19 >> stream q /Iabc25701 Do Q endstream endobj 11666 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25702 20690 0 R /Gabc25703 20697 0 R >> /Font << /Fabc25704 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11668 0 obj << /Filter /FlateDecode /Length 2877 >> stream xɎίҵ/@C@Kjmr|jg=f.RgmC2J3R僪sF)M}:>/N..Zì^TwQe"LƋS\7lg8tǃ0OZ'PsᠬyIܘN8Ehj3.өovZ6*XB++8Fvp=ʚ{$ӹȬ ESCCqјBY'0!]$6e."Fx"2";_ԬAZ{P_zJ:L]$)VuEBK8|b\`AϿ>É' W]?Ź贑CM pXqz w U8wXlXr@[` / |\I%׃TAZU{8VZ#m2XY|( %,Hbh՚ėhј힁xW.d7sL a Y%zmrC&2V)hKyb eG1 Lg3~ķe;DD㸰's&٠q lU&N {8JR9uLΪ_ ;ͶjX_ճ]+b' ;K aٗԼC 1 K@@rBl1}Z E13a;ߝu8CtՈfu,ee:y[D ̚UՃH,9l$s#W*ʘ:mhAVk= \Ly4%|ETL )_O KPLu5x.*%$ Vѿz3V@, ]N.K_OV`6B@ԤInZ00D &V`p63< : 'Gr- b3 Bsڗq& P3Myϔ4W%͘א|cp{?k^j8K4lIQӚKq9ěơO,Zd(O9JQ0FbblxƞWyKgͅ$ lGwjR2=-LԲu?ńϋHvKk#b"4K2)25\΋E#0{l%FsgZmBR~=@%8&xރW=3xD]G{W |~cY+W 1ΡRPAlCb|Q=sY쮩L_b*께ʎ5oyB=K !.`Z͢D_'( v-M{]WVgSzER nXj_Qt@.oՠrBfg*CfLOjF7kLZY? J96z.se.׌Scv&xb_tKQ{x4X;6cn/E6 Q cО}+Bz. ȄR>O 5[iMXb7%|+"c$@_Jhíŏ59{v-_cna;~6~ld=OeضW J {d|/2~_]X޶_:(s]k6Mߞ1}>G[7NhGM s [R*fڵ f=WvB -+ISۼ KKcJ4koN?(.iz8WKߞ^{n2x@q )i'6p荡>MtMz3#Ӗx.=>ݕ:S[rΕ}>@1^v< l٘%e,48m(q5Q8_nɖa3;=9 r>HtNV"25fĖ2 +9}!iE \)Q{ s67uB:ffBg:!lF{]#H_٩g,uZ[:f;J`G$w/h^F h+ M$ @'ZPbfI(S X%xT}x0RBQ8WV' &(5m{)Tt~f`h_. kXtlg~FwrxEF>Nd: <ƑKm'f"C24{y&赚lHa%4ոAM~mP5B ZJDNvw=ATV;G*TCVİ8bRs*Gb,Gt|k3zPo ޔ \ڀ11x^A u:}#|MJz[ICk,@`p +.!s/> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25720 11676 0 R >> >> /Type /Page >> endobj 11670 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11671 0 obj [11670 0 R 11672 0 R 11673 0 R 11677 0 R] endobj 11672 0 obj << /A << /D (unique_56_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20210608081201-08'00') /Rect [90 226.225 144.9175 237.225] /Subtype /Link /Type /Annot >> endobj 11673 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [150.2305 226.225 201.1825 237.225] /Subtype /Link /Type /Annot >> endobj 11674 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11675 0 obj << /Length 19 >> stream q /Iabc25720 Do Q endstream endobj 11676 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25721 20690 0 R /Gabc25722 20697 0 R >> /Font << /Fabc25723 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ffthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 11677 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1023) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11678 0 obj << /Filter /FlateDecode /Length 4338 >> stream x\K6W 4語 $aM&KzPUSScKIH, 5H=|}r,] ?77×OR0jw6߹P)|:?T.BXdKO c늕mp2Bσ%#9IVˬ_~z_i:|&ݙA3$YK(?=3\A=4Y\TOs"o4[%˗B1? aHfY>A Y<_#|Y||D(yM2#=23BMkz S>U&I^L{,,%JLheaBBɰj(mOLGMVFLźb̩;>'KM?Yx\yƐQ v(V#xz@C$XNu /;vb '[iYD xp5z RT<;^s*+O;H5gBkY+7oPE'e~h8@&30EZ =@$G!#2l(:WFϷ {8V/mhqTKd(v,JIe A(2Ò'mF g-Q t׹+;`46!E&Eկ R@HE^IvtcӼ߳!Ub%6m XEQz4}лЄ=dvAPoG }_ȍ^ybH :9~k3MNSjf)hdyc/m(R0-c e6{ U(aET~,tUzo|W ;5@ʬ ȇR|le!D< 6Cشl.$]$e#ebWP g5hq8 #eL{YXcKX#`8$դq8H+9ZETڕЈqLnQ4 mfۙZ=><֧d@2T=GQmG2Fu6pog<IJg~D﹔7'̅b0K3OLBFISv .Uc&>րK'h˯59_y{t;{&D5o&$4 ЎwMu-^j}.jܬy4-A& iW@p DaqKV%Dş:x:0}YA&|,(eDt[rugv/ߦъ^nOń.^R~36\췅X b0ī<3HF ]瘠zfTĀax;$5z DA[9J4c:wT}nY /;6i<#n# _"WbSbM;s㴬zЍe^kuLtw4a#I[Cʱ!v n9hCxWoQcf<^&}JPmd( tj gêYS 䖑`bNPw38fNKگ:3k75S| cq88 R߃چ4iCQ )di;PwBGEMWJ JC)DZ;f@"LBV ;N!g _3յ!.M#AnUTyͪ/ޭ[ ?+umT| Ui( :}va6{Ԍwƴ= mfy9j0>Z9A% bÊ  WE|f⧀},  ZaM$\$T_% og|VmnjL  O3eJ%H՗gK*mQM?Y/%f@&As¦# gZT$Sl,Z*EJKky%$!ue՜S`v<Mf Xz5™%R3%0I.R$f%[Q8w1xKZNVIJl]'G4k_[Ei Bq>=vja"aqcaF55&e y9DךviO$Ze%,N;`r͉soƒǤ5ܑX63o(}El,w(\w)m, o|3iγb hIyh 9iGoᴕzPN^F1My>Ke5p7:P8̹pяdiEAN192yH>4d7LLJU3Lֶ+!'Wt N tw/q[Zdg2y12~sGڥOE :휆v^+]Q hx\b>x(Swk(hn_1dfW;܎>qKEO?. B!eO0CvL|@) ݊H<h&3[-s\&к!KTe@rP=+S 6'd9iJl&5qvυh\:mNX T5@J6i[fQ$YTБ~c `tFhZ * a1hiDz#ѤuJ`&%}h 'ˊw4ѦZRTNFr3t1Tg"'84 $N"B2[hRFJԼj;;4Lf1`p%.F3S%vM^T.pJ|+V)x%iAJ(&~f+EhXnƜқ8c}+ #2A&߳^Db<ЕQ3KKJQ qcSbLbpQREB<9-4Xg8רD DaKH`.ЉtI)㓺SZ떖$F DJ-9ķ53a`*YIV:eI;^)kRfybg-͎ߊRᜨU%V1d[d+Ք bA{6_oֹ^ CU>.ۊU~ =xȆi.gQC;P}{=nwҜW>t 5Uμ39O-c.V.5w@3 8*''"qs \WښK<\GwEOڄ6y~‡L4@0޹Cؗy7ǁc3U 9HvlyX}_NuJpRu-VUUt\ &$뢗5 endstream endobj 11679 0 obj << /Annots [11680 0 R 11684 0 R] /BleedBox [0 0 612 792] /Contents [11685 0 R 11681 0 R 11682 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25739 11683 0 R >> >> /Type /Page >> endobj 11680 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11681 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11682 0 obj << /Length 19 >> stream q /Iabc25739 Do Q endstream endobj 11683 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25740 20690 0 R /Gabc25741 20697 0 R >> /Font << /Fabc25742 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11685 0 obj << /Filter /FlateDecode /Length 5296 >> stream x3fɧ0l `E ayǦ5 ;achBFJ!4tXN#aO121 WՁo`/a1ʣT&pxzH* 0:]SZcCD r|qMXpiZkT^4p!<6rUNMݕiYDQ)h`#xw(F0qx 8P'ht9f*;t7¥ye5{W}76?SKRPn j[fgc*k*o!d(M~Wo;rY׹`$to54]JHjeYxgK:\չi> &"jng͋2߁d J24̝&h*)؊]X8{d)`Il&iOSArڅ5x@a$,"-Ȇpcׄzغx8+d$Lɤn(6h:Ir>Q2y]AZY7={; &Ѩީ}(POm+I(6(ZgT\*ΏCqZ!LPkԱ.Rd2 #Q0h(N)e.MػP z\1p})R;Kmsk{V },U%2]͕ 4SDA6&km7`'Wy*Mtljz4PDս:o=Y!C! ͖{d`k+R1T@jaۑJU 䊪ݮfT1ۉY++qZfWo۩O;MְIeXVI=ۢ'w[46-p(= 6/i Rp ^2(st{:֘;SG,s#eP% v(x5y.= 4#.-6Hߍa2h" Ě& M^ WE<ׇ.&Eq15hzK\\_t UrJ'(h8I kH`G|YS)Zª0ruA>ϯG [<\S 5N@sdjF#,'@̆^RZ*hŢ!tP+Eöj 0ZX4Cex4bXj4eo T S;"n'ͤFu@ $욀 79۴"{ XFKW;%"w!] Kp'M< Z f\ ĝzMێ3?i{$`{LpCŵHݪ!JmRBD׈R3 %%L%`"x\^X)`mht8K +qCο !<~3pez䫇E&iXJq_)}Gi~*ѝ>~:Xە5aMTD-*0ibU&ް&ʋ6y;tӁ]Tǭg1 GFn}|m(԰ D:f="֬ jEhY7P|2?[Vs`8,aD_%,ë%%nȿPnjEW܎< RơRKӦ5ĠZGOq/?/®Gp~mCR9@9fJ-ǖ7jG [=9_iIg&2Om2h1j Ze4]U/ipUR_1kp[g2sQWwַ |+]2sTǢJibH_wnVJP|}̛EHySxwz>63aMk qvc(~{/IQ:P"0r@%ʛFP1I'v'+6SMDkF\PqiOTcs:ovCl CuS)X`π6o }$iͰ"\Il-cOҧ?ӟO'}>IOH(N-P=2-zS-MQW(>Ys+آZmo%kѠt˾9f(}ż/6iEIv}_`#Fۺe%t~U۫UǬWH(F ,\1UoݰߦD9'2tƴt[̑&ROWٞ7)aP*-w 6b'ײQ-_-o̱ۚs=ˣpwE%$ֽӉ.qׂ NUsOugwfD;W&ftH^?XP3{5ՔEgI%azj /m Jΐb5{}PH>23_6S6%Zْ[^TW VjZ|*pD8/ЃbYXp-xoB7|,%KiZ3¹zN[Z'P8)4%O9I -ػa0+8@6Qxqmo$$WxO]u)K; >kzUv3{wPl[s/B5g,.&9j>0BE~ #Q?@M+ ;"A;>u ^&I )5FFB÷&6VHL~siVIxL g{\ch ܟĐr-9mPjNa7Ng_x];tswX|")JLpϾ5WkՇxЇ|lyݎW_^o7. 7` Zր$hsO|L:)=Z Õ \QÊ^۩x}tKlݻiӯn;5Mnlj7bhhݵ]"1=[ىۭm$ˁ}*I1d+~#,0HH3 ӑZ >IF@b:tI]޺hrx 6v0kI'B{xB Cl9'*R" VMS `t+B n:G7U+J,0[̗wc3w ϭdKuڛ4} 0@pJ=NʁsJT \4ʵWoQƑO̗:6vat} )Q&g 5*' 4y.:(m3㷕js~= 6e ńoL o)]X*um0͊Ft+ بU2pGҬi;7y\G=Mδ|'>|].`*'Y`bPմԔWJ=_L @WtϕSQ$ `51pB <$U5 D{X26'_||t)OTP1vP)LT{#]rHI2fdB&w.k=’R uhhSemId[&x߂w~Pr[O'E-`Cư3ܜB@xǐ@t IK1$ñ"9v'ԅF*`m}>-Za^e";9E1)1)K1+η=p+xZq ܏yU!2ij FA% (gWp?]1 y|:Ov&}\.0Ѓ3ݚn9eVv]{86IDBxEu̟<߻1eOm X&K̑kg ؼ8Ww+ endstream endobj 11686 0 obj << /Annots [11687 0 R 11691 0 R] /BleedBox [0 0 612 792] /Contents [11692 0 R 11688 0 R 11689 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25758 11690 0 R >> >> /Type /Page >> endobj 11687 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11688 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11689 0 obj << /Length 19 >> stream q /Iabc25758 Do Q endstream endobj 11690 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25759 20690 0 R /Gabc25760 20697 0 R >> /Font << /Fabc25761 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11692 0 obj << /Filter /FlateDecode /Length 4872 >> stream x<Ɏ+w~E N& PzoyƜx@DkR*3AL.7)_q7۷LomI#EuU;}KkTnZI!)u}W\rUbt[]ޔ_]Wy C_}yywv+M>C{hp{ Eh?"g_ +w境eݺ.--(I@^ {ēq#}jmZ[: 1xo2@@B)*0 .;)ziSٶY5ۇ8 a%kA'M_S!}x<&&< \hIAA8[=,w1mhlXo| c42 _º@c߀+3EӞ1|aThlD2٫v1B5"|YO?$L֠Є47F`@?eTՖȭ1G2]HGd*: s?pM5 3Fl6ED( wr's pHQ``f&ˉGR/0\؜od/||M|ڸ}퀤:=ؓ#uYF(~eyj )yTYk[V\M0.1X ]TטoiMoy߬#=25}#*yX6NwUF*=aV'QdQo53؍\t0EϤ*jW̭ь|ž'2mD9g{ ,VQJ)^/@^tϕT>Ԅ'949!ٛm،Џ "Mw&V&e7qcTjUY-S(ܦ 4XW)f=RcrM%쉠YHK'3OA7ƪom;ЁY -ҏO}1Ɩä QP.y*OkzHN"T{ɚ#d^ˎoj6pİI1Pӣe(@:灍>?őa &q.$a䒻 _ٚlLZڱZ}ڂj{nVW3D3B@F/yRi&}Fȇy؂g}^K1ݠ5x!Ӛ9f4fx4#?cN4y(T7%'Hw#uo{6Ψĝ]a&_RGէ!cpL2&k,/jTg$X%u8:WnTq(;[:bfCS,NQVMڥ eGldY6X~EhiMTXӦh@jрýוnJb`"Z@]Xn]d^`?6D Zp^Tj܇hp ޹<믉l{/1S4qlg@:^H5k n%_ɝ9I}g 1L0)I: KyQ E!HEWN-mR[ Pvhs)i~A(HׯQF^$|[]A%VMLkg-aq8Ujb{%%H0UuLϲNKP?^Djb86@;QüI:ؕ]\<ך UgKFdCwAyS$;"x>i\{'`!!tïl ^G8DIQaM =W&N)tT݊s5eodO8YHJhz5"\lMw"pUkY<+f} ;dgJ"^{6`۵ :jLs~7kGvy2F`DF7]kI)ST\ڔ[G&8,_= Q;}KL25^g U*vIMM'lisnfpax2"dtL-hj/> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25777 11697 0 R >> >> /Type /Page >> endobj 11694 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11695 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11696 0 obj << /Length 19 >> stream q /Iabc25777 Do Q endstream endobj 11697 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25778 20690 0 R /Gabc25779 20697 0 R >> /Font << /Fabc25780 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nTC;fũc8TRQ e!Wm EI&7]NhAb2Y@Qi, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?Z endstream endobj 11698 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1026) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11699 0 obj << /Filter /FlateDecode /Length 4766 >> stream xY vрzr=7l.5K L Èc[U98`QMIh$C;J]bn7$f)M_a,fx,Z+#qJ]aqvC mWjml*t:8;Dp lqbl!xGܒT!rMUU"je/ugspl DZy#j\wzag]ϪgGs3 VR_E1Ů&w[G:4ds<˴$Dur]DgNm]8Z8Sf0+YUG5uU4h75]_07ę}͐-/r>>A//E8댮D [YVf#ǑFsm{r2l I9WP[zY[Vf"*fgVt3Rn,uV{**0`6G%x]Nq@ OLJV FZam @{exɃm,51 r M*L+D4InިQ@ޖT !꒝>isPO"èفQ|q Uq:0?XN!jSIRyLUt_7C8(P4sT$)l`b"E4b㻅؋:xN΃`*1̴@uAHG\USԽX8I65l iqJ| -XSt>z|š'l~\-`QIJWʫ#kARE \GdtI k`!TĊmcE7Z=Tkvab0:-pPPɸ +tx"ҥrFGZŅ8#;Rp(A5V*]nSev T^UtLLs"9̭ȸBJz4T+LwWc9J@EGf}Rmb˧i耞QkL3h$V {^rǣ[h4NOlPfΧwTU5u~[֋wt$ܔݛd;Y@4 DE%2o!ih2t"Ĉ%In=QZ禭h2p#*`1ui7b cWg)b) qTM,i#0 3 I5c>-n '|tY_𤬼 n8B.ʪ;G|6;aۡw2j*K\&׀^423.@`yl5Չ{!B$L;_YXR `}_wu>~*ΰ7Ѓ;.ݨO=uRR| ݥuhWxrƢ'K=,GXw$ .bN" ՜W][\]ǧJf2۳(=5DMo,Q0 /%AUs4Z;K|/kίZ> WؤmkxL[.RZ;5BV'S|fc]p (6Toj;Y]ܸBBO73 !]Exۜ4 gc1;gZ訓vYkK̗1W[ ϭ̄IuƶzD0Ch[P_7ޫ9TϐӝEO2IUc#m۩Qn`tzzCg-?!p3`AqA}:*>FZ7VHU<N߯$N/J$ۚx]#75j' _":AnI+ᥪt侕ޤt*Tx%J-x i>O ?|(ְ dT~֨nj(:7 #U*|'B9\yUt(4A1r(΅7.1ޚVbgfٖ >- &5$tnps~\9G3RYE 2mishKD.)+bVD7N=ٵ3eEnwMg ƈ;$:Ui7/228!).iTw> g> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25796 11709 0 R >> >> /Type /Page >> endobj 11701 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11702 0 obj [11701 0 R 11703 0 R 11704 0 R 11705 0 R 11706 0 R 11710 0 R] endobj 11703 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (close_sim) /M (D:20210608081201-08'00') /Rect [104.1732 361.7039 150.3292 372.7039] /Subtype /Link /Type /Annot >> endobj 11704 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20210608081201-08'00') /Rect [104.1732 345.5038 160.6527 356.5038] /Subtype /Link /Type /Annot >> endobj 11705 0 obj << /A << /D (unique_676) /S /GoTo >> /Border [0 0 0] /Contents (relaunch_sim) /M (D:20210608081201-08'00') /Rect [104.1732 329.3038 166.9832 340.3038] /Subtype /Link /Type /Annot >> endobj 11706 0 obj << /A << /D (unique_34) /S /GoTo >> /Border [0 0 0] /Contents (xsim) /M (D:20210608081201-08'00') /Rect [104.1732 313.1038 126.1017 324.1038] /Subtype /Link /Type /Annot >> endobj 11707 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11708 0 obj << /Length 19 >> stream q /Iabc25796 Do Q endstream endobj 11709 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25797 20690 0 R /Gabc25798 20697 0 R >> /Font << /Fabc25799 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7f+ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'fFP}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}rT endstream endobj 11710 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1027) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11711 0 obj << /Filter /FlateDecode /Length 2508 >> stream xZKo S[ íx@ `_#Y]3Fb/*;z}VŠ.>ԯ'U>ickt4Q=<^U c&t 9TW*1)a][`teNQZOL&ǽrmA&‘9ΘU@9ka!Zza5mR_>>?y /1kWpP-ma\ߔS_۩GmXR cGHe9I}5 37RWcvWt~F7Ʀ" 5'!~@0c떳F&6;uv+u.`öFlnj| ݗ CS2+bƛNpӭ{8@*ꨗuVDgH+Y#>`x,jk·\{_$<,dfz *cJWa,oxVNƟl՟mt>pO |?_Y3k'Ty߇%9>wXC߄h$;I>εoH 8֕ D_%}XP7ٚɊifj1ߺ67nг$faɸ^tuyNw<<3+w/D >N몄.c7I]-EâLٻw*jL0!h Qt \t $ I81$"Ĵ?1k^Q ?$DAk9_MWCEy;\tdC48?r) !./!) ,ÅEwo!QrV7xړ70Me"nyJS꒦╛Z'SXa$rh$߀DH' K 1bbIlNS\b[7>$닮ref2^0u]r^QҹutŬ:'$LƶC-5Lsvi'm jNͰR1]-7fŚWKi~F1p3HJ*B61CK7CF9XJ3&2 "YJ8> 2*r(Y-|Oc>Dٷ¦FLy߱xJJ6N9 C9Vp3Rac=yO~Bk`זlҤ?&>$tWv5+LxO/g% ø{]Sp]p.߮E:f"Er Ϭej v(Lq/ 66Xh5yj""'F:-J&M|VA:v<=VIeHLig:AvoLfm0EɧsՒWO[Yf}zU"mEZqw]4C΍D5=j]%Ov44=N:sV>##[ݴ4mJYa֢sB[EOzG0;R iPɩӊ\|Ρ~Ke%4wVBUh)PBA>#voZ7h?N -#CӴ,qӺ~ٌ4=,uZ[:[ f\:uRb;ʲ'ѿ>yfS c]fUu on#m6:nBXHu^LϢ𤖆̒Ʈ $Z:E5v'51D8 *a/lۄ{zj,˦ƙ)5^ِmObӌBACx9euCq3=-k¸^D3"`ʵqVkB[>/w0Z.f$Ki>]>Mv!Ȱ1Ѷy{1a,-ԅ⤟y!=rgYn" I'(RT/zШ~v@Q2,UDRt"g0kճkdCTJ ?,Q%ĕT!\}10KE*W&2UE8J!6c~m=.Afkg-Rm&@U`r+;,*Cwk\=xYmO&b4ŔOxbkhNaߏMoMq]x,):U8u=s6]lm?Y]i(coE7,/Gn9~LT,`8B9]r;> endstream endobj 11712 0 obj << /Annots 11714 0 R /BleedBox [0 0 612 792] /Contents [11720 0 R 11716 0 R 11717 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25815 11718 0 R >> >> /Type /Page >> endobj 11713 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11714 0 obj [11713 0 R 11715 0 R 11719 0 R] endobj 11715 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 439.225 140.952 450.225] /Subtype /Link /Type /Annot >> endobj 11716 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11717 0 obj << /Length 19 >> stream q /Iabc25815 Do Q endstream endobj 11718 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25816 20690 0 R /Gabc25817 20697 0 R >> /Font << /Fabc25818 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.I{qHB>W!ӘV;!JϡmтGŶ>X endstream endobj 11719 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1028) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11720 0 obj << /Filter /FlateDecode /Length 4009 >> stream xڭˎί@| LOo99mbN%z,3nD*֋"5}d/eӗש)ũ:?%NMׯ8K>N=Y/-޽dw{/L>}67D৯Sq8FZBϓRᑝmpջ%ן'0M0arkZC 2e.65]6{5]?[[J3חqHy8v!zWoNُTW쳳hOkFr>G]}dPNķRhBFL܁r!48%gx~y0qMͲգR)!o\gRyIy)˜ॄlw= 1X/%&˸h䬛˄m?5C1gJVe)X[3@ u^@:<?X珆鼀ywkD}0ҞB/s<ϓ-رV&؄+30/'e N,7uǁ<1jt`%ݶ  WU>b7 ^cT@bMK],,לbhfaѺNz2j}=Dž BB F= OιM"#L]U]\䔧:W!PWo-}&-ƤƜC^cd# 8-OIJ̳H\ǂTye{{k-?ߦܨmXvY]ɽMVr(*qJq_p"iZh?+)w(A '$!!^1:;w 0 0 _B! yu> O<s^Xc$Ɋ5ƈ.G!@ :$7#+{)ɯ?MS Czu xW('cYߦIO@MQ'ߧ`B0Y,rl+k 2Q+L5 q`~ﴫ0/r54Z,sab2_5xpkDi(`?G)n2Re԰\Czu{s(nYx0twYZJ`1y)Dꬬő%ܠ`e Xvh@[=.qn ͼJ+ΠuCR]cU76+q`t/͞TTk_gHio\sw5R6C+%<\ttRn2ڽ`4kms1*>*+05WHܸfncNQyn!oX=غ6}[޲2.Y, C{zX5Bs^ PPF}t(f7$i|.k]k W ԕL``+Z6vt14Jتuk,BBz@8ݗ-p]61aHUiYnu~w^[j,FHz5;YX[ixmOn뙍 sήmmo4uxKA_ʜCaOmJm5u؈*{Y rKihE ?+e?xpڞ䷩-\dFYIBjET5 hTRohw<Rﰚ Ϳ.8>n ݬ\y30#ŔekL3VHu0xmc6$K66 2"תݱ inTr:+_;*ŅN6Vd݉i/B~pzdX nne]_q:&9`hI9$ԡ>1Ib[ͣX!yYM]o  ՋU5%rX%22E.W6s-o}8i@a\!o,/|U*$mЖKk7 7|椏^8oaO}@96,Iթh|8oA0;n}yUj\%RpW#; ¶gpClYF woIKהVuIǣq ol*]%9B=I6as7s^ ,>bܕ1ߦ$_iԪ7\"fx:Pd'gsL54L;Ks/fg1]iͰ ƭ:Rc:p|.w܁ *o vk Xtssf5fyU\gl! (Cpf"nÂ~0Qf U<<=>vj8,ngLE`v~̈́,boņ23doɼϱ:WX ,ZXn$DFߐdjm$s,8ht2DL SZLEn>t(@{olCe3x&,!Aܠ?_P]ti(K Z7GA . ȅ|o1D-ڟ9LJo8ȣ2 "Υ2A#LWi1USL/ĩĦ X$z0à?CBvK5,9m#/:ޱcby{4x:b250>9 du e4Ml<R|CV87v q$d q{779JR~㋬qe/&>W_تNW_E Ƶ,aQG[Nr%3؉+sè3H2 >ot:/:b#ȱ`('Zb"c_$pcδ 0 lȴi\XȔ2پXgO}"O-p=]p\y]O W0VXjg?'DQ$*eMs G}l|3CKʜ?U}?9k/;Vo﯈ge"+ !KWB endstream endobj 11721 0 obj << /Annots 11723 0 R /BleedBox [0 0 612 792] /Contents [11732 0 R 11728 0 R 11729 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25834 11730 0 R >> >> /Type /Page >> endobj 11722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11723 0 obj [11722 0 R 11724 0 R 11725 0 R 11726 0 R 11727 0 R 11731 0 R] endobj 11724 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 179.1657 608.2] /Subtype /Link /Type /Annot >> endobj 11725 0 obj << /A << /D (unique_659) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 581 149.5977 592] /Subtype /Link /Type /Annot >> endobj 11726 0 obj << /A << /D (unique_669) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 140.6052 575.8] /Subtype /Link /Type /Annot >> endobj 11727 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 150.4282 559.6] /Subtype /Link /Type /Annot >> endobj 11728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11729 0 obj << /Length 19 >> stream q /Iabc25834 Do Q endstream endobj 11730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25835 20690 0 R /Gabc25836 20697 0 R >> /Font << /Fabc25837 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫Z$Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XLdžGFx?1$bXl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ p94C-ZݛD endstream endobj 11731 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1029) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11732 0 obj << /Filter /FlateDecode /Length 1508 >> stream xڭX[k\7~ׯs਺_,%J: K~IG:uIBldiF7h,H#5~RYvl8\/g/EFsZޣh3N^('(VebOw G[4x>ynd/(6v=HQd&ʰuDkbO YKNXP'#ڼVZ!N)/gaɹdޭȌ+;/R⃡.4II/N~Ȩ4E zJʐ"i+ aXIxX`#Yd d}]Sɤ|.av[!{[L,!ak3 zŚLhbN((s\ :}Jx+Gq̜9y-ր77M Y=Tpb"\qH D܋lhuUw4U;o]7XϘ}sZ9[+nhc&ժMFǣ_V n^WeKeIQ%NTJ%̫6$l™ Ѵ%] A#ĺxv *M-t19:er-|^SUWip}TB^eRhpܕ)=!iVтE.So 7Ii,yx\~3Y/|]'^Uޔ<wAY; ' xK!W3M1UqNtTcT.n+dpjFh8/^9*[fqw-~'F\$c~DbO5S4Z6q^sN5bz#K>o [4Oze5g*- ]bp!P,Qk8FDI[ygνLL?#SŜ/%̑O<|2iKkBFNlgG&χriCھ0¤<^f.n=e@V7Ϲ,lVB \A zH?' >#SІȤUϩ Z7zAb6V̒A͉͠mb}VT=уGYjuK+uӃ'i*i1CØ>NzH^hl9hߣf$9&4F rQm-5ܫR*pk#?cuί[KZtT9ϻ?_z+8HgzeEGmcSK?0"- endstream endobj 11733 0 obj << /Annots 11735 0 R /BleedBox [0 0 612 792] /Contents [11741 0 R 11737 0 R 11738 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25853 11739 0 R >> >> /Type /Page >> endobj 11734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11735 0 obj [11734 0 R 11736 0 R 11740 0 R] endobj 11736 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 225.525 114.9865 236.525] /Subtype /Link /Type /Annot >> endobj 11737 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11738 0 obj << /Length 19 >> stream q /Iabc25853 Do Q endstream endobj 11739 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25854 20690 0 R /Gabc25855 20697 0 R >> /Font << /Fabc25856 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛK\ endstream endobj 11740 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1030) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11741 0 obj << /Filter /FlateDecode /Length 3891 >> stream x\Ko#7W@ lm{< {bbK-!jV_}|6)K.|PgYHbWp%L8As|;%ꐯ+$;HR>_J|•''_tt; |?g.&f%&dpSIg+B\v m=J= !ZoL#ӘܻygQ3>J _vPOBҚj5*5Ɏ*#Dl4CCD1) pf':_7 Vwh}84v-C} G26}UfsA/P9|#~'+*ѹ%-^n3p]'W.W|]WIn["4f5C׍7~cT;:@HQlɘM2I!RƧRQkC N Ɉ)Saۦ%tjwBd'<J'c=\9[,|ܭȇ,`k,ෲ~; ؘfYVXof]],K )(ψ@k7d4N*acp1jvt'zc؝7e2ԖQmp.\UHhsDžF_Oi:X>O20BG|2|>j\S {81v́zFy[[@i q›+ilB!4Q,CI\~H&Hy)+*lZÓ: wl؂B.7/f"fj"^!h>o1g(-(m5a=ITai7tՀCi8%D c`0\K o EZj*{ hMTRk6jn4j5j8'UWaBjɧ5$:Y &}~@t<:5GǦ MaѼtEfe5$O/j¦I׶iRVvn7J{,m$%i)Z[X6 m;cb.p/W>Vl5;zbG8 |s{mtvna V18h`8S_5jw|9 i{ kʿrPA>kG!_ fly06uhb檘Jp_GhEw0%_Xb)-`5 >Pz7j~l5 {f_@aoPYmeu#j f urEu(5[@(Y { 5;5jvj~,VFVCXf[OlA./+_nE"NjfwS{7ڗV\|`Cve16VoO.~ma)rpvÇ>}{] Q~w+,M.Mu%f72Z5OsRuK1]21S9k/3cN>7]])faxQ3Go6']6bPH!6MqYB|liմky9-Õۺ;\r !g[?ƬNo T&bet2fK;8sޔ#X=Юus[Ig媇eۺ Zu=ܱٝ)3c?8i-Csq]?]r؇I8xOZ/h6 ԰(7>O r_A])_TNԃQǶv\vid!包N$0A wEUhCr[hcȄy}C}d!K!phbbu>Đ])@N=i!ф0 0NSIO`-eji[S<29@%m!'FdJH tm5)ˎϔ:GXc1!RiH 4h#,⸍̹XV1NcUt'4P癞%&Ko2>=[ k"V6<=q:WeedZMwi`:63x*SQ0c qW(9b\KӌO$Q1j6 um@jVGQP/oXỹAb7;5S9tlF+_`suvp`àK(NM,:癠Or(:T` n~;7DڎfyONϲf@W#ԭ1^9'cUs_:E5@gSBRr. s endstream endobj 11742 0 obj << /Annots [11743 0 R 11747 0 R] /BleedBox [0 0 612 792] /Contents [11748 0 R 11744 0 R 11745 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25872 11746 0 R >> >> /Type /Page >> endobj 11743 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11744 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11745 0 obj << /Length 19 >> stream q /Iabc25872 Do Q endstream endobj 11746 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25873 20690 0 R /Gabc25874 20697 0 R >> /Font << /Fabc25875 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11748 0 obj << /Filter /FlateDecode /Length 4733 >> stream xڵ<Ɏȑw~TI|0|zgۇ-7R-nAO${Dju5'JŮuά}yͭƬ~鯺Y_Z[7a>>pm~wKO O(xYtקդP/VQ^Pfe|^ ~Z =]ĈB .z7w'/G~Z-ޮEdW|8ċ;^No^9Y.z-.9c:ڸĴWFu{fa Oy6aD%,N.ec6QquA[Gm֞&-cr+g37Fjgܸ?wBPqF2R~P^662, 5G]+Bo˯V_ѧ߁߫*84q_̪m@EN2 ?з (,(kg~+_߀\vr+gp/6 }gsgxF <~޹ϩö~=s+ZU89ػ-e=٠WD' I:m~a61󢸹W`ﴐ*z;o5CU^YURgFPIobsYߪk3+Mu$oh%LUEqSF C%cIeVMnjTF2id&1^%Q=2AGw4q ep"ިQZżRnh Q,ILu~.;=anB) Cnaމh-Ӏuh( iIdYIȃrQc0k};StAb'GHIo7{))C㖯>x{*M"3iZ鱏iٔ21{3҆ x/p;oo"#pMK΅?U#gFl9itz[D]vt</rM'YHѢ߈uP9G辉 F(UBW^0z7rތ-> s1̀0 aGɁzHerٓ]?EkPYMBSQd;q\ Zj%g өj޳#Y%GEa+uzݲdÎH3;Ѱ2Nx5V<5IXى-:{:]'-Y%G|D<Yфj^ /2?o߲n.ԎZYEf9йK׳_ \muL\]$*{dSMs^_;{tuĐ;n0 t謷DR"SA#zX+I91!nKΪJE^ Bh[X¹A ,s=,n 8y36 Up.16c]H6_c` 6.b[aR e٪/|:Rm}~W9ZPUat봘 v櫃LpTR(*F높IVW F-qo50mX>LvR\!8&nТo4lx2@FqHNb!~S+S3V<. 29ŽrP}*cjԼL\3gnl*ڰO'v hJ́)E"V`^ Vȟ= T9̶59t yL8_ ǤX)ﶽͮwGz>[X$>{V ~j%s,w08ԁu칕AjݙP8s "QVgzPИ_wG rCe:iԦ3׹J1=6pĚh1YlŃ-ebqayΓm , 2Lĸugt@b=y|Gٌjkv'&Gg·n&uOfם=jGMEX\PG&l5qv&j,h=NKkkx HP!kٕA d'tj% U<>PƖIOװ$vU(#]iHj|k GBeBg =P9V -șqu_QbπmƃȊ1-xϸ56[ [bL@.:<+VJ-hx8&5X{ɚqq_pydXi@/5Ud+R —.4>8ݸ-Z{/;,cƒXH@%1[KbEKs~uX B5|=>%9`qGm~[nYO'| URS EIP2 O?Jܡ5dqΨbh[c|Y j?I9\$92I'߄,;"CFQa)a_}\h @@r#K{'AW4i(VS^Z, ѶJE #MaB}hanw+:; k15}&_;jZ&ofġ76Q\/TWC1@ȯ!Mp^|d,vîޭe8ߵM=` u^n<!흾rZXS4< l@bXk>?#Mϻ svw66ؾ=$i``'0Caη&/XmyB~:cyT[;>ٸ>x[mnEFݩXmt~WHŖv;#74+s2~e6wےG)h)\VK5;o"!xh\}M L?>o˶Ӳ_ws~\U  U{5fCE2kzGΟ;xf?[~3`qMΐ0{5lz%bMᜠ iI=ܕZqb(pP Z *m{]Q{ʹS+߳ u~d+45fXLnyNF~ XTJ%Б0*SeICll"CvRJ*q 4(+b ꂠ+8Z)P4 {!fTWvnUb1_?|B~"~y~J/U9@T1< Q#P.d$|aࠔ9( jgHWIsw^YeQ>61a-GJՏrAE/-jkKDvBS^(s;_꜑7p @ W BGG"\*<ߠSQr@؛(WڀIneT!Z]$h0ra{A:zotaCLD~G XDd?ck%!ai^؀y [G>Du1aF6lKY BY8rX/[MӚ13y&#ƅoE$/&eB{x]tDb أc.3ylOR@s*!(C(u#v gdpPSV:!ަ<¼B5?js+V|yWq[=Och=yO8o#`햀; ($gGWBJg$ɫV]O `S@yEyB:uxU{1^l @d~t ^I*YAq$]l A]ԲW endstream endobj 11749 0 obj << /Annots 11751 0 R /BleedBox [0 0 612 792] /Contents [11759 0 R 11755 0 R 11756 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25891 11757 0 R >> >> /Type /Page >> endobj 11750 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11751 0 obj [11750 0 R 11752 0 R 11753 0 R 11754 0 R 11758 0 R] endobj 11752 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20210608081201-08'00') /Rect [104.1732 120.6578 161.9837 131.6578] /Subtype /Link /Type /Annot >> endobj 11753 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 104.4579 186.6567 115.4579] /Subtype /Link /Type /Annot >> endobj 11754 0 obj << /A << /D (unique_541) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20210608081201-08'00') /Rect [104.1732 88.2579 149.8452 99.2579] /Subtype /Link /Type /Annot >> endobj 11755 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11756 0 obj << /Length 19 >> stream q /Iabc25891 Do Q endstream endobj 11757 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25892 20690 0 R /Gabc25893 20697 0 R >> /Font << /Fabc25894 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p,&жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLޚGFxaH&E d_ )2̼d9 1po.)U|B5_}tw%BJJ‰p-ZݛX` endstream endobj 11758 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1032) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11759 0 obj << /Filter /FlateDecode /Length 4028 >> stream x[IcWl@/@K6rr~,.=--=R,BJ&T?b6W״N&q|ypO6,JY╗_I7ތ>_p:::y*RD5̳xf< Qz{gIOd^qpճ4^g|FxR9*K H8*lj)#W6KaRy*X'^o@fNgp2eE :IWu0(D2~SD-T2%qgIj6nsp,6ǜAC.FV~6ưMzqɌVFs Ynjf!N뙜YdvZdR΢+Frdm!,F1YA1C*)7Mu^Hwedӟ~}i,[sef)(p^٢#Dx@{{ivv'D4%vOq0Bc?V,4o:7It2+?Ze:܃eЮl!|'6m_oiyitP0igۿ?ȟ࿸:3An"ӛQ ;px{s^QDFhe߀< a t}ÖZ5},-޲%1%PJtI#|*i5EԲRozEߺ<-.p.r+ZHfV6ۻV G3 E6=ǹs8qY(]7a"9D]]n<$/&a3ҕy+{ж%q'S\lPh?B)؞3SAuu왈 OT^@zT=\fb9n+=P~k5CV{~ _$vd:٣oN6lOqZQp0qRZ%93`_ĀYt73 MG6v1{i0;8!F D=8lY}lg/~HvFZag|AńJne ?d\Lx!ޥq  P7҂H%$6.r}s\H|b^0_R yiO{`iBbjH >W|Cƙ?>32dm#j|zŸoч6"jq/ûп.˱E?b^WDnwEkɟDfY\,"<4kFLLO2Haz 鈞8zMtBhtse*LK`ۅ'J_JUv]!HNxeRl{X-u6 Ӻ".a9R'ῂ~A2=Z KrkHb@:ºi j$A$$Dw"mcx}P*CL<0Ee':T6 sĩ.k!F+:: #zMT)ՙi$%G#2d*z/Rq|3Re"mQ}v"q.8?:ga$(S9?l_7AV Kx|4M$XM5vPmkBW3Q,NS;)OFJZ +Հ?DگKHB"Fp6F,9XzT RP̴`f*X@AF}CUx OְO+GSmtҔZ3iBY cw|EXVw' yu|;+1|cb4uؿHU0D 8nϴǴ?4KùK3Tv*cVSS>Q}[2Z=Xa(6_l_2\l#vi"Uu)*,Eg9; endstream endobj 11760 0 obj << /Annots 11762 0 R /BleedBox [0 0 612 792] /Contents [11768 0 R 11764 0 R 11765 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25910 11766 0 R >> >> /Type /Page >> endobj 11761 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11762 0 obj [11761 0 R 11763 0 R 11767 0 R] endobj 11763 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 166.9282 709.9] /Subtype /Link /Type /Annot >> endobj 11764 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11765 0 obj << /Length 19 >> stream q /Iabc25910 Do Q endstream endobj 11766 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25911 20690 0 R /Gabc25912 20697 0 R >> /Font << /Fabc25913 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` &oXI*dzQUh^@wP".*x P@E ۾{w$d endstream endobj 11767 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1033) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11768 0 obj << /Filter /FlateDecode /Length 1123 >> stream xڭWMok'+XWRd)Ew,uQu6J_0 rꋪ ߴՄv8}y]gu :۪"{g䵵.!>> O;}K`޿k34tADqR kKhjyo~:pũqH;?*H[Ss&пVoڛJt66b(Jȸ9[DPv%MJX*v3\V _u@`Iꪭ3 q0z2'o} Z1t43"ѱ9M`)sG yuK^|ר7OPGН:!FP9ySuTW=v15sM/lO֚ا=V;Jp"sa75oq?֣H w#]TՙM΄*E ˆ4kN?ڐD)R>ńMѩ58hVn?}WjV?byrוs =)/i5*Um+UJ Z:1K-_RˊˀM[A\`:V.q:fd@ +51!`Xc` 1^c"|>UhVMd*;aNC4 FU/\פH .2%QYp2C ".?H4ZnOC9Ţu|ը뺆֍e*V+B(a+'('x!On%Є&f\K~xK]N"]{ɮYKj0ֶx(`{1an^I4vH Γyc`'+˅ydkSbs*Chx2 duSx~;9 ̙3߼>y2~\jO?\`,y(S[vCzi}NڴFok @ߟh,hDK;q-f LuE`q>?Iٰ BBn/>.Mq/끷㹰$\SG@U~1<%Z)K"Xb|8݀r/~vq YPHan=L[L endstream endobj 11769 0 obj << /Annots 11771 0 R /BleedBox [0 0 612 792] /Contents [11777 0 R 11773 0 R 11774 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25929 11775 0 R >> >> /Type /Page >> endobj 11770 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11771 0 obj [11770 0 R 11772 0 R 11776 0 R] endobj 11772 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 453.425 114.9865 464.425] /Subtype /Link /Type /Annot >> endobj 11773 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11774 0 obj << /Length 19 >> stream q /Iabc25929 Do Q endstream endobj 11775 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25930 20690 0 R /Gabc25931 20697 0 R >> /Font << /Fabc25932 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11777 0 obj << /Filter /FlateDecode /Length 3699 >> stream xڭˎ6ί9Iv;@nIa&E֋/Yv۝`F-"zTMfo[Z}*}f ~4u2}5ӗ//?i)<飱JƭuFO~AOm3p/?N_7"?m ڷ#wwMn/t8%^E;ܭY~Aei&3ąe]㚦.IBV:jٞΰ <؝O܁JFh@)ƽ-&rCRK~ñ01`Hq՗SdY҄m?5]*ȱ9π P8G:V#rh IXR^2 ikyTB/ŵ .5>/w6 L^>XC`Ư Y! o23Ŀ3_~"BZV5-p-a/z prjZgm}^g[BݱȢʢk&%زZm 5hIR{Ŭ,W˵,ba?h@C w'jնjq''`XU @3{>̖DmQ{eM4.bD5eOk< ,yO>3Ia26tD15lHwIzْV_[ʒ?Ca#JucmʞE8wI`a64N=+0ɰ˗IB#9ox:,+1+|J {06P4 xwrQ5e ,CK%PiRꫀ5}g`RJ,7xG" e5:@2N=dB4TԂ0 IGhfZ f5v!KKV~N}x !u* JuHa~iZPYV4fiu 4"ЙY,!fũpruW ь 'Xv-JkD,eD_.o]ޥYuB|*U1Sw2D6' cWzS$}f\RwXD7.+#TK,,T: ۴ߊH6؃4X (tǡXxژzGK:f, Ĥe PS\}:Fw.qjLF/h7}7VH")n'hlDp Kh˓pwrئ bpY r-i2(dA po{ɬx5w|}nDG 䂎19q܈%ɏ~ϓ̩ 'J$ gxQR0qn\8R"[3D6q~]_;r6(6:,)efoӥ2)&7=5Is]j>YoiO)6%DdXD)'`TTd.aޯ5݉iVL `J4+Z&ڒr(첅5jfE3:۟[jN9(2`~J?Jbӈ#F|fF8#a v[3{C GW\YGRLRE$.VWo[3fZm,w, ZB6-]=NHou XD@t:?g}0Ey"FpbH\X8VK^A孏ȧ|GIRϦ+jYӵo<mu"E\m뒌kw`"▂çn`K0Ve0¨ȖoJ n驋mX~ST޸O=2l#;t^lB4;FQG&Ld/|~TMaQӉB_Йi) UQѿAd)TqM6ۑ;K y05OFCE؎2kR5}6Y`l1/< =vn8E XUmjJbZh%`תߝs{X]f9 3SǸlB!r袄=Ma O0+a9rtّ.%߰LR[%x#ё$ e"6g ׶/ߞ7\WtsuZ:E=T<;ER%ZPU&EsL'  ,SgՈv<0>vE q倲1z.WzoVjQq!{mrwl,{?hy1iHZ[^-ڪS~h,Hf }ɚ"QYO'>SE4%O9%5c9K.<0&=D`HVgmrlQC 3ֹ &)tՋ>d)alC,,b8y,1:e\•5ka.۔frMj2n hEї`]ZׁBc.1Ƽ> O@Gwu-9; aXj琠_BD㒰vγ̶MXs ^|7Ԥl619ߦFfM6WZU(M!( ]|bqRJ_FqRx0[, b'_cƊZ+dqcKmw+u!rAE'o@ԣ׶TleZ4rTz_W#k\s326~.0t*pL 8FB ή|l$[m>Zy6 endstream endobj 11778 0 obj << /Annots 11780 0 R /BleedBox [0 0 612 792] /Contents [11787 0 R 11783 0 R 11784 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25948 11785 0 R >> >> /Type /Page >> endobj 11779 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11780 0 obj [11779 0 R 11781 0 R 11782 0 R 11786 0 R] endobj 11781 0 obj << /A << /D (unique_527) /S /GoTo >> /Border [0 0 0] /Contents (help) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 124.8807 608.2] /Subtype /Link /Type /Annot >> endobj 11782 0 obj << /A << /D (unique_709) /S /GoTo >> /Border [0 0 0] /Contents (load_features) /M (D:20210608081201-08'00') /Rect [104.1732 581 169.0567 592] /Subtype /Link /Type /Annot >> endobj 11783 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11784 0 obj << /Length 19 >> stream q /Iabc25948 Do Q endstream endobj 11785 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25949 20690 0 R /Gabc25950 20697 0 R >> /Font << /Fabc25951 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?1h endstream endobj 11786 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1035) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11787 0 obj << /Filter /FlateDecode /Length 1484 >> stream xڭXKk$7WhExg! BNxC֗TVώҧR?k-~jJE>SQOi-S50dn׏ = q>=?XG8/Gos>(d/%8g$JjrC鏧|["?yƖo;;4}kb5˕h|ܶ 'A]S ETa%8ロ8q,8I~Lgsձ>{4|P|42'RZvLJkRvr!9zDPNw#LS4"eRm=R|ѴSMQq]]%opqhmp3nV L'wX\}\g3&|ײw^liob!7]ۘ1gqQ/4;`xiΒV,] ӫ 9pH& 6ve5qR~S}׋C-JnZ_4zN?\&c Gg,=S>Vّ~Q;;/ņ[#XQ)}jo4=U3E}r'>.jHJe MS-i zNR8TTd3ڶepq+Yx'_ůO^N9 X'SYF3/쪁Y2@ +9>W+ƋHPóބՅEF2iutlEhtrS pnӹץH$!4%^YxbOuĮhnnl#> joB<= 9?O=ͱ2{ |,1(i)/(/x1R(;я~#8ф&]{]qs9pt)Є)LqmPVRIXނ4Ht;I?lW; >ƑU僿+6ߕDBbn֣DDȸ3XGUJ[XMQw%%f:Хzo]ۓe}oap,}'z{ ^ziwIEGwv` Mvw\-oOxwL:˦gx^»c̥3$ pTv-Ib@& Is>vIvck^@p{cA5GT> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25967 11795 0 R >> >> /Type /Page >> endobj 11789 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11790 0 obj [11789 0 R 11791 0 R 11792 0 R 11796 0 R] endobj 11791 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 386.725 137.278 397.725] /Subtype /Link /Type /Annot >> endobj 11792 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 386.725 175.096 397.725] /Subtype /Link /Type /Annot >> endobj 11793 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11794 0 obj << /Length 19 >> stream q /Iabc25967 Do Q endstream endobj 11795 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25968 20690 0 R /Gabc25969 20697 0 R >> /Font << /Fabc25970 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ɲC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11797 0 obj << /Filter /FlateDecode /Length 4902 >> stream x\Ko$7ȳL!@R 0740Ş4c/"Ȭ,I'gTbjooyavVoB[mT?׿_ы'z'cJg4&] wSJWjlA+z])Dnʬ| QlY'IQHH}z_\-)l]MͿT9ccf˚u!rH%"C\'bÕ׋fuQ_ N,!]Ҹe4*O+fź,s"*W!k} X^J%uQǔK!K7rCm=gy]TŃ1Ήk)gFI{ aV Tn,X3N۟/pFiExbX+_C<^~Ey.%[pBō/3bN_]($Bx尋C[ un@_,Qf׆L"QΣ eos_E(qjR."+6{C@ѕp 4@zp8ez46s 0q-- *?M4w{:q&JeRk "/-pkeW啇Q/ܬA`!WIчi,ٲfxE$?&:2[bG2܏_D\=&z̕Q3=bPȏbhǁi&빒@!B)E%b\FꩄL1[KY4[Hh_K%@@*\̙C*;1߼#e 7 )Sb sPz9!R9 Z}a6t.;EbӉEx頗fs@XP57tP#i"t̚dvWm@3O7g8HP(C4 racED#^{=<.K :.~1 JJdX}JE_9D]ي +q@x7p`8J w2tP Da;s&BV*\IҒhXV'`Ҍ+ha &@;7:E/:6-|gB<. Qݜ*TMB["t*hbrZ8COf_Hq[`0g}wC(PbGI.)??hBO,i i#qggx)է6 x=!/wY}{'tHI~n-p;hLau'm ( qqoMhaE-aoz^T;q]\KC;F-7bv}첲9tzD6yiLE2lD oo8ӳ<;Nd53&2%J=/])V$ Vk4Xq L8'UD$@"K1cz7s`M2]*3~5-ν@Uv1:@6뫕׼N3 MO}<糣*gUoyT9򈼇5뚼]fN,K@Z_O10#ӿMUmcI):_8nR@3zQneؒU2gxhY$L½ǂ6Nܰf2R ˭am9} ފ&=&̔5d1Tԯ[oZ I U[ KavsZv1"}s[FHcjV/"e1 MN=x?_Vx2&uw.23 u˓,Ex bEص?p`K1G$=u&ֻ|jF0v1VzsX(ôvkl3~1dxFґOW3hdwų$pcTjk9竍~" (_Y ,ډdAlNeСd5V.WwCjF-D %d1xrM٫ERvW#kiE%0C]'{A|ai/=x;̚gFyqxBJ?S v|D¾aJ ;ǁQwV4b=eXa]4`ˁi!#FV-_+}LqN?,W8U}8-;i3p]=~'kt,mau1׮O,b֕o'HKhk:\Y _;:Q3wV ƽOdE=1$YAKۼS>R>iO ⥕hP.]\=U q9֎zztV#3d)zVN %jVPԋ6FW }=q{i;hգPA0`;%h{އs?8̴!znERSw2Ag]@4` "]߷C3 "B22L]jcڻ1 ı)3XrZ7MK>ovwM$Df[T(rn!D1QuM/8ԥ.JDQH$9[Stq0gJu| ɾ_JoC-zj^΄[nC'U5dO,p)Z?`Jg> ͫ}:گqiZ#w '|^Y(3/9_ğw~HOg}(WE-op_̠<}Ap1*A|l?fܵR׿[m7y8UAʜ?jm蚞osSC:O7:,[UVD(҅1& endstream endobj 11798 0 obj << /Annots 11800 0 R /BleedBox [0 0 612 792] /Contents [11809 0 R 11805 0 R 11806 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25986 11807 0 R >> >> /Type /Page >> endobj 11799 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11800 0 obj [11799 0 R 11801 0 R 11802 0 R 11803 0 R 11804 0 R 11808 0 R] endobj 11801 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 276.8424 174.7052 287.8424] /Subtype /Link /Type /Annot >> endobj 11802 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 260.6424 159.8332 271.6424] /Subtype /Link /Type /Annot >> endobj 11803 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20210608081201-08'00') /Rect [104.1732 244.4424 177.2792 255.4424] /Subtype /Link /Type /Annot >> endobj 11804 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_probe) /M (D:20210608081201-08'00') /Rect [104.1732 228.2423 187.2012 239.2423] /Subtype /Link /Type /Annot >> endobj 11805 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11806 0 obj << /Length 19 >> stream q /Iabc25986 Do Q endstream endobj 11807 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25987 20690 0 R /Gabc25988 20697 0 R >> /Font << /Fabc25989 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTΛ7acX`~߇]&`j[i8-y-xvw7p2UthǬ_{9;u SJ* ,CQ*p% 4Ab2Y@Q 1BS4dLdiJU$y$SBDQ@< q-lb@Tj92;@cޅzP%iuGٝbG _;QOj3xi*J9ߵn6ZNoK5OmOMˡ|72ْԑȅ_%VNsV7Ӭ#^_ DQl˜K(sh^2 'LsB.&s.B^U#UR,We'@eݝCr\:2Fsh8JF[Xݛx endstream endobj 11808 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1037) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11809 0 obj << /Filter /FlateDecode /Length 2969 >> stream xZKo8Wʍ(aPIVb؏ MT2'=N>UyV9Den-U]-ےFH& !g[( `@Trp"ѿcwF5K9΄@ZsP~\I.svE2(Ă6AuŒ`Iq& mMV .&,mUh *|([٧`o+g.a.H"46$PQz 7ВDgƊ0$;Kn ;0hL563 WuX'ʢ\ Ɏ Yi)5{; Ak ׶(}<a~;ԗK|"j){v5Q%؊e&J`ep~/ˆ-EPmw} w?4R5k^5G,$ۥ+Wx/kVaEA Q'X=qXp(,8LTh;vk!9as j:E\LsYNfA =L&,7`+gq=N^gW;mѭ7NE$P5eSM( QBYDW)@"qm8vW)ؚ,m3U;lK ڞn,Ta̸msߔk1a%`3jVwl~VDnQ˯~G2!c4JڲϘ֝hf"+oU1Vug-X>icEW-g*\%9.KY;ƯbrϘ ;%Ȩ%&1ӿpЅESv{ĜMx˓eNlm]n:LXR񹺖ZS+@5l O,$s| jm<97>[oD;Fn|K ɇz& mӋavvwb<@7dQM,{}7{ܫڻFcpk Md_B\t:?v5`+A?AsKtcMHPNJd]}Rų.X3vAsK@'lɅ_M`sV_u]ƄU8K6%a-D< VD: 4Qim6ܔ\]K?,H|% ?!<2M enGq*>gFࢾl9:37=aTOXFcYƏ}lzShr0 8W^Q4DnwEDk rZKP2#E JhגdVB-1`[ElD#&kJ%P9|3X-.6^Uq[sg) FI )kgjTg UHݮ GYݐmɓE//+ʀxᛩYL4tuSځ@X,XnAE@EB'bEZhoYI$:RD^iuI`HRQ BƸǭWiMCMS%LҔnOL#=[۴ZσܚaFH˶G93ٽ+3qlu('B[>Nw]E@1 ƹ&%õkl'Ha%6LaĶPg #INJj>> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26005 11816 0 R >> >> /Type /Page >> endobj 11811 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11812 0 obj [11811 0 R 11813 0 R 11817 0 R] endobj 11813 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [90 400.925 201.1385 411.925] /Subtype /Link /Type /Annot >> endobj 11814 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11815 0 obj << /Length 19 >> stream q /Iabc26005 Do Q endstream endobj 11816 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26006 20690 0 R /Gabc26007 20697 0 R >> /Font << /Fabc26008 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(EW!׋ڎ>E;!%]vhw%Tsh8r-Zݛ L endstream endobj 11817 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1038) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11818 0 obj << /Filter /FlateDecode /Length 3574 >> stream xڭn$ί@3|hFC:vHv/ԋ=6zlX/VvŸe˫}NW]|S.Q{hJ%W;k^/۹ ;{v^I_>%7{~I?=D%x3AmOBw[vƯg^un^u66SlKO:3ug9Cm,~.gKW3 4cxN~AfP~4w,W=OQ }QgG>T ͅдU] &ż%q}*YApbzDgQ,t32 /b(*qQ* = qV0u"Ճs1լ>EB$2c&=:R'|AVygzXbgQ"EWhOґ4s}^g}K?WY^#F8/'$rZye?ؽB GC"b%vc ڛke+KK^=uP]/?j <(zMFJ07`~CDMrbվ`/"(',*Gۛ-ʚ@x H(+{!kTF;g%̏\BdQ(K,>@mOm# {u#YS--[Ǒ=,Cq 5GpL։'Ե*nLj+eh]W &UgTTH ]ѻ-< c5ʏPLX7)e6UN,L4Y>u"Zt6 z!n¶X?*c2CaI&m!Tw{CJT"LlD^A#(ԣ>]Ơљ2hKĚhRpGR\/U#[R ni2͢MU\7H" ;-51"orM Nuk,^ygw"a]nOM Uy40U)-nuE Cm{Zeir.B  nSڶӱut'!:T\5&;|6[])>0-m(TuLv+GU_܉@ZЖjI >voRm#M6kS9"A6gյv;E=ʗ8OT"D{TZ6ء<2W* >w<#= :q~Acw,5h<L4t"{d~fӞYAZ2p-eXҫ5c? ]HGKB[naxx,YRܚ8>QV}1ava Ȇ0V#_1@R;nMj+}{[gi ζ;qg!0dJ$x2=G]n&y }w{]l:_C Y]r cVh 1mo/ [ⰆSNollo@vYHDփ\,=I]ɇIX8)ĵ]{;ޘui`ګoGwNmnumO\muT~#CY v>[W6K*Gf_7mlHa& 1Y{)X$R ڏ쯶DH/r8R2C}~XYǞ5E}08>uMz|kO(t9wוv`Jْ_i/\ǴJCNX2.Ιo臌lˊEG>z~GP20,nTA+L][znS)|> Gفv&יZHnx.c1G|e A6Բ!BtP>*__RGtnu@K ⤛dMCY_e}Nfl/. |_ ҝ:"`kbM@L<5%7FKL"d0qDckz/TQsS`TbS^`[z6:rKV(ni{?òyh+ii=uKc;bݛ"ꔵfCCL[jwYdea9qMGK/U$_ uޙ*ESI÷|"ObytX=N ܍ײm8>!tx&6a]dƀR':t w ]D_) \bf;tH^>^dY҃1SH!ni0{,1~OD՛ '<,QJ]%iO0y)|;h  ~ۦ0/?ۭ:_*T{Wُ[5.~q[7-7: :6b)DE+r endstream endobj 11819 0 obj << /Annots 11821 0 R /BleedBox [0 0 612 792] /Contents [11830 0 R 11826 0 R 11827 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26024 11828 0 R >> >> /Type /Page >> endobj 11820 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11821 0 obj [11820 0 R 11822 0 R 11823 0 R 11824 0 R 11825 0 R 11829 0 R] endobj 11822 0 obj << /A << /D (unique_565) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 154.6302 608.2] /Subtype /Link /Type /Annot >> endobj 11823 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20210608081201-08'00') /Rect [104.1732 581 169.2217 592] /Subtype /Link /Type /Annot >> endobj 11824 0 obj << /A << /D (unique_568) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 163.3477 575.8] /Subtype /Link /Type /Annot >> endobj 11825 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 153.7612 559.6] /Subtype /Link /Type /Annot >> endobj 11826 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11827 0 obj << /Length 19 >> stream q /Iabc26024 Do Q endstream endobj 11828 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26025 20690 0 R /Gabc26026 20697 0 R >> /Font << /Fabc26027 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 11829 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1039) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11830 0 obj << /Filter /FlateDecode /Length 1490 >> stream xڭXKk$7y`<36 rr aX_*=M[]z|VY}SV}VŠ.ÿ/_Yt Zu~K}xe.){wƸbLZN 0sևh#xS63LȁTA_xǤtĢc[M4CD_:9wE\˒.'n.Ưn6Žj,$u#dl/yJe3>lGViM\JH_R:W(bnU22 RY[l(:@K5Wk[^iUiz,\i1{3fcu(^](m7i!tub#9qw{?<{Ԛ&V{<Ǔ[뚓_SZ?M`p8hpUm :$)&nȡ z^’V⧣bXќo sm7Ysz{/a{:Ӎ]!yPK_c endstream endobj 11831 0 obj << /Annots 11833 0 R /BleedBox [0 0 612 792] /Contents [11840 0 R 11836 0 R 11837 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26043 11838 0 R >> >> /Type /Page >> endobj 11832 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11833 0 obj [11832 0 R 11834 0 R 11835 0 R 11839 0 R] endobj 11834 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 324.925 122.505 335.925] /Subtype /Link /Type /Annot >> endobj 11835 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [127.818 324.925 238.9565 335.925] /Subtype /Link /Type /Annot >> endobj 11836 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11837 0 obj << /Length 19 >> stream q /Iabc26043 Do Q endstream endobj 11838 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26044 20690 0 R /Gabc26045 20697 0 R >> /Font << /Fabc26046 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫bOvջSp<)\1B2Wr IL$V-EH(ް0iD_EwJ2'ī+O˓*DƵa(Rɐى9_>سFw!g3o#F- #UG'9,B>UQ 5\fP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11840 0 obj << /Filter /FlateDecode /Length 3924 >> stream xڵ[KoWya&hF]9{f7%?3أnbd'xɳNN1LbR/arvC~:p~ݩ2sQI:;]&7zenADw2EkiT26 Wgd_VnЬ4~>3&~KL|Ƙy⬳ g1N&=.*3}pILgGڟ}Iw6¬ԨEs{Mx<5JA(Ҭ=ܬSrDRI6,b p=>* C-^R/f?:.sSIPX'Hӧ'81;`@Ҁ%Π7I4.^{]A>HG2PtuK)TaM@(Ӿs ů;q n m Q cS$_?Ѳ4D\|`9bx_sLA$vF/#S6{%r%WEIZ(dSHN}szul;;"(pZRժڭ$| 8MFˆRkjX^FM/FK hUzMf-  )hjQYX-aAaiprM7 4 /\r-J/$mV -+pe-&4*Ŧ8};UPWi\ s؂q;.HEku)ҾQ KY-d@X"ݫT沔Nڛ@fn Y ís5Xp'0b=a#C|PcWjk+;{olZm>ڃzP]?|ϫ`[fz};N~lu^5~U9lChQt"7-z "dI'Opg 1CQQ/ 3?w:24{Βu31`s\X̋|[5QuunE\*||!CBEVPqVz :, X++'p .`=KhpKFK٧7lYFSRslw@3WIt47)HQC '})BN\Upr/>?NvO|$x&8f;3ʲʼns3+ `}zh`[vunM( :t(#.fLe xѸ.߫pČ%Tʥ+=)XIYtuESi01ࢃ ;ӌi| :3R') >1S9ǥN00hV4\9e\x".9U|,sh7+ټ._HZ \48l\׬Njhű̢dl/|8 t.o &M4Vl.{ ^8.蒃m^F.~ÊnY\&2ܿ(Ւ`3tE[Vn1/qO۬n'.YУo(To|ZÞXݣ*K#ϫ*5o{3Y3woFTMsR0LY,neF5<U c՘q/5  iK򥹹a *˨3<~V#afYBhk9}.,~6u Z LC瞬|cK! RPp3}~@CG6\pKii˅eB>e x]VҊHGhie6kT-̫͜5;Vf]]E"-Уt>s#QN/tvq@5\ʭt< c}#BI)6yK9F963/ӿx"2!r t0P ";ıq9,,E75,.]h]ҫ@]x$o6tc\@yZw+QJC7Oݫ|}J[lE \fSO<">bdMMY_PS?T@:WF:<>rjާ[m_{EJ{bǑK+\8ȣ2 *Ekx\ f8_p.|TK/R**a)Vdd*|%[B gÎ>D/RYsKZ1}`݋HF!9k6 9A4},܋ ֟T\eӶM8^dr$TYe;Arb ]VW9(jsW~е}VWLP#Tg<ոQ2߇a7c %3/e1ħ$ճ^Nnyܾ|[;ajjYڞvrT+8"$^Gٍ+Ho!qO ~$YjgWO=_%×j| ( d|׏vHQT㷹pl`;G~sy'*U{+sz^G> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26062 11853 0 R >> >> /Type /Page >> endobj 11842 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11843 0 obj [11842 0 R 11844 0 R 11845 0 R 11846 0 R 11847 0 R 11848 0 R 11849 0 R 11850 0 R 11854 0 R] endobj 11844 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20210608081201-08'00') /Rect [104.1732 284.5462 181.0247 295.5462] /Subtype /Link /Type /Annot >> endobj 11845 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 268.3462 145.4837 279.3462] /Subtype /Link /Type /Annot >> endobj 11846 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 252.1462 166.3397 263.1462] /Subtype /Link /Type /Annot >> endobj 11847 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20210608081201-08'00') /Rect [104.1732 235.9462 195.5447 246.9462] /Subtype /Link /Type /Annot >> endobj 11848 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 219.7462 180.9312 230.7462] /Subtype /Link /Type /Annot >> endobj 11849 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20210608081201-08'00') /Rect [104.1732 203.5461 175.0572 214.5461] /Subtype /Link /Type /Annot >> endobj 11850 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 187.3461 165.4707 198.3461] /Subtype /Link /Type /Annot >> endobj 11851 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11852 0 obj << /Length 19 >> stream q /Iabc26062 Do Q endstream endobj 11853 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26063 20690 0 R /Gabc26064 20697 0 R >> /Font << /Fabc26065 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11855 0 obj << /Filter /FlateDecode /Length 3177 >> stream xZKo#W@ar@& Oԋndٳ2dX/~Ulo=:],>2R5Bs~Ԏ7)7x9i.ke!3(kY|(ZD4m\D%lX|S5Eӈ5|4o 96{\g_ˎu'Ʊ5ES6 a<$,D6Tu0 v7m\!/)@ShPdL|BhTKj6nѠė#[`۟}(ItfC߳n] =&z9O4-K_,s3&T)S-P2_j6Bf% @XlzؕWImuk&dZllBX~1N jsEd'%6Cs_=GII#;E|V"-ayt0; -X*GсY|Zicߋj'vnESFKk3Hlk >qw|uO5 CjeI}=V5-KnHÒ.*(@вm͸&3%\%E{7o;q5H QCgM}ȵmMuڹJ[`PIP hńY^MOJ|/MD>JxJU;Rl`o$Qpg5g'x!W\$Wen65{ Uc$1Q9'Ze3c4,9͵4ofO_%O /l@ eB?8:ew_Sar9wVSNU k~nFZ 9T c"w6N HF݌vҨ`JCF2WO ~? V세$Hl(AhƆaB(g oFܡnݒ}!kCP;Pe8vM 3@T&{ X,КY"5{s^~ 5ǝ]ߧgͻ 6lq[X&|:ll6u3&U"~f!ZI!IdM??cI/O'!3B ߯o} OEI5~x`cz,Kē\}×i!F3:O 0h Y/DC`bx^W06tDOl[1,UmT,3itE!Bv\QyiRrk^R}xڔ.poI}}^mYIWM(zl F7~ݳS'XPjL1YBh x4T;\C_,ՀVd 7<'7΋ˈWv)g~!}DΠi;iE!I4ڭu8\\]r|&b^mן`{wuSm>-v}@;7m?Pq7p;LqMO6i|G^H]jM jÃYe6/dz\zEo_N " [BfKQ}e4kF^ %,]%H: ڔgjiœIVo#m&)d#Mko%*K.Ofl4dma~gjmT5[vmmg=-yUtc2oeU3F̊͒[ _=+B}Oz478h<48Wۜ l0sĩk!Ƹǭ7ifi-DJ235tc:Msi=D32?>Oִ Od}3&ѣd Ĺ58(j'A9ytm(24a\ TVq7Y.)ޚVbWfۖ . %5&';c܁T?z a͋CV sˀP"%GJZAИ9]X>Sn˅ *e4=j7$JD9)dTF% 'I\e~xNj3D18OQRZA-LXn+?xy{231|bP#\ ,NU")2߿ 6pOozBh,x8Spn>A8NszE6[CAO,◌C};"CYD\-܆_( endstream endobj 11856 0 obj << /Annots 11858 0 R /BleedBox [0 0 612 792] /Contents [11865 0 R 11861 0 R 11862 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26081 11863 0 R >> >> /Type /Page >> endobj 11857 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11858 0 obj [11857 0 R 11859 0 R 11860 0 R 11864 0 R] endobj 11859 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 324.925 122.505 335.925] /Subtype /Link /Type /Annot >> endobj 11860 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [127.818 324.925 238.9565 335.925] /Subtype /Link /Type /Annot >> endobj 11861 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11862 0 obj << /Length 19 >> stream q /Iabc26081 Do Q endstream endobj 11863 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26082 20690 0 R /Gabc26083 20697 0 R >> /Font << /Fabc26084 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11865 0 obj << /Filter /FlateDecode /Length 3927 >> stream xڽ[KWl@ ߤ|[{ֱ`&z!5b*H7m>w]AY:|9ԇ9ɘpzғ3Rbjo'bݓ<yv(&7ze]oE))/mho֢_o4~&+OC7d͜Ef6 Ogd?*#4+_gky˜MhLrc3!cq/>)Y:qV̑W,0j@%II*c̀wxMR[; B)9Z+O(YJ'_hB?~>ik5]CCEf 2nFG`p@^wA~?8Nc;Ply:lWYpyF)!+4fJsȵ^"~撿I2swQ&nC]변}}}B.>[ e.$*Rq`ǣH w:y cCLIcG8xj8!Ix3XP,V(d~07SR_~d(f1sṷoz OlfKŖ[W Ř-5~s\gLg9̋b09㬣\\0[K"v]㣫z ~%W,XA7\ \Pt"R-R?K}ɧBu,n[n>-L# G, 0e|򜼇/o"%} vnɓW,_:bbyﹼz@f\^8n JJ%4j^:.zZfNj\Yd^R0YyrwK;k[Q$I؟InJ;RU c#mS0Wѯt"ϴkEaL.7altZFxݒ6{J}jMZxWdUT.lnUVdxw{aXWE_`xp{+ܘU'lC'Oe3ݝGC[?(G:Yמ&]SZdUlMK*ToYa4. Nw _2tk|&~DREo}O,ԋ~ߠ+KE0eL;OJ4PȦ9#55@3Zc5ܧ;;"Jpլnzv[>ȱ&eCUi9,/#uY+FK hiUd͚8j)V+Vң+klԾiehxầhVz"ͦ8,!q] MK)NNV4dUnj:HCܬzƭ3hg8]9ԣʆ*(CoB=tEvTv/S? @`{n.V[]{׷p##}l; f_[}@`ovWjveiNtc`Y2kVEP[]Vĵlwo=xP`8xG,bD?lykCy3 l'˔m\@f<mS@I YI9TcBƮ@ݶ_?D] &[G#Uq8Fji5oL!K0s?cZ`PmϳkAKtגy S` qtt(qx{6j@k ݣe!EAuetiƻt;Ω'bfH^mUփQL5 idZ |djL]ִk?l.qW_<S |OT|?S;?[VY#O:6kz3, bmN+2LfLؒTv-{ L6B)r N^VthǚYGCfeUgƚQ,XQ KPٖe Oa6M>9ط mˠTwnƐܿ1P3%jU37N[5S#>9zsQ ujs?8['l\ ztT;\6냕MK+n6 ,ۉM`nF]4|İKk`opZo.J8*7uؽs6`^]X/]hv]!_ɛqx]fޟWzF=y#?pl]M)Lmԑ^،M|=)]q~}葯ƭEs<"~H3L]X5xBa ]Ld|R x>jWu9WM,cNog$|OwT;7[N7 fG.w(gtv}YI+"DwL.y6|pϹ:\H|ż2?<2*-8i؝*4aH]]Tӂ b(sғ*t3~9bN$wmZ 9qf2-c< p@eFC61bbҍ9D&7z_x0ʒ xH벃-zm$}wx= ԕGƜqh?`WRn>^@q7O=|}HuXx +J6ɚԿTk* +]# h E9_g ܒvnMpG>זSk6w,{(#D5:g-ٺr!WF){Rz U6 1}e9&#EՇ* Ҕ_ uao*EcCYO|7o{>gy}V_^2WBe;}wrxn1Ƣ/>Eo|Fǣ7LXH_ݩ8;H 0|IF;Up%z7FO_yGځ .$]&| endstream endobj 11866 0 obj << /Annots 11868 0 R /BleedBox [0 0 612 792] /Contents [11881 0 R 11877 0 R 11878 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26100 11879 0 R >> >> /Type /Page >> endobj 11867 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11868 0 obj [11867 0 R 11869 0 R 11870 0 R 11871 0 R 11872 0 R 11873 0 R 11874 0 R 11875 0 R 11876 0 R 11880 0 R] endobj 11869 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20210608081201-08'00') /Rect [104.1732 205.6462 181.0247 216.6462] /Subtype /Link /Type /Annot >> endobj 11870 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20210608081201-08'00') /Rect [104.1732 189.4463 175.4312 200.4463] /Subtype /Link /Type /Annot >> endobj 11871 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 173.2463 145.4837 184.2463] /Subtype /Link /Type /Annot >> endobj 11872 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 157.0463 166.3397 168.0463] /Subtype /Link /Type /Annot >> endobj 11873 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 140.8464 164.9372 151.8464] /Subtype /Link /Type /Annot >> endobj 11874 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 124.6464 180.9312 135.6464] /Subtype /Link /Type /Annot >> endobj 11875 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20210608081201-08'00') /Rect [104.1732 108.4465 175.0572 119.4465] /Subtype /Link /Type /Annot >> endobj 11876 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 92.2465 165.4707 103.2465] /Subtype /Link /Type /Annot >> endobj 11877 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11878 0 obj << /Length 19 >> stream q /Iabc26100 Do Q endstream endobj 11879 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26101 20690 0 R /Gabc26102 20697 0 R >> /Font << /Fabc26103 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہχv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>U]Ѿ 5Ժ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3ȚCC30y8e8bwr63pΘ)U|/B5_}t{ %BJ J‰p T[zw}I endstream endobj 11880 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1043) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11881 0 obj << /Filter /FlateDecode /Length 3280 >> stream xZKW:|?ai@na'' X_^lH7=-b_U[6\Y>cb_~U/.YSb,DTS4A?(x!RWF9c3eXauk)<9L=y]9ˉ/GT9~L 7ٞ"C͋wza5 4FHL tq$C\ԣ P&WX)iIJt|Ga/O`GG0 B'U^IH 孄4E>x_>j͇|IK1[= 0~%TENL/_j xAنmB: 1:}aQvCo52${L g7`&Y32ne\W֤hpǓs4O@8&=sG6Í2N2 VH{6۹Am>ؾ+JYp 2B K2Kl0 (z^}I7NtW B 7B';'@;S`ȉ@k]cvVnq "дJ$5(@&߿t9ΞdljjK#!R C\Zmn].5PPZV~4*w6uBk{@BZՋâZ4N߶6;hZQpw(F/Tf!DvnE8:%Z|q1OYId`ޓ? F)yv2-·LrBraKn7M|c;ؤZ(%t!/uRV[v2Pg vČ|%L9LgE!Z#`4hɎYQZ`ZnHwU$dݦ Wo˻pN2&OjC-C!tqDZWԮ^) W 5[*Aꪦ]"pU!^IGS/74"8`v)&3W'3|mzd9> ,B Q] s%Z;4 T?\Xoa#)`SQp2Ԕ$l)-Hy- 7\yb\UnA.{׏z&h'$yW MesӍS+}# 9O? O+0*LH>D>6ĉ;&-pp Ur'>"|sbԇ:|DYTظ359mBciH1\A9Sv&[Δ;~T*O%ÐA93x_|*LpnVRw1{Xdz*o0{OKn:Xfh|INu2H8Y:'Wp2dV^ -/U_~ݾ@ӿfuDZ_7AC5la™ul[w9. {S}g>[8rNޜ~M@*$Ƨ^m5T7Ք?\y(>^08`K I78iR[~X*(pS*LY&TPҶ2S﾿h/N#y+3uϵ=3 4<:.rϑ0Vp+ C*p}(~/m*vÏEL8q%W;pu"ݜwbYJNr2^i1'vcRSΏF7@VsԀ.EA _r55b ~6KLVׂ2X< Gj1o`Gwԙۊ7h`8ܧr#kpSqLJ++fnz鵝]b{#f;՛BPԆa80/1mގgyGil/ "?\| [BfKQ4k_URTA8Ѧ_( 6_ Z#Aߛ=}1nZ{;WJwy2G#)gRlT5Zvo-2Л=-yU#n2ZeU3웚F&PffI(H^hXgxҽqG GQĵ֧͉3GZF% 6ޤuh)H[i?J! 1\}aވy.mX"rc`"صOʂE?3j_yfd(Cɲ>PDD*d3JT endstream endobj 11882 0 obj << /Annots 11884 0 R /BleedBox [0 0 612 792] /Contents [11890 0 R 11886 0 R 11887 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26119 11888 0 R >> >> /Type /Page >> endobj 11883 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11884 0 obj [11883 0 R 11885 0 R 11889 0 R] endobj 11885 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 386.725 124.1605 397.725] /Subtype /Link /Type /Annot >> endobj 11886 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11887 0 obj << /Length 19 >> stream q /Iabc26119 Do Q endstream endobj 11888 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26120 20690 0 R /Gabc26121 20697 0 R >> /Font << /Fabc26122 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 11889 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1044) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11890 0 obj << /Filter /FlateDecode /Length 3762 >> stream xڵˎί@|Lwo99mbNK~?KR?fX"zXNfi 4wuz~ɚ~s1}Qk}V۹X>z_,=ӛJ#;}Sӿa q ,L_Ype9&3rYk,SXCa ZGu;k^'ߝa s'cxQ//S>b"x C®pa@qJ-G: w|- kY,#NseZp awuq! J5DS{~1m4a?~(3 U=o!L+<敞z,ðDK$V&`"ai֟ 7T n->-K~ ^p3sdx<%J2ww3ΜA!Z+K,3J}`t?ɥMbec8TgƽntNWUB4Y+VAѳG780bZ5ѻ 1L5[yߥ@eKZ՛Ѽ`"62ftf?pP9O\6BE~̉wVQ|Y\ȈRSiϣ NyG_ud,js-=S/3˲"yy|/^E `dY39Fu{Sv#2ը}e-혢ymĩs}pw٫hg~^veĊNM<*olb_{s\Yŝ=pIN, 3DY(Ɠ$ܵ=O8V&eDsaGmq/)A6}- } %foI!Gӄq;NޡBC p䀂'xh~C'4 )daHi~ o";k #R |%;(SvH$lIzhId 6? NX:bUw8mP}7Lw. /YF[Ӂ2*TV..Q-qKw$Ȩ+7/K3 s:-̬ɐK`'K훆XF\[l5-$(|Ի4ⴵ*+Uz* ި6PGC5ҽ0[R^ ?E^ymĖ$IY 2%ܰ\fPR.2nv/Se~e~2:O-was1׏{>k5M7qYp < yvN<:sQM R,4#]P[]m -۸{6փ4jEZʏ6:f|X x>`] m!CZ 8ܗ-pUV1a ]ĬoK3^&MvE.I*YH3P}KTa3Tf>I'pb3Ɩ9Mg8Ȩk}7d9}vgcx?wn%`gq锑;5`ΒF^n&ISt3lۋYғijMSg41(EELpA<4m;j~MD9rMg'~XȸW9ɂ&4*zSͲFI˄0\v-<\/sDžiWg\SRѥrQ8\^`&bEc+|sǪE売8xr6kS6UOtq*7,^p▫c|-~DX+s\4VwN fHQ⥺r c% p[ ;:kߨ*}kk]0{Ȧ*];n7P4upi7[Hro1}G U|KQ|P|<-omMېuߏj#"VrW)Fsssڔ#s~w;?7m<1)"f^G/y6iuue wWps$R E@{Λ9[ &̖Nv))8urPmDWZXl?#a?ـU_'7賲NgK T'$ֳ>dOiy sy qZʘAoʘ3l1}l_Fw;qZԊP9.M )W[ʧ)ī5wϽtGuNv7q{(aG!oa Gg(qӑ*vtgHh+M"5WwLnΖq*/^H̠ j!^ltX1cN}>: r+|mID T&*{GDx|Fu1&YSWg~9x3pPWBFGt3k]\L<6xJnSf#}e*BG/w./*jn1.Lj_tC C,j BZ鼺T懴\vK扌 xORz|ictXF&"/յeY*-Kd,20`b#ǹMG -U!$^]'&tE:oqYl]vD_ ?ܕ߲@| p7iqKw};pmIl f{Mpɗ+x7ET,tHjQœi0a EB^9c_Y}?]1|_Tҹ(Z߯ pxgjk}v@VU ?:V]FP2x9w(prA& Zuv Yu!=V?{ endstream endobj 11891 0 obj << /Annots 11893 0 R /BleedBox [0 0 612 792] /Contents [11904 0 R 11900 0 R 11901 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26138 11902 0 R >> >> /Type /Page >> endobj 11892 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11893 0 obj [11892 0 R 11894 0 R 11895 0 R 11896 0 R 11897 0 R 11898 0 R 11899 0 R 11903 0 R] endobj 11894 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 543.0346 187.8502 554.0346] /Subtype /Link /Type /Annot >> endobj 11895 0 obj << /A << /D (unique_698) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20210608081201-08'00') /Rect [104.1732 526.8346 171.7957 537.8346] /Subtype /Link /Type /Annot >> endobj 11896 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 510.6346 180.3482 521.6345] /Subtype /Link /Type /Annot >> endobj 11897 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 494.4345 144.0317 505.4345] /Subtype /Link /Type /Annot >> endobj 11898 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 478.2345 150.0652 489.2345] /Subtype /Link /Type /Annot >> endobj 11899 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20210608081201-08'00') /Rect [104.1732 462.0345 139.2412 473.0345] /Subtype /Link /Type /Annot >> endobj 11900 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11901 0 obj << /Length 19 >> stream q /Iabc26138 Do Q endstream endobj 11902 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26139 20690 0 R /Gabc26140 20697 0 R >> /Font << /Fabc26141 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛM endstream endobj 11903 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1045) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11904 0 obj << /Filter /FlateDecode /Length 1957 >> stream xڭXn$7+x64w&B*UskC>i=0JZyAf2S%%& _U;m7QQ/95Y{MW>sC6I6駗ustd|{k~JnӿZo]|2Q:xt%Z;-uюgBQAi[UaR 6NUSdUsn!ʄ~Yۂ;zyr }446o.W|=B;2&e'KБz7GB#nP/(g,H3 =5}WJ\\xXfaH)DgչŹ thۃ@Im8V *&5`ăj>ē; ڽu4"_=E}~;-,\`nWRsT5\?Dg@]atgIo)/7S>]6fY.Zvuƶ6i<#6Og@)XCNE&jA1d]_"LԾ ;J^X꣸j` Yf_B*i`o=V齴Nc0$Ld$J!kCB\@.6K=g@ D~C8J%'&28h~.YB:4B6Wmd&]1]`7Wm;meO(ON7ͭF8<"27V#XS'Y 0)IjP{&+)EY:S值ØIf)B<-A}s*^ޅqCLcmf ʷ~vox1P6UߧUDkj"R)OmYf./RuʮVҲ4aX8rYRIC_^+!#%H?#>8mGܴ$ DRCW\r֟Fp?J=$yfř:vQ 6&}<:<%=& _e =ŜۅGV=cj:8{s^9ZO8AL r(, t=ʟ04U'z_ioF33/ <s i 7%PyxbKˏd[ع9,rI`OGE?ڹvTZ a}:/E R0 endstream endobj 11905 0 obj << /Annots 11907 0 R /BleedBox [0 0 612 792] /Contents [11913 0 R 11909 0 R 11910 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26157 11911 0 R >> >> /Type /Page >> endobj 11906 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11907 0 obj [11906 0 R 11908 0 R 11912 0 R] endobj 11908 0 obj << /A << /D (unique_56_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20210608081201-08'00') /Rect [90 430.025 114.9865 441.025] /Subtype /Link /Type /Annot >> endobj 11909 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11910 0 obj << /Length 19 >> stream q /Iabc26157 Do Q endstream endobj 11911 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26158 20690 0 R /Gabc26159 20697 0 R >> /Font << /Fabc26160 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫Jӡ~1OE) eA6\H&7]N8jeAT  cʙh;QLdiNU$R9!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]h߄}j]֠zfQJxpf%ehwm-־0Z|fOr;Z:[hj)\Όl iV5xkEŐLD}%l)l,1aSi ܟBd#UO\05cDA ^Xb>!%$]@QXy Ph'-:A/}- endstream endobj 11912 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1046) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11913 0 obj << /Filter /FlateDecode /Length 3896 >> stream xڵǎ$ί.1`0t$/ڒaX]~BY[*ǗȚMfwG*v>:3?eUC?O?^dqs1u:X o7[JJk!7j5#B|><}NAb~ ?Oz%C3.ӚON__&n2K8kJB0v ^Ek{.I k5B3@ ϵμ"8*FG阂<2A~Ċ5sȶa ir;)?kXs.߄iIm~\y nxUh0!D?5e:89F0|x f\|0>'͜&,8* O/Rb D*@ |I =r5xZ '6$fLU!fj+S0Ot`<{Wq^`-o&k5$rE'u2r6ABfTTceVÃ< i.oˊæG-שޫW`̺W TvC:?ĮCbC@/Puh;=*l<4"zzɬw&D};EP Lp81nѐ|xk<bajhyiS){p()V|HfM>2'zl7:FvԲ43uA/B4+>I{f@eءKEc!Y1FqM TK{DPڈRX}aN-D- *͆>ȱʩc5g0u77N"4…Tk6}FiHO'VkMJS<.]<E;I|B)!C&FzPz|{P KԄoIa k مӄa8:ޡk!pSG>mh|&P ?24El$ŃY42 Xÿ, QJF !I+$=)ߡ?@NHƫ`۠nVc_s-x) jo?{/$8OQŲQui5/-efSK  2"΃YK dM ΫEefL-^j_5D3b!NZҕ^l*Ee.ݘޥuA|*51SmE;6 -C0[Qj(oQ-ue2*e,VU*}˕}¨ T%!ߒ l,jjMG?q' ss _wR i:!Hɺv܂/ s]=hMQhayaԇ=%ݔ @nSVmaaЄdAmeaL R@=%Y! loaad`Vw+݅ lD ip5ZAFJƄ-tt#OM&Va&Nu6h"׷SV?t1$51El&|,^/Mu2Cr\O&zU-ycLbn;^hG3t@oLԻ(̌Aұ ׈tyUid -It*.*;(.Y||U. C g)]Yl/aAhv<̎Ű3.2&>ʍ7ʤ& $xEYdy ($g#LAFVE WϘi^rɋzd!*?5? |љisajD.+B!q$MtiD5)>x9 R> D6Ҏ?pNӫ 6v% _u1kkٲ!mY0iϝ)v%D7gX倵DGxTG`SZv(>cb.)/3=Mszsx[[) v^lޯ;A `޾,6}.9GCDvU@=oLj x`Y[[G"F%9bR!vum0NIJ1.Gumt@+jRգm F?"+cլwxu.g1tJܼG F~ ^uFm(tac.QF P5^g jtUU^F1YAkbQ "ʮ27uڲ3C(XaZ!3zS iczş!K;1;sw;j-۵cu eMyFr+]]&k/:Л&I捩jlX_ܿr%veSXeN1mD\dj?s-7<8C 3T6iI hnd8|}ː?jIcvR Y-7ipqLHWh"X~'uYԏfZ&?nbsխ6>(+8@"ـ7K}ܜR 6SEadgHRPoG %\lxh4fuxw\,uNxj )6u2Fk"at'au&N?\\m1|@^><&2@*0}򽺀P`46DDCmz`\E&[ҜR7Y1.TLFu|F{3W>(R~f'~Yy󋏜t~&8pu(ׇ\Bu0idcncC0>w5~W>?p#~_r'gZ8*ԾW7I ~yr|Ï)_Qńi_Lp}QOm4n?ڭ,! Çpn;#l}1&P1n?sjw¿#+i 7'u\{'/e]Բ9 endstream endobj 11914 0 obj << /Annots 11916 0 R /BleedBox [0 0 612 792] /Contents [11923 0 R 11919 0 R 11920 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26176 11921 0 R >> >> /Type /Page >> endobj 11915 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11916 0 obj [11915 0 R 11917 0 R 11918 0 R 11922 0 R] endobj 11917 0 obj << /A << /D (unique_527) /S /GoTo >> /Border [0 0 0] /Contents (help) /M (D:20210608081201-08'00') /Rect [104.1732 415.5346 124.8807 426.5346] /Subtype /Link /Type /Annot >> endobj 11918 0 obj << /A << /D (unique_708) /S /GoTo >> /Border [0 0 0] /Contents (list_features) /M (D:20210608081201-08'00') /Rect [104.1732 399.3346 162.5392 410.3346] /Subtype /Link /Type /Annot >> endobj 11919 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11920 0 obj << /Length 19 >> stream q /Iabc26176 Do Q endstream endobj 11921 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26177 20690 0 R /Gabc26178 20697 0 R >> /Font << /Fabc26179 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11923 0 obj << /Filter /FlateDecode /Length 2172 >> stream xYIk,Gȳjr_@46 9 >i1-b˥[aʌj][mo[N?6fu X2M?E[>Ӌσ1`!vObrf#닾Ƶ5ܲSueƃCšLdЧbX|k <}N869W 9DP] =ol4 +҃q@ql]sC^SS*.`*:&:18rJRE6|Gq( 9M-fif:=AxwY_)iP7#K-_,s3&T˛M}/P/ kB(mw @^U #G4Hͺmv&^js!i܋V7jYղ綊'5&/OQt1Z1⻝ڸ5mZX\P9<%(R{D*J{8E`e۴0(uxyU] Rc)9ϕ8pq!`م @U4UVVRs77Df@c2K&5nn/q2yO6N G?TMvq[D%po"fb=Xr',?'6[ y_QZR NMW';EKJy"{ތ6@yJQ[ !ق_?k2_56~)TA#a!0pWTL^F) 콭Ѣj@)cM_bB9of\]>g,n\B #w[n}INi'ipNZa ?b\}*ObJrTr#۞ Xok1c cU \#GwS#< >%AZ 2>l^xZlZ(/ozW"; qv^,az:]Ca|5$"%!&fKaи%+g{U xOAku5 ZoÉ/:رdu^}LphcETvᄊi;kEwfn3tumխÚ7ʫk'Yf]ujʛG]y:73m7=^*J@30f<@^C 0s^ 7d! tȗi_clg^ojkQ4EE*ҭ$6n[CfI( ZQ7*x)-#DSԈoX};2E`G*}5F1^Q,TkHyQ@3HiaTE;f^v3Eݐ`I_302 aicWU`7F f#Z31+Ba}f'="åqDYmKE`HSU l!lcc"2QLD2)ߘn5ɞJȰxFV!9eXu|=.ce yF! ?E9yOr/ęyC eMԄ֝g&R:ui ?) Y7/8K#HM IelwZ/`#5|͌KV sK>C\#%W n:| ƞ/L}*k* NFY0$й[.]>c Hv~yɓy48e^ڴם4a;hÅl9(GRpƟ^*p,w'F)cg;6#~dm?h'Ÿo[Gqؑ =`rlǡPɘ{/ aM~ i:7/C g2t BOrt1̕U endstream endobj 11924 0 obj << /Annots 11926 0 R /BleedBox [0 0 612 792] /Contents [11932 0 R 11928 0 R 11929 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26195 11930 0 R >> >> /Type /Page >> endobj 11925 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11926 0 obj [11925 0 R 11927 0 R 11931 0 R] endobj 11927 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 336.325 124.1605 347.325] /Subtype /Link /Type /Annot >> endobj 11928 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11929 0 obj << /Length 19 >> stream q /Iabc26195 Do Q endstream endobj 11930 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26196 20690 0 R /Gabc26197 20697 0 R >> /Font << /Fabc26198 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}KN endstream endobj 11931 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1048) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11932 0 obj << /Filter /FlateDecode /Length 4413 >> stream xnίyR s댁9u2=~QR-vw.-q{|;GO&3i9#-v6:3?eU~]_?]d|Lnz}uL>v+"T~;P<%h*g]LcRɩ"vܱ4Bml{.ZS5@XrXͦfIɢ}-sցpBc_bG?` Ko?U3`xz,/i26nj`d0SH{<9h;v3Jڣ%` [HyF +ndX`f]>p9߁ ?I.\go }I"> yVE8el3}\;"Z_xibͮK$jq%k/pj8lja,T+[Gf;UQT^ѾL$)Vvԃ 8g fzA#wUK4Ma%Es!"Y oCRs(Yթ+6C_DP}v]s/uc]^j`Q!ktUDzZƪ* 5w:Io$~Jv㮊> ޥC EXjq |G1?;McXK'y5r\"&9~;2w%V%u>9b;8;vG⍝0p#V]4yVZXOŦu7rsm$0oׅP\|8U}CNCy-)Gq˟'lpj=Tas\ aͮ:ZX^%Q<@)*zJ1{J@q𶆼bug<խՖYv0{3ZLEEکj;XYvY=}uVObQ%G&G.G6zW\hJ3.̚H![!uE0(LnfV24{Έເ1 ]VL 냺a{}bqG{N`K>MqF؊S`+Y=b8lykywO^m~BF/ ZwNmy O~/O"? h,)z`!S'ƒc(cgUܒvrV[DM_լ3Dww$SQ\#Q: )^Z_eϕ+L~*YY5_.D*pNn)q c r9|5_6M.3`#t(EJbB\ Nf]^QMWIXpKP&6Tik7`Z&,M3@YdRQw}`a&0-3h!fSe)< TG [jS-<|"쵩lf!3+DVr^.m=ִ(QhEY#a6 |GhLxvYEIB 䓆=6OFM\ *?+6cFlyX zL[ecW.`3A=,%*!s(U07SfvΕ6:Fm=2n8W.R:` `(b0vmpLc`^fO>Y|S87$bٛ*.յlkגG{٘L1kƔllvON2sf>O<=Ն%B(VFq!CA3n{ BzRH䭖uw3Kܕ_[u]zAnrDQC*mdZcE3MC6Uh"aZJYcQF^,]| ̑u>]_ӄYҜ?]OhX+뿔;>wP^m8yS/傠' y/{Dfyo쥅&c0K6w ^]ϑrd2@tegC%I` ܴmi)1BiެW9"BO]UO{;%[vÇ=N2–H iYBS^;nW^ >veYpvwP0nq~TN`QTX&Lg$MW!9Pc%s1q6)L`攖`3 |ΘuHWrG"Cv|X uvœ>f D2)rN kpa:r^ot93zt#}3gصtI -ØKj乗\gl}_z߁bӇZ }">,8!~ %.:RVsBdP],Ѷ1͈`+Ӝlg/nΖa3u',3h"D_s;>/i!`9}7 QZfl UAu jF  vU <75ƒ#):Z[W>|SUNR{tnaN$= )$kR/ZgH\CSZBǢHɯ"f4ΗwErkѳKAV)p(Me&*DK2#G/H Ą**fAv Kef*|!N‰ Y`/<\*.ekGX'26._ZM}2x*|P1k6-Ӻ.eɥ^Tm^HM,wʿ0ǹɭG *Nwn7s! _m/{1gy5\Ydȇ#0 W/.`Q k6WVM\}ǭpQ|5m/-}nL,c秝[@9#`~,l틆>^Ndr>&?m!Rqe,++g`Mm<;P ,З_z[N}u~拉WC2[A$2wl9(7u[V,CQ@FE+/풞 endstream endobj 11933 0 obj << /Annots [11934 0 R 11938 0 R] /BleedBox [0 0 612 792] /Contents [11939 0 R 11935 0 R 11936 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26214 11937 0 R >> >> /Type /Page >> endobj 11934 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11935 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11936 0 obj << /Length 19 >> stream q /Iabc26214 Do Q endstream endobj 11937 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26215 20690 0 R /Gabc26216 20697 0 R >> /Font << /Fabc26217 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (?Ρ\j|=B/}R endstream endobj 11938 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1049) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11939 0 obj << /Filter /FlateDecode /Length 5275 >> stream x\Y$9~ׯ}@1o=S0>n0d-tL_G5=PtgKLv<_'5IwKHzz:>59;EE]q2LJM_~CDtӗg_xYR>y)')N(eRqugBJal#abU$0R,IZV IbԠI98&˛ = >]X=x1SJJOiTbPfX?}qџ>-bO]D_tWYE2[aoZa_ fㄿ@l3T5FD<W k)8gj&;ϐjZsD= QR7^ hB ){*.O^Qa+7GRG;G=V`E+2-Dyl1" Vc1r\̏$eF jf!rt +^)tHv q6n#ol񬺙f&kڵfJ 6@5DCF-CdR;%Q ")ms?0t3-]q2\Zt6Idt| M H!6&*R%.l<̘nwKnS|w +~Jb»K S2KbbNSy,1͘OJqF pOF"nf ߞ3f*: FDWIuo˥׳ ,ba! Pq( @H{e$~,_9,@׳t6s٘CzP,2Mw S"X vd1E J^#̱S[҅[Vc6r_t;}`26ue2zSoŽ5G|@M MCe*/ƍa'-ix+Agb9.=YG^Cd7?BVq0W 58٦mk{lG6xf>yW[G:t<5*̸Vy|%I/&A 0L\h헓dS.O{5w )6/Pt׷DJAI`Yr\pV#887y;xSE% s+7,: dP`瑤8uSNx$0=ta-R$s0ũ]?ISҀѽvm} Btu2U{ 1N2+W:S3H > d@ ȃ4YJ@ .7FS1`eOD]ޡ K%4Я'3\&-TF&wJ75vqd֮l`&$UJ6Jv\ל~KIeɸNmT_m;Z6f:'A" Dy|D0K(nn١! iJpvd9mQ5QJݒPôl 孄4n2_M a9+c^-xL#sx({"*M9gyO,y FPB :f1lʻX':fZi`p̱ ~% t']"NIE'ęJ+W2ҡ0#h,hjHQzk*wљ̆H%׊Kkwi#^Sp4'Msbq,ޫ6Tʓk`Q | % bHiV5$`!C24 :Ɠѓ UIeak2Xߍ5Kc. !Ey|op.Nq'9+Iu0Az)9z' J}bjW2mL\-R;8q\5-oɬe6EXK";m!Ģ>CV ̰mN}gͪhnQd<Wqt^wZr @RdZz|X2s֐4chexNӒVSVX='sN.Fp/ӝ^kՂOr[QBD:%-=\UrNs6YIy用6oR=Uڼ6("9`55ETDBI5-FdO+HeM*ca8n^^ Փfނe\wd#bOG͓G"kdl#Dc}AY.bTbM4<(.ur%U*P 1 $qf%yB0T5̸nVJЖUmGڷ,^2 dtk~8w3ʍ|b/VٷUVM\:;SaVgOUgWSJ hz/)#0[3j1Ej*?v"^fSX5;z%^( /A)HRΙkq"l4r2}8HuQTSq O }OEiΞ˾n!Co5[9:@i*Zw>{+6_x:N?ZF%.&w+oWdyrTC"tYA1&x;G./nA2ܫWg iEkܓcbz8ܿm/ 9N}5o˼#ͣϭƋ{%'C^8ź_X} s({N{3bP܀v/;.Cj N?HnU"ua z Ia oCͤ1?e; v%'#S-ƒ|.ɞK̘r3!?RW3cpL[.Oˌ*-ٖ@p[ 걃ݶ@ٺglT9fHlG~T &3Q֢116untMMhĶє#7D{yCI*DcD#ndGTP8 ߱ZQ̰D}u\:UMu:vǐ-ӻ!'_/O>%]ghӜ{i}RA)т90DDMì~]}lw_GCYUK ^ c ؛MFg %zIny0/5Y0#xCI5-<921Q`Cޚf0XJվN;J 1P?Syrl;l vVI` e![t*Ae!l%_#ʹW3_ͩ2R'eL꼲 ` ?2j-mN5Hg˽b{=䄇y8G1lTgSÖ?1Wj뵴F7m*xaL'ҵ!R2!'ï|)- K[\2/DUJKo1/\F}1N Ykt`D2uc˄mlEW0!Y\9.kk[Ή̲}BV d1Y nx5ۣ=g1=.N4f__! T_8o](+iDyXK<9x,9{X˲_ຼCm}y@ % $e k endstream endobj 11940 0 obj << /Annots 11942 0 R /BleedBox [0 0 612 792] /Contents [11949 0 R 11945 0 R 11946 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26233 11947 0 R >> >> /Type /Page >> endobj 11941 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11942 0 obj [11941 0 R 11943 0 R 11944 0 R 11948 0 R] endobj 11943 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20210608081201-08'00') /Rect [104.1732 541.5 183.5217 552.5] /Subtype /Link /Type /Annot >> endobj 11944 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20210608081201-08'00') /Rect [104.1732 525.2999 150.9012 536.2999] /Subtype /Link /Type /Annot >> endobj 11945 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11946 0 obj << /Length 19 >> stream q /Iabc26233 Do Q endstream endobj 11947 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26234 20690 0 R /Gabc26235 20697 0 R >> /Font << /Fabc26236 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫Eӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0_Ρ\-z^lM endstream endobj 11948 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1050) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11949 0 obj << /Filter /FlateDecode /Length 1878 >> stream xڽXKk$7WhEyxr`!8W%[{N66=ңS5Xy({lK'q?4F=,K]TZW{hmNuZщy{'1fO꜌T";6/<ұw7yze0hRIkn*;/喷ᴓmyyZF*ZڙZRB4Yd\7Udd5:_,oUιdfvԌ%.VYWS< N=I5+'hf'H2Zŧ~L Ég VfCIe9׵MhNK#yf{m"_Ǫg4 kWQ&& |ӝU'f&;Y|%+MUwԪ`>-'tYEIHA"\_\V)ǥ?V=/@{op6$!uDg!Ҝ`hxm˜Md֍4(2kĊE=5s|E/b3d{[dSP>B>\o6?d9s cpS E\ \"d Z0"4ywe&))/"ϑ7#-xuW^U^?wAY;2F:nWj;3ˍ"{TBYP piy`TpԬYط)UҨi sxhZj;3qϚ)(LYRKY*\ vK׍m,kq[ļo>ԂLa찕m(0R.Hۗ`Gk0b+qeԥJM3ѷ,^Ha::值RR}\̀\A-ev>ɤtTG@8a2%}-﹬ǣK:ŷ?+@[p0kxq6׵V]Uv+'_˛ c |sgm> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26252 11956 0 R >> >> /Type /Page >> endobj 11951 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11952 0 obj [11951 0 R 11953 0 R 11957 0 R] endobj 11953 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 386.725 140.952 397.725] /Subtype /Link /Type /Annot >> endobj 11954 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11955 0 obj << /Length 19 >> stream q /Iabc26252 Do Q endstream endobj 11956 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26253 20690 0 R /Gabc26254 20697 0 R >> /Font << /Fabc26255 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11958 0 obj << /Filter /FlateDecode /Length 4014 >> stream xڭˎί@|L99mbN%z,==)Xo Nx)_,-).an_7jkO-%]\K]}0BTN~$Ǎkityk*< .ze' //LaXLaH붥%mk2d6 5OZ}@G!t˃=s_~j/??g6ok. }om(kt^#(>=,`&g -z0U! /@[p`Dd :oA8Sjcc$k3pUj5ĞAaXZk̤.bL8(axF?jFDݠwm!g 9, Kgžbrxɱ.κ,vyo?5Evv _c@'@3LzFI vT]q lה~nYK{ x p pk ۶ Q`0!H9*\/3KD8f?V u1x[mͩ8`*05HNэ.iByfQ'S78V4T0MyPXgsCơPWHdeE#+iꐢRb (h[1@+I "%h?t>$/?FJ(IdYI ] dVDI<)f*iѽRf HNAnrGxW i`"+pb0CmDu} ^@@(byo0&` _XN sʈSN/kgp` N;dA4xiD}Иj&-v![#JCk]ɼx #<]F Υ>\ޫ[Fq*ƫsbgSfꬬő%ܠ`eMC,"fԒF]ר^>`]hE]q]̼)!>6awٷN{iZZ?CڧuOkx㚻$vHٰM2%<\tRn2MN4kEQ|i=ajbn{ic/{;VmbϮL5g~{s&[ ?k G瑋aF8Pvф邹mSh.ܳ+=0{=X^׃HkсЌw+b쾋C&-T;Yc QbDt_늵<cȘ #1|$)# <40Ֆ-&( #ʾ'.cnF\zzg(8nkLesK'sº_s JJgޖnOk t;꧖S8]xFدNB! MF+9vQʼnײ@XJ|88D M&M}M,HrM <(HyW Arf/bAy;wjãj}T0ߛ?~Ts-0u FhU#MmOSjw<5KM@,ayǼGkzGXNb(;eW M]$1ot׵d''ƾ~*%1o9N*h4 EoW<_B@qd\OV/1,Eug@Ҫs]!n;,V=b)x,W)zk;R&z'-"<O;lN|`GAWFݚCVw^4 pIkXcMȴ[2YA6ÚVh "l? {>^BDz~IcXsK/ᎫC{ E&đvHǬrOrlpt٠]I0Xikg0Wa֧[Ǚ=*@څ[Nv" w[M;2Jʚha[@TqXj~bɋz nh4ʋ726(b#SPA=6;[IUPץ& (8h* U ?iels3eL[DY^bv,FᓒA׊(fC<5R&&]v:j ykqy?.z^<=.3G&O+;7's$瀓$T&QMm?CBe}yʦn *R) >vbyp[6^)k_>}|xm\3ګBDl,~8Ν4}T J IZE\ ->yEXO]+^Cb/V]o^uIS!/p.$ OXز%_i'+jNO'v9@ZsunW69bY ٖ_7oK Y~s8 gv !itͰ[6pWV7ǘk̗7cOț=QVnrMXG0cZ@P*I9m8!Z| nRk!8$ ir{KOu 6F5D6Sߖ1!2%p;B PP1þ,w95V~.uxQo7lXAd G =FI pue4LEn>^+* x#hB%XDOWT'$kR7M(HХ-!#W>{&"ݭދroXOp&~7xJnQeiRO 5MZLfUdԸ$3S+qj<^6!$z6>4p=_[ϰ,O|s'n=mķۣdQYotHEu+4}\H M,_&đ2mPbC;`h`*I=ǍbhOe.bBB˕ j\6U rz0jBԂ7HrN}H>Z`όx,Ո\ori ߳Iu : <UZ1lsiKC*w L5`!S^b=?_??c v}_ԈSо.>_n5V'PҤ>rKsq1y|Ml|M ZԠbT}k)Ib"{/h·CDnu,`l#r{!K8E endstream endobj 11959 0 obj << /Annots 11961 0 R /BleedBox [0 0 612 792] /Contents [11969 0 R 11965 0 R 11966 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26271 11967 0 R >> >> /Type /Page >> endobj 11960 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11961 0 obj [11960 0 R 11962 0 R 11963 0 R 11964 0 R 11968 0 R] endobj 11962 0 obj << /A << /D (unique_648) /S /GoTo >> /Border [0 0 0] /Contents (close_saif) /M (D:20210608081201-08'00') /Rect [104.1732 492.7346 150.6042 503.7346] /Subtype /Link /Type /Annot >> endobj 11963 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 476.5346 159.3712 487.5346] /Subtype /Link /Type /Annot >> endobj 11964 0 obj << /A << /D (unique_672) /S /GoTo >> /Border [0 0 0] /Contents (open_saif) /M (D:20210608081201-08'00') /Rect [104.1732 460.3346 150.2962 471.3346] /Subtype /Link /Type /Annot >> endobj 11965 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11966 0 obj << /Length 19 >> stream q /Iabc26271 Do Q endstream endobj 11967 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26272 20690 0 R /Gabc26273 20697 0 R >> /Font << /Fabc26274 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (?Ρ\j|=B/}* endstream endobj 11968 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1052) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11969 0 obj << /Filter /FlateDecode /Length 2115 >> stream xڵYKk$ׯBAݭ^m'ٳHexdeUOk<;ZUx~YcoQ{0/ofyi{m9=xg?ϭ`fG3^///t4翀.Kp6wyǃ;<+<+'p- hRM;˳e-\\vcqQ劊{*V) j;F /bj}ꓯ26U1ޫL6g„\/ӖYǔ~l= :aiْ:M砩K0ǭ~Chz]k$G5r(|cru)1ş$l>=JX_6GDNx0vRy6=EY0EsJy:E&EQ7#~\z ʃhI8|M걃6\D`-%( H+ %0K4^fӐ!k)khEC|ytaդ%0x7KϙDGCn&3{ŷ`Cn}T?gN{Gm".|X@ɠdGZ&XnHV ( rb'1CTyb){zT7)ґ4yD5)nZfh`}F_ û;RjQZ9>Ǥf ~ē ]E-,˷9]54{DnQXhj*% a͖[J -,cSRf[TKko,2LuQ:TZQkOkCJ ' &Ixָow-`͖vp4+ګUlse_5ے;DL?.Al `K֚z`oLIe@LGe:-3ؚ9&Tn`um grO=Cm4]dUi9<M՝r͉-< [}z2YGo"r|E8~S%IoϛC5ݤV jzF' \Bl#fڒ5NpJJIZ,UqQ^hsG!ET#t W͝)3InU_d _C|Zsݚo_n7?rݗoz] 8*@>ϔm[#XhwWduXFt LeaFN:l,GlFۂsk[ky2"2GMUlއVe]N?b1?i4ulM6`G6Q~3eL;rYTkLyQ"[=NvR=R͟e[Fg^k sL 3 xE^cN*j t;U̲|sK㝙5(ޱËS-Fap$YH!ʱ^lalSUÆlmzI%3ž2[qf{:7=3 &X7#=/'|/#`=Ib>@[jjFt&WQAQAbݑalSj"%' Mde%0-Bek̕$4#է >Hjw[>*:ҏu8檟~%G7uk\j:U6v> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26290 11976 0 R >> >> /Type /Page >> endobj 11971 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11972 0 obj [11971 0 R 11973 0 R 11977 0 R] endobj 11973 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 372.525 140.952 383.525] /Subtype /Link /Type /Annot >> endobj 11974 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11975 0 obj << /Length 19 >> stream q /Iabc26290 Do Q endstream endobj 11976 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26291 20690 0 R /Gabc26292 20697 0 R >> /Font << /Fabc26293 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[3 FT婷߮Y=1W^N=SrYHUC.${t;_Pt4Qh2 ALF  c̙h;IHt(SBD* ^Sr&H-'Cf'h~4jֈvr=C10J,z(~S@F QD3Wuœ} 5Ժ@Aypf%fhƹ6{ik_KmR'Sb:t;ɓ+,J][OIkp:s\g[XLCGFxaH&E d_ )2̼d9 持 F0p|sLѨ\u$x2^|v,9)C (j P/ڢ_GŶ1Z endstream endobj 11977 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1053) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11978 0 obj << /Filter /FlateDecode /Length 4579 >> stream xڭnίy)0`? [' LOm$=yz0q?W,fE+bK3KK6إ_Q_?\bScr˗oZZ O'$OByT6qqO˧/;v_OObJoך?*O`VY>3]n13].nN)K([ҡg6\d6>*bsAO6|oeKm%Z;Øn͙C&^Tj} <->oKL#~J̸`.#ci,~֎0ɀ~Oć<d60EL')O w&UcpI5UTSy&J*6xyA6rad+ʠ_P71vc{j6^BA74Wߛ҂5hhG3LcA Ջ&uYfuYPޞ,pǹlCl|oC^mWsǝ! N*OZ#e!kAD)|ٜ2Y'7D[S$ESAJ`0\~WB9>`+M4o|5,3^*ˢ=_f#!թ'}@YYW=)s)S)ꃞ#ļ률BL cTj> 2KGQ1? }'la,~0!:Mym }G(4yT7k@D i~v$Dby.yZ2B!Է?@NX:Cci6q؅L /XO}d8OQŲq i=,/-s++ZX27kk>bp^M2V\F W(o R! puo7jVz#΂MqwzfSNIW4tUjj;CLcaҽYRQjߨoԽQGN.e:4xpJrUgJߖrqlrjo%̟Y>U[j~q' s0r`Ϙ{ҩh8_aLn (su@`(@vЄ]Խf7:QnBgݡjzP4@u6"(!t*0Q;$ky˚A:2nQ="@| Pa_[O}M Z ʀSy7=_ky'wN4 ;wj?6Kq4p-+%rٸ_8ۛ; VN+\޶Wzww3\X +?[VxQ:7kig1'f4 {ò,C;B82#>f 4#60yI`[B$$dzh"ljLj?i kDS{@Sgvy*{J3[6>3 5ݽV6sHAT 8O+>(^ g:Տ3_pTnJbXQ~8oY}2=]^n˙2=bFfDk| ٥X7[JI2͇߫`oJb/sP[`2/@NRf` OfnmA٨Ob; Ai;>C}.s`^De,iISFr[ 6mQiq8hFJ;_Ij .jo\SGւl/l;́iڗ.Et' 3{eDR)noGo VGf.-~,$4a/@AjfpcQҒnu1oDR@dw`pI\QLK?yyነp^r̺0=ڹ@J=S+~A;X%qL n^OxI.kB|W7VzJϪǜ};q0Vi0MXxg?\,fNS p3zMLNʀbbB%mðY[9_0;M6(kBME>xl{3%ѦnqAIj6FYNsPYy>zXA>^ #S  i h/#͏)iFgڐ>Pj+z:ăT׻` n!g`Bߕv ڂ/|bpWHKB( >e:-d򥿆O#b_2F*uq@8VͅF١PP+%~ o06E)m{)@#]7(;7kmpG͹NX*WqkS$a SՀr^jڣ:vx](7.JwΓ?J%nOwwMF90ʁ-c;1ɸ|VJeYv&g'T' OzĴ̽R]xwy~L&ip|E\C zV[Q Tt.55m\e Wwί%SUrMK}Q<>nW "ж@XqV}_f55CE פqF OcaK-J,)'wX]Ըod`d Zq dصu8, 1WG̗1Oȫ=o@Gw&[Ho=@waԠt%`ZƩ&l̑*6d H tVXAiI j? 3ue3m,3h#D߀J74p<*70ʜ~EL 0scIj;T벃p ߮G 9 ] +H8'SrcWe6\+DkxX#G/H M7i1\ەc\8>/p'u.8?rI-mkgX'6J_[Ouo":uVǬ5TV:Nc˥Tm^HMqִ9΍oMnB .N*lH.?ALv٪ ) yfOWr\;:AK3Xc/˩2o=K e\XE+Wxo0~{xˌrD.wye[ šLB.n,2^N@9˔3d 5;+`Ծ)d쓾hԯx=O~/o"wL<=[ ɰ)Hywa3έCt헯3뗯Zح*}o97}&/'xaDuvuOA H B.ZX| endstream endobj 11979 0 obj << /Annots 11981 0 R /BleedBox [0 0 612 792] /Contents [11989 0 R 11985 0 R 11986 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26309 11987 0 R >> >> /Type /Page >> endobj 11980 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11981 0 obj [11980 0 R 11982 0 R 11983 0 R 11984 0 R 11988 0 R] endobj 11982 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 128.3886 179.1657 139.3886] /Subtype /Link /Type /Annot >> endobj 11983 0 obj << /A << /D (unique_659) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 112.1886 149.5977 123.1886] /Subtype /Link /Type /Annot >> endobj 11984 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 95.9887 150.4282 106.9886] /Subtype /Link /Type /Annot >> endobj 11985 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11986 0 obj << /Length 19 >> stream q /Iabc26309 Do Q endstream endobj 11987 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26310 20690 0 R /Gabc26311 20697 0 R >> /Font << /Fabc26312 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶO endstream endobj 11988 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1054) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11989 0 obj << /Filter /FlateDecode /Length 3788 >> stream xn#ίyu~˲m9{d6<v.*_-ٲ' b_lߥ #f#|ɤZ˗} RVj/ߎJw\ 㧆+įV+ 0Y!ʗ:(|XYU*?)u{3̓x -%kYh)( ibyLX % /քYp `EdJ..qaKJ-Pw ໳evHTx/e< O6vEBn =0ƛ)Sw%$eƊdAڟ˾ q ґXߥAR-yTcav W#n;¹rn9@"BqWD v*"?#M+iIˉ хucpaz]DJhdL)c(h*$@ĊlRb;zЕB̨{8]X=MQ9OL_ba!lzac҉)eQ*Kp&vb\m(+Hr0:/6Zq%*+Б6Qɐ] B l\"xTlio]2{y`}-)Nبg 4LH3,ķUѧG&5o7YOh(0)w&/T,{kHsɭ5m&DAďR»_+VcyO3Yٜ*cPI99@rGd{bƯŸrZ. xg0I> ^6=ٞ%w&{~hp(up/Xjuv~*T.F т:=Ku+9a⎄/Ps)kdp&7lTQy;3d&h%ˮmRzi%u>Ni6U 4b k7Y1_(7f:z>xL{U%-{jT(+R@[oaNbj]mWA=dD%guf#J1!ʌS@2 t0f/m<`6nC]}3[ LK'r54 Ch2{Xޣd bԘ9-ALr9EK=ّsKS}SdW "q-nkVMRsrjDf0lB@Sfk`px*C M o;&JqwdSeMVUZu{=j7=.QndWLٚ f[KMk!xއ*􊫡 ol[Ql?ylz A^ԠPl*l:=cWZ` ՚7uZ:L ^ͽ_S s_$Xu~{<~Lπs!O& rj$hP'C^'EN3Nu6s9b֞iϊ{ڳYq=+og?1[4۔SOPC%GİO945kNAuZY)ބl+&w5ת#s jSTlvV=~{fs<)ܪp8OZ[j'w^aʷ ZZ2̥>p(21@sCW{2y!rKq"ն A!֨#czE߃KXgD2TC3hum-G֡躌٦aqԯha :h3FޤOcR.JD`@ >z's܅-*U'L#B|VYV8ߜ>J|{9Q 3dEev^Gp~ ,8C{:__[2ϭYU/?K#_#8Sg̫8Ϣ sg J4|Cstv 6ǪpȧT=н<vrxQC_*^azd_ν~ăwT$t-xt(όץYvSq /*vt60tCh݃,ˠ;p =Yi*.\z23eSlެ8ϛC|+`mOCKUÚPG5{}գ)>{NB롢ޜnqce8lu C6&O={uj$WP{YQ4@v涆ǹ{5%EKXk(֞l;^^71bU |0\Jvc xɤ+Ibaҁ1ku0i>&%l@r(I^<߃~3PHn0o2؍b|^1M̱a``9'W`%jt62ҡXLӏvmQ{oCw|l,tuxH+APcSk0oа$XIެeZ_`*eh{1玤\7VIY$ؒpi_)svlsvF|7qQ7ʣe^IOrtZ_0KyzVySQ-d&2L%7dP<6LJ[srSXF8H{Faz"ƮGgoq({`j3.qYa=FTʶôs IS/`p+)k6z %]yrQ^hI]boh4ɂ8DЂq@܎=z}&㢩 Q1!p7^H]x%.*b"ϯ+]Nh#bC@ :p >\\l1|>!/ _fwq[*Wa;=T a:3֍qW03`Xt_[O*F!TA-n2K2v!".E;8 p$#fqh]&^Ss1!=::8,-$ьci=CAYo)jWd1Wt:_(S%(a:C{ՈFA0+-Gaꈖ L/)ٞ>r Lovz/Rq|3Re#RxkTߘW! Q 4l[~N2 qd44uR4FLL2xmrcrIpmZU$h &76Sy>YBHHr'e4R§EuY{At2bXazf˯͗M\WکNۊ _-&.P!Q *&PO>P8"'.%<:ڏ'n3tҔZ3iHFaY3[jz:f󨠔Q\τ颩8]NpG"&?ԭ+/pyO0V}8 ޱl]NZaOSyNWe\uYPOX _R&EuY'y  "]44n endstream endobj 11990 0 obj << /Annots 11992 0 R /BleedBox [0 0 612 792] /Contents [11998 0 R 11994 0 R 11995 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26328 11996 0 R >> >> /Type /Page >> endobj 11991 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11992 0 obj [11991 0 R 11993 0 R 11997 0 R] endobj 11993 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 353.725 140.952 364.725] /Subtype /Link /Type /Annot >> endobj 11994 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11995 0 obj << /Length 19 >> stream q /Iabc26328 Do Q endstream endobj 11996 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26329 20690 0 R /Gabc26330 20697 0 R >> /Font << /Fabc26331 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 11997 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1055) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11998 0 obj << /Filter /FlateDecode /Length 4069 >> stream x\IocWp_%Y̭gCS'A`no$HD>XXU$}ғ~l//SӓwSX7q<|vcWdt!R0팂__:VDyZ]n=g8BVvfzr.OӿIɐ#N9AQTOH>?6 ; 7*ho|fOB=7~NfcG=G3{ΖrDv)%cP-&$= :e'h83JLJSS!S; 8BHu)}Sp4`I!;850LtCR4  ;N; (*]Jۡ #O;481WP >7qƘ'c\P2[hw"bA?"0/S$\UHÊطlur42 v(lY$8?;LQ_ІGHWB[iH1j}kT&eU Y}72iϫXyq6Fj6N5QK`%ύօ`Аb"Z=ԅnhKIKٞH~][6؇ia:7VI4ª17..n+#C T"/2c  <KGt} OYf5~o8APS 6]6Hj Kѧ@bȡ&kr ~Aka(h-@ \#bѠDo+[CnU钴+Cek3^QܪAFX?P=4jn73 VpĭL7#CqGYVϲPV*KpGv2jKwHtr1],e˱ :I-ciu|K>nh`b>Lvlt_1K%d Ńph[FtIǗ asc1~ %\1S' ־}4ЉH4}rz8-U+6 +¦+Q}82h@DZOnL򱊌NWOtQ;ԯ"Qz߁~+}3Wu]b(Tqjq(nZ7GSoPcڧ={zl;Gu<Ԧn6F;$_xgg؂#$zxz1oLxVL/4SߧO@H/#id>Pa<4o@4 &ӆط"pN(z_XL!%J*^@"e-J<qەjV*-YHNPzzlfV!k@VvC@-@ q* ֤հT׮z1Zb@K ,^++s:4ki YoU&V˨IXa%\\`k%FY! [6[Z+`n`M 5+pi)G]F\lQ( UqnB6z<9x}CD!֍'HF5X;Du) ֡TI.ctRץ\eܻ͌ڥM 2: 5V[ b] 8gi-p\Fmc,)/nX XC=jPMtŭZ}3vjqV_Zܬ׶B#LHfBFŇq=ӄ,HbG>,bAF%b:p^P/ #?72<2[qΜ+eC@'_L^qiax%Ǽ e׹-ʋ` YAQCpY"q F 0/˖wM6xsـJoZLG=ʗCt:0(i6\c2=To&qdZ_=A`[Ҧ!mJ;mjqMh;ѥ x K uJjvt~Y|%k*`RgrKK1 qϩ BBp0crbBK@eNZ4,dKT y\5w<7i7tMIxf Xf0CbK5,0?P4dFhln e({@CL= -[GYF6`|$߹ G+wm&+ pJ=)aN ZyagJ{ՠ:^^ FǞ}/2Y` Xx,j]Y-hb?l`d35JaJˋJ||pU 5팁K20@[}4;'#5 HtһA9_ JZi/2ZzRQ6bt3#b湕xQgn̯= wnt(y_⑽hI[9Ȉ2-WwL{RtMvHqRnhdkaĴy|i++"z.*}1";"xI_EYWXvj5.#_CK5ɚԿ]ӥ'EұU#'O744u-s b=QHܥxH.ʣ2 *Ekx\ qپ\ְK'*i_Q*#S !՟(ߺxZ|8HWBl,p1sZ£3-JK-{.{y1:g؆2},؋ ֟&,/8Hp^vd2O;unkY ~x _W[1'i~fo'4d!)žm<Lo1rK/CbkKZ_~?')_%KyFq *(W/c+_3-g=]YR2kË;0S48$;Pg[Lg4. endstream endobj 11999 0 obj << /Annots 12001 0 R /BleedBox [0 0 612 792] /Contents [12007 0 R 12003 0 R 12004 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26347 12005 0 R >> >> /Type /Page >> endobj 12000 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12001 0 obj [12000 0 R 12002 0 R 12006 0 R] endobj 12002 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20210608081201-08'00') /Rect [104.1732 398.0423 159.3712 409.0423] /Subtype /Link /Type /Annot >> endobj 12003 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12004 0 obj << /Length 19 >> stream q /Iabc26347 Do Q endstream endobj 12005 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26348 20690 0 R /Gabc26349 20697 0 R >> /Font << /Fabc26350 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n$ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7}3 endstream endobj 12006 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1056) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12007 0 obj << /Filter /FlateDecode /Length 2688 >> stream xڭZKt& 3k 7 `?_=d̮%dz~U|1X=PSo^>6L:3ϟڐgkqvN6Gr3şKѿA!(K5:b.>PӲN[₡YWNdw>m|hm~s%b;M2eH;Ck( tx/1X.֦؁/`w"]V:NqC\tX>dp*Q6]e=E8+YNS=q"ogυUa)sz=8%]Fd{q&!mp4.^eĕQJK{T&+?:IAګ6FD$wP{ VB욪jW7N:}P0t'}cLl~\EЋF-PE:Ŕ-@@2k\XY"5iTKݐ0` p·ᬾ aR,6Q^}uOދzx{ʁ{csvdh9z|;H6vf=Ҡ9./+kf5i,reZ*lKHGMx#OƆIa+SPN4wrgtI@|cVݤ-p"ȵj՗T6F3ss3[[wKO|]ҝL]nQPPXZWެ!rv Z[}̚BMmIw_ WD F155 a$4hjZBTr1),Kӏ.U$^tLU }8 0.javp'ϨSKAAz$\s/ $|  4su"U'yü+:IE'?oɜUߕVKٶ~Mȱ ;T~oo_;O=ky{$ZHE^L*y]ëDCj` DĊlY{A')0R>a_ܜ\7g/dbј:+Z y!P1M6w>\' @S8B |[ ={#̐"/+i˚Ɗ@U}5i\zdsqGb'ȍ ䷎fQOp>m+9\-l1ٕN?,7p!Quu~l%l@=_%CI-FĦEiDeã\2/.bFI?L,|ƌ i < 4dBvybF6Uw>D"".dl?%ʳ6!MkH@UvzjjgZ'ov\~^B'RɶTMl"ezETy5( ]OLB!u+I9CvMŔ79n97H|g2Oj]E|(C>͘fG Li59,+Hi׻' B(=ٍ"l4#(S!j?8E,aa=t4=']5uW.rHƛ Te\J>(xc2[ieےcRtϽ)W-mJ}%S'D~DRP$3 GfDW{ 3>)z*Z'iCD%яdtҮízX[C.-CJnGm߃/.Np&{0*n;"v-~ꇰR0vg endstream endobj 12008 0 obj << /Annots 12010 0 R /BleedBox [0 0 612 792] /Contents [12016 0 R 12012 0 R 12013 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26366 12014 0 R >> >> /Type /Page >> endobj 12009 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12010 0 obj [12009 0 R 12011 0 R 12015 0 R] endobj 12011 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 439.225 140.952 450.225] /Subtype /Link /Type /Annot >> endobj 12012 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12013 0 obj << /Length 19 >> stream q /Iabc26366 Do Q endstream endobj 12014 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26367 20690 0 R /Gabc26368 20697 0 R >> /Font << /Fabc26369 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12016 0 obj << /Filter /FlateDecode /Length 4847 >> stream xڭɮ6ί9 x;@nI a07Y `_S7$N["EXi1?+^RR viwu|5ˇWߒ-^קeBjwyZgD㴫]>.A~c}\KGzθWkJ4?(%0+_x5n1j\ܴ,lI$ H.GS:>+=>IђF${6 @ 4Dn)YA Qx~ZI 7R6`3`u Na zƀ~/p Xu #=L`BX eO GbO<"Scp|";rt%&Baƛ P;hcR:yZZW&+V|0x>AbA2͖lH|u*^RBI|πVpU@]lq*| 8A8l@tS} &/$&X#`W=m{T{k6Gv<$\yswe .35da"DfS%2Իl~[;֛,ܧ5n ߈;34W!UQ[`f$!JG>LQ 7J۷ u:,|_KYbDP؈RXd턲WN-DYU {RacqA-Fg>Li~`L 4fQDAIKc*$wV({P:v=s>/ks߸ÃЦyՁb#71#K {`CB~a"WZ8 ^{8oghY|&Pp<24E^lw)@Y42 X߯,$ QJDeHŽPLr8;Ԓ Pi@NH:CU0!ҰAì.$?5xpmxi j׿So}D=HqƣeRz_Zs[L-5PK([Vt?Q4:-ԙY,!fepm:V ьX{t7 tK@ܢ2NnLSlz ]a/J%wl&MX}d/lDt7ʟ"eo-uItbx k25֜ < e|6ktz$7rw#Ei6)#9 q"^7]scqeئ2P. le0!a4M^݅-٬ޒI> .rN1ZW ՔV܍qlt95:Fx8wGz8dH%mL+?уND<7[Z ǡmœe8TFMG}iH hD6?eO?sT`Mf)i4Ѐ^H|"/PH|iLK:.K$A(8moy͂\C[:LC CkBg0i3Ltdrl((cѐxxr.۹RlFTy5(jELׁՕerN2SIPP$J$aBYF>_vs&0^ RyWiwʱ<þEx﹀ aӛ-(WNgaG:VNW̲YUK0oZvNGia}CdSL`#Lqf^w$wƠQŞv!XGYH7s-lUU%5HmkmbcC=vEk&D g6h0 ϺnQLy \Vq?1.>z/ Z'.:7W1ŸÜQ20! !WcP3i(mW,e48B<ޥ3hԪ8HIK Uܞ%daWv1!dz;$JѠ=V6ϵv%\ 2>H"(50,Ĩ7KH*O{b5|Qu;Θ[|_׆fZ߹QS3?vMknlHi]Pۯ|AVec!IETR;>L.}lhD7"1}|,(8M1(b4kj`?mzhڱxT<&pu PB>eW:Hܓ&@@8>w&4$A51ܹG!|9ch!tV&v^AݟDJ‘c;.Ã3rF-KFM1˦3 4.[el!;ɽrCgKrbGhP"*܀ej-8G )L#QnB}m%CKa8C8Je`y~niۅ;p1@ cP_d4t?"APʜ6 ,KS ߮G ,<] <5{:!-u~^(w;#o S_+|Ax#ua҄Od}ti(sogM,ãV:UZ^-pyz0tE{^\Ӟ84xJn(3Fks OUrb>&k(-^fCLTC{6 Kz;0H%!aiXh+i:cG޴OF>1k6)r7U?؊&~˪|(΍o8b\P}, ~6.ۍf|P400<Ǎz3G>O꧹BO$<|B 8PhxbC $ BSrNr$ç|>?qw5U,{Gu\ӇC]88=`ov xi$2hj# z}G:U>VI-˻>PdA|+ˉ5xJ~8g!ܵתK)Vxh}ǐ훿#/+IA7 qva[.`!s0U1 endstream endobj 12017 0 obj << /Annots 12019 0 R /BleedBox [0 0 612 792] /Contents [12027 0 R 12023 0 R 12024 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26385 12025 0 R >> >> /Type /Page >> endobj 12018 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12019 0 obj [12018 0 R 12020 0 R 12021 0 R 12022 0 R 12026 0 R] endobj 12020 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20210608081201-08'00') /Rect [104.1732 489.8384 160.6527 500.8384] /Subtype /Link /Type /Annot >> endobj 12021 0 obj << /A << /D (unique_675) /S /GoTo >> /Border [0 0 0] /Contents (ptrace) /M (D:20210608081201-08'00') /Rect [104.1732 473.6384 134.5552 484.6384] /Subtype /Link /Type /Annot >> endobj 12022 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 457.4384 165.4707 468.4384] /Subtype /Link /Type /Annot >> endobj 12023 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12024 0 obj << /Length 19 >> stream q /Iabc26385 Do Q endstream endobj 12025 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26386 20690 0 R /Gabc26387 20697 0 R >> /Font << /Fabc26388 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?Q endstream endobj 12026 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1058) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12027 0 obj << /Filter /FlateDecode /Length 2029 >> stream xڭXIk$9ǯй!bKIB.vj0agn(_tL:iqoGYy~5m̙Mu4dd0׾7`]M`]h[ߩX1<0 P /ӿZo]XjcXwbx,9 [=p@T wy,;#vF,U_Vj1+w .)ˏöYf]KrTM",fm"PY gzTZ}vʚf\ Tqtu&1WòRܪg_pD\,V0&]5\X %-vG~͇nL۶o1+.%:@gc7X?uwFOSCK^<&^tLS$B3.Τ5.Soq!<^RKDe`G g5[vupd^ԅCBsxɒWC3>QŞ[J!r8#Du/-O>\P(ښt[6{cZ')00X++eg䗰ƽ)H RC9.'w|;-r%ڪR "$1Nժ2k[آ|,k|5W)ZkN10٨V%g$G'O$Xo"vSK.:XI^oDWD "/X+X>IK;>_H'0O7c5&GKVȸr?O Ke妙fjыНj?o0fQ^P>K>X3%uqԮ?$F8aѤR ɂ܂HUB"dr?VzHOnXZ 't|PAlBp^¶lK PX47ug h6fjVt|YeF9dg,]#k4d S|hOwDyA&_yՎfh[C1\NeDg1Ӷx 58/.RpąH,^Ы`¼D’ w)' ImC#`ݨ]A>|z/#NVx*;>}"-GO;7 c/~mJgw;F"E1y)t@_ۦC⒔n?uR%ĝ#ˑ/ްT/آNN{eW-p봃ˤ̏~E"eZ$i'n",>d՛:6uc#Wl!MM PK?_ endstream endobj 12028 0 obj << /Annots 12030 0 R /BleedBox [0 0 612 792] /Contents [12036 0 R 12032 0 R 12033 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26404 12034 0 R >> >> /Type /Page >> endobj 12029 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12030 0 obj [12029 0 R 12031 0 R 12035 0 R] endobj 12031 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 372.425 147.728 383.425] /Subtype /Link /Type /Annot >> endobj 12032 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12033 0 obj << /Length 19 >> stream q /Iabc26404 Do Q endstream endobj 12034 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26405 20690 0 R /Gabc26406 20697 0 R >> /Font << /Fabc26407 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy<~m~oC25>S~Y2Țz/ ̧9?ɒ F0t)QXI*dzQUh>ΡDH,P/v҂bwo endstream endobj 12035 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1059) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12036 0 obj << /Filter /FlateDecode /Length 4137 >> stream xn#_1g3X, J,C&v`O5RC8zuUuqEÿR痥%%oikO:%[>,ujx==,O~ThFkc} =6Z7 :lJ{ƃ5r=GCŹvycI}%*"V|YȷoMnt- Czθ֔hPvC`V[~b\qa+ŗ%*k4lw}GQEhy, >cQPh" 02u!.qIK;` (w -e]cgNSôm#DT(?Ga~+ }C3wNʇ-`Y~-Op^ar7@H+]nw&ĤT(5– FdP0aPYd'a%Fcǜ@.[9JA3& U+>>o-#.؂oZ~*ֳgb-e@ |[' *Ϗ'>G{#A Ζ 6iAI,ŲWZ?6S^ L+<Dw ϛw6wqϞE[ d82oIt~9p&PA/@,C<BxpT-c(,v>E]z>Ԃ&wp.̙,Q|:D%!݊YRim_Rd3aPG@3ܧCcɁ~iUUS/BDVO8hr@-DV-EXS}VlC2]@:߉;74W&U}L00!4nf J2^}az^ eZX&QzJpr%P%h0B4TxpK޲""`Tᓯc=pIW邭~}d#3hM "eNsg;Vpͧaε t `o23k% Ʒi a ƶLx^^|f (C+2%b|Pi~ Wk’@X" ֿp /HSO-M_I Ighfڼ jf5v!kKcV~N @B4U,ڔ=^R􀂼Ui03QY[|j,2rB6KhDd ֽvK.g :y% .Q{~7i6{=j.߰JM]Y2Qİ i͒WFS$}썒߸\V,CgwėYYzԩu.W&vRddd`cQ]5Wm:#7n%Lэg6mOMAĽLԌ˒,Aq0ln^K3CBsb&(zOPB F:н-_^ݟJ2|g~^Zvdwn<1-+$MCbc*{vI0IR3 ]W!40I.%޾e麰^"jSqf4_a1Yk5zR]+ʿwgS3P܏T#SkRFȶ ۴KɝaKw 4sA/EKc[\7w?Q\`b,rix+8m*htC RFޜ/xþ%,BkB£5)ܮj]I9]ipW7ѭp1pdY3L)[0Ai5}Y*~q5?8(JnN :Tq7E`[[.!/.hfp;&k*I]zLc N2uQ;ODKG;gGnt#bMtqpZk<V3hv<{^55h8K@"pD7;j"D:)0Ҿبj"|I9cT--%/TEJ(^R\%zpLʝuJ.E@ ]5_y725I [֭>9]Z5ٖk1boJI NqAyk-"`JM.@nF&v [%W̋$IH2]Eш6mI~&)Z.bע -WQ[c0M%m&t)z~cj@NW۪)gZG#?riĵN̥ctyT9; y[aP\ֆ̖Q8V;2@(nWL~'"N!HCDj`3͚cbCÌ.kҰcknI &Os,8D-x Q!»fu=K&q?8x V=R صuI^\]b܍|B^_ށ<&[8 9o@wÄ('$^0l|0a!ɷs8Ҏe0+䷭41mAZr;?L5u+619,4 6+3LX ?RQ(-bnd%џ&+_j_8Г/{1X&Y1an #QvAz-z-UopFg-`2kE0bxMSa}F₄_+ *\-/81kͶ)Dr7U[VlE4 }+f>R_dsĸVYd;@2 l]VhbHaǍϢ= v~k NT9WQr0nGu  q[m.>"^/oʶǡCȭbfϫ̣GGg\ aP½#`J; y瀌}Џ?Ώy&Og |S[)uY3|'c81OC yQށ"!3rkSq7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26423 12045 0 R >> >> /Type /Page >> endobj 12038 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12039 0 obj [12038 0 R 12040 0 R 12041 0 R 12042 0 R 12046 0 R] endobj 12040 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 371.45 172.7747 382.45] /Subtype /Link /Type /Annot >> endobj 12041 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [104.1732 355.2499 198.2837 366.2499] /Subtype /Link /Type /Annot >> endobj 12042 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 339.0499 202.4252 350.0499] /Subtype /Link /Type /Annot >> endobj 12043 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12044 0 obj << /Length 19 >> stream q /Iabc26423 Do Q endstream endobj 12045 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26424 20690 0 R /Gabc26425 20697 0 R >> /Font << /Fabc26426 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$X endstream endobj 12046 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1060) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12047 0 obj << /Filter /FlateDecode /Length 2627 >> stream xZIoW< `<-~ցve~j"=ژI7dYUc7e3RU>W`oN'kVF}гX)e<+ |w|ݨ+Mll(iVsB Bi@:cXz߲!HD.٥k<➌JwpLMWn̥P([G)6k,|^|?)7(^|ZȹqZRX;mzhk0@#E'fGO}Ɲ] / +kۄEhNɅV{ey1VCֹgɽoucA# ǧdSyq嬰D_J߶ڷ,֔8=VԿ2~SX iU_!'Z\k\/rDB@[ yZ)RV`-XT|\/odH.{ΐޥV{4F{vp#)HjcY(ϰn:ʺpmHFWVp[􃃡ؽ }Ǽ 5,8\2J˾'һGXqY!СC+ϟqǤ I -)`3Z5b,`՜4ԪF>c@DEcMJcjWc`'H6SD2j*LaK^(^TZl]E}ۥ ʅ*89JMHOD:,4QiMZ4 yWVru#Vx yd>:K ,BU}v֎Dk`mz^9@lj7tk%ᩮYB}aԛBXPa80-2m^cߦ&r+R̷ AiE.b>CmPLs5d3^+%ǵ$шߋ5oTM VfeA{:BشVFINJS~Ǟ=O֞OFOݮ GY]e(D^F h(;3?̵Lk MM-hagI#2#3B{ 7x7fyH Ε"jok" GF%X 6^5\[4m- gj|{i  n٥hXDwbhcpn }&kiYXt,zMRs5QMj\Z&14fNuukOv66Bt$tdrZؙ6^\j ,rze{׭g qNNuGP\CQSU Ff݂/97 #HVS1wE'Cx}\ЦI4*{N7~[rsM,#LM,ZP> + OfIωd$9N YueѰ# j32_;$*[`5{z&(mG76TAٴ, "x(lDp,>Y;!ES;Q?n#/aj0yP>h9g^?ͼx`3X}.B3݅bb0çɝBɠΗ øASGԄ_Ia lcP/?-Q] Y(lC4 )bPi~ WkfRJWxG3;Dz1<@^plz*Ih >x̴y B"S;ׂVVNいil2j9Q̟IFͮZطu:i?a#UqL\FܓJ5@;q𲇼#u'뙻u6i2&nQ, B[ -4 yv%XAz<3QM l i~+a>&|KQFB65:ȁtl[egG;]2-=20Li%o!BZ@8[v` #%O}::̶ M%| ź )Q0xo I%Lrn,ܘe—i'N%id̠c:g2sӼ;3"~}I?R~g@É$'At.>l#N"j|< 9fga[4;[e9zZ,rRO8U~!ihj;|FwX^aiyb0fN05zF3+aIB7xT˷3!5f8:*U{JB:PkW&%=r&A3aFjwU,w+2&`lt |]26Ȁ--G:7I_4 lu}ɳc!*E1"DoMas.Ms, !k~50F"A\CouDZ's`MjO;MY'4=áBCʢʘB O_d Lh\/¶4OptȲzR^.a\T Sj ,]pŋ&nbxM]cuFFIrկN"|;?7̭ͦioErkћÙxH+\X)3Fks *h0raksZ"%^bCLDޢCV8aJSz$KJ. l KDa?K2x*|PCc֊m*+r@R/6Yo؊&hEM/o8b\P}, 6.ۍf|P10g͞:>E?]yFYZ 8p^ gnI9Zh7:`8ICn^~շFq*rsu<:ӯW-Ǔf%2{2PjI+d~3!p'[RW^gx'Jؓ1O! o}{pnK Wn$ZZ<^S{Œ/Uqc+i 71h`u!> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26461 12065 0 R >> >> /Type /Page >> endobj 12058 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12059 0 obj [12058 0 R 12060 0 R 12061 0 R 12062 0 R 12066 0 R] endobj 12060 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 371.45 172.7747 382.45] /Subtype /Link /Type /Annot >> endobj 12061 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 355.2499 176.9712 366.2499] /Subtype /Link /Type /Annot >> endobj 12062 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 339.0499 181.1127 350.0499] /Subtype /Link /Type /Annot >> endobj 12063 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12064 0 obj << /Length 19 >> stream q /Iabc26461 Do Q endstream endobj 12065 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26462 20690 0 R /Gabc26463 20697 0 R >> /Font << /Fabc26464 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12067 0 obj << /Filter /FlateDecode /Length 2548 >> stream xZI@s_io2|0>i1 ];\m""c2"[ruwA]]E[>Aw1a#ß@0+ymow^D% s('DC砝!PY1)]mʜZB $lL8et]c66MNNW!\*1#DiȤϣv|E,3_IhtH ž0k<{+ Q,;;L9YM}bƞD衵q-~>^>sfyQ׸6;~ZJ|ZteƃB’LdOX N x5a_\/(ֈ$]qc[veX֧ڎf [̞B$uCщ5Q Cv-fE*H?ܚM {dG?|}$sSY{NwYrs4=K-^,iFXM`w!.hl3!5a .PwbbѲiW?F o[`w$kYl 4EϠ7D~1Njs"y}o DOa~N0v8vP+8Bp/Ȑų:c%QZ[6c]jI a^ o@!/x< Ĕi.C>mlّA<*w:B) fϤs4;x Dg{ٓs|+{˅lO8BJޤ.G40ngkCRR_C QzU6Ň~0>to$~@jBpqeוxLY 򅀧<_ Oϳ$zU,-eikާLw\axW Dy1sӓOvZ2x >ک$ ti_cڼz}TECH6Vn3vn =EMߍJhג?F^#d#bMSþaqSmdU`V]L<3uo'*w2B( IiOsSSS۽{V7$%lG[$WWt/+4+ZˤFHg75,%'Hm^hDH 3+-wCE&bBaGsMK뭗沃 J۲C!ַs0Rgr~䝔j>,Zw$@O o8Jh,Lkߔ/ A{LdCJ67T=Ke"a|]!g"cuOym*fP@+m  y Tpߛ  A>Gxlo(Zqp( 5Nc7ߺEagO<zQ ^IӸ|M?Hh:HSzdݐlqN$`B.@L endstream endobj 12068 0 obj << /Annots 12070 0 R /BleedBox [0 0 612 792] /Contents [12077 0 R 12073 0 R 12074 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26480 12075 0 R >> >> /Type /Page >> endobj 12069 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12070 0 obj [12069 0 R 12071 0 R 12072 0 R 12076 0 R] endobj 12071 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 439.225 112.8525 450.225] /Subtype /Link /Type /Annot >> endobj 12072 0 obj << /A << /D (unique_56_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20210608081201-08'00') /Rect [118.1655 439.225 174.821 450.225] /Subtype /Link /Type /Annot >> endobj 12073 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12074 0 obj << /Length 19 >> stream q /Iabc26480 Do Q endstream endobj 12075 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26481 20690 0 R /Gabc26482 20697 0 R >> /Font << /Fabc26483 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12077 0 obj << /Filter /FlateDecode /Length 4533 >> stream xڭn_2/ @Og 7'47Oo$'Z^-foK*v>d]|^t5ǡoϯqY;ݧvG%}=}nwp#LxnO@] ?/>oD $jKоzjBŒ޴3.՚]~_n13e]pv eK:T pc_аHw#e0Y>c,<$h؈/KzХ|">S/XWJZ s4 d&/dXٲ0 :"cA|l+>D_fK M\~آg3 ,^``!O@gX~aO Fd6,а @lDj$'Z〩|OiH0p->oa=z $ :Gv3Oh<B %-31darƝO5U?!3CڊFܱz ro"6[:q vܧ@:#vohߛCSSWZ&2n{h9#;W1wE'ߙ,sǹ,j*P= 4 AޢF!9x|SpMgݔdPrl" ~f>'ٓOjỏ[ }=F ղU4lu/B3˼"~y~'yhv [XlLR'I7hzG_、%[%F(/'u'tj&z%]XԥGÞ8g>Mx75x7[ t?{tZ|x7:p/r3=»}KVqAOWxp%8 ^!og|&z>24E^lEflRjo98̟I:w 6@G6@dOH́~&?#IӸ𺇼#u''mViR&nQ4 B@[Z5G:On Vg;TULCJmBޓuqO6=utl[eG;]2-{?d`:NfA7!QCZ@8[v` #%O}::C^gAA$3e%RTg{<ݯ GrZ)Jp$rk"xzvS@FFRWb>d5HRML+şJ);lg S bPޠl|]Ey?¤Լ3,,}EQ^R].b[5h1! bVSDD ee@t*CVw:.0Q,S\=0s4OI,NImse.RvE _&:G+ T: ,@)F *JlWBJF wBH]kjRF`d{/LtKK`QLdS!LL6W2`ă`R ֆĸKj [צZ)/.sz@ޒKłaG3ƉZ%R2; v=q4wvj=Rxe ˚͔t8&l~ qQJ oA @PUܻ` zCy~ /ņ-EH8d׳l/lDoM`lp70ez@5L []2Ҧ!X+ׁ?/#9X7/FMÊ^epsvB%o4 wn%SC~R>ED&5iكgs[_ E0 9uEl6Ҩ4ĻQ䞍ލi5F)ڣ^c0q.V-sb#8Xf@s9}E, crZ4 1DAM//b*n⅐z|@&(Hl(lFxq+Qi7Ź褴E?AvX~`nـYGSHf=X$<{a lU6Gx2A<a5"̻j!qWVf٥cϲTFhyYLȚ3g&~@Br TyҠV;Xc"ѝx3`mlD^먞kx6"<L-&M4Q޹`Jt(?-,rۣÒM;9D$zZzŪ 35JIN6fQɃH$*)zpEҝ2g% {'qۭdyo)_tjY֒x$qxSq4]p"8{vb`RC݌A<1AJx<~4HL uFO`go= Qfr {W]da)Ru?sOӽcosr@@( :/{) FJX?ܮ>MtV݅ 2Ìm' ^=ܡj RUںX C19+Kweo]Q!bv>R)#AwG\9{§ S9-4P 6[SccR<95֚>d' nP'-o` SU:xj$f+dQXjݝ(vmnob/ߍ|B^_><՛TglVn9@wavʥgPZ6.DŽ*-&qJq:_:J 6huMnJ U8&߼3.\!@V'a Iؔ9n  {,t2a©yѢG 9Jhv2ItC[l S<$w;Q< rG{/x#Eea-`%<:?_:(kzogd$?LЩ2L(TZ٨vCy^ܞ%:CZ*2lV8X F05_p-|JeP$3S Q?ѡ - @Z"-Ҷvy"c71O,{ɨ5:f٦!gU [.j[ф9)΍o8b\P}, ~6.ۍf|PbHax_doΙOi>/u:T\M*qNŕENZ~{T^'-v6VWx}daq8)wa ?OG9fWyY\L.zjGw3ї3>+i \/'%X_eϯ Ҟ`l2b=mB`zgWv!C6`!sw(b endstream endobj 12078 0 obj << /Annots 12080 0 R /BleedBox [0 0 612 792] /Contents [12088 0 R 12084 0 R 12085 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26499 12086 0 R >> >> /Type /Page >> endobj 12079 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12080 0 obj [12079 0 R 12081 0 R 12082 0 R 12083 0 R 12087 0 R] endobj 12081 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 181.9322 608.2] /Subtype /Link /Type /Annot >> endobj 12082 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20210608081201-08'00') /Rect [104.1732 581 159.6022 592] /Subtype /Link /Type /Annot >> endobj 12083 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (split_diff_pair_ports) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 198.9382 575.8] /Subtype /Link /Type /Annot >> endobj 12084 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12085 0 obj << /Length 19 >> stream q /Iabc26499 Do Q endstream endobj 12086 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26500 20690 0 R /Gabc26501 20697 0 R >> /Font << /Fabc26502 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p@ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 12087 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1064) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12088 0 obj << /Filter /FlateDecode /Length 1574 >> stream xڭXKo7W`|?C%YzK!'NQK~!w6i3~ #6?ѐӏϺAK,_Yp Z}~Z45sI{}~3cޘ0<'G]7.a.0EX#4t(}n6=MppV/5DKC y_ tsDڤ_O'J9_"i63\k1Q2#!sBnnQ;}~鯰WoW0^`ۨub!7;u oc8;72ĠiTI5iHszӌqv}G>R_J6lԯa '3A2sq-xEEp7=}OjșAΒ)jxZ6F^KF5jc"JLĄm7OfNo9îBYݲ軨uMW֒4 =&)/i92Um3UL,IuVmGJ/؜,* (/SYYI]Pu @gͤi c[r+ + Jh}'"%ԦkSG$]l/!ƱVN%¹MPFMY:TR#GJ/3fPi-e1|"-E 5G]5fUV$weqV˨-brQ<5G r8њ(1jUd)B\}k-ԥ J\n6Ž0MFyJi#G=Y}7~pi"}KZuQ厥u#2[nҊp ~=0M.!s{"2Zc~Yֱ&Dz?^(m7iFFBƸ{ٟx=Y+=>mtIOxb7;N;:)D*`!Ρ z^²aK%mRGuԈ~ojϵD1HC/{/a{99L7~vq Yma;^RB]*rޚ endstream endobj 12089 0 obj << /Annots 12091 0 R /BleedBox [0 0 612 792] /Contents [12098 0 R 12094 0 R 12095 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26518 12096 0 R >> >> /Type /Page >> endobj 12090 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12091 0 obj [12090 0 R 12092 0 R 12093 0 R 12097 0 R] endobj 12092 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 325.625 124.1605 336.625] /Subtype /Link /Type /Annot >> endobj 12093 0 obj << /A << /D (unique_56_Connect_42_sysgen_commands) /S /GoTo >> /Border [0 0 0] /Contents (SysGen) /M (D:20210608081201-08'00') /Rect [129.4735 325.625 165.3005 336.625] /Subtype /Link /Type /Annot >> endobj 12094 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12095 0 obj << /Length 19 >> stream q /Iabc26518 Do Q endstream endobj 12096 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26519 20690 0 R /Gabc26520 20697 0 R >> /Font << /Fabc26521 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12098 0 obj << /Filter /FlateDecode /Length 4323 >> stream x\Ko$ WyMv& qK~HzU_C0J"EzE¿~KSKT%~/ˏ'h|jfAJ'dm}T"?=+((=:Tr-_|"gQ^-N·o"W"4*y'0B3!a5"aܚM~qi 8$4÷9J_;4^jT1mk*c^? GQN:YA/7F-xqV T+S;5@'†%ЊA%ԔV1$1\@cHkNᗷ}byRaoX~L*娳: )3CShZf-ãfQ2k(\*Fli0UڬN[0Mᒿ)nهY>ȈR_ӓ|Ġ$=-'1i=KOK!)sV :q[I:*k1nu=ȑ6 q10)N`j1h1 .U乕㊓QUVpqgVeqBbOFshJO9ak.+YS26FS֗]'.DT4;&k 1F%:,TߘrH'frۜ EBl~Vc_0#zU'?@so@ML|7%Sպm@O> l8L[͖ CʼOR̛eak8^^6 Hؾ4*VB%8.3CkpS`9Ra˦gc'*)/ ]]DwASءu,ʐM>Mgs=:Y /qF+0 4nieonoPyCޯL{U-jy~ߑ_n4QY3Iԗ'|wA;|:M0$Qԏ;9hw.c* ++H጑֚=^ a=AAF]mCrvިr| {G.Nuwqk!q#l !GuDNnoW.;>9qyF;i ES b7e>p6.dZ*,h 7YDb{QzvqUi╓pZ['YReTiӝ;zfP ur~4۞[u6J^o"[_o{{t&iY :5ܨfɢY\tܪ N*( dvX8ܒؾ2ñ8'DG+فkGp I1^7P7XgˊѫB]A-PwwdfPw{Pw,2{,>߾ tU[zժVlN ٪/$Pr -K|@NY%w;kc-go2KXu;L{xiO6 ~Ӿ``䃃[t|ga*zZFqNj!wT g5@;8lY,gP5MD2wz};Kys-ʉH܅YY&K=_ƃHGaye>AIVwd yO1?y;>yv !$J Gu|9ypNI[A'q 6&tx)}o_IKk wI|$jv%ֽٌ@zhpbCE{k{c|_ðo9K]#(p:Vm9{=OW܊gh ) '!{343ܲG0)@bGbhXp$6/!H34ɵ(n F[<8XR, ^vwW {4"Ŕb\3[+_v".*'c^+69ޓ`lZL/Nǝ.66wIejEcC=~Fᕅ+&6Ӟv!narBKvp\Ȗ%{gGýSUeҝw 2=YAs0B;Ɛ]]0> wKmǗYs ҵn$CGͺՓ?~7#$R&EG ;@0.lFs?@72lq!X.:ꗽ-Vƀòk=wOcZC`׻bS<V+h!3{gz$!㏧(-xH9Ğ ~FLjW;}/ T7dڛ>Լ"ﶼ\D3NQOeq $QԊb$ Ӟf6N.< "' (O-,+2f N('Qp}6ntb]u[cǍ󩟈8vɼ{'lj7%W4%`s{ۀ h0bL&Cŵ퐱U+/ Aj%J$-6Veu{9aKgG)v'fsVTR~U-ZA;cb1fT4lEC>P ֐aMSxn<q;U5S*J,*%N(CВG!-2ip <.UX%%{ɗ%‹R]\DMk:KK== 4[ҙ@b␐!.K| %M6kqjuqyЗښ[in( ӊC75jM0Ԑ36 gb:6;j<#KûEL;[! `dSM4@;%+\%OqM} 6/1  ]2=!_zAVY~Xo$L@,V bIPD^[bpYREB{{%SPkw%_3Rt xaT!C[J=tc8eiGZ·ZsJolvK",gX'T&++S+z2%EesStzV%)*@9њJ&fM&lb֢ w~}m(bEn/m? BB8ǐL6WixJD iq;rWtB5}ܤ.|49o*tϓ !6)@w]cU6 ~0Q^[^ )OxUFݗ0K>j#uOKAZr^~n]9{(Tqߪ޸Mzh{W?6Y`ΦNO,1l7@]eo endstream endobj 12099 0 obj << /Annots [12100 0 R 12104 0 R] /BleedBox [0 0 612 792] /Contents [12105 0 R 12101 0 R 12102 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26537 12103 0 R >> >> /Type /Page >> endobj 12100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12101 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12102 0 obj << /Length 19 >> stream q /Iabc26537 Do Q endstream endobj 12103 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26538 20690 0 R /Gabc26539 20697 0 R >> /Font << /Fabc26540 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7Ӭjl?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12105 0 obj << /Filter /FlateDecode /Length 4552 >> stream x<ˎ$w}RH$PYi`n.ç^ ۀ{KRoE䣪8;B H/I!iu;ˣ*I};{}u\)[jp%E(R:w GIxǧ_?_.ZD|sCE7v=BsSZk/  RXR5YAj)'O\+^O<3Gқ ?O_ G$YJAđʉ tJPd8CE)cC'3#:N0|^lI_7TW a\O`]O($qTⱠ6 ,akO@lH)& ["5Z`~J+m}9Wee^D2~ Q$R%| ):8#92JA hs"ظz"tڑPe%Q w|JP諹샽qc # b1 F!)Zۤ)^a/!/U5T{` 3gWaJ>DDhQ",eP"fD X|LC̄ =,3UUĉ2L3|UQǁnoA?aX2A?aX+`2e@5֊e×R40ފBu}6p*7 "Fa{BKе(@]\ r p` 1I04 d@ C'0;WB '5u[&lx~m5y{gկ2 '%m,W^r7BIk3W¬rIĿ_ `THlr@%txJ@ $J:I+NZ ABoAX `dqafR;v8Adtۋ2Lw &^ᰯI;8(ݛRF8It*X5N'e]?/HppD=(@KbP|%#Cp:mP$Mgo FH6,6iI*'16 aB $Ceϯ@YfX=N h,I&` Vv*I@Ѫ9JWуʻf '[0bQ΋٢E7Tb/5 xh_R>-ϭR A3qY[XղH9HDZnԵzUW}KW8[!  >Pe6^&[sIf '6Ԋ6AآH,-ע,^녢v)2hi$,INB_˥0r@`1#O48,Шv0›<- xg=m+_s_dhQw݊ LT} Q']lq#ѻA|ԃxVDk*={ǘ}isZnrLC@[Du*K#2y吚z d6U'Fڪli7NeVidX jJ⳦dO\Ir[JR1 Z!H(PU8,/zZڔ^@̓>%3]y7%u-me SItp {njJ)05%V NEJt?^皁q`ȫEdŁN+1dȠ vbz5 %(?.U#~fz\Qj/j { 2r%/W:C}fWקh V55kuD^e5/=q2B2sK 'P^DY6*( QmtO>5 JW qϓf,LJelYm_==Hٞ5gloxprtqS{/{ӟn BRaˬq(+<ζ!EMWFswfq\voge[hgTnxE F#q_ZhT5[&$P'Ϛ٩)sR@蹠yS.Prv閝W$tqxZ̞m6(?xe {'n 8XSg_5|\Vz9=~gzRF!cO%h?!4:Nԩ3A 2N^@RY!R4fa]7f';9mtr_gۍV.+l1TS,hhnX3jQ1c>Gs U㤞YQ7Օ2F;q׫f^t'DazTcU;iqLQ>%U vk' Qă >>ùds&]lOQ~m(ɏO>j25mpt<]1K#^ 1%RiOkI%Ԯ C23s<ٶ{齼Vᢝ\xF8|āk!wvx.4~P4hvx%ОV&d(Y uv>|-{loٛ S?#8?><3Пo]77P އe5s" gߦxx;c"HGv-gOO6b)ֹQRffAɡ$ByǰWI;+yS),!{Vxh̾XBG'h3dLAb0^ bF+hF'3Z \:sŜ?|BO [wv[ooҨGP1܇iz/W8azC"&,wGQJ ٠#޺ &˛\hLF ga29䉴$[&"o/fs`r†,e(ca¤&8G+ϐBAuY-յ 1* ܉rtKlo yRg(w (z]Ï  |קTu* kKDfE?_O&^S/PT ꀳ?T:Tt 8y$ҖW;'4u%$ؾHapܥp(bP!X׌š#LU/8ot٣R(b]R _Rt? 'p͇(% KYϩX)i5GK^Du0 Y+t BϺdB/6X^+d%S<6S"f`h;./|)k߭~ec tSH;'nMTHq $CmMn5(Qb*r{QuYS$v8e*&OJLƇJ<+8܏+̣\'x!l+Q_Hg ޼|Fȿ^1M|_}/.?mhb/~ϕW8Ka!cA~8vf Oo1%9Tӟ9}76UY+ׯu!`+%(ӵ Φ\;R!u $Ɛ_?m} endstream endobj 12106 0 obj << /Annots 12108 0 R /BleedBox [0 0 612 792] /Contents [12119 0 R 12115 0 R 12116 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26556 12117 0 R >> >> /Type /Page >> endobj 12107 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12108 0 obj [12107 0 R 12109 0 R 12110 0 R 12111 0 R 12112 0 R 12113 0 R 12114 0 R 12118 0 R] endobj 12109 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 146.4737 686.7] /Subtype /Link /Type /Annot >> endobj 12110 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 187.8502 670.5] /Subtype /Link /Type /Annot >> endobj 12111 0 obj << /A << /D (unique_698) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 171.7957 654.3] /Subtype /Link /Type /Annot >> endobj 12112 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 180.3482 638.1] /Subtype /Link /Type /Annot >> endobj 12113 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 158.5077 621.9] /Subtype /Link /Type /Annot >> endobj 12114 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 156.5607 605.7] /Subtype /Link /Type /Annot >> endobj 12115 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12116 0 obj << /Length 19 >> stream q /Iabc26556 Do Q endstream endobj 12117 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26557 20690 0 R /Gabc26558 20697 0 R >> /Font << /Fabc26559 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pR-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Q endstream endobj 12118 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1067) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12119 0 obj << /Filter /FlateDecode /Length 1303 >> stream xڭXN,7+Ծ-`!E 7+/n=n{!ԸˏSUmU[ PO/Y.߾Q;m>>oK}2^[0%e ?5J9JNIxxSSMi8D=x5=@N;0@0|XbqjdESn4绝"vf,C/IZq>GȇO9y2 C jU, Kgx3DS>;/RI hj"zUNZ|ΤکMlؘ 0a28sVEE{pYMp L)jxZ&Ҙ%RHOp`{3vmqSaWQY0<虜͎ϤK`\4eKy@c9Ns)RT5Fmd4ҮDsL_(l0O>++쪎f>lYq{E"J>/w+MY&,6T\c.t=FC1S+_%c{" b/SKM3 O) MlٞwP;ØQЊ4>ƹ DutޣN՛H]w8J3(Ak(B%'n#8ML1qqN5syVCvJ\n6ռ0M$d$v@^R=N3FY?YS S gsED:KJ 稆kq[k&f"9e3w\ Ť;+ot @ a=X؄u1ܵ,X_svEzt>IgGsā܁ޢ r$n/?44VlZ׌' c'< UưPIJx>9;ruz騘}uyܷ VET4SV||98ÙnlnLB]JMn endstream endobj 12120 0 obj << /Annots 12122 0 R /BleedBox [0 0 612 792] /Contents [12128 0 R 12124 0 R 12125 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26575 12126 0 R >> >> /Type /Page >> endobj 12121 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12122 0 obj [12121 0 R 12123 0 R 12127 0 R] endobj 12123 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 401.625 145.297 412.625] /Subtype /Link /Type /Annot >> endobj 12124 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12125 0 obj << /Length 19 >> stream q /Iabc26575 Do Q endstream endobj 12126 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26576 20690 0 R /Gabc26577 20697 0 R >> /Font << /Fabc26578 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛXV endstream endobj 12127 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1068) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12128 0 obj << /Filter /FlateDecode /Length 4195 >> stream x\Ko$W~c7 `䴎RK~Gg4#Q7bb xI._ޖVglJӿo_Y>._u~M>&|~[~}:`5yBuݳ>hr^wP˧+q,ǍWWMoqk,U;\),?Je%3KX,i eM:4q`/Zu p=i6Fg3b}ן<*-j5F@A? _UFk%4,F5-X6qx Ak) l]}a t^w_6 xfpĐ֢Q6Z=0Wj rFeol{J8(*{cÖ~uȒr(+2QZ*Ph< ˬc#g^<,n;z|e7𣗆N=zjW=5[dKs;@hut^!hْf!!M' `_8f+љGl`\ < OU5Ob|T><ܢFAt}P;(d\3!I(QrG"KS"BhVI),Lԙ~Jssj o}hx,.!*RVoZ|kˑFCE`ZE>Wřplrjj<v9W%zsEqW1g>Cg6xy7;=l$2UAx[U=4nnᵘ~ìK P{!+#m| [ |m`* 71#K k[9CҤ z&@Hpo4yi/0Q@@.b{tXΩBWꋄ5}ce0.)UT/*0J=j lVR1\LG-hC*YU(`*pV }[ m@A~]JIa}zkbi)!--Xo5Y9\0J|j Kp0H 7*[}Ө pQ%[V 6y^UI"[}wZcݩD\3uV5ͤk9< D%Zğ"II7Υ;uchA"M{J_rxmrjצ̺oEǢp[a\*AfOOh9*EW3d wR j2 ޶7b ~V碖!dsQ/ZtE;ݫ\ Ta[q}ծ?'luYˇ{{9PpiJcL\c q3VQFD%s&C{Wd5&=I%~-AA,D,->5mWӁ5wl v0 8ҞʦQ1ԈaխL3ƊđWWINC]7% ;<w1cs[hp[xT|_amݝ7w^euwې+Gߟb}5fLӈ lS}Dj9}ֳ+ﰱ|>-Y=k[pwF^H$<NjG־mTmھӳ9^=_s7VM0DOn3 ̋ J'@sqРhFH5}tvHv?Ӗ9 .{4"ȱhy\`9` e˽6Xj!5Y; u`#̙-nM%9ʱip08kōZ?  OB%^v~w!?kZ]S8Bw-fqΣ$ob 5{XE;#b2܀T}:b፪iؠ0nH1y '?٦53XD}s[*QjYDځϓ#8&݌2DgPSyʊ 8&e [on.,J!ؕ1m)br#D"7ǧIa~3iשkS7x׽2`YmlM>{l򵠉ʉ d.fLh 0 Rk8=|S ;95iM!8CFչ`6LtČtc`s 9w}уˀ2_j%쪹*#LȆ)~~T-q'%!x7|5)mqZuf6Z.Jr6xT\8&ԉ5=Gޗ񡛒&=Hxk! AM.p"2 5k/kY&'7hn! \,~OP>MHiXTDϭʕ$7INs]s;9 &e:|*մ3N-0Hc pjQЋ(p(`\g]fh^9]٢ΎlӁyFwyw<Ǭ3lB O,@3SxW\՛qZQ6yT. pU8>WNXˑ6MubJMd XƬPx8=ӸWlUt.I:xSx<R1/`[i*u涬"-QCp( u(!nnk| %.:r/59GZqm[xh?|O^435[ͣp,ʬ3I(b_)sql0sĩac5ZK!;l7,SJ̀!lp NZr"gY}<=1<x:¯]~B%ۥ\'Zhb2.?d,;iopw6#?6]tШ鹫am| F]9%}(Lg׶ THtv f_ endstream endobj 12129 0 obj << /Annots 12131 0 R /BleedBox [0 0 612 792] /Contents [12142 0 R 12138 0 R 12139 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26594 12140 0 R >> >> /Type /Page >> endobj 12130 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12131 0 obj [12130 0 R 12132 0 R 12133 0 R 12134 0 R 12135 0 R 12136 0 R 12137 0 R 12141 0 R] endobj 12132 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20210608081201-08'00') /Rect [104.1732 504.1384 217.9572 515.1384] /Subtype /Link /Type /Annot >> endobj 12133 0 obj << /A << /D (unique_181) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20210608081201-08'00') /Rect [104.1732 487.9384 200.1812 498.9384] /Subtype /Link /Type /Annot >> endobj 12134 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [104.1732 471.7384 204.5317 482.7384] /Subtype /Link /Type /Annot >> endobj 12135 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20210608081201-08'00') /Rect [104.1732 455.5384 185.6227 466.5384] /Subtype /Link /Type /Annot >> endobj 12136 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20210608081201-08'00') /Rect [104.1732 439.3384 172.1972 450.3384] /Subtype /Link /Type /Annot >> endobj 12137 0 obj << /A << /D (unique_196) /S /GoTo >> /Border [0 0 0] /Contents (unmark_objects) /M (D:20210608081201-08'00') /Rect [104.1732 423.1384 180.4032 434.1384] /Subtype /Link /Type /Annot >> endobj 12138 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12139 0 obj << /Length 19 >> stream q /Iabc26594 Do Q endstream endobj 12140 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26595 20690 0 R /Gabc26596 20697 0 R >> /Font << /Fabc26597 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12142 0 obj << /Filter /FlateDecode /Length 2014 >> stream xڭYn+@ ߤB*{:0E0+'=AP%vw yaz;QS`_^m6'=Pr\6xL#㥆),Ε'<\\ fJ/չ$cBO8|4xG_``N2.CEg7'Ϲ(M7]Xf_e$:ڌ@'c'8`rmل!RDy9vh/&̺O&I~T'|;gv4;4׺OkǐCGLՄlQC6&I2HM68Y2/ٌi ؽ$$ =@FYQw[+Ȗahzn( JQT栖f5Nem0aR'֛ er2%Jf0IldkY (%WT*`*rz`$p: u ĚYcY5V[^gz!e7vf&V*.]5 㝐jֈgźyISSRڷ߱ >ڷϿOj?fIw%$z)Āu}7oZ'?R (40rW*ocdc DKl>"7nQ.G2֥yߐQ~͜璱ñS3 76I< o=NF);FR' srJ}%7_Kn?, o@xHԻXN])!=ИWyZh8V!#Jҁ ;9f^JF*6Ա8a8e[ԉb׫kȾkD0lvp)̩Js |m;٘;VJtz}#&Roj怞f f˾ -Kl=9P4AUeKyDc}^}?#Rӈ{^w,%Ա3~}aC% ^e}^fPTz3t@VcmdT/wkJc2JI?mSG$^מD!±^tئ'Ui [xQ+)g&^RvV4 vӬ{M֤̂uXN0/=qcޙqG#Ih1a`;*(^# }z؄1h*&R΢|o.;n=d7@.+yuMܔV lU/<|+2RӶ@R}ڍ1d5]w7T)iUou _u@~_=izW刊=tЯLG[?^mH*|pC$.)lwS僥4?q3eɷ:Q9꿴X;qLex۰nB8Ǫ*n&[lgB.%*R endstream endobj 12143 0 obj << /Annots 12145 0 R /BleedBox [0 0 612 792] /Contents [12151 0 R 12147 0 R 12148 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26613 12149 0 R >> >> /Type /Page >> endobj 12144 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12145 0 obj [12144 0 R 12146 0 R 12150 0 R] endobj 12146 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [90 430.025 122.109 441.025] /Subtype /Link /Type /Annot >> endobj 12147 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12148 0 obj << /Length 19 >> stream q /Iabc26613 Do Q endstream endobj 12149 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26614 20690 0 R /Gabc26615 20697 0 R >> /Font << /Fabc26616 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< + 5pZ [n`UJЎYzrv" 7\Hj;|/((&c QbEP"$oXS4EC߉b"KS¯";%̕'I"fZĀ0dٷǞ5" 9%86(~S1j)ĦGw<7!& gV#ج ][{i4LT>Ѥɻ#frk|\e8>϶fUݚGp#?D<<9C92̼dN9 M \0Yu)ɨ\U#URWe';4.]@QXy Ph ۾{w* endstream endobj 12150 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1070) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12151 0 obj << /Filter /FlateDecode /Length 3801 >> stream xڭˎ$Ll99cNK~?|UU=ݳ=U$")zm1'|b/oK%%i7ukϿ]_-ߖ_gm•agK2ӕ\ "FT6>+`JޚUQğh`{n"VH$\1g@p)lED8=F4B>?X/Y,H0 TlɁr Y$O`/yDWDsi-9 fi1y-aлma# vr0r1CD=5jL*Pv >j<6x#ݞIϴޡݎE/S OЇI<[Hd#HrC #FMcI8k)kb2 ~_rR;9:a{.6l n*b$1E⋼;ڪ< <4r}"8K*ZaE<97tP4|*53Sm[DL W1S= `)^ݵ؆&O[UG@okmrj%DJD:[5 28]uW h8P0NqRZӧ"UG@5`;qkżuQmvir&Q< H`A`'@TG7>+»}u[ZLx{Www{LFRw6uL+^xҶ㖶96 {^WߡLi+Q. @hّu}f%^A>U6["mύpsT lZ=è0X -?f0 YtT jv.Ҹ,(D G!f6AH;B?- g.G 8vd6GJ5w pv)k.FY"]J6vax6GA!51\EA\Zt+hZz !R9pNmukyd͕ی*P!8sSn`iL=m\X63,XiȠ0l(D5OT`I 7d d]H@u#gLmT+'RiCIZ.R&ol;Oj!n3@Q ,2?f;,Xj=(=A^y$7"''j35 ƦZYGφ:6 WE_^݉^9^w%B?F~2ڽL"=O1{>t޷2gQ$SOe2r'@x{\8i.mgcAIvnUޏt5,`gIg^VfuXybZsB]}o=Y=n޻u 7& jNw zU8AhCۻ/ܘ +rx8y?C=f{;ˈ[IV?yws8 aai\fuH4;a٦sjظnV1My25"لqM.@. ݪge@7w{xܧLw-L=}Wo<]c`4˜"T VPf|mڼsJ@V7h澴λ(*g?IV|/wx&X@LA Wb`|zo کT7}Yu'!uW}T_j1ΚZl|5J61pa@|N|W:f9 C9&?*Z}c՞aGjs+=ޕ:s k=UqZ;"{5O yj Ȕ[9 //.aHp|B~hd k˸yu7̠ f ^, Xd0$'0OY, #[ޒ0a]wRxZl!jИD5se䁼0yVhwkrGx-jDW΍KXx)k *HOR**a1T) e*|!IZ-ۛÇ}<|RrI-ekg\  e%/ R[|}t',{WbA Y+6RVrCKR/FPlefMjn6HqAv2m7ٛAQÐP _dn{G9͝ aɒIa4Ω2\W]BC5G;x{\Q*Z˝u{m>:/]}vEr1Yx `ʼ`[&"3EV}"W(K)5r"vb},=BLOX9ˠ, `~Mxi?!4}]8U?sw?~m{ cm_䃍K]EV48ṽ*d,?5 endstream endobj 12152 0 obj << /Annots 12154 0 R /BleedBox [0 0 612 792] /Contents [12164 0 R 12160 0 R 12161 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26632 12162 0 R >> >> /Type /Page >> endobj 12153 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12154 0 obj [12153 0 R 12155 0 R 12156 0 R 12157 0 R 12158 0 R 12159 0 R 12163 0 R] endobj 12155 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 252.4143 203.2722 263.4143] /Subtype /Link /Type /Annot >> endobj 12156 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 236.2143 195.2587 247.2143] /Subtype /Link /Type /Annot >> endobj 12157 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 220.0143 194.6317 231.0143] /Subtype /Link /Type /Annot >> endobj 12158 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 203.8143 216.8352 214.8143] /Subtype /Link /Type /Annot >> endobj 12159 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 187.6143 165.4707 198.6143] /Subtype /Link /Type /Annot >> endobj 12160 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12161 0 obj << /Length 19 >> stream q /Iabc26632 Do Q endstream endobj 12162 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26633 20690 0 R /Gabc26634 20697 0 R >> /Font << /Fabc26635 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`UЎYzrv" UC.$G7]Nx41?-"#(j!`aL9 }',M $WJ2%ī+O˓*DƵa(Rɐ7' g5kDN 9.J}GZ\ #QB3Wuœ}"juZG)ȃ;6+)C+p|צb/mk: S[${JLh*Ȩ%#5fe8>϶f}#x#?D<<9C92̼dN9 M \0Yu)ɨ\u#URWm';4.;Ρ\-z^lM1^ endstream endobj 12163 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1071) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12164 0 obj << /Filter /FlateDecode /Length 4175 >> stream xڵ[n$W@r_|0|- %nr3#I̪Edq]ruǵ5X2.avf_Jޯ>3cb_# ~teO kMs0l s_?^G%[áq>bfw2&kQ^ J9{z<fLU1}3޶'&0j];/YB2xfmXcy% \<|g鬫֙Nfn0LCс$?֧XLNN~gx:Y[]DekiHz!&isś[ɦY.rJ*Hcof|" lF~3l<,**N4u:,Y] F A仾A}`qŒIKdϢXIeTvE>̇1Sgy`R[ ]3p'}8X7gYq|ۅuei),RTLԬ'entIi55y6! &:[ UgҰSwMDl<Έ{Uů{0iN [k;Qym *0MOnCrh#e75 f]{b[އ&U gTM,p7V&G@YGj"؜~j*N1_;E.KRJOOj.)qWURKx? #4f({BHJj#t& k$g7b'U*Qur񫖇TͻpM^ȡܥ(}NwfL u"/Lrn5z8#&̛q\ aDLݴI%&xh.u,*[%m1ODZvDp.BC8}- w*&m) ~ALqemQ/*U^1-O]QM7vWVrC @^^yuΎ2wrf3#k"qbpWdw$uߺS~nWt݄g8׭0qK[T @$S\'8م]RJ\\8%pF܌ gfy$C2q(y3]-JQ1zn:ŻX&kpԟ S\i {"; Q[Q9I([Ԯ+mn |T2Yt4ҟ)Ե +W(]3\T}k夶A~dL%-fbi}&N6p@g [H3ߡAY)W)J8*c9!H=BR1Y=[Z0}cn*zsv5-.tYߑ} *BoU_P~U]] a 1 9:4{K'O/72ï>D~|7*>jjyDzT}F4Dey5U,͊ݒ׏r4m]N YRp=zIiuɹ{ Eěo'GKZB8&ȳ97G?ј ~GJjIY?}3&Q|OD ԌlCSIoJB0mP/pFϿ0͛ RFQ#U \G< N:8lLR): s\$Hȗb\\h$ nCKԅq ty^q# lVL(x.tKkU5PI)1n Im."&qBN͜9h ]ܼ2q/˚*<$ޡfeVYT aau]h _()Z]@8uqAtLId֔ ~Y# "2q!ڵGpRTԥ70!u,]s6Rڎ Bb[T`HhGǣ= >EZ%a`. <&<# NW ol6UD#xO w0cMgϤUL.%akhF]'fgeWdJTލEV/l=pK{?B`T4d0›9p44'KCي +q=>st@AFwAH[&Mw& 'ۡ/|&<,q5m\E3$MNL0aBHX9cSѱyT([J5pbH aNr!wՂV0O:hQhj' Qo@dz=CF ^dEX.³TfO F>h(ի]({{gvwj;A> DBnO٦,eOȣ[S:r'tS>TSodCW:\} s\%/tޕb b+,2}28my->?M"}e}7 ݗ Xxғhb2ZNe_ x9M%-'=Tj_ ~ǛͫUJo|'/aVd m'+ÅeɗkOOHy8~$"'#Y7I:Y`SO+X5:s y=dd]ˍ+_޽;[֬@)AX8@iP^Xipr'ʈpelcFzahY׉Ep5s>1\2~x_ޔpTUZУv4f }T8TE :YuF~=@j.M c5x2\a\/{+@_Q4LߞDjAeZ;YvLUBߜ[B t7oKE>OvҦ}t[Gv}/uk%0K&jY嬤Ri{ni{h2kZd ]a.*\Mo9nV~UYnHi4:#Ue-Y=+ڢYѐqG)GQfDe#h7z^jVķ֋S[TJ:37YRkb:󢱭멌zfjE.>OŹ=-#Y7/{1ƌuh:7&p٧r^s]ӵn0)01JdIx뭗 طOf*,MjLĩF9r[Xh,e NsE%W_%Ţj|h^ُ=稜v^x6!r^.#$N$eTMX/:>jZ>,x>}m9 vI4] 0!BY9q@<=1g2_>t':s]y~/j{G >nzFh,x:㏕C=Qv?{go4Qb=^/Ԧk 0)?;d~Y9tL8DV ~XDAl.x5 endstream endobj 12165 0 obj << /Annots 12167 0 R /BleedBox [0 0 612 792] /Contents [12173 0 R 12169 0 R 12170 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26651 12171 0 R >> >> /Type /Page >> endobj 12166 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12167 0 obj [12166 0 R 12168 0 R 12172 0 R] endobj 12168 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 334.025 147.728 345.025] /Subtype /Link /Type /Annot >> endobj 12169 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12170 0 obj << /Length 19 >> stream q /Iabc26651 Do Q endstream endobj 12171 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26652 20690 0 R /Gabc26653 20697 0 R >> /Font << /Fabc26654 20740 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=hx!(߄|j.P3Yuج wRuf[l{L̇h]}ID*)sZ yp3e9 M \097LUŪHB>W!׋ʎ>E tw%BJzJ‰pT;ݛ7 endstream endobj 12172 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=1072) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12173 0 obj << /Filter /FlateDecode /Length 3792 >> stream xڽ[KoW@3| 4f9k`ANNv@ `_S/6ُ$o`bbȪߴMUfVt:Ew!s ˋ'kӓ䗧=*O)KKkZ[]޽ky՗_O^?dcp~_5yd .nNgf̘֘d9Y0w 2"<*dX"}lܖx ;GNg Z?q<+)8۵7p/#SA%応yh\ sM)V/?߆חPCPK:1b"tygö*w h k:Vu;jnL>xS] (H48n`/T^ά9+ZVm!W|5+F~W/Ecj0YYE(|13+ҿ*VXYf)GZx)& aÞu=q$ϕc˞X 5[S=٧;=3Z3𐀇{]A}i2Ïjh>?^& @bP ӊyN~ Ȍ.'&$ژ 2ā܈T#d ݝ3RM~&NYiZqz[Whf'"]C7mm e/%i.  ZdZ=|LZWLkszFpۺ)(KMQ<,}ɩP9k~;5ǣ9㿡8,U5gwhIbFSꌋhSɒ@)D' `u61E$*q 3nhm$ 8斏WACh.[L]a 8Ì9G@Pn S_|Bخ Th7 i_K FdS }mYhWmam%߇wvo?_z+@G^@m3qQ*ڡ`}ŹPw+n} X,;mEM@ rj`ϢV ay»7 }]Pmi5fז6޸St0K &žu^r&/X!]Y©&Z8QМweo #whb~Vui+d}wܭF }k3m#6L!EXi>Pڋ-}a` VJHJ*Il R@Ƴh}Rc7o AW Q VO+In9ȨqtYjX^V׵-5e䭆UV3l9Vղ8V֣k\z!+#D#׽̖J/d8 {X*!gen{z8}U *.\EMܲ;7+ŗ{xRQZݨoԽQ{tW.e:Z*e,6U*{YMQvP xYC6Whj1^18W Qz5܃J5P;x𲥼ztD0jj#Vjvg P[fZ};Z*f~zY91.,;& mōu݀6BnJvo ̡Ί*A&qyD3A̙|w,W[jh܊3 .aPi`wz*.F=0lUg,<(ot<:LG#W tHl!`g <\B~6:p8 S5upsO-!%4$b<r%o3jFAېA.Mhݖ0F܁&T h-F! v -$uK$W:9j 4A暹aYg~ͩdBzi^,C]z w ^4 %nBK'(=&ٯ2:OB9T['toOCB:RoRxM>2SR ցw>|-)|IGRɦ4ၰW+ = ?k99An9 iW,$&d2Uإ-o) [;"jl.]R#; B\6)=-c\Mx{֣)&8OC.ex[$ rys<~fP_`CM׋gd"}GuY~)C;.ikY& ,,O:g9aq0 q0n4zoMc fӸh$8uMB۟#0 ŃRx=l#9HA$DQ}胲arÁ°/7Zr8#] /H DžwMnrD_ry.$m.Awn!:6y8@z35]_ߙGhnw!/9%d_!OZnZǡ^LiBH$\ȗܤ,y޺xϾ*ukl a?At᤹rQŀ\XLS8.r Ɩ:e+0wlዉ`mYqQF[}uږ5\&nc'‰>K!XWw=P&G(9\6$ j_OpRt0W*7Iq6bTIXtcdΫd ~ƴzg2 ۹ ::{ : Y8s(w; :]䭑VD:heu||̹so|$b^m`{فwuu|@؝4cg$ʩ Cjq@ .R奜MgRkbb"f&mZÖġ;,`g

ߎ˅[HKRB^i^n8:87[쓊ׂaF٤8xG"Pf.-6#zGGdNe$m:X^_qLVOmt|wm5ÜzżQ˵흅eq. ˬT/:lv9+}do29 FP6S5A1d՘&@|_VT&0au*+"&xEGZv;{g(+]R0U7 [b#1b5(0Z;,hpш"ؗfM0ܯnLmCLq &FU!IEL rކy]cJŲZ*V-`53UOd2"{ ulҷ Vrq 0isAMjlr"S` 3B,_KYaul"+Ifhߑ#KcY'M~/!=r¼eFL\J5NFuWpv0ߔťLCZ\&*3s[72q:w'횪mͼ(ši /$\C.Dfivȁ\܋-ZJӀJw q:c4yP])2:<'E.o{ Y q X_kFqʣ;%}2s<o23pwwTA"x%d)bCn1PHDZTָ:ߓX 3<1Sd" i= bÇt/[2QĤ`\3R}:*r#bb f& ۦֵQ'мiNk!K Q%h7y q-l<יbGU*5VH8;RsJ>H$25t 9[l\hLY,s]sT7ky;;e]>wPFpڏ/nfwVL5(,=`,M-}bGu臻$9ؒE^"+V/ oXuqnݚҠF僬 Nh?Qei*+FC|vWS ۺx{#b?TF0Sm6fov̵zB$SU.vS?@$m({<9ZT2mӀf3vNN lS?D3ŸVݶ ۄQn/+_ŏ}\e!KYlk~G0K6n[Ru0{5t ڞvӫzF?yur`b)vXxKMlۣL (jfrvrKk:YKM,v(Not`o[*lMF|J{3><0,A\7^(&Eҿ仳p&R(S=vIXo.% ڋKq"fKs1ÐoA,Erlfézh{q5ɧ!|^ ~N&9x q.$Fhc6 FBZ9֢5t>䳰 gb7gˉ>Dx>oM(@H(ӻs'.>(еvzQ}K-5v)Pf?|}p:<{hY:aD"їLW)}> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32376 6429 0 R >> >> /Type /Page >> endobj 6426 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6427 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6428 0 obj << /Length 19 >> stream q /Iabc32376 Do Q endstream endobj 6429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32377 20690 0 R /Gabc32378 20697 0 R >> /Font << /Fabc32379 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(EF endstream endobj 6430 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=520) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6431 0 obj << /Filter /FlateDecode /Length 4071 >> stream xɊ#_gҹ/ Zo|0>1=ypDZY%ckɊ="Ŀs%;4=ŝQ-I~,W_5^Hi"` rR[){3G8$_G??X% \&v o^x"U |44RES Ԉ=BWݘNd O,7 דrLmOY[B;Z.eubz'&E]7JXz"fϔ)ߞxjje;;(Újf7M`S2^O0,8>KhS8ԉ.XPeA7݆UUWX]`0dmL1( ĖL\ LS446M}AIѿuPI1b3zesg&QIR 9ImU5C%y(K_` *˱ yz+v <n^edFV79N)~QQ;{ 6œ$@{A ҄*b'`i;MT%]SYn àÒ@,rŽ/Q\R*Ri58u#RrJE3P"sRN`1d3B4 i4#v57dUBM8cC݄~sH6^Ҙ" bt7NCzC .m㣤: LFwcRp?{Q!YH dgx9:grpQV:JEU1H aXsΌi8{^Wh&o~-4].-az[Zc$fhbR}NUEZ R-ׅxx7胫iW{m陁HcVQ{ȸbu^)c6G O9cSH$7RS:պӭ[J2cﯡ;^vd92Kު&9t,0k7uV܌K=RcY{QHГ`5BTwASEyG(nNcH+e#\2Im>smq{.WK#l%^:[j&\?s:!+ic,cTF߭8#W^UĔݬ@[ɗWy[hP*`cqƬ+ɑY, NAJ"+x&vÆUyDkgpcp rKHV_30൤l|v&SR2V0&gުa)$//zN%8̪H=T)7_ؗfFMqr2ER 6[=fƻMkA})֎6\ q/fmF04LfK|wwna,*{~CؽחTm<+B>P\TMP&f@ WȅE9hZ9`>g,'R@RtDem=4?ʄBr) ׬\;ugGul꘵6.7Pn2_6Y^+Ye#S<_|6K"f`h9.߾(Kp1/lkc̥1[ިr> ?|oC26d!9&H`˛AP%(0&R^ b}28w:?cxd ]y~f V{4_Gc_zcAG+q"$#`H9FuUx #u"a+!zOpԿpWE˯)ZX~8=ɘPD:(6AP[S!\%{6SL j*nGyNM~er/5X_r"|I8rm"NLJ&5˜E 7\ endstream endobj 6432 0 obj << /Annots [6433 0 R 6437 0 R] /BleedBox [0 0 612 792] /Contents [6438 0 R 6434 0 R 6435 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32395 6436 0 R >> >> /Type /Page >> endobj 6433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6434 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6435 0 obj << /Length 19 >> stream q /Iabc32395 Do Q endstream endobj 6436 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32396 20690 0 R /Gabc32397 20697 0 R >> /Font << /Fabc32398 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6438 0 obj << /Filter /FlateDecode /Length 3750 >> stream xɎcίxgb/@:6@99cAORU,.o40NY '#f3}2gznJ)mNZOo6H੒^˓yzL֪vR ~*u JR wq>syYi)pz)cxNsa:gxwA>\,Jt0ZB ?ctKZh wV/ia [v]pwXA J3Jj̋3Ѭg«hXB+aExR9nsV.:NovvQyc[+@&h@Pa[t,"P|<̉YD.>tBg)whjv735>y9h-}meg/lEimp N+v bymo>$3 /TCfo#udC$A9 x֦QQ̂ALHZFhYT¥zwZdWT D'GCWr)s7nF-uš0zp-nm& x}_'%? 4Z[rM N5dhj2'sTy‰6,:sA#_\j Jσ; 3B˚0OPAQA 8.ͣo+޻RZ1tQ+5ГI#vٯ]hݴV[nniHv\36nf/48XCI/];/Aڹ4Jd̠U yhn'ncccC:6%ݫ:`9"֐N.n7=ؤZY(^,,$RZp&J-螆[ӉO^Q+w{6AE?K`u'X;p5E CP(R8Tøc>;ʄZNi#`*ilxrcZK~FD}9&Ϧ, K Cmx 2aZ \Rj--"*d&pX/k?^` Ɩ~Nٷ+8d*ƩgZ| Y: 2~\&Z4CQcX؎c-XeJi0S~v{2r\^5pp;^[%M덴9,jB;Nh~G`,Q.PE/aA,ñ޺İ1OjIaH 6 8%;"CaeuK^Fh c i`C%oa5zi<)I˜<)ARWƌuvܨVe-&҅,n'RAVYkdǎytឭ2Ȗ9/N+wR ^WVyy7)hAxG%[p WJjWIv]OPuieUePm{C5wYSJj2p`;cۻÕ ox7{8']8+ }]r3g뛨?o:)?p~d@M&kyb?'Ǻ?~+NVƘI4k6 Aﭔ4dx ܎0~%KZ$0$&t/de0O"OӯӧmȐ/`G@ P7Ђ@'*:\)W1ķݘgȋ%7 _fwq,UXGtm`sƌ@i%,ƋC ߱PaXTx;x-@fYmx U`Inf22Pa ;ߧBezE+pq0@ƌV9bQpQQ(f`[ 6v7Q>u8D䀊IZ/Ec5M-R\3+_Ccx!##"IR:K퍒6s\e28Td6r ޛܘ8Ԣ br]4G? ۃl } э1VN־i"DWeQC!wz_Z+%$1Ν"vL,¼Li>/g9spx:8Ʋy4x2g2@d fa1/ { ^ +I>W?_/a"~1 y`<ág2Rh( s po̸xMkn{CUc{B2r5<W K y#6o!d^$ endstream endobj 6439 0 obj << /Annots [6440 0 R 6444 0 R] /BleedBox [0 0 612 792] /Contents [6445 0 R 6441 0 R 6442 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32414 6443 0 R >> >> /Type /Page >> endobj 6440 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6441 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6442 0 obj << /Length 19 >> stream q /Iabc32414 Do Q endstream endobj 6443 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32415 20690 0 R /Gabc32416 20697 0 R >> /Font << /Fabc32417 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 6444 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=522) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6445 0 obj << /Filter /FlateDecode /Length 3940 >> stream x[IWl hu9>Mb8yQgƉѨD=>>~o%56Y b6_rMI%E2?jO?gmXVW~!)u6J7ޯqJ] |V=i{R}>Fe`kB>H _gg^qk;pl4<ϯV#ET DV"..iI W@-SYz $ A/:jeudi-@"ӆx l[OjgJF"~%h:Buk @yX 2O.a~@Yr2.FG\n9PeV.66y8V"]c D+^&_t$ _JO;l═^+HFԏA3@Կ3- BO}4ĠhڸAJE X{IDx,}+Kx/ #D!AIONs[ɉ4gzN߀3K g3ǵٲ΃XI6 豪BJ1wA ]TvZvuD3aFÎC|]~ &k7bt`&@`sp/7B04(䰉n drA.c[jeH ԯ2.R; BѮsmM5%؟$ VP,TPSU:O:9AU4,Ը=3WjoDUp{1l=>]YF3"as^RυEQQ3۲UFT0hsMʶUNڧ9.X}څJLDgzcq- N>j*CW [7H .4wQqo3 ŭV2+ #"Fa.uk%j*$Kk}1e:4|hY[@؜rQT2(H!bRHg-9T w&]SrM]RRoh1ߛDY/}|~(`?fL0K@q~.NKxC%J[xGg/~69EQM/?RgDе]]ICm(mkvw*i @*"2c_EY=ZҬzN9 7wϝ5uʠ&i4ˇnmI|:JGlx0ŅS@ ݊Ook*!u|gil52w&Ó&։K yN]Qetɩp%X퉞w2eS$*%ë́151%+UYlpƃ/b|/qV>-ܕBDf.g.d=n)H+BhLZW̼@Tax*kи#K4 _gi(.XmɁf*cjתuX٠ eMo۷˾}k+p8ʢA(hkud(QPַ_C1{uau]Z6;O;?UT5.{+uQ* jKz tU\ԃØ,NK`7yܙēOAͣQ Go8/؊ dL,ŷ?|#=3{5lKl&/@ٛ6WhsKgOgijބ4%C}ڍmd6k[,w|]5P[5sMQ\au 3E9]E> n9'a~y:ާRgno{*t~1'JOCq/\xܴ |^|m=շByHŴ)rR䠮j=kd6²8TĠo?u.6:*Ma!>1qPŬ%o(kmbxeV ة]2qO֬8~ۚ& z=||M_R{.9Ŭ-O>#\\ǩ\$7t*4t,t<@.xn*ԏ9%z@夁6~<k %7e+-amwGwU1bdcI8ĺH ͦ p9ތM)y1s4xgބcMq4R&By  |މnd>ç|'ʷIb2`wjDQNHV?86]D`ן & H5V5y*(CKnIJ"zP!|VW5ܫQ= endstream endobj 6446 0 obj << /Annots 6448 0 R /BleedBox [0 0 612 792] /Contents [6457 0 R 6453 0 R 6454 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32433 6455 0 R >> >> /Type /Page >> endobj 6447 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6448 0 obj [6447 0 R 6449 0 R 6450 0 R 6451 0 R 6452 0 R 6456 0 R] endobj 6449 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20210608081201-08'00') /Rect [104.1732 345.0076 174.7162 356.0076] /Subtype /Link /Type /Annot >> endobj 6450 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20210608081201-08'00') /Rect [104.1732 328.8076 173.3797 339.8076] /Subtype /Link /Type /Annot >> endobj 6451 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 312.6076 144.0317 323.6076] /Subtype /Link /Type /Annot >> endobj 6452 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 296.4076 138.1357 307.4076] /Subtype /Link /Type /Annot >> endobj 6453 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6454 0 obj << /Length 19 >> stream q /Iabc32433 Do Q endstream endobj 6455 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32434 20690 0 R /Gabc32435 20697 0 R >> /Font << /Fabc32436 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuU"|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYMޚGFx?1$_0Ԣxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}N endstream endobj 6456 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=523) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6457 0 obj << /Filter /FlateDecode /Length 2289 >> stream xZK$W輐i@Ƨ^SmK)evUwue ٙ!" j&|˫nmVǠ&C~/PfcDd9t?Nq 9qm ,8N|~+H9l 9؝~6٤ZQBPs:2E`SP<0㓱~XGN #҅G1GfXt0(teŗ8 ih'r%Y] env*eqⷧj=3Wι6Uм, bNA  o_H7?vY82C̢*cQ&#~n#߉Sd1}3OL+M_=$E,BĤlex$n]OјZ⑆tFf>^"3lm:ofm g|c=z$͌>'O$/=P)uۀa-gj/nk@JH*5xmYfH[߹&Oymrq.vGRۤ6;mkɋۍF~=?>dTαJ@^cMx[;/|w@vGؠ?`ꐪ$wM]|\)$@$!G6a\}SB`}H#l! a$gT 4I+ȢK_ORSO*8fFYɨ{qӰ}N>n(7V⾀dIncౢEz1$)IdL-R?.}cfҒV+e{UlkLRBSԒ'ƙc|٭[@0-磞ezgߖ Q>ǺHVH:R&a w:^/cV#6Hehۍ,Yðjxߓ3XeɹiVr*t"I7+ ^m TfgUW*?Pb=i@Yp59U#4\z1sALZJ{~,EG,aP`MBMba CH3M6d+9UEp&0Ci:WG9:Æ*o$ED6͸ [0ekr&D/\9Vsç/bw: tC R,v:*tu~l0:nT6GM]ĥ`9]!1`2Gcr3kfB,!ZWt;WW ԂdrA!s`ʐ2:,1]-c瀴hEO4ٍ/,wG+j ^Y\H endstream endobj 6458 0 obj << /Annots 6460 0 R /BleedBox [0 0 612 792] /Contents [6466 0 R 6462 0 R 6463 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32452 6464 0 R >> >> /Type /Page >> endobj 6459 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6460 0 obj [6459 0 R 6461 0 R 6465 0 R] endobj 6461 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [90 319.925 123.198 330.925] /Subtype /Link /Type /Annot >> endobj 6462 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6463 0 obj << /Length 19 >> stream q /Iabc32452 Do Q endstream endobj 6464 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32453 20690 0 R /Gabc32454 20697 0 R >> /Font << /Fabc32455 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 6465 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=524) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6466 0 obj << /Filter /FlateDecode /Length 3903 >> stream xn%ί賁ns_Ag!i;3~j{ř|Dh 6N9C鵜RJ,@( X x .S=`Џ<&Z"PYAUO"F[|wq.{b\HN@&sKqW{ |*p#AသN+YА#$-{`I$%Rux'-xDsv%/Ԭs|,+Co2Ce9PVROWYt!UtlA,t%_dBI\Pnݐ/j>88sO]t~uUE 1v[`y Hm PF&F.[n?0 1GE4]^MZ@yo yWc3ZSWla7ۺA*[hxT@MmP=tG}>4: j G7g+|VjHZ48 xmi^G#`Bsܸ6z-B4בep=n&qhݥGcwE5qn鸠\F+yE*%y;d=H(C:f31*vָK$(p $6&a[Ve1Pb?5Q}"{|+B}Їp$߉l{O@NvFwV:G& HATE$EmqCSTe~ ^l9}GHRVvR,&%|'mMOʾz/* |=g;{RW~|xe/2>F$>0XsdaCi"*i\޹~^X>Ă,ψ;-jcNExds8eՁDždo$!9f}ҾZ; kOOT)EU'my ^'WJ^j)eJOOymSWJaʍg<%fj·3~i=fR>u#xM  A}`B'P2 wDfπ_}/,jXd*^&dD’wq^B2To? NXƣ`ru솻'ǎXs-x) j~PNw[:#&JeRjX^ZW-5pK$WW-Df- Wj +N+zcˈ`8hVz!.:[}ؓ2[}7)6c=j*ߨJMUUb蝚VTR7HbIEGu)ҾQF_칻BJk w$XEzTy)WVN5,,{Ew¶] || 9ru90ׯ돘{Phat VjլVkVoꋬVWklA.Ws!#ՇўB6^]D>Љ\X~]i@1b:9;q] !^sw˧1K xw9 Ō; 'vWQ@whH`Ӈ @R^]Z 8e!r ]6Q~dvsy=;=pV*~&eAübU;*oy5g<"Nze/=mOj%Q֚e\]娨(9KPFptuD$%jT q"ix5Y=c,= 'Ĩ|+`HMJD~;qS/dmsO0`:H876lK㢃cGC4e=ŀ2Û  1a' 3|\:XMICR&ώk `y842@LLs3ȧ9̻k, 5ujbYqMLТŜ.H*rbǴC:Bq֊9T{GRg怏e*%X1a2v7~8iY؀Qar28<0& T*DSμ7'q(۫WxeI1c#_廓 H犞}}uY2fzڄRO.ڤg @1A' W'J| $X7V Ha̡n3|dw+rY%?7i 0},֎@9$Q "Ì(qё*2^Z 0k+Ez%fh/0`&÷4Yͣp_Qᇔ\vK5,͈ *)i5sFwu/"*|PCS֊ 9XrUCe( 28dp$PYe;@r b]R堨cHahDž/b$(&>jCi^6 s* g3r> \(ɢb\ji||*pTtu."eN.QM]>I߇KF9*$FɎ<7+`ԾG; ?=L{<%+Ps|')`(d\~ggd .} C墖mzv;SBMF2zqڷPDlԋ8H&nA/#0=L`I-sѮ endstream endobj 6467 0 obj << /Annots 6469 0 R /BleedBox [0 0 612 792] /Contents [6478 0 R 6474 0 R 6475 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32471 6476 0 R >> >> /Type /Page >> endobj 6468 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6469 0 obj [6468 0 R 6470 0 R 6471 0 R 6472 0 R 6473 0 R 6477 0 R] endobj 6470 0 obj << /A << /D (unique_330) /S /GoTo >> /Border [0 0 0] /Contents (convert_ips) /M (D:20210608081201-08'00') /Rect [104.1732 291.0577 159.4812 302.0577] /Subtype /Link /Type /Annot >> endobj 6471 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 274.8577 148.0577 285.8577] /Subtype /Link /Type /Annot >> endobj 6472 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 258.6576 144.0317 269.6576] /Subtype /Link /Type /Annot >> endobj 6473 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20210608081201-08'00') /Rect [104.1732 242.4576 138.1357 253.4576] /Subtype /Link /Type /Annot >> endobj 6474 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6475 0 obj << /Length 19 >> stream q /Iabc32471 Do Q endstream endobj 6476 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32472 20690 0 R /Gabc32473 20697 0 R >> /Font << /Fabc32474 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gHыCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6478 0 obj << /Filter /FlateDecode /Length 3134 >> stream xZKWammr4lO=H!=(QŪbWI~Z*;!f#?}ɤ񒢿oM=-_>gmXR+/_IUg)up~gI(B0gx2J'<$M eb^9<XΫ4 N"<*gX,1W"2OWnitYIJ哌J)k'Ô&*8;}X{\Z$x%"TDCp $9"iL@5ZS) ylϤH^'LĆk'aiVUFOҖma|U(܀^Srs;BQj@uIGSN0iԟQF O`Zu\Ɔ^\pUrLK |ȟ+I|0L$.FW;7#:1[aU#Xq@\Y1hW7 `2,sk { dC) Iz&̅ b{[8:k E4Fԃ3a0iEX78n͢`[uGIU؄T`(Y`" W\b3@ I.`ɚ#.L NSW}|ˇEжO_Agƃ]L4Go wH_(m]ZrmJ. \yN,FM󛡓t(qJ fbVV圳ֵRO>%L[ l9TVjXHq7('bZ\8hJZ8h`^)5k-Z*8Įu#>$**JP,o%:WT6A sH*o=$уSLJcQ*B_)T@`'Zg:}ye4=WP_ci HIoV[S弦%\Ӡ?T-;8=+(Eùlr6PcT0X,V߻)An"36i㏡C5MW4]Cc1`r2([ƕ8i8C-U\;Aۈrw"gmC:il<T /a*Hzd:ZabՏ6uݨ˅NAߩ +о;,7F3dy[v[Ȧc^W9ahsolA'7ozZȶŶsNҠ&YJ[w_k^O{'F:_[1AD[bȳ۽Z.j&E]e{E\+ `X)`tO|| x)6WW. 'nJ:+-tVă ! &ykV zpw/ћKݥtQ [jLGvLsp6E2aM1T g>5IJu8v@ߠicH}ց;yS7N+.(S|ӫH/]b$5 :b p|OQbn3 .FӂoE\ڸuZM$Xn\oEBlG m*< wR_ #%| CV*E"$@zfV,3j*KƗ +t\ZM wo힤*UlrtTYr9ҦDz6>/> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32490 6489 0 R >> >> /Type /Page >> endobj 6480 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 157.8326 381.3955 168.8326] /Subtype /Link /Type /Annot >> endobj 6481 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 105.1632 396.03 115.1632] /Subtype /Link /Type /Annot >> endobj 6482 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6483 0 obj [6480 0 R 6481 0 R 6482 0 R 6484 0 R 6485 0 R 6486 0 R 6490 0 R] endobj 6484 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 334.925 122.505 345.925] /Subtype /Link /Type /Annot >> endobj 6485 0 obj << /A << /D (unique_56_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20210608081201-08'00') /Rect [127.818 334.925 238.9565 345.925] /Subtype /Link /Type /Annot >> endobj 6486 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [244.2695 334.925 267.122 345.925] /Subtype /Link /Type /Annot >> endobj 6487 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6488 0 obj << /Length 19 >> stream q /Iabc32490 Do Q endstream endobj 6489 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32491 20690 0 R /Gabc32492 20697 0 R >> /Font << /Fabc32493 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6491 0 obj << /Filter /FlateDecode /Length 4223 >> stream xڵn$ί.s_AZRmrr)%qn4[Udo#|]̢R Vi/u|5?Ǯίϯ~9XooBju^LpcY}q؃]^}1o?-_ƒ^3.Ӛ/.'-fUk IJ~_,<>y໅IߡNi@)ʀ!?h L#*$,jH+od6 "'yBKo!؀c%Re:3(C Ejk#ԟ.F M\s*ѳ k g@aG rŽ@ ~i-"p>g/τ0[}_;2Pc \q <5 `>8E*l/76b5Ĕ7aHc}CbRI&;!ڠa$MiAVPb/k\n98y,zГN|(U?kXkv)j6wxŸm?^lzDkTq81y-To+[Cf[wث i g0ڰ_\!HDwkv,GΞ&ٳ&{P^Dt !3:R٨LZI% ^@N3Ǔ5l~_7죘z!vnPdmU2*Co>7B3 ݽ59g_@NX+&BĽ"nڊ<&›C[DEx[cĘ1}T!DMU=; i (㜄;DbqW$-)zG9a `flf5V!kKaVzk?x9dTl\jMZ Ks=Rr`Df- BWSef9Q5Vj42b!NF-J/Ug 6y^% Q[}7W)6T5HCoXf=f1$ qjt/TtԾZ7"uoԼ㜻 KYM2%ܡ\b^җ\eܻMN:P ˬ[en`íwի0{|F N :P4gܽJ5@qk𺅼augޒh?]VsV*믫TvxMVCݫ\{[`Uofe}V| g~kY42[}D2[}Ddf_gfެfu2FOVg c:{^&n$94{Ό:HЯ%l&B a|`ܵyGԻc+*h O* hEAgY@8\-/bm o",úzz2̪uA6)Zm0-yo gxȇR֭!$@CB2߸f.=p.ԉ5B1mp8ћKU( h1kl~t(1f#VM;>+BIy$չҚCVs| !MK4NàffqCwCF aSt13KrNdQ2Nv4D#gePML'tb宋f `mٔG8AWfpUs+5lq`3b犸屹s:: 8EZN"cD"lQE(KnZ(R-G331t7̈C^ACBiX4*8ֲ1H%|3zU TcT\X>d2G1ɸ@IG|[#1D<]v3b' WT6r6zѪs C*rW> 1BM~F}5MTO|pkQ\W9ӖȾ(TY`XS* ޸^$@ L&x\ !t͌q[k}5>@=mصcfW-iЮ]9h@i& 4?sOfGj乕pWWؖ\;^@P1܇anGVg:[4~r+5a8D>@;XRd&MTsJ^d2l1;_1!2&pBXtc@z]}bu'|FwSęb%^eW 5F 1 6UZ'IsM8g v#Q6yy-o(zu7آLt53bdMMY_PCل* *\-h E9]_W<]#ͪwErW#qV!pOe6> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32509 6496 0 R >> >> /Type /Page >> endobj 6493 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6494 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6495 0 obj << /Length 19 >> stream q /Iabc32509 Do Q endstream endobj 6496 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32510 20690 0 R /Gabc32511 20697 0 R >> /Font << /Fabc32512 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7+|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6498 0 obj << /Filter /FlateDecode /Length 4411 >> stream x<ɮ8rw}̝"x̗(jcX))ꆍB>Zg=+wCLf\ٻyxIѿ?5]vpO~mڰ(egaUvS) =(u1(L.,A?J)c~,x>f}y$RR*rc۴KptS+`x B2r\A9/(YM*+_p^׏I[fa*#,׏Yoܚz:Vʔq!a4[fN4_b [X9E9Qi9`Vȸy=7Đ{r,:vOA"!T\SP(QB+G,DVoxd'}8~<03DWw=w._#a*=F0F]j㲛gHy7Bv1kamHb'_8bIyc &k YV8o{{yۑMI5iq53bm3m{iF(BՌ!qv-kDyMMrZqى"g)ܤ[h/B]+TBLeB<|S*^e( m+J:jL\1J ٗ.HzJuSJL8V VpWK6k7_ )N#Tŭsg(G]4H7 VTT3fY!UX5ЇяjfX3lTBRhdzn({i D/l Hy[p:J $DAnC}09(r)._A3a_)W'(|:#{;M%n2ג-pdOMUUMA| pЅ kڅCu*5["h+l!Gzϣ'_D˴7 @٦gW) tne\[F/ۯNF$@ 2\Lk.hʜa~͵R_@„՚:^2edz`]_B"/k' 1uëpzj wż6u1y@У:MroAՒk ;sIckDEXgYOLNoXVޏʶ>OEoaiy)UpoJ^׽b}>Vbzcbŕ;/V6!VdxV #͸1(]?E’rXli86l YL1.Nd׿I6};N$2߀S[;Eog tY7z3[N@j,6(Al"Z*ASLHb\D/wjUt>(\,IeXz0f5A֡ zR\ӣͱ猲y\twYFG T뼗n3[klhxU6kQ,mSvq.!sCʥmx%5ܒjp[^iF )DB\ډ^ܮbb(Fz%iL^\fI,Qꍖ֤7GCZ ض'}:ΔRj{fvtu8 r-4Cʎ̖g2X>pxtxhɜdžȱ|~tPZ*;$nyb;/pqv5ak:_ڇbP,M0\ Zlw6n1 ׭SƍڔrS]Bʆ".gWn]^:]sg`/[_u(?3K v Uw:hTUqI9c"QFB-MwRŹ7UjWVw͎t,@C /a[d#ӣH?@ZĘS@;>z"VtWF;Z6plC }$pi+2mvKTH~@zMюه >=,Y vOÇ-˘KӈSJ>=:ct  05 ބ)?00Q%Qm co<b)B '5e5 Wa?T Qpq 4e 'Нw'J?bҼbĒO#N .s\kQEx2 )їI+d䕆h[Hű'u!JGѣϸM _[Ro,9XŬ -K|xM2׿ɩ\Mk"T`X1#6"pKX4\>ZLJ<8[+L<8X2aپZPpGB'b*i((__+ @ZZ|#3ؘ≴Xz<񣽣6De2$F :S|e2<6d~^)hf).;S?*q~jKemdS+lW|>у>zq/gyI>kt2j%aX{?:,@ vZOvRG؜7غ`+w1[NMwWp„ ?bW0]i\|,­|1{4_~dƣ8s0d0f$F/~{ y倌TW/+ 'b>HL5y>?p EA6,sS:O+t0bxor@2T,~9ݷT Y4tf`L—#/׭nYKIE;}`s5Ç endstream endobj 6499 0 obj << /Annots 6501 0 R /BleedBox [0 0 612 792] /Contents [6508 0 R 6504 0 R 6505 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32528 6506 0 R >> >> /Type /Page >> endobj 6500 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6501 0 obj [6500 0 R 6502 0 R 6503 0 R 6507 0 R] endobj 6502 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20210608081201-08'00') /Rect [104.1732 453.7 148.9102 464.7] /Subtype /Link /Type /Annot >> endobj 6503 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 433.5 149.6802 444.5] /Subtype /Link /Type /Annot >> endobj 6504 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6505 0 obj << /Length 19 >> stream q /Iabc32528 Do Q endstream endobj 6506 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32529 20690 0 R /Gabc32530 20697 0 R >> /Font << /Fabc32531 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?K endstream endobj 6507 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=528) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6508 0 obj << /Filter /FlateDecode /Length 2110 >> stream xڽYn$7Wl P@-*@>im^Dd%F6cR_QfjRE1WАNG+cmN䘜S/;m:~Cq 0.٥տh߫6`h{e"E^ew,gl: D]œʾ^YʡMX#MX je+RONu"٫_`,VjgI잴H}ު.qBi\7z$Q9!Hͧ pB(I'x̎}H9lO;ƃn@llo xSsk mJ)U-f|_f!ͰI]1 +޻qbcocvyg/OW8&ז-kJm:j,D!iQORHy5 oʹBij IL+hOlNuU@&_o7M\h3{kŸdk8(塒~Krp9A*ӱk 's"Ud*q-Iz Eb\jwLAOhPؒvkX|b_~ [cSpboLCZbANo<ⲿkȼ7,[t.# v4I<MBMVbhKY,3 ϷRV 5[(Yp+g˳]KELwb  T{;aߤMq!;FLaFeb'1djNdE __P8X<=+JUZ,8x (jҥ2t =1tX"$Ѱ[>IƒOo)WLy>?]>@W.Ԗłw;7 ocX߃6B3nTj.t3y:fK encFv6殮fYd|{g\{oDQmY%Ne[)Hg͉JǔJmkoxTTOKJ3z@Sg~ \a hY: vr]zdz{x;|{e.`lgAيCsiڶnLlhL׏Z Uo]V{n&rX j\&v専)!+I?m䍔Ӱ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32547 6515 0 R >> >> /Type /Page >> endobj 6510 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6511 0 obj [6510 0 R 6512 0 R 6516 0 R] endobj 6512 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 79.125 147.728 90.125] /Subtype /Link /Type /Annot >> endobj 6513 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6514 0 obj << /Length 19 >> stream q /Iabc32547 Do Q endstream endobj 6515 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32548 20690 0 R /Gabc32549 20697 0 R >> /Font << /Fabc32550 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nhЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ D,o)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ- endstream endobj 6516 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=529) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6517 0 obj << /Filter /FlateDecode /Length 4214 >> stream x<ˎ8w} Go[@̌3a^,*/I([pDfw/lIIɒ^hwY`Zz' E*__.N-›Z7.V~}zR/d޽;N#l;ԋ6_D KO>-mKwi"C~|*~+nt:*?ߤB\v1h #7,8!NR~E8q(`5*egy]z6gEhMl _ScDLv_u3[`>%f,(g3s_:ƕMϖuaY%,$c㤽D$If$[=h}݇ɫ1@råөjBsO&)V|7"D*4&]/g/ۯ҂fe(򗟊i0C682[@^`rn_WoJcvR;}A=/& 9F3b;E |yMđ2ԋϰ+M&ô,cz z$&w1Ai`MbXF3<$q!#.mC~dϏ6;!;YIl%X' G&8PH7` q'1fv@Qff4tj"D'~71D9b`uI~),%mfp}RKKBoexao R3-vy#)Ԁy%|pڿѯ)^Qy~ Uv5,VeH1=I!wJZaŀ8!8&NcLZ X+bki@Ep Ϋ;?-4 \G3 Z{madzbXUށ[}pUE_SIu1|99ĮciNkN>9qSs>qOfT&cJ<']hgu+DTSS8!ғꉡSNl[ZfξQHaBlsB+tBk>n8"&]yPtBH d˴S;dlVA鵘a3k$7eNXŸdtbQFݙPٕs NqzLpꇊ~D;dx?CF|񹝣gfL4κ,I`9T S,6Qf x`_Kg}wwVe='z% 20}b:rmfX24W4>~_@Cb%3Kf+td~Pngc=p H∛аqpq.mкOܽ1"nML3.]eY`wo/ o1rc&fevqjnx8p%pyҼ\qTmfz4BGJō]a|JKm̲1YLwoed" ] dlp륣%7ZCtrqS}J!rKceKu?_hZ,|O椊B♹|e35rՍzYn慈n-ndKWNdfN80:7CݱΛ%SoάGģgz:Kek27VãNiʎ<͇s"z7;;V?|׾&2 N:|b6Ph:`v3W=a;fgy6esOfihQ@R+,(>(hfwf^N_Pb7g8B`Rygv@H49;9myxjR<-t{ Bw|>{G7l5m.S Dgҍec g/M+ q6 5{9yRg_QvZ^ue;022fwoT;+YhRJ2E}bB8(w?O w`_IuHY)( xS;1}?bYx=h1M]{Cj8?՞9Jn{3z>-[Gwrx{}rƍx_6_]덝,647ry%{YMap߼ɻl}nST=PMÝ; &jɭڬlU&KǛz QjNV~@-߄,glnU:6U#5D?:! |}!8ΘDbH}K,DE%߁.b' IHfӴIޥLlHP1Pu\C UkԎ.0EcKf8/x5BB\ .O9*ݚ$SuTRU*$KkݴJLZ[YY|vq;=8a` G?L\-iPa=8%e0'_9%䩵qQi!<ㅵb]{(jD N":t;ܜ,EBc2IFtLw"HK 63L4B"´ bN=AZ?_F=2JdF:#&:Y-U~ n뾕ȠH늸@dpIмtPdͩtjIL*8E*܀"0m6Jxs垐-sxj)?ZL!<H>-H:y%K;K{.Xq)%j&2e*|#IDUp3s/?'lKؙIqR6m [֭ 18K3FyjVsW4i2& 1RS{[{zpjL!$j,S㶝{ٳg)0jAڒQJsWlZr>ןs՗9Ȳಙۅ;H3(ʾ/W>R >;C.ko[̥n)lF5倴m|:ݩyQpHW)u: M9J9yx^-oӴ|9ï\iU=U)pG7!'|XY)b,h?mge9=kLԇBĩ`ͣ:Oǘ=r }9})GswwH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32566 6522 0 R >> >> /Type /Page >> endobj 6519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6520 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6521 0 obj << /Length 19 >> stream q /Iabc32566 Do Q endstream endobj 6522 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32567 20690 0 R /Gabc32568 20697 0 R >> /Font << /Fabc32569 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7k*ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 6523 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=530) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6524 0 obj << /Filter /FlateDecode /Length 3915 >> stream xɮ_sѽ/ `t99c8D&յWuSo$:{jrv oIqL4i8{/0/Z_lg o_OB)xp'tUBpv2=Y;p> 3?7n#2FTe81ep]Y/zzx1}+ghfdJ@L._#"ܔf;(g$s"ʜc&]=,pv٬) #ʒ6'LfVMuN eSqc\/LLLMw-L ֌zLӅYF9o&h>(T^r[ rݖL}#ċҷh ų@W4WbDg%z`kXҨ(bf@Uh E?E…3P5k~e!L3˳kt&~c]y%fvf$N2A&qcVO"%vٻ\ 5?IM) r.yH&VҸf" !.=NBuiXXzц|-ZoG`ʀWP.nq*y'sG_]kvŰTddB+٨T@?QW(\ #6ĖXı]wxO(Yk.}+[{$E? XgdZMgb[DRḎ; @ckJRn1p\HTiWF\T+)lMԪv}4ܹIl1;S?cĮp5{tٗwE''H^5aY诲gj8%.xv#- o8C"i Iv)AlYP)K"\f?dpQR%-(.4Ls%.SVړ#ۡزJU&!E# ˕`T,9xT`]'ѥ˥,de7Btl;seK2*ߠ D~)-Ie \Va^bd|*1VjKjoڛ=}m>Z-*Owln$cK'عO_=JlPQ oMqܬ=|#KV[DON*5֐#s߮q(ѥn-C(J+kWw^(wdT2WP[㶙hǐbj̔WcIw\ ÔJE H =!\l{qih\][+nx Jy+3]PCi{"8ouUSI?h\w[."مP*3KcVꎴN>¡38w+_6W3κR"mZJ#\W@5m8mTa4pf3z1hndqbJfI:Una%Y†jVAeCD r}u0CK3IӚ|jM~X󙭫adVLgKTM.`WWG+*PƠ/+M;XBW6_4t [mdNL=A 2S,p.azѲR~ʽ1[Fbݯp'w(=ħ&+u X{YL*\ܐ^!ENt/EOGoǥ p*8 Ms_& !9ע;4hWUpUb E憨}bntB;0+;fd!NBP:A1} bN?O?nC0QU-4@nWa&by1"?<.Dxa'= aZ7k7%8g\AiHkR+}y[&&[) 1lԤ{Q3lar~$&"oF)3 'rг ,pA)c0 Hap5 *dDڽ;;kwD1#av ĕ;fs˖ؚH?`S}=eoz |YkS*6^aZAa F_P\TM/|q(T~뀳?k / <i% 8u5NkAb}"!k|6xHn< D{X2aؾ\PpqknD5c7m BjO 'Ѯ6[&drKX|%rKSz|m6p~5xnX'Vì6P: B ϺeBk:X{ Y׬0Ź-OD*lH&]Mv=ׂ:g~%mD?1<6+ia BoC2)v@0#_/e9L:~K=-{:CD-oskķ9?p+xZq̿QB~ԷBipЍz$"Hop+p'8B>> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32585 6537 0 R >> >> /Type /Page >> endobj 6526 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6527 0 obj [6526 0 R 6528 0 R 6529 0 R 6530 0 R 6531 0 R 6532 0 R 6533 0 R 6534 0 R 6538 0 R] endobj 6528 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 441.2384 172.7747 452.2384] /Subtype /Link /Type /Annot >> endobj 6529 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 425.0384 193.7847 436.0384] /Subtype /Link /Type /Annot >> endobj 6530 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20210608081201-08'00') /Rect [104.1732 408.8384 192.8772 419.8384] /Subtype /Link /Type /Annot >> endobj 6531 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [104.1732 392.6384 198.2837 403.6384] /Subtype /Link /Type /Annot >> endobj 6532 0 obj << /A << /D (unique_363) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 376.4384 172.4722 387.4384] /Subtype /Link /Type /Annot >> endobj 6533 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20210608081201-08'00') /Rect [104.1732 360.2384 171.5647 371.2384] /Subtype /Link /Type /Annot >> endobj 6534 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 344.0384 176.9712 355.0384] /Subtype /Link /Type /Annot >> endobj 6535 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6536 0 obj << /Length 19 >> stream q /Iabc32585 Do Q endstream endobj 6537 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32586 20690 0 R /Gabc32587 20697 0 R >> /Font << /Fabc32588 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7kЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6539 0 obj << /Filter /FlateDecode /Length 2459 >> stream xڵYIk$ǯ@c_@Ԣc$C2o%SUM*3x{Doj|n}VǠ.C~S'k觯cOг:`m#|+|g~3P1LE9 t`wO^D% s('DC砝!PY1)]mʜZB $lL8et]c66MNNW!\*1#Di0JdQ;~|e+<5ITx`Fe-`G^`Oe$i{qذIk؈5k7a'Ƶ{!z_Fϙqmv :رhfƃ@šLdOX N mOkCs_x_`,}>v :9AmDZš((WjDRd#2@hm Z}U3$ Af| 'bѾ9 'k93%jӒz`bkAԙf@hnDy'[ot5`{.Ya2.ZtK΁" <@5Pvh1a[ϩ虛Tq7zÀ}K<GcGKN sm3jҶ+y.|;z_񆼤^[̡z50Y{#GQb ͨ(4IRX)1xoS!3H]|)k 2ȚUls(RŜidn\թْHH)JWh;sJ4xFgאlL\o__&CPT &ȳ "tPOy_~È5%+ ޏe:5)ljDYԑaK;9 Q*} lNiqŊq`ҘT87\ E+5DԲNIlM]X?[Jk@8->2O/}p wwAD.i}"ռKA3+XOTa(C*2#?TSS-ew3 6\:-G, #v/ciuHF=&IL p-y+?6Og!V?iOiOۣYz~B; C C3==k%tatombJv_R+4kӘ-B BZCfr >X< IOr!`Z_uXt Ջn/W/+A5aBdд"Avk'n3@}=ri3 x<2v-]rX|ަ s3sRR '88fnzNkk8a)کആ.f ti_cڼr}RECnHc6l9Um%̏P[2[ hגڀސ E=#Ѧ_+g*0.m&)3uk'*72\( HiNsRRϰT5[~o-3XqKPv%O¿>ѼtT6gXZeU3웚FPb$c 2MĊP> ōED(">ڜh ZJm{z25Kk2%Rx&I>b:xՌҦT1!~J/]o]R\o4)Į?)̶-;b}{1i, %5W zBξ]|K'_:%>4sb,cۓ8C?Gsg.6z:Xn/T0D;0hƚ #?]O{vI-""kq OAs_ ׏/T:Ac7LE`gO<䶦Up9{_'d#ˆfARd,GOijҾ;/#_g endstream endobj 6540 0 obj << /Annots 6542 0 R /BleedBox [0 0 612 792] /Contents [6548 0 R 6544 0 R 6545 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32604 6546 0 R >> >> /Type /Page >> endobj 6541 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6542 0 obj [6541 0 R 6543 0 R 6547 0 R] endobj 6543 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 321.425 122.505 332.425] /Subtype /Link /Type /Annot >> endobj 6544 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6545 0 obj << /Length 19 >> stream q /Iabc32604 Do Q endstream endobj 6546 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32605 20690 0 R /Gabc32606 20697 0 R >> /Font << /Fabc32607 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6548 0 obj << /Filter /FlateDecode /Length 4402 >> stream x\Iod7W^/aUvȭ0ȩ3`s?H-Ojq}``IO"Em%I-+f#ʚw2iR?cUBR.?ʝQtO^ƼO:?6oL{J)^4Ыo-R.5M~Y|J[;#J\[Aj 9+(mos򗟄aƯag^cgsAD+Q>Jyl?f1N 4?^DJ^waP{H%.2,ʑ,|Y@=P~-̣Oxûu>cCl JۧG8e⢌UtyeѨG*|,:\?^Ĵ ?~Р'VH^@%tb!O/J#(Gh؋S  b(:dZin;[kxSjTV>];}f囋r=*T Qq U U WAſ!@r/*Y5^͐xF]Z/a[x9P[tDwjXwYƞ2T׀Wpٔ0WLr Y&+`, 0-8eQPIw4gX挜2[oj\bD8waTU|UP9R LH׭|ң8s0ȧȀG}AV'SC~ȊEۃ2Hdha P;G&hF64}ݱm- ﴴMR'}ڨn3FQ,lZZId$rHԽc;;[e [Q^iR5e5h.q9ϴ<3% }5mѴX:n.Ъ]b˼9+M:%=$=q R:~X '4 1-[9YP˭ޖbbfd4Oi&lj";C>n*8ߞ+?$i`4P},|mˇmf;Eό}\n?7o5eԢ<}Iuo سg̾[AuB v[V 9lUcw*v 8]UY >l3k[E7vV~B*) Ҏ`>O7^+ͥʯ5|2l|1fPh"IXo yᢈW`udD/_ʷ*, .E>.mgUKIJ}wp zgYJPpլ muf9ȑOQԊҗuI-BK hAUu`4kjP n['V2ꢬ G W*J=#Bò=Z[JOE%}4I2K~7WN6mj,פMѻ4+wƾ/]cpwusezWS#q8 nTp!T '?<2@=s+bD*sd5˝`mZ_̉%;<]YGnGLRAp jerG3OvYz(d> ) _nXGB°șx#Zy*K_?Sx~$5Tw>t].p!I]'ty\:nCGo `{I:MD[P|Ygh,g6z x v.I׆UiIɍǭ⃎GSC|s PY[Fq|&:CLj"oO3bBEt:5"rFɻfn4oKRY4s-E"hAP''pHkHp:Z8ޜ:R-)h@O5IVӵZ>Yf)D`mZ(=3bo.O_nZ s/TQއl`Tӈ38PyYiP@wJo-Ìb$pX68RN+1W^co!89up Ƽ&'Ο6w3f3MJF 6o(5:Kaal8. :n й70hcLQѯ-DR;qHqhp,KX֌kCwc$O 񖡐#rc%hUW|NN=^ dHLhǴ;VQ!0F8rJ7\ܗZSp.a#kv3sz 6m@(C!i=6+g2a9pQsӏ^?F"qvfSL],S@`28qlt*;A&bW3i'XugFz>9 >/γs69;L͈]Rl >ax\M^lGdԔkVXįmA7vsV͡B^0 |ɐAWc?L="dlvO!b rt}%~~s{\smsO&8NOx`#7 ukںLYˋ˯ޡ3zc7a q 7Njrvi!iIcpwb&I*1.SvQ->h|, vˏD,d¨tb5J:8U֐wPW͢)!JD:GY=ߠ ~H%- ir0hyi\J.o|$^;Rj]\D,-qwUm/ƌDp/ v6(RSnD ?kf;I 'qo.~Sщ28t. hS+,UzWu.&*3hxV+| :e1cYg?Ȃ;ss4vH p4N}Cxϛvi4 FH_9k)z@a[Gr_;hqk<~3|C\`9?vaIwظVxa0\YS!<%V~=_| ԋٺGz]o<c2Ls[po΂מJ!wW{".1% `9]4jwaBK^T. #Pi{ğ! endstream endobj 6549 0 obj << /Annots [6550 0 R 6554 0 R] /BleedBox [0 0 612 792] /Contents [6555 0 R 6551 0 R 6552 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32623 6553 0 R >> >> /Type /Page >> endobj 6550 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6551 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6552 0 obj << /Length 19 >> stream q /Iabc32623 Do Q endstream endobj 6553 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32624 20690 0 R /Gabc32625 20697 0 R >> /Font << /Fabc32626 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_jM ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (֗C9PmтGŶ endstream endobj 6554 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=533) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6555 0 obj << /Filter /FlateDecode /Length 5100 >> stream x<ˎ#w~EP@I* Yè^`|xJxL2`0^ FZ~[̢>R뷥֙%%߿G.?]bUkkam6rH:?imʗxq/g:i6#=_G߾,3t~2~M?߾V4pmch_g@K4JQD35.B&X_5AWo݆ن/52d4}eyiKQ ҦNml-;Ø ӽ; ("`üΫg a*t .gvZ#XCc! DLhR1%BD;2&F<x!ʒ욜 5ɏUe"C%oHGgԟ^] C!~" Z ^{UCVHR<ysqyhf! hT)b0EU9{E0 V(RL17vuuPDWmPRa:ȴf}@j`oWt׀4H1`S%̆N@__` 0xnހO'TQA_z$%@D2~8CH5;]:"PmnmCfiṴۧPY2LТhP٭:* 5 *PCW(:.`̊{ȇW*hŵA@2 :-UϢx `#`P;ΒZ1&,ukI\I~lu@e4lZ0P+ F`Q;Dd _P 6E <:՚7|K"V ^`R Tza94Ђ`٬-q;#= >2oޙVBi GăuӱՀ%H H#"kARy5^~}k_шukWo*=p#Z+[rxpƕis_7DG78WTE%Lu'OC vb A%\;~F8םT= 6jc~|a'Lvh,o 82QCD~RSKCbfq!𥛅ͫ0-nJ_a͐TXVTWӉ~R3W7ROYooDbhf=x Fh7mL9anz*0=gV3U*~f?YϬdzCE:eOkݐl|t\^|l8^]uچ'3vqDε|Z i{"} ;ezg|uir淭og¸?Pp}6u89-ò5V]C\HyDt `|܏o;X;GnV5|,~_w&*&Áj&~R?-ڨ^E;/?^Ѹ:CuhyPGuh|uh>CME!M_tHBǏUh19 YXS!nFk[2è:#5Ht6WuÍ{[חc޿ Np=ٹO[oXÖ7A= /_M^yGEo;~ڽ'l o BIJ]BRǝVNkqcR𥨚r7OHO"qk19@Ƨ}_ep`x` O'U ZZ6) mfmU+C>Hw[[D{Ͻ%Xځv]nwon5n* ϱx̓j%o"'wӡW{\i|L'ƷtA=թƠK@G0u<>'9Bunn/I//nz4_NDЅeP!XW hx9OL[&%bCLWTC낧hײ ͧPχ~H%!ai._))}`݋5:f؆RAχR/6X.SM,`Q oo29b\P}, 6.ۍL5 ) ~ =59Njnx59W']w>76_;{;qLmvTm5n#̋._m|Kn z)馠G֟Ƈ,­'I\L.k#0:SI3t>^NB/n/GgK2ɳ\441/<ɸ{o?s/ :;plBJxCu7/ TLw؏;/U{FJk/ L(,2EOg}`s5Y endstream endobj 6556 0 obj << /Annots 6558 0 R /BleedBox [0 0 612 792] /Contents [6571 0 R 6567 0 R 6568 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32642 6569 0 R >> >> /Type /Page >> endobj 6557 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6558 0 obj [6557 0 R 6559 0 R 6560 0 R 6561 0 R 6562 0 R 6563 0 R 6564 0 R 6565 0 R 6566 0 R 6570 0 R] endobj 6559 0 obj << /A << /D (unique_435) /S /GoTo >> /Border [0 0 0] /Contents (get_bel_pins) /M (D:20210608081201-08'00') /Rect [104.1732 528.0077 163.8867 539.0077] /Subtype /Link /Type /Annot >> endobj 6560 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 511.8076 145.1812 522.8076] /Subtype /Link /Type /Annot >> endobj 6561 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20210608081201-08'00') /Rect [104.1732 495.6076 153.6732 506.6076] /Subtype /Link /Type /Annot >> endobj 6562 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 479.4076 166.3397 490.4076] /Subtype /Link /Type /Annot >> endobj 6563 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20210608081201-08'00') /Rect [104.1732 463.2076 166.4497 474.2076] /Subtype /Link /Type /Annot >> endobj 6564 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 447.0076 180.9312 458.0076] /Subtype /Link /Type /Annot >> endobj 6565 0 obj << /A << /D (unique_598) /S /GoTo >> /Border [0 0 0] /Contents (report_route_status) /M (D:20210608081201-08'00') /Rect [104.1732 430.8076 199.0922 441.8076] /Subtype /Link /Type /Annot >> endobj 6566 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 414.6076 165.4707 425.6076] /Subtype /Link /Type /Annot >> endobj 6567 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6568 0 obj << /Length 19 >> stream q /Iabc32642 Do Q endstream endobj 6569 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32643 20690 0 R /Gabc32644 20697 0 R >> /Font << /Fabc32645 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%T)C9PmтGŶ$T endstream endobj 6570 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=534) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6571 0 obj << /Filter /FlateDecode /Length 2323 >> stream xn,ί@3@@31 $9O~jc73Oҳa -6ګXŖ;aSO/9,oӏ_!kv\BЏ/wjm:Z#xp<TP xoj(_p<m=X]|Dz+(aY6 {X>Y[7I-:mDAgiqMd[wXpx9#w\3F3pFC[:e]kIvCftPAr7 !B8yQ]\yG$+ʼn!;* . &)T{`i1;E4n}.m=JUhţp )˜w3|Fd^@TsEAW(&t[n5꛶ɱ,op`Mn+J42?/KCO듯ZҵXmpm6g+輀4lX_9]5`F`xvH vpBU=l ~ $>V3[)(Z'XP[gcRCض{|m >Nyo42>BxwwWZP)S!iy_Yݓx -Uo){{'G됩~JQ7]熉03$Bkb|MԻ^ۍ!](Utp*$s^*|9[%os[=OXΎ琵R?@rd0so%P'H RT" '?Mj X< A FHʆux3x;R^N}|D;&;lPՈ|SӝŇp1Ǻ+)B}J) ⊔)7C ^pa>wA;a/x'Da|S:XY!2NPߡ^:;LU/-qͳ݉&!#JG%l7 {M3uHRz3hFyL r !h_ò+Q Kwd4[(x%?2O/ʪvЄLp1˷O,q*x~%pD> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32661 6578 0 R >> >> /Type /Page >> endobj 6573 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6574 0 obj [6573 0 R 6575 0 R 6579 0 R] endobj 6575 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 368.125 124.1605 379.125] /Subtype /Link /Type /Annot >> endobj 6576 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6577 0 obj << /Length 19 >> stream q /Iabc32661 Do Q endstream endobj 6578 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32662 20690 0 R /Gabc32663 20697 0 R >> /Font << /Fabc32664 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=@dQHLn2Wp:3ϳ-nYUf//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/j*; JtJR> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6580 0 obj << /Filter /FlateDecode /Length 4249 >> stream xn$ί~Ic!i;K~?U⫻!Au]*ɢWl7YN&]wq,<;iuKt!ZM`=;Nc_;IA}>LoZ(BRFJ\|upU_~ZBNH-jjtZ0? U~"3/9KTk0{VFg72ppgV@xx>%ލa1>:wX/xWxJUP<fh wT<܃A0F/T%"fp}_=wV/!ECL%EiPp Ԝ_@Ajw$y#- n>DqHrb=NR yRlUJ"4 [jEL:`bs-f! ! 4K|so Xp C@y XKӣk  ^şKp_]ZTQ2A}576a '@2._FG:  "TֆxLMaiC|@dz}& 43m80tq[xM-pGJ6wESCAz' -bMD JT&0I]!2[lpk'#Y@_6`:@Ѐh _\=/U4B2)Rosaӣ5 Vl/}N8tb9uf߰tC>_74快*]5Vb rS(1ج @jFf,`np1t7%+:皎Pi@prYb DB!>/] 5lע.$1d;JEDGi-Ϸij#ߝY~sR8;֓cz)sI[jKw嵺ư>II'QU1>JK05tY jZt 9.[(64Ӭp V:[%=#IB |[|ҋy3 ZLQe8^>۷6?ÀsT0r9hG{<^Eqw \ȷ~''Vm@z^OJ۾EfND+qN&Ypݮ&%+S|A`p;VuŠY}Zk+_%囐#{@v #$q=,|!x+eog"Ɨ}.(4 e@-$"J"`4hkxI:h$ߩ!L? "̸8Q؅B\ĭU"5(OQIzH_s+*+u`i֠0 :1u&2˨5\\S`{K{Fe{q[|7¢v1-)#wSxf3NW4tFUlf&ڙ`GL l.q'7Z^b+ ZdCg wE/ctSZ:MARTڶIX?u9AidTSΪ}{[щ\ +KK3g$$ AґkjjOˢ/! %rڑ~I]ۺƍx [sٻ(m*;7vc.&zX0y56Kg:{Sbt<; O'IZ51UCD$~ȪHD|BWPÃ21 :.V®5XOQʎacU[g1E*`~g-P"wkY*E6|)8d|\ml\S=,'95 I=!%8 3ux-b>,Q~Y[zA!ڼ K)LCNKaj2emf]gij,Z{l㉢/jv\ ]e]kB=%G;@0|4x[OA:;e$bp1vUcL[lT5zHH!x KWi755ƭè%p4œ>'K;N_b90 dvX|\ -lSeܻ6|q1~+M;ApxrU6RA/Ԉں \E>bKDX/?@<Uyaw{t~1fJu!#BiUEjbʭwcI_nbY]]≢2up[ *&.,UiUbD]kʎDҝFG/`pt?2(p pxx3?>Ȅ;I1h p\& ̕ƞNsK1OZCݭGsx'oxujFW-P3A(4EU9>_b9FD4=R0.wEXDƁ%P!")Qq`2BPGmrRb̥H?Q}Ql0Bv~ ]e#̰!ڂ_ZO}:b7xEV:CS֚ .Qز7EC֟3+ T85^ޘ8/:d` jrݶR ʋ>Yo|a&{9'iAqU ~}Z_ p4N1<q0넱^M1̶K LeCc;BUN' 3'1zLv:R+:gHO; pRu ypc%@Oz%0!qĘG:R?u9u:z}E|ge$3\->?R+w1Wp:(Y0R?F/!nO18ѳ~dU C5:/-믜J{ }1T&f%,^q:/Ha} endstream endobj 6581 0 obj << /Annots 6583 0 R /BleedBox [0 0 612 792] /Contents [6590 0 R 6586 0 R 6587 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32680 6588 0 R >> >> /Type /Page >> endobj 6582 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6583 0 obj [6582 0 R 6584 0 R 6585 0 R 6589 0 R] endobj 6584 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 354.3731 165.4707 365.3731] /Subtype /Link /Type /Annot >> endobj 6585 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20210608081201-08'00') /Rect [104.1732 338.1731 166.9282 349.1731] /Subtype /Link /Type /Annot >> endobj 6586 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6587 0 obj << /Length 19 >> stream q /Iabc32680 Do Q endstream endobj 6588 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32681 20690 0 R /Gabc32682 20697 0 R >> /Font << /Fabc32683 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7gethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R._ph#bwo1X endstream endobj 6589 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=536) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6590 0 obj << /Filter /FlateDecode /Length 2711 >> stream xڽn$ί@zQm9>)&۸Tu=RYWVi wx+_ts:E]NY:>/9y X&٤Z{^:ka|8OHA1/"=XkuwYbJ$wvx(~]Gk39X"v{~"x]{#8!PV^0 Gޙ/3‚Z!JYmC9sF!$2_(>2Z@dP ^\Pt( Y8OH' tH}>r(KhҒ]nreĊ UP4ng`)"']EI@`X<"F2\,<5?ض},ؗj\YeP cq~m^ uĠvfM ̼L Cs^`$s2>Tv3Puwj%M)kw=W| ƁIy Sf"=~@LGC[(țJ.J0*A&{ Z5fpwa @8\h'U4;xUbɀǯpwS q#LL1"q0 i쳸 OYוao.)z|R_5KLo9q p` ֪q"'LR&ÿ`v*DMa[/WwlGr\C>`/hSug@ '=^d}ӚL 6GoBegZ", BRYLN-PT '|Fj.E0d'/ slقM y*mՎbm7c5#Z7 ff_זּ:Hv]*J616$:"&ȸ EsJ*x$ĈVa _0E_!z`;3K]uG^|uǛ k {LW',PR|{ɇ,ӊeƻdVҗDdԚݝ C"4"TMb%%UZ^kK]Rf7u!-N@}#vIDOs&Bߙ47!At ~BX㷉#MN Ω@M~4k4fH^;pM_t.>[I}it^*A0 0ZD2~6lc$# 7!{r+qw; d܈ #Q3?{]U}c>|,Li վ:@\}͐U0F{ퟣՁ ׉UCDNGҦX&dO6 Z NxH #;> CIDnѽmdfezVG^n* ~VV{²G:ZS [ RIa~C4:a|Ė`>Mرtk@*r;#r*툋gNWicrdw(O%FlOv 0>@7MXWJ]IU| ByQ)$ [C5R0S ,xv&}1_ c #Gm&Vq>,V/yx_t1`|џ!܄ zr=H ]4Hn]1ܥ\]S?L |Ex^e'՛Rgj!߅1|]6̘3hpS_pw׻1` u0Mq69L:$ j 6% wM_Tϰr}Qk%p798NV+ph;obaKQsò"oDVD- %,$ъmʜ;ժg&e=5f)mF8Wpy2#jg#-k;-KUkKRp-[ю< *z|Fr2Mf@K aĿ ]oZ@%#(^l <1U<ɫꅢp "9N`RQ x!Ƹǣam#ڦDJ$)nKnK;?31ʷP& 5,ˈ2؁Xv!oh"y4(PyyO/yjv?QdhB&.%΃7aG/d@ +&Ljm J塤Fd'4䍒jHSBVM6P,a_XEx P*;q;Fߨr%7{܎ y.꩘oV8<(Bwޯ0Ih,:ZSBKiUZo+ih`L#H"d΁e#q#\~ xSE0U \I+{BI#6fb0" '؉#]aҪFAN nmmml2SWx`dnv:"zSG&XH]L endstream endobj 6591 0 obj << /Annots 6593 0 R /BleedBox [0 0 612 792] /Contents [6599 0 R 6595 0 R 6596 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32699 6597 0 R >> >> /Type /Page >> endobj 6592 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6593 0 obj [6592 0 R 6594 0 R 6598 0 R] endobj 6594 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [90 439.125 140.952 450.125] /Subtype /Link /Type /Annot >> endobj 6595 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6596 0 obj << /Length 19 >> stream q /Iabc32699 Do Q endstream endobj 6597 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32700 20690 0 R /Gabc32701 20697 0 R >> /Font << /Fabc32702 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n@ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛM endstream endobj 6598 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=537) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6599 0 obj << /Filter /FlateDecode /Length 3963 >> stream xڭn$ί賁iM6 H;rsANA SO>zzF^&zX|[b /%ť:%.-KX>.{6n[|S)GCOηq}nW/|ln/_dcp_XY욷 j ۲[e75u-i[Malgfk |=] ; K)WkxgGpݶOp3ي錐灄 )?mF8o"}Ն\VVuG1.yl2}4GH 9" dr|Aᅰ[;kzlhk,Cci)#<DG/BLbc뙿K}= aOT"3ٜP7 ȶCy,wmG@.2\VuWy|TY(y-ϰ q*ux1 %tkXOxXcѷKk<@ `Ǻưu% p%y~5Aҭ R '*D@!.FkYqq}m p;u%;]>('.%iK Zd:7Oέ.G(=b 켘FUvkyT{TRŬ㝜! b]'T=*lK]p<"*HnO&!y=S3k($CJ@[~y+X}eD֕LQqUi>NJIk n{ʒCa#B cm^D8|Vav4 {4$!"Y#9hooJWtOx̲F8[B"Sk#Jc]` v{<:GeRz_VޫYL-3P(,U/1550,fЈB4Sge (sy#A[ҕ*y.qʸ\1ͼJ NAW4tņUibftwl&MXd/":JgHF%ϸ\6,Cgwė,=46}d߆H6ك2Y  ŊZ1+BSqMw+Ct#, z"hc FqsЦÎ;_Mg0rbKܢLlxthB0"" Lf`l̂©uH NR#S@=~]3R@1p-׺$-IAnw_2 < 5\0FV0#`D~0꩗cm;Y8{ 7&{OԵr 2p-bf eud3T&_ &qwO5Ai Bl\f/Tb3q\E]K3l*h{X)h: o#%1=#Z2D%z%c)_Q*Y=J:jϱp(2L:m%|ETAjpr2$=Q2-ŏNA8+0{Y~QzWu#@YX+K@Ѻ5¡?pEchf'3]k3,ܙ&1٢ń^bV$ pJ$Uk]&f:)rpLثR-W VfPKr'ǦiP(2]pAޕnVtE( 3 fи;"JЙbZ!"KMB#'~tyk?O;n]bt5}4hPs d?%NrA!\ș9z 5'VP^o[ FGOkф2uA#o.spRDLαד. )߱n`O[/@L[I %( .uZ$/ ʿ\^%IHCgxqĄb%GR('4@ejP\b6g9Q,sv2H-q NP;ANWOl3{S冒{JfRI~L!,)z 6.㺲cf~4CHф8-$qgax~iMe8$3z2osn~GgƷj쇬M~ZT,pzf޹d_uvxdwOspxF{g[d9s|8R l֑ʤ!dswuXuGS?RƊ]s([j^_(xElv6˻mv&\}2va[ab/0ailG, b9Dx-oAN0긱|] L n 0ﵻFVІ@CFw [[Bncn1_ލ|B_ye]3݄ɗ{R aF"ɜ6\n- 7rk絠&2Mr]0d6"09.4L6bo+3|ɯ8Lp)FqR`g%_] :꩚ 5(Ի@\x"k6tS[h C(w;Q·!|׷6ZP.$*RûxI72׿ILEZ@G(ȅ#nݭEy67v )Kr$*lxR8 aؾ^zD[_5ԬösR*BoljS  g/i"䖶3, 9m#/GG|sl_Xf&Ũ#&3:fٖ!@VPzӴ{يW4Ačo9b\2}, 6.ۍnU>J^rl~ZToP?i\,,W`:p[b)z_ ɭksi`b,"j3&QWP_dgt8=`_RS$*d3|>狣7/g||{d]$iO0G}p&cwiB!IOkt]zy:^AJP/}MeM[|^n#?F^1>a ]j1gQVz endstream endobj 6600 0 obj << /Annots 6602 0 R /BleedBox [0 0 612 792] /Contents [6608 0 R 6604 0 R 6605 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32718 6606 0 R >> >> /Type /Page >> endobj 6601 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6602 0 obj [6601 0 R 6603 0 R 6607 0 R] endobj 6603 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 150.4282 608.2] /Subtype /Link /Type /Annot >> endobj 6604 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6605 0 obj << /Length 19 >> stream q /Iabc32718 Do Q endstream endobj 6606 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32719 20690 0 R /Gabc32720 20697 0 R >> /Font << /Fabc32721 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7gжYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLZ[/? D?l˜!E_@1,s#9p!g9`Ni)QXI*d~{QUh^@wP".*x Pڢ_Ћm߽? endstream endobj 6607 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=538) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6608 0 obj << /Filter /FlateDecode /Length 1455 >> stream xڭWKk$7WЊR{rs!7qWRz<%6=㫷Jj-'ӋsN)o_:E>?GC6/wjm:X#F'NTP 0ޛJ.EFNX~]d>X"?x銹:=ˁN|v3D]+L "{֌Syj˙ XVv_w[Z,xwpb1c֐Mj&8_1n6rGBo)Tp]jݵcյl6^lbif^5sL:}E$ &e~)L_!B6 g!bW2qsfP>[GN[8Ϥ-0 Sp/h3SP,e@PW!2זw6XDsѸ2\Z|C;"v}H='zHt c ^ۄ`4$,>[~')eN 1M!y=kRvr 9MPUd _Ifs$:;WEPEG3'jhkhJ1Ћ~FdD.# I-ЊɰD-JK#Fxu-.;ȮMb!w;u ocdA{bP/t:`y}X8w6#rHj%iYɚ8lG ga7uBE%p75/rWg@gˤ̏M"E Fhk-FmͰm#1!xShY`6o #8̭HUHG1Ru_G;nyݲPG;$UDdÀxj/Ss$¦.ЛI+ a%f AJ6ǚbg*;fWj$KՋD+ײړh1p,ktئ{QcJ$xbKe.f{ӬUtnЇ1SCmeX1r~ge=cWrz% 3㉘Fj(u6F* q 8DLUdF\츅]ZIk8ƶh(`za-NB-I䍒q:rcʕU僿uɍUet~TML*Af 5XM^RkVGs,]>(cںN(1c~ JFf@m nydw\M40~oN#z逧{!g5//nQ vl|ӡaO'bHD׃/= Q ЈmX^Q7hjᅴpucj>> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32737 6615 0 R >> >> /Type /Page >> endobj 6610 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6611 0 obj [6610 0 R 6612 0 R 6616 0 R] endobj 6612 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 358.225 118.9685 369.225] /Subtype /Link /Type /Annot >> endobj 6613 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6614 0 obj << /Length 19 >> stream q /Iabc32737 Do Q endstream endobj 6615 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32738 20690 0 R /Gabc32739 20697 0 R >> /Font << /Fabc32740 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ3 endstream endobj 6616 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=539) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6617 0 obj << /Filter /FlateDecode /Length 3037 >> stream x[KoW@dY`o^ C;%?⫧G q7ɪWbaM[mτ:Y1ld=~7aNaI^?>}0&|-ZQB NYWin =_՞V+oF]=7Ba~фbi?˚ᓙ>uߔ'ڎhe6ƭL,Y@ 4tw|7iF/tTCG=.":y8` )H#;(xw:;DlQ9(G[݇(4x_2uFVD:784z<7z1!Z|,!`=m2Sڂy^׸&!f`oP@{y᷂BG.[mh_|8vqbF:!ޮZ<R)`Ìo3+̳:a3(sW3+:SȲ.`_Gmr&J-Jc7< utCvvZ6N%}SB-{#iHjQeQBh]E jpu 'Ĭ8d8Ԅ[8ܔCKJJ}Y7Ǒ0P,4j5bSҦ=bȳ?kŭ>y(X5V.M̊NXյ0 `RW/I]&u L+Nwm!KBqs m<&9if5{>!Ɍr>",seB^fx|wŪ(sDžUlFTu0/!v/vw{M,rR.w6Hȍ|C &p›'h1=F1͝y|`~rSr[C)cl0aW#Un>Y&Bu=!,yP <!;/W?2<׀gqŪz@MmaxDfY ;xW΋@fEvT_[A@:;da,sa=]0!>Ƶ=۶pCJ{ ju u~fNj*ԝ(bAucI}f=|Rl 1yV/=>w #-pw #0Yy׷1KChy,@w&c2`Zajt# b#;j43`׸WCƟ,gP׎N[1ٺɚormCyQQ4` HD6AKG;3b@my1Xkyw&)ww\.#y]NqKMe'ĵ55e}kU+׆b"7<.#,ag1a.?E^1ZMJ;x1sJktj Ŕ` -V`]B̞#U+ub [nЀpI`2K\ *cjf01xIe;4$/B)<.!7ALJ&>pƎOM+JlHL\ӵ7L kB̹5U(!|eWK1og\أhnr,;E [Mn=EuEʠ4לv+29d͒Vid}J ֑#'(1nJ{=Yd+mȓd;)54=OUT{oefXcꆴ@i^VT3Lf%}*-lcHa%VLm0݋)vߖfA2ٽPdwmZ#9~RCwƜڡ^Xj9ԛ|nǻt}$wUc==;傜g(W}$*,|\( 6N?q B9(n͝p߃$ ~Ir@,gYc=?ֳzYt(Or`]1?[mwbw7'Tqigc~naY=wmY `t endstream endobj 6618 0 obj << /Annots 6620 0 R /BleedBox [0 0 612 792] /Contents [6626 0 R 6622 0 R 6623 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32756 6624 0 R >> >> /Type /Page >> endobj 6619 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6620 0 obj [6619 0 R 6621 0 R 6625 0 R] endobj 6621 0 obj << /A << /D (unique_56_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20210608081201-08'00') /Rect [90 268.925 151.237 279.925] /Subtype /Link /Type /Annot >> endobj 6622 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6623 0 obj << /Length 19 >> stream q /Iabc32756 Do Q endstream endobj 6624 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32757 20690 0 R /Gabc32758 20697 0 R >> /Font << /Fabc32759 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7g-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}KN endstream endobj 6625 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=540) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6626 0 obj << /Filter /FlateDecode /Length 3855 >> stream x\K$ Wlz X 0==m!ic;f^ÇGwWόuoT*HQZM[m/yr뫮uVǠGU|CA;._a!e~2&<>3x7ֻh7*uU'Zݱ=mo(4];)MY?Q &[_P6CFxdpuvJVr߅f&m8L_q^1t+dã1$ӃnxQ1v#v /)~ CXvIMCA iF8 A}G@=9~tE)TmGQ}&@zo]6+}.5I~:s%鏾9ذ_=stօɛ|cWs`2o8ڮ2까\ZޖQeD].- =TYXY _|Fw"ciF=Ia֌Ôi')qq,;p;{k6OpUa}#dkEŦ"0,X|XWk,,u8DNt|b'SuS0wy%8]Bo|@T7yaUcשުW7ea2!(p4k.JP]Q3ff 0RiMcf-ëvwwnD@_@Ѱ` qC y hA`|Ea@|>H`!|8 ydOjOOxhp乔cBd7:Du݃_#LV((etZe0u_\T ! SM+< .l+g_67`~6?+`g;uܓб(nb{:6t<^vxIf5nx*.KŘ1s3 =~i \W /֯0Um{sAHC̀ v| 0a #amV ̱ؕU1?{n=Ȑ_Nظt9cw%{\I[qK2{ zDE_Feu a];[faf>p94f똬j{,-PAF1 v7w h/0 FE3K sǺ ݳ1nh햧{swbM(ݺ|Al MGc>S}7٩®oW<i{ktgLLײbxN"U[\rqmԮm CXBdtF_n-,^%l(8()Q-{`Es,Vp*qk𺤼únj>#Vjy~9V-C|êoC]i2–تZ@-,ƐZ]Z~*PV}>Z ii֭}DZp~Yzʻ= v C>ЇGkz-lRqgF E<0z :!CFQr+|"PMYl0Ea ⮉iQo,}ME 6|(N[UWzS 94V:xX@*39:LǒǑDr~!0z7>RBnhƍ#O)=$ߒ5cN9M~3ޙrUȞV~1{:_Ϟֳi/{Z]ɞ֋ix ֭"vqHmp9)b֭Ȗ֍ɐ uDΈs)ϓ _#>>8SrJXu- X%OC^$hQ#+*;1 pUVC]T.&ޛw'޳I>wӨ  ԑ,5z9A܆!NN ޗ}]TY~)+hfpH;h.vߠ`Kf|ӳ"9fDاdUCc/c&՛@] pRrzsa%{<] biNXͩ%ߓ8M|2"Kk)@MB+WKA+)WVPg9]1 П_B1jONjlMVK18K81}hP,s6G N7 7 =St#d&@n.&[p?8z^JAƳbB=.!SKKNh# >q!|p;ŵ?Ni]I+"DL.HcmZr[rI|&Z <2Bvuu*i;=T iX8IF# `"*Q'Sn4d\u]wapxZ(11a^#y3Y%q^R[='(n!>T7a|^]>bZ2ӷxhWW4[IԔoΖ7q'cΕ)/2{Lp O]BWDllO ̒ hdIJy,š‘ j饔v™ _ xa?l%H8?_K)\.e 3(ЪRjj۽+3QR+W*.)˒ϽtU1ad+#΅9R\Tl ~녚B톽59gi;}V]a%E.U\~Wmp6'u,[\^Fa7V%o*E[ vH=]N95+]d] \yƍ "m`#D:sO51{ G#r,S꩚ X3M"mR<i(CӖ縵=Mc> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32775 6631 0 R >> >> /Type /Page >> endobj 6628 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6629 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6630 0 obj << /Length 19 >> stream q /Iabc32775 Do Q endstream endobj 6631 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32776 20690 0 R /Gabc32777 20697 0 R >> /Font << /Fabc32778 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nVUthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6633 0 obj << /Filter /FlateDecode /Length 2263 >> stream xj#I_EǾXu[C<и0o%ev5T|}Ҽ~)p`ސA;kM޺>^dk[cѺg56XW֟F᠞٤V|4̲=h`;Tw yo[2 {O^brB1"eX{FQDaqHVX83iV|@s֔ad2&'އP9 %B @ڊhR.^J`="~? 'fjow+e@('P] LA?fRuΙcx~J3 4c@6Ȣ' :b\+{ }g˞B]w߃61AսL"f7$-rC`7kX5VY8h$"[f~eR`Ɨ# 69 A2H CePۅVLFpDKRiB:$F^1WtªP^;kz!^h"~ABrvJ9rˁ Pԭݍk \38m- AFPўѠQ qc1(rN>SH9Ig>ΞBgxMAP_ ?x^yT_.v~ǍvH4,`D2āiF|:&ˬC]:$%!jNFň;R8UA^2RM,ob8}P#5ez=*3-_c MQBJ!Ś QB)x*+n3a*BZ2@rkv VZխVu,0n&jeom(Ү^^VBsTޢ]A 5Q8oLv^K'\1}jP؎CHOwKhN茤ڹQ2\dB'_l:\z>G`ʧå|*;X{ݡ;QX=ͮqy^J jAIjwm49wRW4|{L\^uwGs]Fkm%MƎ2،BQv6UiBrʟ:ުqu)sÚV!,D_SHD9::Bk]?Z/:|1r/ '(JHk4l gT%H|Y^X~ l\IC I|U`A׻NܝYsL5j,ެ%S40g!m+Rig 8HH1_tS!6/76fP\#$rN&&:G 8UϹz͹~3+ yy]xW:s ;uox")v @ִ8 \yI}:8yqS&,0YS=f)EL] bE`2ZށdM, r!(ka"bwm3?N]02ǦwzD-QoՇ]ylLSB,Mq+`H}wOH=;\1M \"s v?.Nִ>d'utV6P I '}Q!cƚx$;lcDZ,s69q~AYГ1q1" 9u- eISsƦp $ys<,KPD{e+H_2IXvOwb4Z)/(/bZP&9/&Dۅg8/n".)*DH)c~Lq= oE SI\ -?w- endstream endobj 6634 0 obj << /Annots 6636 0 R /BleedBox [0 0 612 792] /Contents [6643 0 R 6639 0 R 6640 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32794 6641 0 R >> >> /Type /Page >> endobj 6635 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6636 0 obj [6635 0 R 6637 0 R 6638 0 R 6642 0 R] endobj 6637 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 363.325 118.9685 374.325] /Subtype /Link /Type /Annot >> endobj 6638 0 obj << /A << /D (unique_56_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (Simulation) /M (D:20210608081201-08'00') /Rect [124.2815 363.325 175.2335 374.325] /Subtype /Link /Type /Annot >> endobj 6639 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6640 0 obj << /Length 19 >> stream q /Iabc32794 Do Q endstream endobj 6641 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32795 20690 0 R /Gabc32796 20697 0 R >> /Font << /Fabc32797 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟB6e#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶXR endstream endobj 6642 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=542) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6643 0 obj << /Filter /FlateDecode /Length 4020 >> stream xڭ;n$w~E4PI-݀O72s;6KI7=,2` 2[,4|}]z[R\.K\,zw˗s ˗O[VN'#}qø'[{6 ?__wɽےl_<#<-/˿/]sʮ6P]n럍_#0;-2\ im-:+}D_J6{x=j-lcvy^OK_}_`30f [ }&?ZB8z+qE|ª<+pXp/.{֜}<5 s'5j,c?~_bZl?otY8 09 x<3|[|O^O2Wh?́ ewm ~N K?GF29ݰẍF q2 yg^]Fdu\Pu% ;s< '3"坆_?į5 #x fXq|>3Jx1fpމ5֍֎_ACz`+S[<ð("q#>3l!ݳ5xgW{?|ִz؆>3G1vﻜuD9JgisG0| s MiARWߩ_sV.On 5|q-y۵P^6p8E54F4 >{vZ{5x)ZU[o#l݈(9[nInϢ&!$ C=*14ꆮ*b#qחJOx\|`bv?ebIۀxtiY01 sK ܸpK +7sa)?l&C|=p6Yۆ>F%l}=SaSNW؞ ';7;V~ ~V6:?M0RPo0*OaE]h慥' vAC]:[v٫Gm=kZ_ՌyX N.]yM-%KS&P-_rWO^9E)YP]cM-[ˌյ:K2W|{~Goerp|4P׀:nKϜ9g8Y ٽ:xS{'3DURfڳo8IZ1>%FH7.J_gB R}*䐛D]̛V2*xPۧU5+? ~HʋE&ZKd~}$P@?(BSP ;j'g(QgKk9d~zܓb!d3r *H]`]tş91W%}|Zٙ- je,aOk3TfkD}In~l2$SϒA`=ir@unխ ,[ْ\ds:۾"a"$x<-'+%tt:O9wlfFUP5z.l˨nۥphۡ ^LafΕ^xmdt@ݗs归1]E(}옒zaƨk"`)DyyG9o"Ss &UÛ ZoZUܐr߅d~ #5a2t25MMMҬ쨻-)TRf iYS}Sw9(ݨ\Yw`ܠWބFq064#F~ܤ( xz%vbĪUJ⋡z,d2Q#OZE:nbS|#T8tq9DߝYwJKXc*S Fť4+~Vm*ŷF#>YI" NtqBPnގJՕj/c%+4=klXr)vMɯsL54;Kk_8ͷ: {JkK@Z0[B˷Hʇ&rue( Nk(]Y-_?cn/wco7{@GYy-&^:0cZ@&af\'C.kXp3*v^ 5/ g{ib`\Na`&j.a3m_&dXfnG 4 4xY67%FYO؀x2C V7e4cQ5ZȮw2DLLSڶLֻ݄ze~@w|T&I;1Ek,!T,Т+n5 e/d&z R00"pL-p}R9bHwyQV\/ɍ,3 !Z-cq49ԱAZ8[ߤńr*s\854.sH4<p??[oaY^G|s\Xf&QGLF&f9!uVV(ib;`Y9΍oCnB .I*H.c~ JLq[ L%wUlsb_ͯw{J;-J@BS^tI%Rܢ1éMTI"G8bpROĤwT'9 3gلzUc` s8YȔW~^_YjJrO>R4?,=7 |70g'+,ͨS h:oӯSuWUyw:1P%Cx2p_?yA-'"7ָ~, bTUIǒE /']V endstream endobj 6644 0 obj << /Annots 6646 0 R /BleedBox [0 0 612 792] /Contents [6653 0 R 6649 0 R 6650 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32813 6651 0 R >> >> /Type /Page >> endobj 6645 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6646 0 obj [6645 0 R 6647 0 R 6648 0 R 6652 0 R] endobj 6647 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (write_bmm) /M (D:20210608081201-08'00') /Rect [104.1732 412.6385 158.4857 423.6385] /Subtype /Link /Type /Annot >> endobj 6648 0 obj << /A << /D (unique_155) /S /GoTo >> /Border [0 0 0] /Contents (write_mem_info) /M (D:20210608081201-08'00') /Rect [104.1732 396.4384 181.7837 407.4384] /Subtype /Link /Type /Annot >> endobj 6649 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6650 0 obj << /Length 19 >> stream q /Iabc32813 Do Q endstream endobj 6651 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32814 20690 0 R /Gabc32815 20697 0 R >> /Font << /Fabc32816 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$V endstream endobj 6652 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=543) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6653 0 obj << /Filter /FlateDecode /Length 2481 >> stream xڭYn$7+x6 P(z `Oi߱1*uKm"=^)E;m_y)p_S'Gߜ~ |c@I3di8l[Ix8vo #s4:+իH'*p+2_! ]O޲@B\vW0v{5D֫CO_a?T<]l*W8^K𸗩k/mnhWHL.<7I@l;(gZ)3iTQ"#^o|-Z`E4LWEj!f\΄+jE,.Cl*Ecx90csw⿾: ]@oZ@%OG$t D/D <1UmVᑋ!J7OЭE굴Ocޯ'N ߠUx7Aד1S =5rajy ^q\}{ CΘDJzIñ&؀~ox YsƟ,4x灄??_ "o/J9a$oh$c# ucpVPs>ț.b MgWq:UH9}z]gn<OlCԍ5ZM/xRS}YL?G endstream endobj 6654 0 obj << /Annots 6656 0 R /BleedBox [0 0 612 792] /Contents [6662 0 R 6658 0 R 6659 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32832 6660 0 R >> >> /Type /Page >> endobj 6655 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6656 0 obj [6655 0 R 6657 0 R 6661 0 R] endobj 6657 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 359.025 118.9685 370.025] /Subtype /Link /Type /Annot >> endobj 6658 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6659 0 obj << /Length 19 >> stream q /Iabc32832 Do Q endstream endobj 6660 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32833 20690 0 R /Gabc32834 20697 0 R >> /Font << /Fabc32835 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w* endstream endobj 6661 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=544) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6662 0 obj << /Filter /FlateDecode /Length 3003 >> stream x[K7W;| FQܜ bONbfg5Ӓ,&r7dՃ&#J-M^eiLI}gI羫ust!Z*A)pQʛ4*-^;iSkm|;#McmmBtϿʧg|^Sѿ$_ÒJjia3wqR80+$2G X  G#?#L.@9ze<< Xu+Ra j1Yi"N ϡHmPŀX|dcj]&!ku'4T1*GΊ5> &sX6A~K~|5n ]hP ޭBHu|hڔ!TH5MTvv"3 &0$N H:`Ls4;y6t[(f)ڂ~u?[r[p!j'Tͨ{e9kghWsogLu's@-v Tp#{|KsP#l tn]O<N8:y!%SH.(:1MVXº״i/8v0Q-"DQSԾ)       wPJ(*"fR`...bDK ,-F,-c\3[Z :\Om^OP%Vrk9vZ 4UVi%BHs2!rc hE A@pb& SDú3X\1*z'u'ya&{oq3٦z7YuVՄLWY.[V1AhgJk_Dxp)dͦE]w rDR)]Iv*ׂ+~|0r~ - hiOh+4]#0<+}Xlٗa<}xi"IS&oIDFQ< _u_8iKW"J;'B¶n8 6Q BUԾ!;qvƋQHB@KBǬIY[ˁ@T)+JIT~ԋ^RWʢ,Na.@ub &6˨IYA.\R`m%]#{FXRr-J+Y%>yI,.EKkW\A:RjfbQOv&͠A,d{~6dZoZKtATӲ`tll=0%;@Ǿ{E h@4/l1.$j5z_'t4O 6v( /A^~ @GńhyƘTYsT VyR֨KĜ&i>˴O?lLیMWGsVDe0"8ߗd #oL[UCє #вbxۙs-OMidC +vjrmffuz>.4%!%;idRC 2j?:.Wt)nb[).x)C[>NJi݇|טLʜA4!mr!nx~mO_*JCjΤVz܀,ݮA34)|g~T/֟sy,VJy ,XOAPɑک`1x5ܫsໃԝ דy'LKnNB5C|cpiǚEG{; endstream endobj 6663 0 obj << /Annots 6665 0 R /BleedBox [0 0 612 792] /Contents [6673 0 R 6669 0 R 6670 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32851 6671 0 R >> >> /Type /Page >> endobj 6664 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6665 0 obj [6664 0 R 6666 0 R 6667 0 R 6668 0 R 6672 0 R] endobj 6666 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 334.025 124.1605 345.025] /Subtype /Link /Type /Annot >> endobj 6667 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 334.025 162.6715 345.025] /Subtype /Link /Type /Annot >> endobj 6668 0 obj << /A << /D (unique_56_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20210608081201-08'00') /Rect [167.9845 334.025 248.774 345.025] /Subtype /Link /Type /Annot >> endobj 6669 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6670 0 obj << /Length 19 >> stream q /Iabc32851 Do Q endstream endobj 6671 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32852 20690 0 R /Gabc32853 20697 0 R >> /Font << /Fabc32854 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo1Z endstream endobj 6672 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=545) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6673 0 obj << /Filter /FlateDecode /Length 4370 >> stream x\K$ W@  0=m!i;f/!)YՏgRQG$$Ï۔VI>ھjf6AJ8o1sj= ..OMT- )72~_{|~>8|_I~|I[()߿|7 ,2>Z~AL3y44n^-s.*_'YJHPBsQtϰjЄ(~(FշSPew\qd}jÝ((r4×e^Pۣ4yNᇷ~dÄe(2 t"{`@PPJ6?0 ?,< SY/lRyHYaVB2S̥mO^JGJ҉T΂@˲\GO> ga'a LJy1 j~NSg3 O~2Cgcoc&u, X ȺU0[}VɄw{gѢPx ]o^(MEl~0y8`R7bQq^tU, !Pcn4jV٭(nUԊiS4^V@vh5Ze 8P+}4R55R'%UzRdQ?+9!:Q#ido }eZ=1~/6wM>G>.\MTk3 \H@Pjv75F'H'D*+( mh[rc~0\F|y>D1eKe~#Q?reԣ?&%G6uSԓAu"=qI:/hgZoIsGcj ̂kG/xIʉs?D'plO\^4T2XeZmB>kQ'ax'naRhĜk&pb')ԭJW߷vsޮ/P.*iz6!U%yk(өk`yl+'E_w3+{ʷQn""=?걬QaWBzRL7!+tϵE75%$?ﶎc zf9f|\VXhU魸ZX^+[?N..zv:flg t_|G- 39a4]蕟O@H舆fz 7 |vDKQqҥb8^dRqE|$*˹O2$. Kbj:Q4;a"],.ZɛY-3^Ӂ IBsz`p'ײ:<\>d9 $Τڹ"} {Q~&~Ra̰zb~qԳv%|aˁU3`XrBkrR>?=ז i&]@k!ZsŹER\xQg]9I-S(c0K `{?B^ZŲV/,/Hf%S92gxj&WX Bq!t  [c.bI ĸ8g8DQ>tR &ah)F%' F&dLʸt2~ߎG+ 'TEͶ57C~n@`N'z>i.M 5gEiήq &VgNbq6&Ӊ_TLD8S{8t H` 0LG]n!6 )X9>jaw.xuSC| [az'OpFm)4(ʐAVoK zI01ݟܥƞt+EfRf &-f)(e.H|: 18<53B8B>q WԆe7uNmthvʵG|}e]>CA'6eDGc-TxPeuùFe6>q>).Qq#Qo՝4%u2ZޘEK{5T13pt.!ܕn(n}A7SmǠeq6LvX%uexUJ2C<C5q[K3m{ FiBj+֠Fh3\J5)Q)?PܠGȾ2b.yſ7⭣XO(1X\ѯ̋^p ;CP gq7%Pa㙳U*LSuHI1e7ƜLZiXkQXKC'ӡ6bt5-y12?}J6'n!8I ȿ]pu-єdR$>B*2Rsl[58mE̩%Qe,m0i5sԉv$8_$At tPf'Adg9t; 2Y\ KŸY#0#xb5`Z暈;fmMع$OlZ&vv7 .xUWآ sl(#`/h.I4iKuC2 2]/<=[+0_QtG=剜 ^)e*QL:k 2Ey19T%\zRTP16b{Q➩O+ItR]I>de{Z2uDj⵾#kv-"UX'RKW+8DmɄZEљMY2~Ik GsL$QFMv^Yg~fS؊>O}ލ~KDvLX#RL Y|G1n^* *(=%|HUpm?P.-Y\pgB1^50dAm+IH}R#^|!柏.>/>M,䁏TCUkD!ɸAQ5`9`.T==Eu+*`Tae1.#97{$vy- z?\I8^^ endstream endobj 6674 0 obj << /Annots [6675 0 R 6679 0 R] /BleedBox [0 0 612 792] /Contents [6680 0 R 6676 0 R 6677 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32870 6678 0 R >> >> /Type /Page >> endobj 6675 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6676 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6677 0 obj << /Length 19 >> stream q /Iabc32870 Do Q endstream endobj 6678 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32871 20690 0 R /Gabc32872 20697 0 R >> /Font << /Fabc32873 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 6679 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=546) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6680 0 obj << /Filter /FlateDecode /Length 4166 >> stream xnί98/`r@AN;e~?oIY$ݭd/8:Y~l/_zMI%E2^Ӭsj\YuQ)fGx ~saO_?P&ߎJ۬2? [t@0' f̐OGx*UO<6cL\gFS"J%=~f IA~ ~_.Dvt.0Yӊ9E03H.vm$%%[|0nIיy'3vG|,:G :]{ZRxg%Wv#wɼDmګbKaBDnjL\\7kȪEz^7[mfP _79k caͦAeiM!z,0 P- 3YhSїN Hz9튩Bk$VxC_R* iX~T敯k@_ȱrs՟6:Γdǀr"wNxOfKOaύyU4JFN(̣ƯUB4S ă,7,t;_1qBĮwH +9Z@e*^aݰ AAph2"VC![E6!L!`RWe0gm'aUIJ8f= LȬT{(p4GCJ~"G Tk&L0hS+ M3%Q=\!_GF?翧I<;=tzj짜^X9=Ng[c! HC\ (El^͏qw[ A:?E[ETE /XV" S+q0SBÔY N%\F@0Ԃ:Q&cP9`Z5̿ Sz> Ǟ/ V CPTnB$ +}o%Lc-o~*N-RLSI푿.{쑞; Zׁ`3Ul,JV{BX3, Wע]gC\zU4+`Pu"X)p:.)ئ2%,[D^4mɌVwƲX^uJ0..CW0$vRGǺÇUNVBڢPY{AaUU ȣj5 -Fq=Rfc$ Ozs$Zh budT/'ց>ukYmɢ3/t=׈}-d0q1(ewQǪQ*Ei~9\az>$BX1sNzXE|9nGD%O_9KX-|7ڈVlM]ڼDMS Ϣ8FĵԫjxQ"JyܷYeBui_~eBH|)' ɚSdȢh9ķ&kݜPJ$EK%*gZB鍺,8r$0NGaa<(r"97*R8֯w\l{5Ԕ<ºnv^htӊEf*RI`u KS:͗ ~s1= ^':Ӳ喵k),>ъoj.%he rx 6ʩG@ޢ$=Wz0hZG,]?Ec"-kRE-64HJ qZNLrtV܎ANZ75Iוw\*MC-H{?lo鼪sp0c.+%ojzFY"R$s9}zvxjꍓ2 y:#:VJZ[NOָkCFrw-=,Sy9m5 \ɘ`WjQўn_m_t/fA2ڷiȩۿ!bƆ-$+ kKDQ[n)x@:To,`' ~Gvj/&EsUtU8=LegʞNca'\=DNgcqB1q,?];+OT7QGQ~? 81-uSX;GԲrxoIơ%PKC VJ3?v;76?7sR!?hzwdmh~\ޫ՞A8 gZ0-}F/ƝN+m6¡ϭuCڋR5zFb+nW$k@%BXKk҄F w`aʶZk㎶1^TXA%cC[9(=jD0fI6$]ݸҵjq(֞〔CsHdRgS͇8~1~8cψ(Xz*n9( \zhJoɳZªIRa``b4`3~Wgw]6E;_W'ro?&pOwF08K$TՄ^`H%[cu+VkGV~Ozͳ˔V9atOطmeQ. 2 Dk .o h ^ v??cxZ_oX~ACh7q6k  5Mda Aygt %i?E_SDz+el3MygGDD>DͧFW3'+rd8\iGD}-P>Nh,*tHgӗT= ^N+D2Xd37Y_ҿ~t{zIGmGl2޻}}|mCs邬S"V2?Ts\Q}s|wt jvݯ|AE N UސJփmjGU^ 'ˬ,=<<7!Il267@MTL,j:%{@u+:P3]FcoPSmА7KJTutG||{i秔&yyWap&h1(̈́IqWT@ EZ6f0߶RPg2b+x?'5s f,,u#4+BD]kZԠte 0O 2Oo1c'k!e#ZmLcF&g.2pOL^;~M{3R~ܭ|C _GxOt.qsŬi[?Ekz5]fPaX2\#OD]/ZvH8 xIʭ<"%.e9کf$k1 @;J2 O @KeZCy*# ؘ(KRxsT_C^ Obwֆm)S.L؇S[/M|%MQs8^ r8?uTYd;@2lr]X=у>zq/{I>8:sics:f|eq]C#ևsJe?Ӹ5ծ>/{<+ѳLF8#h:͚3($dU W3|hgl }O~O,ߦ UT\ _pz3uI3E9 BŻ}pm>8y]{1_%:T훏?LWXJ뿫4TE~#^.%zvF$z~?S+>9oS endstream endobj 6681 0 obj << /Annots 6683 0 R /BleedBox [0 0 612 792] /Contents [6691 0 R 6687 0 R 6688 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32889 6689 0 R >> >> /Type /Page >> endobj 6682 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6683 0 obj [6682 0 R 6684 0 R 6685 0 R 6686 0 R 6690 0 R] endobj 6684 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20210608081201-08'00') /Rect [104.1732 607.7 223.4462 618.7] /Subtype /Link /Type /Annot >> endobj 6685 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 591.5 187.9602 602.5] /Subtype /Link /Type /Annot >> endobj 6686 0 obj << /A << /D (unique_82) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20210608081201-08'00') /Rect [104.1732 575.3 182.9387 586.3] /Subtype /Link /Type /Annot >> endobj 6687 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6688 0 obj << /Length 19 >> stream q /Iabc32889 Do Q endstream endobj 6689 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32890 20690 0 R /Gabc32891 20697 0 R >> /Font << /Fabc32892 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&h&#₅1LS4$dqJU$R)!^\y"/)b6M CZNNٷGӮBxg(&FEG7uߊ dE4 <~UW<7!fZ5Y}GܱYZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w>^ endstream endobj 6690 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=547) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6691 0 obj << /Filter /FlateDecode /Length 1588 >> stream xڭXn7+x6ml9>)cfF$..^-FVڬAOVXBTZ=~>a,Eet=~Sx@4|hE1O&'}~Xv~2fw@qj}B){"x2xZQ[?:('!8_Jxcd8ZĹ?„mո&0% D ϚZkƴ4@,m$"lZƕյBqxNGM9QNdoJd`{52L;^Jom/زv,Џcq5 ގfJ1 0q) h{`]Z`lݔSV4Q$- #1M'}b3jL>dNAӘthiх6>6;N L) $DUe:ڙt~/m⤇ )o{=9Lr9jdBvN?>ǜKtZ  GeƘkdzK!N^T5^]1S8)UI6ztKcVmhE|п-pZD;C+c'vy4,s~5|E^] /L]ua[,( qtmzC!i4f=,52cl>lbcf81tñ^~`9^zE0MCݓ* Z%2=hCLQwӲ":7Q++/:j4kZFI?m ee݄[E--1^I,Riʲ9AISy[֙E>Smk'n%іWUyGek3YI]fW5 @gٚ͢ "$Rx}3T%AF0ԮUF IPi9fjeW-۴tЉSx^YcO l0MRysk,#uYEqޔqC03tEשr*^Jtm[(NJK =NO2ǭˁKK8wa }nW +l^̱KK )r@޸R}Y|35]xktOt.G_ވ>o-ϯ'Wa4v xGHF SPփ{1 }}T_w31> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32908 6699 0 R >> >> /Type /Page >> endobj 6693 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6694 0 obj [6693 0 R 6695 0 R 6696 0 R 6700 0 R] endobj 6695 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 425.025 122.505 436.025] /Subtype /Link /Type /Annot >> endobj 6696 0 obj << /A << /D (unique_56_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20210608081201-08'00') /Rect [127.818 425.025 160.51 436.025] /Subtype /Link /Type /Annot >> endobj 6697 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6698 0 obj << /Length 19 >> stream q /Iabc32908 Do Q endstream endobj 6699 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32909 20690 0 R /Gabc32910 20697 0 R >> /Font << /Fabc32911 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM b endstream endobj 6700 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=548) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6701 0 obj << /Filter /FlateDecode /Length 4010 >> stream xڵɮί@o6|ryf.TdQRtq0O"Eվqe1'bOoK3KK6إ뿯ˏgX|C|LnAkƻ9 |Kq/Ɗ7P\{^??/>/CddK~z@ϋ^cHjMf'e2o/$1Yb.P֤CDg+]0B;<*ϵ>}1ϏAȴj]>^q;Cxqӽw 4x=G#1'  x)0J l S,aIAR[i3,Ŏ+p2>!XWYcw 39Z\f}Ӹ~sq mִ`??~h3S=+t]}A@3Hxy~:Sۄ3pSxQ6:Kh!VS4B}JbvL Dw [`A/[^ݭCOV$I6Vi?eya:IU!يO֣G V 2[Y8B( Z<[?0wv=mOQk}NA}`kA<DXaCrO4OUmAS׮B`E) W1ָ#}d.'z327Ōbk&z "ۚՆĹFiWr]vkr% ~yd|Z]JXHAL(_޷vB}M0*C8t,Ps4c{DTjM`MʳR3g~͢4'~Nj嚡 ;D6IT972N V3UPBpLXgvv4'݂ިG P/]M7w(ʃbmSLyX&/9Bjo$p]i*e"e屛;4I gZ'$0*>»(9O β L8 o90 aC MSc(b; |WI+Mx?*Sꋀ5}# ]RpvH$bC< ^x; dP18 0mPr؅B n uܺnK R:jTv)!:"i)!--HේNaIl-0!a༚:3e2UYqnP)RǮKV_ >b{R$wWzf7AW$|*u3S7װj&MT˩j^Xm5Qi}Oڟ'mOZxc/ ZVdCg U/Tzԩe-7צvUMd@d~/2>WB8)*F YHJ@;q𶅼Q!ݢV{Q/'ju$:Zr{eriʫ&%f3zC@ fD횀<ѹOP;NL~-aJE8lAv0wo vԪneOwMCTH!U F 5b2@pvCam o~"urXʶ´ݢبIKQxfbTuD)6pBbn#<è+\^th.ѶVHKy0upQ Dc_ui&Kw.]m+j{צ0I/Zڽ$oI؍jLEC̼qrN{\}xyލ-ŷY-Wf/7DpͲ!U ?!.e5@;H*om-Y$JBŘ>bb[PS(-zި;0Pk.FoZX'V')L$+FCY=T7{v+2.])~B8c ;cQI-PɕFBQyFl=[`d-v:,' S竮y#ׂKnAr^KDF)P0"bQ8,tٛƑC]z4@P7A@A@E+5JdN6HRNTvcVŎMu=_52oHP Z8'#7-N} @+r)st.b0Kzֲ>~kR\yCT%XjmOkA|KK{un܅-`'HQZzu6biY" Ty*j QȤ5;n{H$r4T*&X lh_swz(cbP#O7k}!0V*XC^MT:Bq&|GAs+ ۰z'yZTF%sUݒ2Ct߲Y6[rΥ3pe(&- WrBUZDɰe9E6*3Ũ4Zq?:[i DwfTl: {*M)؀ԡ4@1f^EU^;HMLK7ƊH-L-:hQC*V,FVH}ږQ*hFt@b|dғ( .wpV_L( ̈i:c´"HV^yW;FDLsŽ[d&ꚛ@XYhoQ"^ZUXrV"z*_GlJ;T5SA$Ah>Lz9Yh%{%x^6Iߊ-#Ȗ %פ5UnIIApkl1e7a+g& [5g͛ڲA[61S,SoIbV'c4H;zqz17zrq~&f#bZݠb-5ɮb/y5 &əKZ6}v+"`m_ǧY<`͇ ݸZfOy8(@iHnsp1&T>n/Jr×UgdGkP}4vT? ?uʸ@v n] 5KZmGԝ whUA5S .7 *\\)_n\W[wO]]:Q 0}X@d[au*Q5>Dro5/؅.ۖ_\aX8^n"ukp$˿AWpFP3CQ@NvapʜH]bBFН_ %48JUs%F33(an#CQ݆|4=a S`x#jT?So}q pwuJZD7gMge܄L%`#s'=w!q'~>6k'%y:#&=!'Խxs80 3[gy|#GtN *ؽy}k +,oUzFC!}+fJhGEMF endstream endobj 6702 0 obj << /Annots 6704 0 R /BleedBox [0 0 612 792] /Contents [6712 0 R 6708 0 R 6709 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32927 6710 0 R >> >> /Type /Page >> endobj 6703 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6704 0 obj [6703 0 R 6705 0 R 6706 0 R 6707 0 R 6711 0 R] endobj 6705 0 obj << /A << /D (unique_429) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20210608081201-08'00') /Rect [104.1732 509.7038 203.6957 520.7039] /Subtype /Link /Type /Annot >> endobj 6706 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20210608081201-08'00') /Rect [104.1732 493.5038 208.4862 504.5038] /Subtype /Link /Type /Annot >> endobj 6707 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20210608081201-08'00') /Rect [104.1732 477.3038 193.7737 488.3038] /Subtype /Link /Type /Annot >> endobj 6708 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6709 0 obj << /Length 19 >> stream q /Iabc32927 Do Q endstream endobj 6710 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32928 20690 0 R /Gabc32929 20697 0 R >> /Font << /Fabc32930 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM:  endstream endobj 6711 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=549) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6712 0 obj << /Filter /FlateDecode /Length 2911 >> stream xڭYKo$Wl`:|?aH2rrdmnHZG ["XOcXo=ˋ8osX4Źh[ӽs5mw<Ε'B9;GS_;E2j>U -oZǹVƚɹV;+f{.0*~I1-x$ K)LaͻOB8|,[16}NJJ1|GcUV=V&8inyPYp(>i]H2>IO4],.U$a9DXN 1|G[I?3)Dt'@ެQE֓-zg4g_B@vȞ { ?1 <˜?k\;Ca*yz7|C2se;@ 䡤˰hDr㓃7ZXID*"8}9)?$G$dΚ^9s0`fJs%V_~/I_G-IW_PPCw6MgboYY:\ԇ+_8:Mv?n&7h*吼Dnn#ll,[;ނDhy_(G?weRŊMY_\|Ye9g[Ғje*X-҉,ȎD%C 1󫹐 Qb #2e!&ui)fҀ Pm/HBi_*X1W`PWm X $I 9 <+)M_<)_࣫-Y {#|M3*Ͱ] a[ V1,ynƱ uh'6F+F3 uȋk"w)I#__6FӐda{G<eb"Hmasn䚕Gє!(I"o>, "S&8Dކ~T,? b~0D}ɤ'M07vtqlnss7rloL:GD:PDw2yEϯ"Y7.N,Z*rhBFinn_9{1%4EҠ`Т$[\\ (2 >B$2IQ$ԏ0 q~0h9 W~:,E5U7 ]&$Gc+U {Ltpkgqf^E67-:aU6`PaAvW-8=:{A5-JHyi`U} >\vJTQٛցq1. E͕ʕ:]򅪔7+9ӷ؊OanuƣZvZ%ȑh=Jt=l',72a'8!"ܫЎ+GōAQeQSR'lGܒ_u8WFvZ+Q.dm'o`ou6fa{}3P=ho xwnY6 vZGG-?h/mtRGl NT)v'|x P^$mE y GWqx*tް кƃVv0pd@AWn+diEG5`_:-LyS.޻2i((QZ ,X?!>)u/of"*/vdjA%GZkItP1^Nü+ *t6 &3j%] [ʮ#nepY%˦­+Bxd!&r1׍m +-ƈghaôTq6TP~)P;0 c8TLuO|o.;n#d75qrSc[5TfzilNB6p>*>f@G.`Lݲ]ToGmW;;_Ce^SME=aGH[i%UndxI})Ux=sZυj]i}[If_pk;aB„{ߝxYSTIhj4'+q֪I߂<ׇ֖wjO2VgzDWjǣ/kG7> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32946 6719 0 R >> >> /Type /Page >> endobj 6714 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6715 0 obj [6714 0 R 6716 0 R 6720 0 R] endobj 6716 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 377.425 118.9685 388.425] /Subtype /Link /Type /Annot >> endobj 6717 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6718 0 obj << /Length 19 >> stream q /Iabc32946 Do Q endstream endobj 6719 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32947 20690 0 R /Gabc32948 20697 0 R >> /Font << /Fabc32949 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7[TthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5o)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Q endstream endobj 6720 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=550) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6721 0 obj << /Filter /FlateDecode /Length 2948 >> stream x[Ko7Wl;|6I5rs" `ONB}ٿU⫇م2f5YU,>"K--/'YdZz'F?Ãk_Ժ5-Xp|T(|* gJW}9FDW7y g&Gk/*o"պԪFM_FuĬzdnR:Y/>32pw#,W乑d1q*ZSOr#(8b:*ȨKA*.ő"R\U'RJHO{{y̦b)m kW9=ۤ" [`˕FE`LnPfO[B_rO;O]dW (;rl"L pc~ YH;eK rW]ZGBsz6y70X ]eGGF25ea.r~,gdH&Z&a{2ELc'733a5F ȩ!F^Oy=5:jԈK_\jBeQ ){U;c5sj *]X'],Y Oƺ Ω+\ :o-Ϸnr)v8=+8@q zh|ū25 7ZoJCK!eHK9LI[ 5E%~s\)|9xZփ(ә%K{d5z)cL̹_hL:XX2(ϚL>+(O{K pfB\ؙ3";QQǡa eK<=!ԻYFt+Ⱥt"JS " ϵ(_b5HiJ{]EvH:X]WF7!9dUPO)Q;auƋ>Q(B%8)+?63w{Hd_OQAYYY9JJ[cKuTBzc6K3 ܼubFrˠ6[hdK̻-eQtmm+-*"Vq.M8Yu":Bu3qՁ wf0؀{~5E{+Dzk%*Qʂ|hh5ۥO΄B=mbVl.EM(sߖ$Eǣ̣x4 Yrv云\7#w"95iyGuu}3j6ŌjT)oZ|~T=U֨zu'L]xT]jnNQ-vTwJv^}yM{xĻ =]7q٭n} .m86t2wȥ0 ݬǰ gA@,\>sk 8 wqi@Kċ͕vX v}o.2 -̈1mi Xky7O6avwYN}fw_ Kz>ٺݠi5ߺ!x@6a3O<.|LO>KᾜE.ߏx| u ,xO>{hE|iy9? w5X])b)ZhZ5ߝXᠻ?bnuѭܾ&[te#i endstream endobj 6722 0 obj << /Annots 6724 0 R /BleedBox [0 0 612 792] /Contents [6730 0 R 6726 0 R 6727 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32965 6728 0 R >> >> /Type /Page >> endobj 6723 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6724 0 obj [6723 0 R 6725 0 R 6729 0 R] endobj 6725 0 obj << /A << /D (unique_56_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20210608081201-08'00') /Rect [90 386.625 118.9685 397.625] /Subtype /Link /Type /Annot >> endobj 6726 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6727 0 obj << /Length 19 >> stream q /Iabc32965 Do Q endstream endobj 6728 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32966 20690 0 R /Gabc32967 20697 0 R >> /Font << /Fabc32968 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6730 0 obj << /Filter /FlateDecode /Length 2894 >> stream xڵZKo6W@ " mw&1CN {ERmYdX,~U$M[m7+Υu,s2m"!@+mDΒ'c OQ2$Lv p{x m#dx||SPoHݍv 3 ?i3q(,M/g&`M)^nȩH2G Mi xDyhE^A64INxᓋ(8Q}} =1s k =9i̻ES0ˌq@GP ]>S@3mvA;H[?st|~\mw .}vv96IKSHwG.R~v.6tDɥ2mlG̱בN;Cb}kC&w7vYo7.ݝ j|aW!~Bi5 ']Bfr8;i2<t#s}ڳ&Fމ[@Y*{da*) _4na3* AWL;S Fe(VikeZG'AspOP`3X芔aј=* dc= 2}r¡PM Br([Ʉ54,z6g Ck&HVI^۸$z }=+J+Ja{yԋR2 Rb'5,,4-53edER^2ʥ􌥐 /ZZ+-&;蓗$qJ_]j4,qZ[wHVfft`A~ڎ|/Ύ\JO{^+qPiVVCG 7d>[MGT溕[aP{i*dap }pkf]u8q a>a=gn {U'my+yuuo1n%}P=G@vUVG0WZѫ_ZVU=ꃆFR6`B!dRַ1~KChyw&c`ꍊJaL!a#9j2!`K*|K]_w(PLzuF7;U ea\/Tnu HQTf A|z܄!aGY6K[[tēwnǁqຮ)bRW؏至Aw7>;8`s=s dYH endstream endobj 6731 0 obj << /Annots 6733 0 R /BleedBox [0 0 612 792] /Contents [6741 0 R 6737 0 R 6738 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32984 6739 0 R >> >> /Type /Page >> endobj 6732 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6733 0 obj [6732 0 R 6734 0 R 6735 0 R 6736 0 R 6740 0 R] endobj 6734 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [90 401.625 124.1605 412.625] /Subtype /Link /Type /Annot >> endobj 6735 0 obj << /A << /D (unique_56_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20210608081201-08'00') /Rect [129.4735 401.625 162.6715 412.625] /Subtype /Link /Type /Annot >> endobj 6736 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [167.9845 401.625 225.7125 412.625] /Subtype /Link /Type /Annot >> endobj 6737 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6738 0 obj << /Length 19 >> stream q /Iabc32984 Do Q endstream endobj 6739 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32985 20690 0 R /Gabc32986 20697 0 R >> /Font << /Fabc32987 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}^ endstream endobj 6740 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=552) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6741 0 obj << /Filter /FlateDecode /Length 4521 >> stream xڵ<ˎ8w~ P0PU9@z{n`Z}xYwz)*w0Z-f / vi?/,:&[>-|?ZNV]nwOޗv.<J.[^?o.\._1t5 q ֔hM+?ߙNn13\X //IF,ipug _,)Cv|R>۵|^x;Z.5|>k W0 k"rzm.R` '<6]s.*|l#/X@DJ]HW('j3<5F"d@F뙐`Ӹ`ڬi_K}eK3,8gXK^W+ Rώا6(N3MZ\6?o(է P_=C~5F>:nQtѮa3E}'w@YDDKhjj@KZ}Xƣ%+vL>=y2;zˢlB&hB]5xoe 805W0y`\K^[Q02˷1̖DcR{8gZ`C.,U;wKxjot@Y`5/ ⷸe&1B|ǎz'M $+5 24^d((#H?bDvj!-e)TzŰmO}>9JkO]uYC>ȰƨTqAŽcQ< t]k9CR:n"&~DXt1q󵲑DJd vUIj[ kǖ#Og}ҫ#[*Aal938xыmS]BLwLI6eU^v&sO]H"sr"Ѯx`T$LCQc;,zRdu}>Fà;z:m!}P8ZoӪiM$ s`E8E&q R+n]}^`;C(vWcґ[>ʯ\?91< t2Ed\:RpiJP~Wg BM}J+IRZ&=iش,W_uK,goHˍEλuj=y#ZV3ZUǗa.AXq˓]pшM#-x{y54VQd cdlܺe!icC> g'˚lO-nMiԐ5[_V)ESի>deP}4v D_g.x8—ȇ=~xƮVd m "atam&Kǘ=ØKjyTgl! (~1LVk%P>@:h| %n:ގk“f #MW/wݚ-U8&_AB P2c ;t?5A)saJ6];Z_H6=Jp +q8ht2p5z!-u~^y(n>m}-^Kj6Z2WxXy<|Aq1xMC_L}ύ%\Щr!cGoťU{+:_ (3$Ssũ O*jfBOt诀NXi=|c?KmT]ΰ4Odl216xGۣdYolg u}]\M'RlC4 &f>R_;qAd2ƭlC;h`HA<Ǎ/U7Qw|XOt,> ۿ`R@s*qzB΍Srx2 Ni1F"jƸ|_AH/6N_}Y_Yc>N_p9q)$FGo6 I?QI+d쳾h ^맄*~ T1/?Q?>7z)4WέC:h g~6hG"tߩAmUQsW__5m-s-g\$zsC`s5Xk2 endstream endobj 6742 0 obj << /Annots [6743 0 R 6747 0 R] /BleedBox [0 0 612 792] /Contents [6748 0 R 6744 0 R 6745 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33003 6746 0 R >> >> /Type /Page >> endobj 6743 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6744 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6745 0 obj << /Length 19 >> stream q /Iabc33003 Do Q endstream endobj 6746 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33004 20690 0 R /Gabc33005 20697 0 R >> /Font << /Fabc33006 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}; endstream endobj 6747 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=553) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6748 0 obj << /Filter /FlateDecode /Length 5185 >> stream x\Io$9vWy s_A2S9@#'=doK.RaΊ #H{dc2?bϩ֙))mgfzuqMFAI^+/랧%PpMN#~Z[m ^(=9YOoM I_2FugϞՂ)-MّQ(l@,9'x0b2hGv.Ỵ/aƣc39MP=INV,|IWnZ( 1/]9i~Z ]g1vUm#UBtG憽"gQEBZιYhfDu}%/<ӭA:)XRWaOK,yonX5Fw֮Z[;&.1vmi{ߑ"_,`egIž[SW~ R#+=VGzW"$cR]uYT&+>:|[Qw'm:c8hwv&Z<`fed [;OϺ(RZ.Jxp&83`O\7 @BRRxrxس~!228Z"V2vW 0"yᾤ]d-L;6w Շ!CXf _h:A=Y3.nWn % Ά\8nzFd;?U-{ "(O[ Sd&!>hoq_V ]íQmCPhf%a6VhB(0>[1k/*TE5e,j"+ݥOdk%)B99< k:{, y 'n8Ypj%ٱ^ A:K@˓Q+'wcmlMMj,RյDGY0Ll"*lS ܍{`_㉦XL0IZ,DF<VOmgȍ|@3;Iw yޖUA%8^NTVyRoCsv)m&-r;lQ£kFbipqgs^:fVݬT=t5ykZA",b>eXabLw =39n{ tlgJ0 w*`?~/ѧ~ fx~_ῴ:3@'9?}.Z_';;9x}G](ǟT4Xzs6N {=- ϝy=m6 b/`r+n\⌝5s-?fDnmSX:yeGKњ~qGK>h+~v>FRw+.$q6Ӆx,B<!(dX0󨫢Ɂ(XX2=&;߅k+Aqvߖ H?2o#d/Og̢\0]kmxl/)OZj :0!lr("l" t-8zH|Vpxy%C]:y(JRIh5G'ZL!Yr}! #AP)@'8! S^( a,g#Zt{U¿Ή4P?KXLy9IiHr *,VQZ3WDP)|: E0R ]s5p8"U@ j*4C "Ъм[X(sI2fŧw`_ @ܼ)[^Bυz#eM'х/%hA=r.H38Ѷ(`f RE,nl\ )63-4 Z ?;.QI%%Sxrʡ%DI&5!%`nߩ;T qE%p`ʌ]+2 8zZ殰Uy=0IokZHJ[\iEvnt FQ8v>:P:/X2JtK(†zf QݜjޥzoqT nGb*t"^ ˱#w>0qp q|ໃfGpfg^ ?Ԋ@af0IFx/n]X+mbhnQСiiPnMl>H&m ^.evԿ -Kʜ'-0r6+gV"YD%<Ì 4X ǀ͓X0XC n5kQ qoaDŽk[^q'!CdRK]d"#ǎF Aa7:pq,\a*>DŽA 6[SWԡ8:ú2hl,ӽ^^ق}5p߶C /lZV\P>*U-A ypb KP^hkx'~}wjg}~Kjq]zӄpR'JFe vCqH[99.NMP51p^3^v Y8f& H UB T"aōJD.Q4RWbADHxw%3$@Z]&&}![ C߫XH k5}!J{=fԻ O}QGO=|A-,0b~oEݥdMP Ŀ-&qXҀ#Vna p1m;,%!Ob>ǩ-.Q2NP)b_Qd^]ǑFi2:..}H0s-Q3%\N0DL)C4S|qi\]ܑC}]vmtXZ3a,r*<'FYXriQQh2L,Ek1pMKk D1QeBM/0<]HuaHu0~_s$VьєyИT9BhP4$CMu0?)[-Y5×yলt"! VNJhY6<-![y>]A,d>=z$PĄBh O.,`"^i (p=¯^_je1Y 4'[-9u<^+'a؉ G~d{Jv*2NK5 }Al|@oh2]:fѫNd~Cښ endstream endobj 6749 0 obj << /Annots 6751 0 R /BleedBox [0 0 612 792] /Contents [6765 0 R 6761 0 R 6762 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33022 6763 0 R >> >> /Type /Page >> endobj 6750 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6751 0 obj [6750 0 R 6752 0 R 6753 0 R 6754 0 R 6755 0 R 6756 0 R 6757 0 R 6758 0 R 6759 0 R 6760 0 R 6764 0 R] endobj 6752 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20210608081201-08'00') /Rect [104.1732 481.9308 146.4737 492.9308] /Subtype /Link /Type /Annot >> endobj 6753 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20210608081201-08'00') /Rect [104.1732 465.7307 148.0577 476.7307] /Subtype /Link /Type /Annot >> endobj 6754 0 obj << /A << /D (unique_698) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20210608081201-08'00') /Rect [104.1732 449.5307 171.7957 460.5307] /Subtype /Link /Type /Annot >> endobj 6755 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20210608081201-08'00') /Rect [104.1732 433.3307 150.0652 444.3307] /Subtype /Link /Type /Annot >> endobj 6756 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20210608081201-08'00') /Rect [104.1732 417.1307 156.5607 428.1307] /Subtype /Link /Type /Annot >> endobj 6757 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (open_example_project) /M (D:20210608081201-08'00') /Rect [104.1732 400.9307 212.7432 411.9307] /Subtype /Link /Type /Annot >> endobj 6758 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20210608081201-08'00') /Rect [104.1732 384.7307 139.2412 395.7307] /Subtype /Link /Type /Annot >> endobj 6759 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 368.5307 180.9312 379.5307] /Subtype /Link /Type /Annot >> endobj 6760 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (reset_target) /M (D:20210608081201-08'00') /Rect [104.1732 352.3307 161.9177 363.3307] /Subtype /Link /Type /Annot >> endobj 6761 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6762 0 obj << /Length 19 >> stream q /Iabc33022 Do Q endstream endobj 6763 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33023 20690 0 R /Gabc33024 20697 0 R >> /Font << /Fabc33025 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 6764 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=554) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6765 0 obj << /Filter /FlateDecode /Length 2765 >> stream xڵZKo79@3|?AF [6I"࿿_UMh4 ovwQ5V) թǬAKC|c9ic0jt4Q=oqVU s& 9ۥ`T|cQ:bӱm8*Z_b,H#~i/s'*s='8߷ڛ 7oK;zY&+N% =Fe'΃op]$.73!(m<VQB,WF!ZzP%H^/RRuy2 1{;(\Q E9#N}v>I`Koscȼ I ٨FR1 M[w#xIІSSʛՊmqm3O[G9cСΔOC6T𑆤汇HVA+#$k1'~돲8fvM$xH+8#yV,;0Xp6槗ͧg0*mg ҲuH9.6V*݊!I琣)ǽM  Sjge4J..L@^AV%#v?2Ă[ E,3 cBc*$h `eΑvag8#ބN i Ѵ'3\N `k>Jhx4+~gt]91y-2d jI/©tDޚ3X #qt4F }h$GcY($FW<"3v寓fcZ4"cM[]ޒwAms JORoY.II)^s}<2sDAH4&.HR|\CIe`#_󝄿)3 k ͕2 T|U=Bylx:z3R|C#yYR$e] &v8qm)%X=UxlD6&eFA-!*g1,48t; CvcSz&Zʡie8OW4q;nƬ6{G^ sдuv@Zl,A${]X2)`Ac@8.)ק-/g~; ?|jʁ 4_cr}izRs%.3E"q4&yS7f~_<en5mtkwWRgJ!ݓ2< 6If p0;R2zǵ[F[:]^ޫ^IWF- K~)h#W'p%D)T(! ,U sN߽`dE1O,4!GYΛ?XE<)d\Qp- KR ,KwYߊΒ?Z击k w$9S_RI†eDX9{B!P"U)Q9oW[&Uo[\=g E! E,M(t2b}mHp|C$-Yfw-/e 8Ah;D?wz"<M|W2Em& ~,k,W-K+ơ;klݩ7s酣v(*{(5C|WfѯfK:o.761˻ĤߵE^GbPtާV;c)WZ>9*bP!:]U;`B-?&zG̥om1mn`YZ?@j]k\F`U ԗ.G. 3 &a>edFtwFn3wޤ|yMfg;sw/DrUBmM\o&w^@/^h[ݳSXmZCӳMopn:i9Z-lqs/^TNp ;^9]Hx%b{N,LѸ%eG]y !WдƖ_LZau?]2F1A8UʸlFPF[vK-UK]fK=GۚЯnJ,${'n]a6^*$kvEqu #m62nZ,d/oFVDYdk*Q4#K628ԊW 7;ܠuKxҤNf%!fOdqFV$!2rut><=fvx  FMG6ςr _3t},lhL\1# 'ϛ z&;[j0v!؋9Nsi(!+咎륔 ]*-mMrF%W_jd<^z=T dv;zt m(v3^!qQ-6x<|5}+~b1>>7~Rڥ4 gkpN;DNxÉeÓ5&]`JK'<;pN&aqj ǻǂ낗υMaڱ/y{ubkGe͝Ȇeht}"C{e>'? endstream endobj 6766 0 obj << /Annots 6768 0 R /BleedBox [0 0 612 792] /Contents [6774 0 R 6770 0 R 6771 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33041 6772 0 R >> >> /Type /Page >> endobj 6767 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6768 0 obj [6767 0 R 6769 0 R 6773 0 R] endobj 6769 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 238.925 147.728 249.925] /Subtype /Link /Type /Annot >> endobj 6770 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6771 0 obj << /Length 19 >> stream q /Iabc33041 Do Q endstream endobj 6772 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33042 20690 0 R /Gabc33043 20697 0 R >> /Font << /Fabc33044 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6774 0 obj << /Filter /FlateDecode /Length 4094 >> stream xˎ6ί9| v&i`=M6Y \U|ɲ-{9 f*IX joKmujpv dwvVoN`R0jw1߹wK{|j. l[SxΗg#@'vm?%6upW W?P '?Gh4j5{5f(fSIJ=S.M>?f8Xi6X)=m(Ph0[(!0$6_p)rGnSx6afj _o?d:( yv@d# &s|g>ꎞsx/T^'aX8&ԦlȭG]!UŽVMڃ+fTy G̀~)^6ᖸq=pv'3g9$7r&sGEC$k Kx?9m'|c i48oK$|" $@ӹY._W7ь(QKmTm"3fҕgItN4MJk'z;8^{ g')krqʭ&F zkTvossQ]ᛧGaȯz Fgwܣe=EE\c^:Ӡ . *or}xRKiW,a٥uZ%ȕ9T^OZeS.MN4]3 Z(rN,Dj>E\UCqBsYO7ͻ;xuCPOes6t:\Tg.} ۶nϵԾ=3`Egp~m#e룎K_\nJ߱p=732W/GfpVy}WTSA6kUMeYeʫ틿bBw6+8˻-kw`~yѰ4יVvlmX#cޱ[)TNoukTf%8QU@5H5֬ 6v!xcyϖCRȒ4?y)r% fx@pԄwA` = BןG:]/' ht"0I#WmDy΍`4DtbI(iIP*gKF@@ J7h_(K땲+qh2P=crLͺ&hiUH@+P\\h媿 I[⁄l)R5RAjJ{H->mpVLj]Z(WI-6K~KlA&V3!-ŇV4! X4"WB߭ZkV2<-Nڑ-kwJpq|/.!W"w6({&-.9rT2bP=@z 0jZ F`WhSv"<0yPRD;]Ŗy6/D;b5 {?dkmV+5Dְ#@vyK"X Kvb45Q!]W4##gm\߼$ȯ1u}MC/RP{2XC8ć6q5'$G90A$MI7+$nbg\$S~kvgMw9gr)#Rٵ̏ ,?"[%L?Ŵܸ+q)eGe-`R42dF}gՉש+q3݌NI%3 2\$8nښԇmnQ3f8Mt$86'q^*\4j#p5NH?_OQOW9ymDxC?s{X'%r DC3($ǔy ?GUJ_5O͒,K~|&VQր&)ñSxN9/GVJ< U\0$L93kbougQ1΄ endstream endobj 6775 0 obj << /Annots [6776 0 R 6777 0 R 6778 0 R 6782 0 R] /BleedBox [0 0 612 792] /Contents [6783 0 R 6779 0 R 6780 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33060 6781 0 R >> >> /Type /Page >> endobj 6776 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 6777 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 6778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6779 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6780 0 obj << /Length 19 >> stream q /Iabc33060 Do Q endstream endobj 6781 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33061 20690 0 R /Gabc33062 20697 0 R >> /Font << /Fabc33063 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ çCfٮc8c.@˼ĵ1Brt~AѣDI`2R(.Xc4ECIHE+E̕'R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD3Guœ}"juZG)zr8knT-=%MW4yrCodE#2yk))sm.\gy4~)/? D?l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPB?4Cj|=B/} endstream endobj 6782 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=556) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6783 0 obj << /Filter /FlateDecode /Length 4665 >> stream x<ɎFrw~'d xOo,Ɠ%$YKK`\"c f=+;OLf\ٻyxKLoonzsjâZ:Y)mp|C9j8"mۿZqaLco8+(u~?oo6ta zuyBnh܌Nl4tЗ7XD*O |(:m\sxV}~Ψ1u&Gsg:,fV T Hxt.@t8#6B`Lկy5`b5=#F֗Q͓[DN&nda:Hx !p=d_̊bpn)LNx3v|ӹg4#U4=OyCLx;ciNfGcb , |7G]YnS! DZcD4n$4`I+y]SɭYLCFҏkD pSf,'oX煑iF\A$Q㹡Pv,%mԎIP:Y.0А4f W>$H*MhMLK0HB@E16 ɑʍ׆qȲݝ9I;F~~ P0[k >ʋX";xG[ƄdKSR uy]aZ! ڤYH \(*VF"I3Y /RZSv~EC;egIC"-10$f @p#̸&X1<{EbȻAiܽѤ\QUdɆFbIuxC>&[}*=weZ򤦧}g{&i*k)ϚQYa%V@)yk<Ҡ״~;|@aT/+ҿ~[Ԣ6^ϽThh-N g->\͒^0 #HGjI8ew,@c qqb٤8.PՉbPY RAn2 How-Ie؈]DP2aҋvIEG# ͳ-6T[T|LdC7jF <[F}GD{Ik8dIu<uŢClǕqne_XNj]-J@E3޳O\U@-p$Rʿ0kVXo"iɚjP\]m/}zvJȭNld>ZW8qga4.utCE\fقiy~C|bo)ye@BJbC"3ZbyXznZ,ul >Jm*N Լ;DqnlfEo d"|^O&u|zR$loM!?`%ƒs?Pe)-H+0#O gef3x1- 9AxIqsWcb/ۼg'¯_׉iK}\qy1IfXC1ҧH(3vjnHfHdB,"b}7J*F;naaIݐ閉*@*{}dT)iZ neL>8@Y(;89S;4k/} Pk#c@Pm.KrE85C ~bFV{ CltK 3s㉖8s%`}c ltemMI@h z~R<[w kǡij ۆ(}$mfg@ .wrmV r.Mml r(8pT56:#O{;Dmd&]%Ti 29Ϝi7hNf0@A+g@2d~`|dǠ&6bli[{  -Oϣ[_U`ҩϥ)ؐ-4v/o* KNi(WvF#1Ȫt9e&y\G!vi e-<6]``t[ݝ4k`j1md;f;uw'v+/.V\Rjz 6KK8rҜVL W9u/(Gs,u) ]>_N{x$FKN6xS6>2tQ˜)`$@E DΏ<5#/'SìDJy6cgfKx|)-v J*4.D;Yme1D-hNt'Eړ:&`w:u((~DbG I %Tk?ܒ;;9JdUpX춵#Ƭ'R=oVpTp?ȵ'^mz5ӪVIb{[9Ȃ]a ֠ȏ4]dUhf(\a$ ح,V!M5[NniD].#e9$OVQkwVSP"3W[ܳU7 <=lM]JAN'ݏ-Y`υ%EE(Kn%_jUYS`qn3j11ҡ^+4n%R菊HUfeN c:nn]kIK0K8Og>/^hjr˩׌z˨w3W2U; DC괈ҝ1~[M㷵J͌Q=8YNBe[ RąoeJ_"^)r˺v2wPk7n>mw Zcr7*2(;{|e`3q'brd;nwiK<|Vlʱdd $땗FGQ<dE'7=c7 lW-Q(!Ճ83Nn MK%)"ƥWa\7ydT5ҡ+^~xꡇCR R9B/}rޞ~nץ8:Im ܜƟb0O&7=koO)~TCH0sw ,[O=ލQ\X{l*:,7`tB1<>°~a>Oσ&,8y0v = c 5;o|CCQoDBv1yRB vrz_P SSmܵm%җ7dn/v! SqEnI ‹ѝ1"_Zbz=izJ(MɈ( 'vY ͫBdzE Q 3֖L/ r2y7ăPX1BIwVè{v:ʹw&A1/-_i{_M{ r% #9 _gM2_[R#H P"pYX}Gv6њ2տɩӹ'7W*cбr2!cGBm>@11\>h>QřK)L39ੌs ) 9_WЉ.W t0՞(_X[$wz|ecFw6KDxz6q.q2p~W zY2!W6˩N֞祘&H:L|8_tˋ#-Y H*ZA&x5ыga{͟~d X>݂a?::&,5^c]aqB:Isl;R.k$|-F@·D>L}},]h܎^[@QтsG@3"~Rv trI_npI{ɋ߃Ӵ0op|ݍFl2q['BV|sS@+tJ0"x}OTF8|fQhD_p)£> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33079 6788 0 R >> >> /Type /Page >> endobj 6785 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6786 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6787 0 obj << /Length 19 >> stream q /Iabc33079 Do Q endstream endobj 6788 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33080 20690 0 R /Gabc33081 20697 0 R >> /Font << /Fabc33082 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM R endstream endobj 6789 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=557) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6790 0 obj << /Filter /FlateDecode /Length 4116 >> stream xˊ$_ʍo >ƻkLa/edf=zz 9P(BӷIM'$=}:{jrv oIwqz~I>YJ+g'uۓB 9Ip R9y@,Pw09Hjqobh?{ `)+Ë :O)Q@_9)@_ 8iYPcZ4;:ި* :zpL$b/By6L뚕 3R5"5V2+?tJ4m 䠀5 'dy겈B!Ӡ"(B̅xsfg⊩z I# ֘t[[;m/s ;XʂW)\H(TzUWNT <€PR;hb|)Vb?sk8=,~%i!J=㔪{Gh|fRL9/ QF,܆#,A'^A0GF+F0PI8N6'5HJnUn%;F!I؟:=hk3kӓ?_' z ƿ'e V@9%D:TOһ8`\RJ qGŨʞw4Ai! {w0$慍ӭv9%FYuSv;r1!))KT6|x2TS)T ,@I/56ObNڔ7\7ifY۱9`BʤF‹N!wXѣ:㖣1\h EB/+Q*0Fx;4]jB'G=5 6TX61"k7Y̞Ȑ0˒iW}R&u RȮ2V0,2,Ɓ32Uz)nJ2m|grFXBr\ʒjxXL5E:h§QWR%_?oʭJ \pz-n׍M?|l&%x>U:I .v*Sƞq4w?%(quC`6#+D1m!@SP NOϙv'X&!Dl^Jb(~$j*'M5!H#A@*'b יŭFC8̼Kw厜k9*;<@0iY=g[[="YPB3k'~qI8ss(#ױBzy[RGn֫ {,Xdnj~O5*H*`cg@B@1z(4(AT퐩ZF-޴=GnIy4j&f5}V-WPUfb  {`j(iaB}Аy‡EJ)Q,YX{źs12$Aܡ)惞n]TX2܏&L>VwBȈ?>_Kf(AԤmwR&֕-vDQFּ'ڮbA;j#qi;ЖR1^>m3iNNoZ<щ e4oi `-RP76G4EIV>U{R ޒmk.wkaRt~ٕwy&p)Oșyb82Rlvִҗ{6q;|Fͦ74%%紿gOx-8O%w+;J") X5&ni -fo*e+YT?fH\i(Usl s3ᶪ,xŻ-_dsnq $˰Y v1] >Saa]9hb@x5K"?qW j)k*=G+<,W,,כR|y؅9v7VN*SuG) Nkzg[RSGm6vX@{˽qVL2'[bLͼ7rl'4qvMloP⁖g¬} !" s6(n$](u.R2Ρe'bY2)FuFai$2U7VG,,[[n˲lJ"6D~d0Ps_-U3ߕ[œLwBDdB篒>c73ɲJu%eW-67;ڲƃt2rFcwb|RaoK뛨_x)U~CN6-P~V׊_-MZyY./A@*Jvlx#V>NX D3kD|d`s*_L}me2,<ȱ21K@  pvS][5ݘs ň[.R=cq~6L(AF;qH8;vc(KCܮ c֗0h].A2lJ;ٲAMXCq Ϋ0?Bf:Ixte@eCA)caJW#ϐBA뼳3v8sD[ #kt[^lJv.n@EbhM7O<*|}I+|D`" *j|rT 뀫?T:T 8y$ҖS@Nqj4ΖsAb{"g#ʯ.(?2_pz(sWL9hy EYBʿp`dU+Glc1PEave4DJt%$e692^qؗ9һ endstream endobj 6791 0 obj << /Annots 6793 0 R /BleedBox [0 0 612 792] /Contents [6805 0 R 6801 0 R 6802 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33098 6803 0 R >> >> /Type /Page >> endobj 6792 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6793 0 obj [6792 0 R 6794 0 R 6795 0 R 6796 0 R 6797 0 R 6798 0 R 6799 0 R 6800 0 R 6804 0 R] endobj 6794 0 obj << /A << /D (unique_351) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20210608081201-08'00') /Rect [104.1732 532.9 192.9817 543.9] /Subtype /Link /Type /Annot >> endobj 6795 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 516.6999 199.7522 527.6999] /Subtype /Link /Type /Annot >> endobj 6796 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 500.4999 206.6382 511.4999] /Subtype /Link /Type /Annot >> endobj 6797 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20210608081201-08'00') /Rect [104.1732 484.2999 200.8962 495.2999] /Subtype /Link /Type /Annot >> endobj 6798 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 468.0999 162.8527 479.0999] /Subtype /Link /Type /Annot >> endobj 6799 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 451.8999 182.9552 462.8999] /Subtype /Link /Type /Annot >> endobj 6800 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 435.6999 204.4492 446.6999] /Subtype /Link /Type /Annot >> endobj 6801 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6802 0 obj << /Length 19 >> stream q /Iabc33098 Do Q endstream endobj 6803 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33099 20690 0 R /Gabc33100 20697 0 R >> /Font << /Fabc33101 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛG endstream endobj 6804 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=558) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6805 0 obj << /Filter /FlateDecode /Length 1955 >> stream xڵYIo,Wlf`$9F.ja/[dEB,.H/[߁:l9i˟p?^>/[cֻ8_؏QpRq 1?L[xX %$>Z>tפS⦳; "x2邼F+0RDT;K/p ax;A]ǬUHL@)^](gJ-0څ&@]!aރmc)fT6L㼶d̆ ZJTm+RkYƐNjdeUCmA9]2ȑ tO,G(굓򖭟=O5[Ofi=;X'>{yf7O7TVUr3tg 0>:.Atq&4YS(U :Ղr :F5C4(s%*Am{]V`o3%k3nFf*,$Yƙ[&֕Є儐+v\=n!wb<Z8srX :ϊ /5 }{X1h&]qT\6 ].94qemPWse1RgBV!$;%է 7=d5M|R):IbڟQşmM^5Mmg6XU\&O/r >;{a|5~˰>SVczw߹)o+i\0 qժB̄UG|Lo!Um IO Mt/͟e78vNHLeuI_FҴ?-8ŽB7d.Ǵ~sXq9G_ ?}z)ًn_v74">'? D]L endstream endobj 6806 0 obj << /Annots 6809 0 R /BleedBox [0 0 612 792] /Contents [6815 0 R 6811 0 R 6812 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33117 6813 0 R >> >> /Type /Page >> endobj 6807 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 98.5941 381.3955 109.5941] /Subtype /Link /Type /Annot >> endobj 6808 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6809 0 obj [6807 0 R 6808 0 R 6810 0 R 6814 0 R] endobj 6810 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 319.925 147.728 330.925] /Subtype /Link /Type /Annot >> endobj 6811 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6812 0 obj << /Length 19 >> stream q /Iabc33117 Do Q endstream endobj 6813 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33118 20690 0 R /Gabc33119 20697 0 R >> /Font << /Fabc33120 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM endstream endobj 6814 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=559) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6815 0 obj << /Filter /FlateDecode /Length 4352 >> stream x<Ɏ$qw~EPi 0hn4Ocg][VVut&=drɈ`l ^~.fR Vi?o/~fk1/Zrr} Iƶ [Mi|W[kx!oEZ*j/}Ic #OOM^cФWKD/.+0+/_n13]X /-IJDxPgz䵎PNŗ'ep;ĿFdHYcΩoȂA" ~oZ|0>,..F5-Xq?<1+=3@!0 _}_.ڄ@˙b~mY:IV֬4XWSm M(2HLt#I? A(<|(jc%[xOg 0 ?ή6"^w)]ҵt90O0)QO$uC$ "vbEsջGYoHqTzaUj 4b38AN-#1d&tlAL< npv. ~he?Xob:g8|cF~<)t7$ =^o:/DvqHSb7WIpi5͖~k+W5&rzeS*Aġuv W* 485teh?UxaGo(w45U@iaSЈV1bͱl0zг#eMH#;--:疎7Ah|akBA$\v"dbٲ#7b邭1s"$,|."ڥD_GuwN[ fv$ HZM2s>lQr]iVE?{fO$We ګR"(*wuIKN/"? X^]1yO|eً-RQɠ=ſ[n?8|F֟~>"H'ēUݮ:gIlN B|vYpxLt3IE/xiewE;~HZl+gᣩ6:OX}%leU=Ϭk+?5?||0G=~;ju yֽYY|jgZ#V__fQ~q~Z&Z֊xd$ҫԧS]hOt!.D>щ9iM\c 1J œ_aݮ (W^W$Oc6N!tswA).N.Q@/hH`Ӈ @tR^]Z 8\vCbm o뭖xߏi6[ XmIۂ떣?mq( <͑r1ܱʡ)er"BD3$6ɬ&Ȁ7a$,Y4l%F<^c }Zt8@UR bKWg+5%5dj/=:a7Qfv2&>ҏ?QMeM7Nwᚓ&Kam) Q1c3sl lWx9wu=akLՕymΞw{p':#c<̺&q:Xے:?mMHH_-4 帬͵ XG TIk^Ebt8VqpXMre]"ksr(BGi38_MҺՕ;km%Z,qcsmPN7=ڪ8"-WVfɼH6H$.j(EEIHϫ"UU$]H싯 WۯcZP'|4,)0YR!Va {qץ&NMGn&_q'e 8[YM7faXup:r"ޚɍ]Ihնan%A*ljyl+J#!Z"'a yG ֜%OQ}2nOd\Rxw޴S]5 Ω=h.K<׭ Aвݩ[<?Mͣ$g_-B/Z-mz#cL_ ~9)Kj`q= giWv>5l^SaAL<$hVEٱZo',n`bD2 x$ή ˏ%6qjtH&2i*hEaIi3 n7i|O|yDK\gjZn= P)܇!#PN  f@H[9 s xiv~mGQs^d֭2lcvX,3h nƠ`WX dQaE_`a- !ί5j@ ԕfcM8#:GېqY~[P>^C>`.Z2DLtGwT#$kR/ZgK<ǽ?u0tp|@S(ȹa ݬXn-zu?iFɅ4eP!"8LQjؿX8I~Hʙ yv K ߉SE xa+^x N}R~H%aaiFd01FI{=~otG,_"Ȩ5:ePY-%zQ5d]b+`Yr9΅Mn28\P} 1ۍfrP10w͞}U_?]myEĤp6Ω2*$_ͷ&p]s6ܨ=accsX/J%߶}ȘLir;u:6W>yfe2"vˆߦ /,g9kO/g=5\xWG%yZEn_`ɸ /ۅwaCxGS~e;͉BKCjʜؠ8y{-ڴ{ ޭ>2\~g_bI- endstream endobj 6816 0 obj << /Annots [6817 0 R 6818 0 R 6822 0 R] /BleedBox [0 0 612 792] /Contents [6823 0 R 6819 0 R 6820 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33136 6821 0 R >> >> /Type /Page >> endobj 6817 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 6818 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6819 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6820 0 obj << /Length 19 >> stream q /Iabc33136 Do Q endstream endobj 6821 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33137 20690 0 R /Gabc33138 20697 0 R >> /Font << /Fabc33139 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 6822 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=560) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6823 0 obj << /Filter /FlateDecode /Length 4808 >> stream xڽ<Ɏ+<͝IP@I*|0|z3=d2T{=)`0-k}U' QZ]7$mm[\5~MJW ?%0(2AY.o&RJ~'a:hm a+iMg |mp\He?XkG/%}5]7!aUlZil2,o茟+}+ |ޗn c6pqD$Vy[HzK{ Urv,o!bx/-3"a=W wT#'5 /mNB3N*XO5m!fh 'Lb]ߗ T֊pq7i1M15-gC(^Ykj@$9nzXhO''-VѦ倭J;;;e$65}`3缩PKfk&Z!Al5)#I^t'xqIQ%}k_}3/xmj %;QϷEjLTNf"s\x6d8ArAxĤ"isJ"1q9r(k")4}# o6adKKofPMG2\9Buëbˆh]ܒ͈^%S( k dluQuXz4Xbf9x'!8q&v1QdxFp\t ^=2Oq/%#Tw2rώ.aXżV ,2-DOEjj[qǢvm9<1өyPl沷CAw bl1nG)Ua覛E9YMYx0`*L[8:)gjJ΂G=\d L1\G >'Ⱦd_먀li/8!qPKK^cJsQۂaAe\N`L@W lDnјڇk'7+$?̃gL8Pfk&7KpZ*$Ct' %f$su,$dΕgi/m:$%5*.W]cEa TǦGؽ]Rټ-OtLP"CD4s-/XeigPmѶdm"0[C]Q +g <,Ҟ%p}M=(8Y- <$>%9uĸC<)Ex{^% L0׃s﮽[ ?g>C!ZaM~)Gpwe׻bb xbtC%4Y> Q\ֶ]Jc0,BU|I!V]K9ں$uAq>z!a9! {h 5ZhlU&V?^Z1!uĵIG`6cɐ=yA·ԏ**G%mYUd+eBn4Zb8ESEhY(:Tol%5ٸd[pфYrͷڐ5)FzTdQF lm{S ci "ƎN6D-)YJϚ&7 JڧMEBy]xIb"J-w{BVALqyyK& M]m8?"z0Srw i§^(d-+))Û8g<~kart h! emK+"vW ʇ$sk; PݩJ/M)Ϟzbz/~^Frtc"֐i}(Xi SjNfCs[~I=ėꤓݽ(i!;`M?yjAMmQX_66MX4HC?vpKGVmlY9EJ,R-Q&RuܩOpg?s>j}4-V )Pc *nIenϙ =I53-͎EX:!:K|2N~QpR~i\.CIVv} s;8d1VeVn!Tq}E|)v%b U.w o6= juIVbm,W/F(zhR E|hK&V.K7 ELՖF6;[n ]Z>]hy:ox ;qK 7WCqv"h^ >逿U_"2 d; kԳFpԶf!g̋ԃ%5` ͚`W&<`v9YJ7Oݎn.x4A(F(y_2zKcvՙEgP'U8) 4YDŽ:;E9%='lR)R\ܚ*2UE`KRwYYL5Z2;Ԧ%( >1s7MGt=O-v8{˪;o-m 1,ز۶zP] T +v!dά|@!=3Bg 2,b,SڔJKȈդ7RomAHKDD-NJ[@ƟVEX~JCD5P~!DPU v(Z`c C̗=Әsˈ]ܥz6$sX`8Is$R<|˛#ƹm5lH&4Mv=˿Ǯ47~YGc.%7ة0ƺ h[&8BhgRB\DƬ&Ӻ%4+>&#%8#=!>q>!q]k`nO2!=GKkxgB1PtXVm#a9 ?$#|瓐?0}OO:oӵ-f|\>`ZѨ>B*nKjG !+:Gle1S]CUuG} \EJ!|I8vfVRDLHI>>/q5= endstream endobj 6824 0 obj << /Annots [6825 0 R 6829 0 R] /BleedBox [0 0 612 792] /Contents [6830 0 R 6826 0 R 6827 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33155 6828 0 R >> >> /Type /Page >> endobj 6825 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6826 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6827 0 obj << /Length 19 >> stream q /Iabc33155 Do Q endstream endobj 6828 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33156 20690 0 R /Gabc33157 20697 0 R >> /Font << /Fabc33158 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$H endstream endobj 6829 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=561) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6830 0 obj << /Filter /FlateDecode /Length 3594 >> stream xˎ#_1|I#9 çI S/Z-ƻw=dŪbR* -/N|PϪlLgwV=MTƦi ;Fcڧ__d0.Ò [t^(#A9 A-p0!Ͳ<pɄ`}~RV YhBĕCcGCsG>sk{X|ꄅ2'0'83ULJÑG2&"O<r4a>BȂ ם :.ɦ_;DBH1w Ǎ3 Ɔ \BRyN=k$@AAօN\k.3ADn U@$WB_tIG#~[{]-V?Mn%Gp;@we]q/2B-;&h 1q`2W ~bTSlY],hH&°]8#䝑q~]Y֢sM=-Ex4Ǵ,i9$þa_ٳj̷v.6~EG+WL4w|e\f`Jɀ]bi]G #s¾qD M:]:Y9Tjw;zN4A>f ^Ml"^LgL0miL ˑ:;Ä񩼛e.x&݉ϊOu>Z~P`Z=&qxd.g# 4$D%X;$gD ddK0N f[H]v8wdY>;73SOPNUBmwMpMqlQ¹$,&Ü_&U'['[͕a6Za/z`;~sfQ'13_ooI653_7)mT'8 L]) J9?horr םF ]X, cXՓ+^l_:.Zbnl!9 *T^T¿Z}7,"0oNM6Rde,F^0*%aXo{v86"T8&|.'j;Ke#[=ݪQ>x>*..pD^rxw=*u]G)̦3tWB$$=Gq6un3 ]ƔrahqpGbq9K™p=tnAcP7q`HXd;NonjW{86 7ՍGڎĵgeMzNI#rbx mAn{T.nUč>g()z|NzC:fۀqPqK} hPr\Jd-8Y+6!K PN_E>?YK!N, ;Ps"W樜E !D%:b 1N200Y10+8{ҘalC,L?|̷ 5yFV*<*zUxBsW3D3$ zHB3h z"Q'D]Zׁ۬A!c>]bƼ> ?Wye:ܧ\gl\rށ` 3D\f$[pW| enzƃxCJ~#He&ædb;C7Ԥ!waLgv0!U3!p+B4eN@ ;Cfq'+RX_SDZ_;Bꙺ5X@\x$k4c[ LqAV<7~So^k$oqDZ*%/yAqM{MCy_aW̄.#"[#ȅ"ZyDI*>:Ds86xIn{V ajپ -(h*-&tܑbᒬLT{cbV8cU= а7i,얶s#, Y$SF9-,[Ũ#ĩk5j.夃^}֜b Yk7!6.NTLF{6&ەL'sޥƋmD?L1153yluY7> T`Y:1%EeW~_3k=B!D#@2N1xZH>wO3ҩF3D1 Gaؑ׍~IN]ߑszev²0Px*!|I80=B"IXF۩}>Y԰,{ endstream endobj 6831 0 obj << /Annots 6833 0 R /BleedBox [0 0 612 792] /Contents [6845 0 R 6841 0 R 6842 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33174 6843 0 R >> >> /Type /Page >> endobj 6832 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6833 0 obj [6832 0 R 6834 0 R 6835 0 R 6836 0 R 6837 0 R 6838 0 R 6839 0 R 6840 0 R 6844 0 R] endobj 6834 0 obj << /A << /D (unique_351) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 192.9817 686.7] /Subtype /Link /Type /Annot >> endobj 6835 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 199.7522 670.5] /Subtype /Link /Type /Annot >> endobj 6836 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 206.6382 654.3] /Subtype /Link /Type /Annot >> endobj 6837 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 189.8302 638.1] /Subtype /Link /Type /Annot >> endobj 6838 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 162.8527 621.9] /Subtype /Link /Type /Annot >> endobj 6839 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 182.9552 605.7] /Subtype /Link /Type /Annot >> endobj 6840 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 204.4492 589.5] /Subtype /Link /Type /Annot >> endobj 6841 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6842 0 obj << /Length 19 >> stream q /Iabc33174 Do Q endstream endobj 6843 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33175 20690 0 R /Gabc33176 20697 0 R >> /Font << /Fabc33177 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n!ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM* endstream endobj 6844 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=562) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6845 0 obj << /Filter /FlateDecode /Length 1302 >> stream xڭXNd7+t D,aq}Lр]~zׅ|Fj.JW ^fS49xi<.*4Z嘜[Qkhv' <~? ML̐_@@LK@]G4Jv6vJm_}A+*9.Μat,)|0812?dɈ:uL'8!ǶEk]c^n*t- rn gv~F͏69~jhFyElduN"^Qd2]1Zz޸} CA&oҩJfm lE삕K*D.8l!;W:y3w *e-kuՎu8IcaAdRh^edD0KDB *K4|\|\~ !/˟ ϳ]̶!(^Aw /cAk]0 'Py1(W9*ԋ1*aj+ذqv51\tiS26خ'j4]HTŪϠ-Vđ"veC5++|D3Ŀol}b+,ʶ =CΤK\Tey #_ %8sϥHH"R/3.y]K# WP󹆗i4K3L17-/ȶ%&Ym`&<T̒Kvh&F,;Z귦.9nN=d ]+aun6U4: ɇi| /<63#=`ve8zsTX9W85N sPomj7`}VH=}Jx{4|sX]Q4ٟOmos`7x8&^Gy4j%l@{nTM&Ä9;4D(D~ޣ%6%QBnmm?&o0vGZrr5pfnxC}4WGQqYӸkNmܱm/GSgrODdp{ endstream endobj 6846 0 obj << /Annots 6848 0 R /BleedBox [0 0 612 792] /Contents [6854 0 R 6850 0 R 6851 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33193 6852 0 R >> >> /Type /Page >> endobj 6847 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6848 0 obj [6847 0 R 6849 0 R 6853 0 R] endobj 6849 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 319.925 147.728 330.925] /Subtype /Link /Type /Annot >> endobj 6850 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6851 0 obj << /Length 19 >> stream q /Iabc33193 Do Q endstream endobj 6852 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33194 20690 0 R /Gabc33195 20697 0 R >> /Font << /Fabc33196 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w1L endstream endobj 6853 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=563) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6854 0 obj << /Filter /FlateDecode /Length 3858 >> stream x\Ko$Wl`:| ,hF^ u /_==Vٻ|tUX$ߴWgu X2?S/䐲_^?|+-fy;YJ㝒7,k+Ωo9|pYGgAfIk"o}k/3#ᵝqY׸:K6at?i|<r0&A:^ELrJD<` ht ~뉟ؙZjҿiP}]|m} 6 QG3RPڲ~ȫy0 W#{XM>ڡ,! E pN'P6a9 -eIabJEpDʃ73`Nҝj cxٸk{8q#,Ҕ"EPGq}7p|mG,,Lg57* 4)6zuYM*k>8gr,;yꙭ"h2A!ULng2sZ95T`x?eZysq?C@ $ eUo8Y[݌& Zl@&k!vs@3 f-Ű Mlz*^<|whmnxEjTWevbؐt 2]Gxٱ@Pv So5>|z/d͚Leg[2W,(*Z,b@=EeIIfvgحtnԨ ( ٙfg~ܑAbyUØ@k:K(݅%-n~WeI_=;)"5~m+6]M,*:T._6[Lov{nt}e1f$+$Xf)A[Ylla"ki+v?fsq+6DuߊN͠t&!78zQ&0uO&6[I^?kyI-+MS^U-R~+} ā7B/@Fa:H6iue]k.'sjpДE}aM` *%oR<2 f=L3B6TE`N qy ~þ#S+D@fzmc@4U.JJayyιg_1Zj@ ,W+kIЬA`!Gj,ْ%\\` /\t-H)y8gell MH)NNV4dUnjn:l޹6q=\^őWFS}׿8Gwjt)+֡IƳ;U˘"T沔gQVY8M]Ķ\ 88ǁ40աn {d>ƭ˖JVPZA?j@>߾L~VjuVzV Y_[`2!=K} VjB&dTVۥ_F+ko'ܦ%UB=FC!+3%Cs7ytòƍRiCLpM:{&GN ѥup<%vPzq[-8mÔ2(۰i;o70ps1F9PZ䀅#>9")=LOwYP=c$D/;>IUxxݘwD3y{FBܰ+`^@q+'f=ZF4cteLo{HVQ8fW#`U(r`2X18H ~3=q"}p20D%\TVU!Q֮~l)Tv꺣-<)DL#^,# *JX4pYN}I-zgE+c$q~AW;vlF?D! uK]n,3(BAaU49, f0,LY3nd/6XLIbьh[cT`N8<-7A@I:DgnMdR*]Z%WU^\f06lt3jyWz1֖XU5tqDK7ɳa0H$qa5C_ n1 : 8L6Jt"G&fȈ_=cYg]Ø4Bmv&jK(*2;'697`1y;pO @ҝY[[j m"([{0VjvPikȰ}EK8i[]F_Ae`aF2Jio1c`$ڱ:mWi5oŕr#MYE%hļ--\NMvy5n-TAXNgw8١ ^%4h61횺Lf>s}3#ye^yeUԙuY/=Jo`P9ܧHA"Ê ⮡bh(sғ*tZ2.kN=Jie8,ad/1mg8#mdFC61bbs>79%dV}".0(KnW|M idaur7Ab=- ԕGfcN89:=պG۰Yʧ_Q>V]6b.Z2Ӆ ;WT+$kR/gK+@MRVwT!)nI;72ܐ:%-xߘް|ݓH6F!!9k֔ 92'UkKW\eF2xnrΑ]edW?)tnۥAQŘP_elӒ=<麔)ChSyB8u8?9#9ӇXtF )ƀq9hԮip%:_UkR$bw>p1tsvTpo=`X #m0C{^>G mŝG=> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33212 6861 0 R >> >> /Type /Page >> endobj 6856 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 6857 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 6858 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6860 0 obj << /Length 19 >> stream q /Iabc33212 Do Q endstream endobj 6861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33213 20690 0 R /Gabc33214 20697 0 R >> /Font << /Fabc33215 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛA endstream endobj 6862 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=564) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6863 0 obj << /Filter /FlateDecode /Length 4674 >> stream xڽˊ$_ʍo>5ǰK 33{ 5P(BoZ$;័R%*$ߏ_.J-_iC_4kg)ҹ}? W/?._`dS5_g< j)/{J0HW4  畚XZ >x*'`<" )IIӪb\ R'&(3it (wñ5<,zuQIՠex"^ =:! :qOB8#>L"F]38:2edu< Ň(O/k$L-1TM_'w~ZK)?d`"vk8VQ Nʮ.yA%qӒ΍=;YUFx9ˆ9jL937:j4.^`/0+ 4LQF4a)M)r$&*) 8ǘ ?.H6CARsD$r " y$"HJ+D5 d6|hk^ڟX4% @AK;ATES̅UO fA > ØQ)6@Vےjݱ,Ij 87h+Y}d[lY8ק:(?Q㕺ʋZ8|SS<.PZ. ڤ# "E eyutO>VCB{7:B8RIM*  p g\ӵ1 wZW4`fCݒd^j۟,_g#⋟HJ1@q K]S\"`$JoiZa0[qqˊwa**פ$Gy|`\$& һ<2K]Pv4 ZB'}, >o>L/K!Vuϸj+$HVЀk\hwRQ'(IWrQ;ƤKt&ZM}R4E9q$"t5/{-e(lLzFÉt44逭BN)fpiE=%Z]+ a(= {.x.UT"Z,RWT"(+Q,pG%[ԎK&VEle DMw/)`a3[(@K×{vaU47uz2u%-o_xXnWTJaV u9Hu{ ]\6i mƝ4\{iĎy,G"nW|xl0I_F/Aя@bU@+Tfai=dC-ODLH!ĎPwSt<.t/(7ۓ#`=a@rC"r`Geb˩Jh+ :rXGm8l ƛ_%fw}͓JYm;L\S,WY)c^)m; {q(߷ KZT$q&$*¨u*X{erzrr+AK9yޝGse)X4yqL ÂhF3f~^ tȹ-Tg M\nb@<و98G-EGCm~dVK:[(J֚{'+mؔ4}K۸`X" å󩮣jwHHr{Du:{ l$@o1V/q)}=A.kB]QY#~]'QUIwTaS*GGQ}}}P&g E@p@^Kkh*F =;@+ԒCD !1:N\ٵn:W^mubGQ=i?C*Ez_Jql,TuS[ƍ>arTؒ)D<%&@ʽ?f*# F#ƯѤU}7=cDL1mw2JZ㯭j0&驃i ^Y']JM^v,R@~[Wwc"OHKsNtJ`grMeZm=hm扡SI?ǀvnme,'[ b Lm$he)E8Z uvnH;!:o֕%EKP>ηl}Ɲ{rhZ{o+wV/Ԋ9 W](1c1Uf4{^Vcgzot`#7N-b$8 3]2S4bjcR\.{{醺-ŵwy lXØ-;J1ۻ{׳,?UjCjsQm}qv=N)zCb LoeZ ^P QTϖ>͊?1pr}kow0Uߗ_/p$gv`BR`c ?%;MglLBtO}֭Z@i$ 2ډS 1E0QȧD5`VWnG$eS $Nr&XFaUL?y!U3$pB\<4e 'ڐa8 2ߍbRK3D; Hx/\+̑vI>+ c3] }=-_ _[R h,9XŢ-2\Nz?BGG"-"pKW+elcAb#W3!$OON|+fO"Xa}$g|*4vPgoDvG:p\Ѯ\g&0\)rϿ+6Fyt;Ee2`fNJ3<3ک]͒4-Sf,}۾y{`nOǐ|=2,CH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33231 6871 0 R >> >> /Type /Page >> endobj 6865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6866 0 obj [6865 0 R 6867 0 R 6868 0 R 6872 0 R] endobj 6867 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20210608081201-08'00') /Rect [104.1732 98.7155 172.7747 109.7155] /Subtype /Link /Type /Annot >> endobj 6868 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 82.5156 182.9552 93.5156] /Subtype /Link /Type /Annot >> endobj 6869 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6870 0 obj << /Length 19 >> stream q /Iabc33231 Do Q endstream endobj 6871 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33232 20690 0 R /Gabc33233 20697 0 R >> /Font << /Fabc33234 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6873 0 obj << /Filter /FlateDecode /Length 4198 >> stream x[K#|  : |[ͮ$Ш,HͿf_i/sgl_NW73~]]TZ]:>>>yx礵@>j/@Bec¯B(K0`ȔM0DVJittZB[|5rr vT]T0fl7'ֱ̑`D닧'^i oD&@RH I:g㒂6Sc;()!cuUVEWs<={n|RqseuҌH\Orww~_^g ~E@GgUXp&x c3+5z6].("P><cW 5O2s&@!=_sOa`< 7ܶ2pDSY)6lPzLphO0 hg78̳F&/gjNuɶ3`x 4 ퟭkC+2:E7xW_X9цJwHEPI46Y>70؅+:ոY2GlB߫ci^ u鎀f] Ndc{]b]ca1Vy]W~0d>fk9J7!CNnݍtBD8HR~<;vyC,FgmVޘjg` ȓ@+>b1 ohrKzdkq.<;p y_Y hl\2@"|=*W8()$Rg^k.eŘ\M q.5,8 edZʸ2\P}XOWCG/zТ. r1,YGSVh"50"ڕsvxnC"'UR8;ˢ2QgŽ v.vߌiL(A` 3e,m\$:|X*fbQ-iY2( F3u }*{32z%#-tީS O<0J2B^}%i ?j\|V3r1qU>rfeü6&fӮ︝z]悤¬֫~n7q%{N;5o'̤acf`+唨p(MYi4lcRӔW]D<ߘ=KZ$9P9vDߗn]tZ :\82uAFpYN*Lv\$愛(K bb NeB%v@/V^hS)}+dtVOZ?:Wky0|% 0lނCCa')Ou+Щ*ŖucGpirM8V{wpHZ. !ߩƌV圗W"ʸшYXԒ!q=A¬܅e^Jڝ{{ܭ|6+(t 1zݖ+"|3o| hטlPGC*tdR!RTА-Hd3&9ܵz]x4 _[j"T%P/M1>,.(3 cܑNVIpU-R=XVfir:xnVH~5ĢRZqeODeؓ{^\KhmK{X_{UūhXMee,9܂Ke$=BZlĖsEiQ:RQUߩ1"ÿۨۄ" W{t 7~ɰّk5.^6`M"j`ɮpE`KɅRtLA{<7 5Rws˹h+07 iZOcQCi Vy1Ə MUdf;?'vN?жs@p.Iۈ.lؙ$7ηCSnNN[r( }ВHGN-y lcKeðvʱ:y@ɩgblEh^d_wlhXz ~c74jeYd[o?f;}BصճxxR_I|Wtw|=UFYxc uO}թ) V='\ 5>V#F`;S,J"Jʷy}Vdv=v;\i/w'}I_W*gl}r{#~BrH!o+ 2m(8in4%Әyhy=$l.ol 'BZEYFPS~d9G> ٢9840=[@J#-F Fe5˽/@^OXUlon3@mw æ6Z/ڑ4}һ7xn [3<.+?;31ܚ./|rW |rC%m- DFnb9 _t O;t.YB|PxL܏+ѻ璾K ݤ4@5<7Xv-.B90c5`~}B̟v/}O~O*߶Lr& P'<j$ki 䡠" c߹?mZIR5箬n򲮕*(Rg KQWxYD/EdBNbaxeѐ'e{ endstream endobj 6874 0 obj << /Annots 6876 0 R /BleedBox [0 0 612 792] /Contents [6885 0 R 6881 0 R 6882 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33250 6883 0 R >> >> /Type /Page >> endobj 6875 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6876 0 obj [6875 0 R 6877 0 R 6878 0 R 6879 0 R 6880 0 R 6884 0 R] endobj 6877 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 162.5502 709.9] /Subtype /Link /Type /Annot >> endobj 6878 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 161.6427 693.7] /Subtype /Link /Type /Annot >> endobj 6879 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 164.9372 677.5] /Subtype /Link /Type /Annot >> endobj 6880 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 180.9312 661.3] /Subtype /Link /Type /Annot >> endobj 6881 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6882 0 obj << /Length 19 >> stream q /Iabc33250 Do Q endstream endobj 6883 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33251 20690 0 R /Gabc33252 20697 0 R >> /Font << /Fabc33253 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6885 0 obj << /Filter /FlateDecode /Length 1210 >> stream xڭWKk$7W9Z=[9f!7,JVg]꫇KJ ~SmRqB}R m2{Grd-׭~x*HǗcÎ7A9C:~?o;h~A^VoXȣNes#h?rhK1=g:hL"zE5Z,۩Ul8NFa9˰[Ep-*^VPg1AI2E eC5_T67p^ #^1!CfSk|v~d*r[#NjYLd ES#i*eajd3ܶeuťf sIO5l4॒Oҙ%vUt,@ r}ܺ nՆB3XnROVAV0pU2h9fj[8{OSA]myif=EˌYlOAe{3 ZƧChbQd ?ӺǬU]8J+3S?F \gG(]V2ÌWpZ-ҩ ȯa cn7 b^Lq uRPR=nVF.탿=dUϩP(sDimu"d >W_q#` '*ZWPUz֠w,/1/s[bdkxNq=?ҋ6y%@w58auX2֠7&?XOjkF≹9&u'6y NƂGF 婺M7u[㹰lɕX٘o Nzu W htDqCs١^}O"[ҿ endstream endobj 6886 0 obj << /Annots 6889 0 R /BleedBox [0 0 612 792] /Contents [6895 0 R 6891 0 R 6892 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33269 6893 0 R >> >> /Type /Page >> endobj 6887 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 98.4941 381.3955 109.4941] /Subtype /Link /Type /Annot >> endobj 6888 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6889 0 obj [6887 0 R 6888 0 R 6890 0 R 6894 0 R] endobj 6890 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 334.125 147.728 345.125] /Subtype /Link /Type /Annot >> endobj 6891 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6892 0 obj << /Length 19 >> stream q /Iabc33269 Do Q endstream endobj 6893 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33270 20690 0 R /Gabc33271 20697 0 R >> /Font << /Fabc33272 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 6894 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=567) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6895 0 obj << /Filter /FlateDecode /Length 4414 >> stream xڵ\IܺW)Iz 3C6nj2vOU,~Hz6Iÿj/oS3SS6X?W?Y3}%mۓ+sH6PX~h(-.1ZRҀg 1Ca8>̖_ѧdY҄e~.z /c30G`L ZË\=`et%gƆ[|*w_-=@]#<0c'fip<˴3x]Q'S ^#(!3/ Xc͢DjADt"RZ p@7 17|i܁N{c}A@0pa 8:w>2fX3xCcb^V s]K1 `®O>7f,c60!hk9zrO숢8"Å_=սx?9[rZnZ}MLƊƀS\ZVYdu^ϋUֆ.^eŰ]uLyP3= zQpԣLLohPԌ6+h|u_&/(15G'jHt֣\4nwŻ˾lWٕxxm)٘،}nxPcÔa!Sc5"P(ul􋱔 DSΉ8@2x\cpKre_Cuh⨓]WO99 GDG$EҪa_"Q>} HЕ嚀ߑǮ ɇ|"c+j y%W >òY4C EHejFYušA @%Q1@|3N6 ΟF.nq74N!0{!l QnhW$\8% gمN1`.&(xU#rƵۖ李VPO?ڃz.?PGaZ8Z}jV[`r!J} VBz{IYf2!b4qVgb:z0&L|. ƐȰU9#j2!_ְW6[q&MP6ƭkcpomDo("+.y Y2LfI^njVxkB%i(i2ƭEMĎ"7 u\ {Pd9E*6-DhL{N-1äР0Lԇ[WYUIs` =iT{*\$O=.>*}v.K[`.ċnP 5[p> m@pae7x8G;ELbeJDXMq~zR5Aq#\T'aݬЂn C5-X ̂8ݣ֛]Hˣ=sqQbHUɝQ;rũV>jK@^Zg?yȠX\ |YhŤ0AlW#F}ܒlVC?+!8'h\ zcIACXXvi(ķRFAsb0}bN;aB[EP!4,1)-iu&-O9WOsW[`[wuuv]֫@;؝ 4=Ӷ'ʩS3Լbxګ6tHk_wZAn@XRLJM9P/kf=l61_@eM6WbҌ9;vaKeN?"8WXle JHk}m$f&Pue⁼Y_8a,v#^6y\_;ʧޫ|mHlD \&zN!=bdMMY_b.uFĔSsSBGGifkEr=#]ra[e E쫻\3G/8N8)R**n1g*|!#&AJm<&I%[֎4wd0GIK)-gtXnE$^: TWjbJiy/ԊvPla,K80\Z&#Ն*ho]7K!^/|lY.{1'iK:wW!sZ%%ō/rX@zE@j/Mrk| (9T^;lWxC޽0`N F;) A bv#I- endstream endobj 6896 0 obj << /Annots [6897 0 R 6898 0 R 6902 0 R] /BleedBox [0 0 612 792] /Contents [6903 0 R 6899 0 R 6900 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33288 6901 0 R >> >> /Type /Page >> endobj 6897 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 6898 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6899 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6900 0 obj << /Length 19 >> stream q /Iabc33288 Do Q endstream endobj 6901 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33289 20690 0 R /Gabc33290 20697 0 R >> /Font << /Fabc33291 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMX endstream endobj 6902 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=568) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6903 0 obj << /Filter /FlateDecode /Length 4688 >> stream xڵ<Ɋ+I87(DBJJV0Oo^ Tal<"$EfГb37} b6zNI)Em|qOVQb翟m~;P#^{y1'@Q e2j|YiRmÖu<]%ƽ a|NеrY _)ūU{۔ O\cn|FƤ`Uݴ6Kְɂ ~n ,q-ذX۷#"17&V&ZmUD4o u\c ;s}S_ UA$vOSbk!}mvVEQHBIG$ੁn!We8cG6M@enx  _GQa9&qI&wfOB(^k ₂q'wɦIƧuvuҼc٩bT_ʦ˦iS(B՚!x/FOXe2ɸ%(wh_rYA/!.,A\#<+q!!@,^K ѣs^7 ]icҌs:WRlF}+FiILCy|f5a#4&.w%4+5IYo;:0weOW2擊slhrrs"( Yb\ȣӮӓHVTf%iUTy&#cF` oV7Haie4^pi6Y5y08@1))"ѠH=]JFt2-DTj0͈`"7iT SrW]'87vʷ=mopKVI;QF(=ńXl=#Ĕ[Jak1Qhmy2%8_=( \/ rO;4V0}Bu0ڵ !L6V c0b[ DqᚆV*uo/D/'I+sP{~jLSJ=y=YllvBë6ḽZhWۙj&{/j!C`ԕԣ<3 7.zY`mܧeSsZ9tiQqyY26Qyq2:hk։ʸJo XWR!_}Fs(CZY2G"fhw# EFbs!zhĩ ^>ubRO-E{:[똭@S^K`،Y-sL%~XUޝ`5 Ovf'(%NpwO⒬Ǹ+s5\\8*EWµtIef2gI؝|s7HVR b+_T]m56?nP!TdNam^$`KOhdX_(nq2\ֿdf/KՋfJ?*1Clz|Vfh@!kQotV<=ZϷ?I6Ivfcg6;%RleU{pٙ4ٕ>`sʝ2Վ1]쭞V^]3+9bsލvOZ2AϽKa̭gкM*Z=)!ڤ%'[N+âc^@n0J&Gz&<9P^X%w[cV&XjDAXk(}BH(6F/?^҂.9;5 gu`e,GuQY"'JOR_ò-R pf`WSu}Qwy{l (wLfL%C5J*Rv{DP|:5*"|Jyc8mP-:٩T&5m15Oмg$^z*e]~1q]Ji$o]Kp1Y'bQ8Ѽ3*iuwdq \7;vID* !wjnclAdEz~4[֤{n Rªop|BަisG_xi^N}λ.*v}n[~.1f˯BooՂP1d;c&(؆C"B^g\dyeKׅJf{lHư&ݰvA&fqAfuwǼO̻Y%TuR'YDD{ϠGU#UxxGݱ0a`c~Tt]U Ў F26oj!kZ,P/rc+q_r|Mxҫ6y;A.[W^OzXW=Uᝆ^Η\~ztK$jW׾y^7[QJZLI4ğEiFG86T~e&1~t$UhpS gէ۵3%jTa/իrưM AN&EkꄀIq\UcMllP f?7&5 >d?9ݶǬZ1'o<7 1!֒p8,&*}B6&*WMӪ"No҃CQ"BT=2%䱮'7Jo5~ _} ɻ/ɋ捕'?Ih\@R)ۼ䇰EtO}2(= YS|^"Zv90blaӦ@1lrwщAMijvIa.,/HLj#\<P2 'B"{>1pPm3aa3?^P:bLڞ` l8 F2pOL{?M{JCRV9/o-UZ]̚Т(.&^ӭT$o8* +\U 8y$Җ ghzvE-WG/r)1$Skũ % ,GщvJee:AWom?trdc!w96fx!mx*?ڙz ! 'q1km)SNL<Nm~lT4Aײ0g[1O}, 6.ۍF~{I{t2*V_wu88l3O8{./ap]c(lK(ljQk\1_jqwncbCh=ܺ+:_spx:_pW{;p%<ҋF@&*: y瀌yWW~!cXM$;R!L*;||9`UV>\o\Fp5F oT.-,5]iCp -[d}ɀ 4!c΢_ޟ" endstream endobj 6904 0 obj << /Annots 6906 0 R /BleedBox [0 0 612 792] /Contents [6915 0 R 6911 0 R 6912 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33307 6913 0 R >> >> /Type /Page >> endobj 6905 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6906 0 obj [6905 0 R 6907 0 R 6908 0 R 6909 0 R 6910 0 R 6914 0 R] endobj 6907 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 597.2 187.8502 608.2] /Subtype /Link /Type /Annot >> endobj 6908 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 581 192.8002 592] /Subtype /Link /Type /Annot >> endobj 6909 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20210608081201-08'00') /Rect [104.1732 564.8 182.1687 575.8] /Subtype /Link /Type /Annot >> endobj 6910 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 548.6 180.9312 559.6] /Subtype /Link /Type /Annot >> endobj 6911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6912 0 obj << /Length 19 >> stream q /Iabc33307 Do Q endstream endobj 6913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33308 20690 0 R /Gabc33309 20697 0 R >> /Font << /Fabc33310 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ  endstream endobj 6914 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=569) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6915 0 obj << /Filter /FlateDecode /Length 1516 >> stream xڭXKo7 W`Ta-==ub] #)h jC(I}Ԉ_ueY9Sєߗp?=D>?!kv\B;k}6E ?|PM %}CFqg 2zGi1l,;]1WgO:5N݃\>Z[u$}!,kY!<涇sh2&_1)rZ+ǝwMl,Bzb;6tX* N+NyוéҜaA [YI\ww}Yߟm.Dz/q:4.?`2~ʀ -Pf>JT6|^_0WXzp#V6ok䘄t"z.?A_Be G˂Q6a>yV  n﬋lq!jw??PAhOB7jqk}X I}9$Qsj%nde 'k|d^t;AzGɲvÿހVF]&g~DM,5.|6jcF݌(_1vmNLկgSfv 副ZgV?RnyTʚ HGqTE?F^ֿ 8ne+vIW4ÀY2I M@0ac܆0CX/1+EJS[Y*FkYI4538ɭ_t ui4S,ZL> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33326 6922 0 R >> >> /Type /Page >> endobj 6917 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6918 0 obj [6917 0 R 6919 0 R 6923 0 R] endobj 6919 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 258.925 147.728 269.925] /Subtype /Link /Type /Annot >> endobj 6920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6921 0 obj << /Length 19 >> stream q /Iabc33326 Do Q endstream endobj 6922 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33327 20690 0 R /Gabc33328 20697 0 R >> /Font << /Fabc33329 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-Ňn8>϶g՟EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ$J endstream endobj 6923 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=570) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6924 0 obj << /Filter /FlateDecode /Length 4306 >> stream x\Io$W@ |[@AN؁1 0mܪjiS0#Uq-|4}̤)T?y'kOM/?idl- JްZj0T5WTvѓ/0/ćӳF=Įu ڷ#OOMoys Y;/36S|PB `sh>/#<}0>_LXfN!?(R:0dg0pЩYpx|S|2}. 1_k3yA].EAOGڋk|@ v tDVr690 5(K$]x1FMw}wnԋW;yYڶǚY/yB*5~EX4A$A:v|SD@G%;XxAPbX>8(=*^]bTMtSXY*pbD ?xO C1~mAEų>V';z,v0<>rMcxɇ=C*ǀ󳜧ZY+oyLP2wEˬŭL|ܾMsa F{V9wU5 6sdij?>nاݰ{`îބuƁJ!!F*>gx35SO.8ux@=JƖ<{wݖMDu0'A[ՊlsN]* }Xfo !12J>.Hk@5La{]]%XőaH8LE23B?ur" ߁̉P8 :$)V8c;tyYQnK A R "'J75A/"?Q yM){`p#e%wm΂e0"E*c;xD2(zz_Zog.`c&ݴJ5cl`G#yQp\zBYuiz(X?GS- FVʞAlK%qydW/;PԴ[>rss"*3VV\fEF(H FְZHjl^5ˆ[2֝:֊vNb7aiH5QC^hԹ>XTmH}\l5I"6=?][fb'-(Cuu\Z83طNuo<ëe۫\^ԥΓ޵A675*y#hXTX4p݃ځwPCs]a5:69Suq[ }׬{jnZ"Lbq4еL}.Ÿ&ĝF|yi=+}v9 ]ʺl:u˂u:Wf5恅vbk޹aQƕhB ad ڼ +miD]G]'Ӛ^pযhą-)1wymꗟ&7M_@Hp/CFۓU6}UXK6H4hqee ^S+ Mo5V,)}͋C&&9lX.~;S)M!>jHGjf f5f!%%+YA[Lح@ v Z氼<ܫ-ա;䫂íj͒棟M Ϋ!saL^…kԶieL4pҵd+-gX,!qJܲwzdU׍JTL: ޸6p^% %Hz5}qЈnRVCG 7$>YDm)R* 5d4+$ѿp( Qjv\Fmc\+)nn-~ju 1Zm˭5נV{l@귡.CݵP| j#7akfO\vXx:2[j]Zmb5EQg,nfvK;"kgZPW "ы46ڽ z&-89It9J [`A\+$,W Ӟį8)B 031h c_^:hz">&!U<(:vf&hb9CͼkEy-qQ+`և#<8 r&vKLXm{$+_l\1χi>=L gH=,c|xF'<' wyԸ'>9:::8*(iێKb> o6"J1XtXN,Vĥ,2*^qv8_wNcI$qu<߃1zxD)]9҆ChFs,jXp Dqzjkۑ$L/itL4%[F2hW}-}e`P9na.ja\&zfma(XQ8NU]e url5!A@0v?NoOMz_/Ԍ^e@5ThK *7σ~D;)gE%7EhP v-"`]9ser3LI=RN+bUNڝ=D.WPM0 {.i~mHQNd+-yHBdJr$]kfF&$<;X.\WuzS&eWP.2^9&L$ZU ]:EF)DRޟRrDtnES7D{3xM{ 3kZܕ*Rբ֔`rAFuok?ۀ(٭ՕK]iNn H8.9iTψA0x"=Ԉ\#>TZ>ڪQ։ N]\a\,  >ȫע2`$ǃ9\IV.ꂑ~3= +C=."I69BDgj㑄C&݌y$TM9[q˜R[ljGޭGՃ,P>u.oF-pqtj#T#$kR/gK\NCSBGG>ů3.VR Os8+"=;l? n)ZXf=CmuA`S+%URQEɥv %i_VCp½!HdG~H%[֎47d袨ԜRkFD1AuYM֦d~K-jc\b `YFF_ܤs$ZWYeArubM+f.rPT1ЕͶl}VDž}?\u̲ä p4^Rv[?bpbc6?jo<ɡVCWT|Ttrz܌Kw jdg՝% {9m]r=rY;]woh;P~VwP/뫺fa˅S4*p=iJL >,Zoŝ endstream endobj 6925 0 obj << /Annots [6926 0 R 6927 0 R 6928 0 R 6932 0 R] /BleedBox [0 0 612 792] /Contents [6933 0 R 6929 0 R 6930 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33345 6931 0 R >> >> /Type /Page >> endobj 6926 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 6927 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 6928 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6929 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6930 0 obj << /Length 19 >> stream q /Iabc33345 Do Q endstream endobj 6931 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33346 20690 0 R /Gabc33347 20697 0 R >> /Font << /Fabc33348 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM* endstream endobj 6932 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=571) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6933 0 obj << /Filter /FlateDecode /Length 4697 >> stream xڽ<Ɏ6w~ ;E Q@eVan0^=h<7`c.*xWZ`0E¿ Q/]58l /I/m.J-~Ji%co.sR[){[w8'*7˷J&Su3?Ryxথ* ?oo˷£&=i&$=HJ4,AG!ɷE+x@]`p 1~JoIhU0.ӆ[ a5ʥL]f]pl EnSRU(@@G5D瞏i{gq"f膰nnKQwF1Q\D fD4MZ>S "TN?Mi቗ 'd`LBHCD@{Z W[3>seτ5#xM(gβc(&М͢ڬ&, X&oS]Df Ocz_i<&I9hJ#1PIIybnIMš ǂE"Ym9 bs,,H^W Y>|j8Wf5 ( ;eNhVKЬ @) y_+D!{H!$&$ ĉ:|YEo$LLnVB PGmI|5Y$I PoDXQW2SmuyḶ>>Ar/V!?:%Zi QI$*U0Ԙ к/YP'MJZy'QF$22!O:'تy1$7_Zs7tuB*I%b!@Ѣ&8o /HG: i]&(`o ]Kh'‡aw)ĪLwXlɲv z&6$T#D|2GY.vj0i6aRMG5>H[pk؇fof(llq'WEr_ÓST[RS>jp+ŖTbIV aD'#!7,]"BT]2L3)7!<$2|}IOHP36, )|Jژ $ia++i#M՛]%EBkƓ]D9_n-"™ⴝs%F0E$?cxnʺhK2s=kќ0DAleƝ(&zwL'q=ej0D2&hy 4\l @  t"G"(-UsiQ5gh6"" 7X6`,f|K+\vX⣯XgΪdO֛#Xj5Zƭr !|%s9:m $[4-LSOX4:'myOkj!sO[9}5U)qМcs3m;/7\)O΍jHM# OZHd^{2-((p2|Y+8q bq0![O${?$1u]]Ǩpa88DPn0!l G)eRdᒉ+]D)d%M*0U%萋{G{2m:%+Ysn6)Uo-7\f.䶆-ɸ{7S!-jm nP VeuKFr,Uu ^W%z+~=_ߌRht[{}-b,@Z5ۄ${.]Zj6cq^z1-Vbe>UK6ܠ}ŭΙ}[&A'+F6`m6)^u H5rNd4$ÔӻtMWC_#zM|ajqɔ~";;WQۘ*`a_HVsbn9w%kcJ2ˎ/M;bS;2nIib5G=cg3Zn׮A}TJs/Q)qʷ,Vs uZCAKxl,۪]@7[Xԧx :cU`~Y$ƊrhxX}e7sPǝEڔP+TѪA\;lGoLk-*sveH qBp}Cc?߄CSd]'h75mZSnR W ΨF?7~+d惔 \Cn_ kI}8bZoo&Rrz|25;^ g&7 >u(3&=~ qp fU`VYw,M&ﷁy jmUSN„ZA[0ot1Y&=#6bmlU2ȡkufek_?*rnr nѬhA$,;;H m*_oTJ}AQk ~O6\ZhS6`^5c'w[s ۳3;0s^%Jw]x_T/v~?s7qgf ݞ9O>)/,E(Ãkmkwj*$&i0m9F (Gz}Q֭6ĚVk-6 9ܨ<(e|\S嗷J'P,#Fݻ^k6UO~e>)5&ݛwZ߽:8؉AJ,'T{*}T;lM"> iڪ6abꊬ.Fz9T.=Rҫd>{h .o\?7 c+5~Uan/ MRS[ݳZ6cPtJu s]sJ3(==55ӹ@_;b-4E$e$:Mѡ^֞8.S/rKsĩ;ԭLoPK8|'jɱ$b Z&Sq?Uj3ױEtoYC}-O=`K`<S*U?6R^퓢d6HqӨY۹օн=P吺(ZL?1LɊ鯭TIcAIL&~@'Zp p"x [~/;׭ʧ6"z QoN6m?ZOB'=jOا`z7`uICldcA:Q-_kVfXx9xnK-t+2I@1n0ch^/h$FI[2kFu' ܌s Ѥ*}sm_I' VKkѢP(ڂK eaV:Y~,aSOs`70ۀKATЂ@;"^2|v _P!=Ә;ňylpw5Bu-Pz2ډS `aD5` VoGoiNA69IAa&ͳf4U&y!E3$pB\QGs.E$QM&[ecA]p=??t&1Okm̥Fa7F*D-7B4Ca  3r307)չ3\] fobH>6Dht}>,}>-^%X[Ӻch4^kBQL B@=Q4trܰ~;B"?-7tx> H!@^~87 DLxE- #O*XWyNMF$k\*#`[Gpͮ[e}J̛<˷>,jؿen endstream endobj 6934 0 obj << /Annots [6935 0 R 6939 0 R] /BleedBox [0 0 612 792] /Contents [6940 0 R 6936 0 R 6937 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33364 6938 0 R >> >> /Type /Page >> endobj 6935 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6936 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6937 0 obj << /Length 19 >> stream q /Iabc33364 Do Q endstream endobj 6938 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33365 20690 0 R /Gabc33366 20697 0 R >> /Font << /Fabc33367 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6940 0 obj << /Filter /FlateDecode /Length 4160 >> stream xڽ[K W@9zZh{6h `OAO%Q]ݳTWɖ)#%ϿzV_!׹\ӳwsxIoN//vz~=jE)uǃRj}#|/qAR m(W_VLŬ~ J=̺pg5UTC*8у+?hcD5(f<3];1iZ7:8,U e,o3(G:8 {|B!䧐trZmXTu6S2"fzx~Wn k7/#lFuҌH_L|}OYQT ZvYذq~%[Rg`Q#z&؋e*Os&A>k )?}= 㹄q,HAYɫ%BK0θl&8+4uJOBІGogHo|{eL$ob(KmyU|Z*i3SVΠίj(l]H})dQyhezWY6-eZs]4't7[nv]&읬V\I]3FF S 6vUam$]ʰ͙%4Jg6(8d%ftk's}VW69.끮*P=DýG թ>ȘzH[,.n%c'^] kWS*O< <eicNz8COm}vLvXn֠M# '>@<5GF 嚄K8heA} C| S0X=^*2'Đ;:c?9t%cpX* E΋xѦH 1z R ϊI p^Iԉ#6>(?%H ܧ1u%9!z+ƫ` lJܯ[׬ԡ}?łNwyc*PY&E:z6.U5Ձ! UνPF񜿳3AK%ymEUn; Ft)]F &4xf_v}bӁ/{_;ţΰNwpŖzcE₋{>A.rY7VX$-b@ߕ0mJ^ ]2,jR:J˥,VMq*08 D[H͉6_ʘCblw%=6悔)>MBBYYA.egBy(eYoUqjS[,܊JKڇ9XPA\M*N Z73\oPo66#jw 9.qg՞l%q h@W!5(rGc\"J$9C$Zͯ8ҧsv[Uc> >^ybII)6 a -i<[v 2;rK4U57nsU4 Juϻb K:('TCAKԸRŠDDAMDkDIStWE=YW!;ܩQo=ܷ`(Yuɶb}VcbU7Waj[+wl?27ǭhWOHud߳<2\7.Zhb;+&ivI Cfkt _$ uH3[ºоceqR(uqB]%{`wm|Otzt=UdIN&7Tঽ *pc9 %FjΪ6)ߦdlLXt*LSwJ^'pwkbĝO;aj@}X BF>桐͟3<-uWq^l57 ӘVaU (=e,cK>:|y{/x`H-p8j"yTa+Ȣa)V!=iEĺ*{lbBl.>mx3! Vfp5)$uu;_aXQ `=oD:\NDas*x k# |y#)Gm?>!4r%Bu&hm ko;>6v=vp$`#=xaDwJ]H[r\˽Ӂӽ"oѠ*2=xǕo|GMx=b?_P]4_yտrMҡUD?S %Z,> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33383 6953 0 R >> >> /Type /Page >> endobj 6942 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6943 0 obj [6942 0 R 6944 0 R 6945 0 R 6946 0 R 6947 0 R 6948 0 R 6949 0 R 6950 0 R 6954 0 R] endobj 6944 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 606.1 203.2722 617.1] /Subtype /Link /Type /Annot >> endobj 6945 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 589.9 145.4837 600.9] /Subtype /Link /Type /Annot >> endobj 6946 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 573.7 154.2562 584.7] /Subtype /Link /Type /Annot >> endobj 6947 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 557.5 144.2737 568.5] /Subtype /Link /Type /Annot >> endobj 6948 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 541.3 149.6802 552.3] /Subtype /Link /Type /Annot >> endobj 6949 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 525.1 164.9372 536.1] /Subtype /Link /Type /Annot >> endobj 6950 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 508.9 180.9312 519.9] /Subtype /Link /Type /Annot >> endobj 6951 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6952 0 obj << /Length 19 >> stream q /Iabc33383 Do Q endstream endobj 6953 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33384 20690 0 R /Gabc33385 20697 0 R >> /Font << /Fabc33386 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HQthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 6954 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=573) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6955 0 obj << /Filter /FlateDecode /Length 1649 >> stream xڭXn7+xm 0́A0 `]yUEvG#/rl*^-FV'yVǠ%ϟ^;ym\4Iqc ;] ǝ t2!ߩ^C(1&v$x֘d^WN;{#mPI4 rA'JŨ>/Ytfbrh2୸SռCP)#] ȤnM,7N9IjB9 rV"ZIQ[В "멡'JIRdIlX_{ k>Byj_椉I?|y(m7.s S}S{/@v8%y'[cp+*oNi/5ͥ# 6ht5૷1(ߝB[SP"ѓ3hO(UʏC`GӬm arnv%ijmc CUcse\9}Pc_"`԰ ǵRP)ϮצԪgLM6!&iݩxAu};HPvaꧼns1ui꣛?onT ^n1Hl`nݲ8|3WKw腏#^VO $p ;?2rdp5cvvbss5RbP6a3GIlV5p2sı^~.Nt;^zGѰi" GQșhT4k?hFm@oUD)Z_U9b Z6O 藜!0V,Զ(Y UW%eHXUځ&^bq]F:K Kkzpyxh,}&>zntMmk.O7(F~-3lB旤2n˲W!6Y汽&DzW.K6W˛4`Hecu59ENDVT|˭uI6Ob7:v؜p^0| @|/6ocaIX?V,ޚs-Z)Kc %H ƄSM G$D9 endstream endobj 6956 0 obj << /Annots 6958 0 R /BleedBox [0 0 612 792] /Contents [6964 0 R 6960 0 R 6961 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33402 6962 0 R >> >> /Type /Page >> endobj 6957 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6958 0 obj [6957 0 R 6959 0 R 6963 0 R] endobj 6959 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 310.725 147.728 321.725] /Subtype /Link /Type /Annot >> endobj 6960 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6961 0 obj << /Length 19 >> stream q /Iabc33402 Do Q endstream endobj 6962 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33403 20690 0 R /Gabc33404 20697 0 R >> /Font << /Fabc33405 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 6963 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=574) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6964 0 obj << /Filter /FlateDecode /Length 4219 >> stream x\Io:W δUw}̩z ԻߟظIJgzU-" RAO&3i7#v:<3?eY:}r5/ӿ=hj|-$y;ã7,5k-5wm͎^p\(s[M//!m)h^<=<5oC&5C^3.Ӛ5UvYhcƈɌk\%P`/S;=N y?'ut,^9$#%J!/9l/xhlG@B /$f1uaE8#( S{9m4a~eD=- ر" }y&\~&^~~2}:sKkM nmVCw #D*\pJ2ŒOԑZ/>g g=)2|V^240 1PQvv/7v@#t)YF̡x ڳ;yz9*I`tݰU[6ߋb&緈x{^8H,4 M}< ZˮyYt!hyR|Q.l3hKv)beL8TSgFXMbD?TDŽrϏ M&(h$hT9զy:7-O|)geQe^nVЬIXd G%[v@-Lc{_]ŃtNđ|M-m?̕mAi:d k9v s"N"2IU%3z|V:Min NJzߐ͞$@*b RYDNnk/"?Q oC)pۼ6K ہ a0f-Rσ'K$juosw_=hCjKU}hhĮ_ӹq J={cmw-n4кXv9}( PteIʀPq_pt&[n^e2EŐ9Taq*ZVKXp.F\_݃9Dƾk&ٹSa'@u}Li,i]]f]XRM7O/o(.E|#B;o ~$lF_FꟁYsY'_W<ԝsߒG}_'<ХWRL߀8^΍(,]/: >h"Ov;4`^42[_%+kP)-!ZMrເp?CJj(dSHFsHGLA!KK#VuOm@ ~ Z氼<]+FKuhiUr0fIu|WCffL^…[ԐW떮qœg =+}wy+UhUUqX*.WRU3uק!荛A` ҽXR^J^׽^Z=C'IY 2%ܨ\dQץ\dܚ FU =dt8l+$ѿp( Qjv\Ac\+n)on |jz+Vjںoy juVwYU ua-F ZȀ,eZ}g' f6 _hAnhr|sX{=d%NNV}'.K1o{'w,|ma猜AG̸kF0p[U5a}܎jQ` ;7 SZMZ`8ear M6>ev鿸ې*L%@`%d˶&>ϐ3Y? \`:|>N :?NcQG%06 JL]7]h,lq".-F)F!sY ym0Qc .2(-S,ba\EN((i(ܺ.:: 6P_J8Fsif +"Oe}QD '`-FlaO30sl14Z`[b TH EuH UD^@L۶dG[fbgѴ Q'{xXb2-/$0F=P_io:ێΕjlhѷ禱2E:Fz6l ҷ^#ٮ7y4 _I= Tf!M__[LP@M0<ᐴcj؍e`yokvH>ҝQj.Qh9aXɓ3Suћm\p[A:?\6輞ҕTaC+>t>'iC6?Q3ǜaL `ϖd^sCkrKJkVDЯ4'akẋhMV6’c\90D2q P?-pb9&]('..VD4vI]'nҢs|2?}y;՛3J (ݹpxLD9,ɴW8h,'rMG`yJ t(Kk+IBiӥ9zH/Ӥkl61?ԙ4 D_J̰Df0%&eNfq(<ume{d{]O&{:,PW&Ț9Ɣ:h?Pd(tC{ x5hDw0Q!~B_?P]d*K%HK,psS-!#GߗhVnl]\K'nR%'f),\(b_])s1 qjؾXgIn1gJ Z XaXM!HYk[_㇤\%mHKsG!5ֱnI$^: TjdJ|ҼOԒve( 3KvV?qNrjCemdW׉7ݮ؛AQŐBW?dn/G>7m:waLZ9ncӇ^tF<1vPPq-|#WߤKk|=\+LKa#o E@#f쓆}>]"Aa ~." 2D K47j% 1q}ur^ 8qYQ?P2 >AF5%0[˽H~ehJ.; >,ΰL/[- endstream endobj 6965 0 obj << /Annots [6966 0 R 6967 0 R 6968 0 R 6972 0 R] /BleedBox [0 0 612 792] /Contents [6973 0 R 6969 0 R 6970 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33421 6971 0 R >> >> /Type /Page >> endobj 6966 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 6967 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 6968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6969 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6970 0 obj << /Length 19 >> stream q /Iabc33421 Do Q endstream endobj 6971 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33422 20690 0 R /Gabc33423 20697 0 R >> /Font << /Fabc33424 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6973 0 obj << /Filter /FlateDecode /Length 4691 >> stream xɊ%ȳhW z 4`|j{ƘjTz4+7BG(2Hw?!%_S˦/OvQjKj*Y\7Y|[$R:88/pO1U o˗?X%\ORy<* ޟ/&~jRR|z,AB<ϋV0@ap`1IWiULVoLȦ2!j[B78& @V4p2<2XF9rA H\yIk8V]]:T3ar5^rS1``,jbҀx\`W@s4LdQz4BLgd9-f_T -H[$"I@)6A["9ςuI駂"/C OL^FE;|h+/S,4+$P5ށ=]$@[dʜJAD"Hga&G&7\*! (5$,r7lw$[VTT|d]l^8-O7tP@+e˵gDqDVDZDTJ;!5]C "LpgZ 5Kd ]Q+'=;_Zɺg+/*lk65}Rt 6_/b) ./N`d^_IO4Ek=Zq`e8cb("fśGetkT2EyO@HL $uydA1'v-> : gߥ2ga$A+h{hwRQ.eة]¤ItK6)" N txmAb[D4{K6{lDa3fKO׈"Y0*3VY쭲*"3YfUX+^5!pnc;m/9Q)lSѯ}iezj\9)z[S[GZܣ64;R*p9V!rjԭ*>;er'10ɹYcN4C2$~Cc$ wTT J ƛ(gip;@bK ]a4r̋2m} xD`z=WF1fAYĄbBN4fX䈱Ҋg@Yլ8)x̶8\wo quFUWXѰ+WW6ڋ\iGF yk߹v[?wSK*Sl&i5n4|+3Q,)JcG:{yYE S ~cn1b9Q(a宮3{fղRvުr-](Pb;p邋71nSK-.5LJ`AUC W ),5Lȶ9ŵzݫƴ>qwh}X& 7vE_p˵_e ~h۸-⣮f;X-d ,%qH@Ly h3|e_#0-.5F8YZ59gGğğ _{kyv=]py ضݮ6IђA8,{Ѿ>thjfW"@YhZ=OƦN3R^i-C,'>Q@R@┗ͮ568XWF6cIGTT^rl}8Y>*_\zlԊ,/ĸ C]zLQvHRcjJ.{[f7KԱqW#|JNyne>c!msLf*nPXk=́9ZLku5mmVSIPyY}n_S XOƏ5Ù[sk-RKB=eK1ʬ|[Χ09>FfOiIdxwJ|-WB[m*>}mD :L*m$ܵyL~r > zkм~Ĝ;:FRe¢Vj1]|nCQ[){:;svV(ӭ'ʬ[ejC|=gmJwpg,7;2(!-77ntKT}swg[>[[4CD;Ή6[WVҫd؜`&Gnj -3o1лAܲ j7p{x"ob j5I7/Lq&RuLoZs8|);ʱ$b B]V/5(1MXYVj忥~lA]1)֍-7I#g@y0TF. Mޫ c1=bj.'j-㬸i{6NH< OAr*YqK娡t["+WycPq4'=ZObb:: _E..jH_?-?W"[3A-^5D |l۴$ʾtmO=.)(.iXn~DV9uohq[:>3ny.w0^^Izk8;F m r5ġ!!v@8f*}C[~9(plḘ F- YdP6o]#D+*>Y>2؍16R38U Bd8b./wc#'dJu6=e 0[nHd~`aD5`FVoGyN P $ &Xna* c'/hnX<' J"rҫ ,q\0pP-0H_X-"Z佖Op 我(%^_;ן@yS}=·>%e< kKDV(BtxM2׿)_Si$a4\H8ĥ Mǂm\ C O'tkcO"e,N F0Ul_p-(h#BnͲTJ3WT#]V8`;iH뉜c3ӹ=,)~_˕G#it=Ey2`h*fL"NkLLV痢&h|8|㜨KedCӰV.`XЃ.枟|Mspg>km̥Fa7F*D-7B4Ca  4r3{ޤ,Wמ} U[&|^ f@}}l6OY[zo{2?{`nO|9zRf,]H> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33440 6980 0 R >> >> /Type /Page >> endobj 6975 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6976 0 obj [6975 0 R 6977 0 R 6981 0 R] endobj 6977 0 obj << /A << /D (unique_363) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 77.6078 172.4722 88.6078] /Subtype /Link /Type /Annot >> endobj 6978 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6979 0 obj << /Length 19 >> stream q /Iabc33440 Do Q endstream endobj 6980 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33441 20690 0 R /Gabc33442 20697 0 R >> /Font << /Fabc33443 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 6981 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=576) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6982 0 obj << /Filter /FlateDecode /Length 4015 >> stream x[KoW)w{60C$A`عOH%~$̠M*Hv4_yq㷗\c l?~O/~v|i2)8>3ƤqtOqgh8c6> Z#TC\@C61 piJHg-5d CeCw?ЃIf$nk3ry6cG ~n)Zv46Xo3h.0t~I|>B!SH9[';Tfg2VXdj1!wUvCON!n.A~:|iFE@$(Qa;XOï-~_@GGL|ϬԨڂv88^@l8EHc.8\fox0@*?{ rmDAmՒ~"j) fA7 aY]s3/' bNX?9!mVj?[Em7dWdrEuD72xkVhY9цJwHkYYLI,wmp4vH!Q6v)c4/[տas7%[XazdN@eJrҙj)0+*3$?|aQ2v C'%T_G*J&Di(ʴ6s b_<=s ՁşWT:]kxɓwQEoqQjN|n-rS3beE:Fa'sK+\=y,عb6}){Q|k^*bjRTZGfO{hp%ȯU@8@hZi-LͪQ{+؝H"QTipF~N6/(RҋM DiD8-oͳk9kp U \[;Bn-=$6N+QLL8DLR(55CT~$_gkDVB*MM+*Ĥ1Ya|WL)It Jw LU!,w|yPYɖZf+.{e',`G:KtP'd.ed#RU*NDA;X4w v5`m$T*I5sVϫcS˒ޕk @o#"{\k~JrXlݬ]Pճ⮲~ CTƊuttV rqn))Oہx|kuOwnXUۯn` 5wsג]{]cPEnc&5`o(edfYujܭJ2.%o}h`66m-34Dke-־Q3EU҇/T]4SKzp gXaMjښgyxbY FA廭U 5Z*p]39NʖixEk\[_2}[޶KۮK ≂MʊZ{@k28Y-%@YI7 le=`c*nܹKEjo!{ wfGv(4RſtҚ4a࿼LtoR #&[]QJfq=:ir~}j=ww:7Ni}7&>tl`V+ Pj(ٱ3 Xqa8(MzA4x="f]\`tW D&HE}Em4 f.UUM\W\;>Lk>e?#6o?w\]<&SY92S4w{?n|Wޮ"xG]wjGjhƟP?`qI |m yފ0;̐A~{߃ $" cU S*`)6m`sfU[6J`{D=&W=v@Q.u =۝&?5n*o⬅淃È[Pv#?ž>xdT9~?MǣRj=%R;忔'KYn3Wg/}W͒qRux-&,nDsZ@.rr VT̽=ձygM,4A:BgOiLi#a:aZ{ONpH烺~bYk$ '7э#~ō~mn%0_ڼ}xqj"Et="CMݜl9 o< ^U}ͮjj=1j}S-C ߣQ/8/17(8ETa7n^]C;PGP2k=}B=4ڹNM 54pD3~%g v| YꔇѺrc|֋>yKz4}FΠi+H)$ ҺN܂.W97swk0Ͻ}xU2-Wi<`P8ܧ筝&Z\| /ۇG~fIk`W ͷ}$Mi75LAu{QivLY?~F/B`ȍ߇\|M! wcuf-@LFֈz@\BZUl/n3HyhW> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33459 6995 0 R >> >> /Type /Page >> endobj 6984 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6985 0 obj [6984 0 R 6986 0 R 6987 0 R 6988 0 R 6989 0 R 6990 0 R 6991 0 R 6992 0 R 6996 0 R] endobj 6986 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 171.5647 709.9] /Subtype /Link /Type /Annot >> endobj 6987 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 176.9712 693.7] /Subtype /Link /Type /Annot >> endobj 6988 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 188.3617 677.5] /Subtype /Link /Type /Annot >> endobj 6989 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 162.5502 661.3] /Subtype /Link /Type /Annot >> endobj 6990 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 161.6427 645.1] /Subtype /Link /Type /Annot >> endobj 6991 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 617.9 164.9372 628.9] /Subtype /Link /Type /Annot >> endobj 6992 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 601.7 180.9312 612.7] /Subtype /Link /Type /Annot >> endobj 6993 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6994 0 obj << /Length 19 >> stream q /Iabc33459 Do Q endstream endobj 6995 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33460 20690 0 R /Gabc33461 20697 0 R >> /Font << /Fabc33462 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( C͠h#bwoZ endstream endobj 6996 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=577) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6997 0 obj << /Filter /FlateDecode /Length 1266 >> stream xڭWj,7+gK fc{YM{JVg|Ml4RqCjIj-E>SQoj7eiAOfJI_n;}¼}.7Hǿi%ao@N],Jv֎Tv/}}=xoOhj?"a)406m$TX߫og?\2n@)Y ZxFϔ/)3ŋ1G㢐̩2etؚJMd{ʻ4Gն;v}QtPrޛ~G^u09O:!NƖ5it<Ə1 >'.Ē|O٩P5\ȋ4EG:0du'>l<,h=ȤiD!dbЊቆݤ.)W/3WՖye;C [WG'e̘=hr &|4szGzpΤ6 j+6ve5ҭ xsUkei/+ ZdWobLQ]iY~-jԊ n"}rxƀ5O-ƣ=]TlZw!Iy:Ocs)S:Sԭmhƥ[f sD'J/W9 x$ICs~}fW5 @g͢ 5gǵ "h[J(!G2K_-CC$]hDC!䘩S pnӹ'ҹJC'&2UT5pbOy&\ٞ 7(ØIЊT!wBˊ";Qe]ՋHMwK 3K;U|ŋB^- ʼnVibaK"Us9ptn)Є.LjaK9ucFBf&}Z>TO/|pf=J௞xvsy"}Kį> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33478 7004 0 R >> >> /Type /Page >> endobj 6999 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7000 0 obj [6999 0 R 7001 0 R 7005 0 R] endobj 7001 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 334.125 147.728 345.125] /Subtype /Link /Type /Annot >> endobj 7002 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7003 0 obj << /Length 19 >> stream q /Iabc33478 Do Q endstream endobj 7004 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33479 20690 0 R /Gabc33480 20697 0 R >> /Font << /Fabc33481 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 7005 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=578) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7006 0 obj << /Filter /FlateDecode /Length 4052 >> stream xڭ\Ko6W@ ߤÀ I a&^`ŗZV{I["E_=X$Kߤ Mx_d;4V)/qx?4Zٿj]V>(dޥr#O;|Tcݱ/(\ޙB]ã|U>o4VdʵWWE/T*ԬF/A~Fi/;+ Rv/sTe=)aOs?pN'z\?`QgJ`6kA˲v,ܤpggS$0C_QyBW.C摟6-4CG[wQNf4Wm<Յp^M7}e ȾG+0-UN=iToBN49/!x IPu)s)5]j>1]x_)0?vGᝋOC^ڔIС}B;wi3Yls8]ެ[ &+_%( ߄D. UUѕC~E}otW~_8  #E$_'Evn`9rЕ*a߲"d)7x~$DxgMrەj(q l2R?C:Rˣho^cGBn\ R{ݚʨpYQMjMX{VFKth~`eѾu`4K o*SV˨IXA.Tn ۦaV%[i0d&/%+}wi-*4Ū8mURW*WصAΆ޸6po\_oِWz}޸DwD5)CG 7$XDU)u)vk( dn2w X~[n)GwGQLGkفFpqm𶦼a%Ǡ[PπZl#P/hw@-jn~S߅Zo=\HBzŧޞ~ЅYb6oqu'Q ^/- c~ncHcd*✑1q|͋_ u6[ၶ& Mw]嵬7 @EI|g7GJ!ɇwd|+OlLXvtƓ]{#EV-A|/~{nm LЦ|ؘ n1"D3rp yp鐯F/pxM7P; ME >4` qcس|+%--w r/k>_5#J%4MC6B.r^F8hQ@t(7IJsJ &ҳY˜!5K=ڿRFq[Y`[Zc),ɴ)IO䩀US&;kzUÞ$¬#W>tV{@}«..Yk6im M/ 3aVUiKEtbCtEw6;!#!XyS"Z>߫uHx- T;bB"^5"P9\Mi+#nItbCFS-sjfpjnM>a5%E;P.%Qscg$k^D0{1E7Yͫ-[Mï4fSK(@Q%ά.0+V<)2_ZK'[L@dXqHUǩoԳ[/?Qu{KSjs#u-18Y/b[{^ay}D`s!e//=[ZCc?kjIq}yK}yd ay%=}jݹ.)fG.ih\ʓavlv @ z[}_~ L,a\jͼpZ|@/u:.<̕TaJlm9i$) >P=l.5̾у?kv77iOTeV qLdDmjb\0y*&;AcR&e^= w;i:?9PŴ`x,1tsђ*2~2m(o.bѦEʉ/jv]s0];'iQ OHLj ],tT1:IAd2Y8hQ,.L9˚K0#2#ֶP.kD'1cYhU@]3qOެi{?@6!^VAwOܫ|mHklD \FD,%9ꢹdMMԿ曩i~.1ScBGǜԘog<6)K+.saG)ˬ'8W4s119Tgc'ʥpSKϫu\).vNh|Jke aW%k֥?{O Plk\\Oko%3ʒO}-K% mBu .|s\~a90c,|3s ?ZA8gy.4srxݑ6EQ΂o^7}#U}P|*TMW|Liq?2cV뒛S;Cp)bXi8$Ym endstream endobj 7007 0 obj << /Annots [7008 0 R 7009 0 R 7010 0 R 7014 0 R] /BleedBox [0 0 612 792] /Contents [7015 0 R 7011 0 R 7012 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33497 7013 0 R >> >> /Type /Page >> endobj 7008 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7009 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7011 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7012 0 obj << /Length 19 >> stream q /Iabc33497 Do Q endstream endobj 7013 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33498 20690 0 R /Gabc33499 20697 0 R >> /Font << /Fabc33500 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy81o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7015 0 obj << /Filter /FlateDecode /Length 4791 >> stream x<Ɋ,Ir ?dEAe- tzR0Yz#( JUWQ%M\xek⪵SF[LΩoxzCxV op> >yO`Zn.Ťד6|Xyocdz0HhE0@@W[y,cWi~Vs}EAI>0}>yx˴iVeaBX rIcL@+|5lF +УLx^,Ό3C̔Mi&1FGon LlB7"*+:5z"TN;&MvڀˈKrsrA ?H\{5\ P .Ưa&: T3aǚW}_g:0VY8%YѺ)K S]aKf Mc_iH9hJeрY$.d Hf,P- ߭`gAɂdSAȗJv`&/Y-_9Z&aK)q @(DOށK!\$@!JADq_V3^+! Mt[_];[$eF@~3ն)Yk pLx\ZyVKO|pJ" "T=`.O1>CVZeATP'QF$22!O:jy1$7Zsh7lu"ƁyqX H٭ Nq5:>]C "LpgZĚ 5KҼ$Ջ VK'=;_ZȺg+nw^Tl-_cRt 6_f\"-`r ioOVXh-G<,b,bŗ[V {LFFKtKґNBZGf nx*,pbג`IiX}]*}[A| 6~b" >n2GY.j'4 TΰxMGjd}-1!w͞f(ld6!\#pby=]$52zغ!5ulWYԋ,-%ZQ6&C"1q/NCv"YE @8MKu#*,}>>@Z/7w5I߃նDTZpfBm.3uGcIl I9'vLGHg k4|$mǠ:xA<-9 3tUӝ$AT\+sZXQbcB:LjHL}o)BAkD 2Kߦ&Nt'AKEr$ه" ^&ykG*@ƸR[įȝ4U Zڶ K^Kd V0>D6 CC=/Zl̉f]E ۼ om`u)p`P*It30l'XELkh'Zq`%,pbvMJއH1slB4րpk&ϢC=_|kK=P-)f KV^uZ|}rV_rLvS+Zt Bqkݐ4S!󂬡"Ց aOkWC < ={xq넨wż_-H=.0'n-[U6z -f}U+rP9 ca~}0K+iip r7[&G9Avllv|xsdc ,!rQE"؝n`\r}1 x$^cۂiS^x2ΈIV2R*+쩘Uc F4n!XxZSJ谐7D31zGIYlV$ a]_zk V8eH=/զ}~K͐#}gnkmz B{$1a:Bu]S̜ _܄_3|?ٲjܿrd_5K>.ↁc096 kk'% ;R;~$TkQ'UK2I:na l-qyz[P{Xj][b*U;`#=wcZR4@2?v?r"1jݾm,Co嗫R_fȿ0AǴpxKFhi2ٖftV&-v0߃9vsN4=lMKlntm`<j~fcN5{2x)'.M؟Mt7zܳ f5jҐlܬU8>}P3I'nNs'z0_mm*UuHY酽J11P?/iK6|"?55Xg{6tyBcVj2I6lhZLY''ug&Ϊg[yi;rks)&HL8NwǦr$Bi` RKQaNDip{ }Ǣy&{_ڶy˒XVwt\5ԏ'12 &ʹNOaeqa2g[| XB1o؏#Anʳ),u`*H%s1jcc;kdn%g´y7O3*YLTo~Gn*/inҒaS~4ۦo$4[r#nvyjnK+ylV>b|BskjM̹Bt&j%xuߍh~HºVj`0uK Hqo 2i?i] ՛ &l  0ч/rg+btO^=d~`l%mჿ+2s:Q?TZmB~U!oݣ.!fpj @pAe8b1Wc_Ftr-4 t/9LtRJ#aa8m(#Q.q1 mxhmaфEyԤ2l^crP )[d 'pR҄|Ů>8tRnQ܁n%߳GOHVyM㕥̱C7}&W*!gl y݀>,§YK6L6,9X2Q\\&^ӣ|p׌6/NBGG""pK7q^;L<|r[xq.cq49԰}$r ]tkCL'߉ReX g %g. 扌 -Ͽ+uzdt92 D(+|h\Kޗ،2|MG K]*lH&Mv= )4/Mb_ Xoc.7\cڤci[Ν& cmq}~ ,Ha$5pܴo#E?N`7w<Θg t^|,-x'ѳaWLՅc Qu! @~}x;!~+| ||[YX gpzOw! n2R>87p=_F //_2Tگ;uI֤dmL~%ͯ[$zטr41gQ %D endstream endobj 7016 0 obj << /Annots 7018 0 R /BleedBox [0 0 612 792] /Contents [7033 0 R 7029 0 R 7030 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33516 7031 0 R >> >> /Type /Page >> endobj 7017 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7018 0 obj [7017 0 R 7019 0 R 7020 0 R 7021 0 R 7022 0 R 7023 0 R 7024 0 R 7025 0 R 7026 0 R 7027 0 R 7028 0 R 7032 0 R] endobj 7019 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20210608081201-08'00') /Rect [104.1732 285.1385 193.7847 296.1385] /Subtype /Link /Type /Annot >> endobj 7020 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20210608081201-08'00') /Rect [104.1732 268.9385 192.8772 279.9385] /Subtype /Link /Type /Annot >> endobj 7021 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [104.1732 252.7385 198.2837 263.7385] /Subtype /Link /Type /Annot >> endobj 7022 0 obj << /A << /D (unique_379) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_nets) /M (D:20210608081201-08'00') /Rect [104.1732 236.5384 183.8627 247.5384] /Subtype /Link /Type /Annot >> endobj 7023 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 220.3384 182.9552 231.3384] /Subtype /Link /Type /Annot >> endobj 7024 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 204.1384 162.5502 215.1384] /Subtype /Link /Type /Annot >> endobj 7025 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 187.9384 161.6427 198.9384] /Subtype /Link /Type /Annot >> endobj 7026 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20210608081201-08'00') /Rect [104.1732 171.7385 167.0492 182.7385] /Subtype /Link /Type /Annot >> endobj 7027 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 155.5385 164.9372 166.5385] /Subtype /Link /Type /Annot >> endobj 7028 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 139.3386 180.9312 150.3386] /Subtype /Link /Type /Annot >> endobj 7029 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7030 0 obj << /Length 19 >> stream q /Iabc33516 Do Q endstream endobj 7031 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33517 20690 0 R /Gabc33518 20697 0 R >> /Font << /Fabc33519 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴Rg<~~?va,6{`B ]QLu4SokWADCfٮc85 6\Hn:|/(h&c I`2(.X5g$𣈺ʔfS~3cy/ KaEr63po.IͿkcDA ߞ|ն9)evP(x P`E ۾{w* endstream endobj 7032 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=580) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7033 0 obj << /Filter /FlateDecode /Length 3289 >> stream x[I\ W<@h_Bt  0S' h/իbWғ("TY~Z*GF>OKdإ?I᝖O\j˧{CR FjN^A>(B%+|eX(8JzF}|&Qph|밪K:FWJlB)t>OJKo[XOՉ Ǵݞ8ꇶ6?<9Lӟy]s {i3-Ă؞=mEk"usС84"1]7HQItqQ(vgTFIJ,KsG^{& zg &a]ĵRݑD'[Ě+@ K8l]LtoNn@'';)79jSmMwxM6x5\rZ)/]%O8Z1 i?[R(cC=05pkL@W].TPDMwVmykw}WA;4k ׭8"yFΎNkki $5Uo'sButz6*b%!Dɠޓ<[b G/g;A y)^x`k%xQ}m+D9@bNRS׸s-:WáՐwDWcxNJ.[cf7s.]aT̋ +U,Ї"+Fank21,^ ڨEk7݆Kj7_[M |Ö|v,೵ku \Nb5ZB5wz nփh0?ܪhl S1/C,DW7{쫡cM>@WU&v ^5o+CG)suITDq fTd.ɸ@Gp? ' $'z#O/7P/4Px G03ܿ*| =F~S4eTX"9C]P@)C) ~P Y,<e]FȲ),9x,ES -q=nq` b&_ >l>JR~}Q)Xvg !Wƚ^ő Yhx\Jyt8-*"|xuNCBq-^eU6d?.׍5E2Wω1v^K;hk 6e0 .ܾXޮוX 礱BǷo}\]>?= 7׬ԟ< lՙc;)Y">EĘI4jj vBd!iA!Hmp>YSi6x~dp$"%|8̃͢!_$a bMʠU9`iBAS7҂H%$*ںM\Ek9Ő5(5.JKI `wW9ܦh'Z3ζzqA`MK:aNSl#zUN-n2̵K2LcY/_b$n֎]/ "'?\|,.{]eb5w.#&'r[hߕ,}/dp2Ta]Tlu~F)&To~]kJ)f!(uza󏉩j*|s`Gc6&NAr:T1xv*>|1yO,OS6T\|/f-zThYV?S؉G~ځTӢJofGϜr~x+ĺ ^Uu`e.%ջc9ɢ!Ow endstream endobj 7034 0 obj << /Annots 7036 0 R /BleedBox [0 0 612 792] /Contents [7042 0 R 7038 0 R 7039 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33535 7040 0 R >> >> /Type /Page >> endobj 7035 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7036 0 obj [7035 0 R 7037 0 R 7041 0 R] endobj 7037 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 258.925 147.728 269.925] /Subtype /Link /Type /Annot >> endobj 7038 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7039 0 obj << /Length 19 >> stream q /Iabc33535 Do Q endstream endobj 7040 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33536 20690 0 R /Gabc33537 20697 0 R >> /Font << /Fabc33538 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7dthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?1P endstream endobj 7041 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=581) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7042 0 obj << /Filter /FlateDecode /Length 4239 >> stream x\IoWyp' 0[srd&%?oV]ݪ| d,{ 7O&3i9#-v:<3?eY~_dϾs1OZLJ`5_Ã7j` saF";LAb?M_&=%'=kg\5K4ӯ?+;WhMn2c]e2' atLJ3 ¿'z䵎N}eI2|`9F?. '֠p|P_-X͋ѧd2i4$soxy^d`>sw`L6#0O>i-1MXi"e@rH5w  7T-Ӕv} g~h)7|xE*}OLhV}]l,K,آ77"_ȗLS(gAX ˆG0 QueN!Dwd(R5mŌ5ޫt(=MDԥc'xxCPxui@D-nf"/H! |w-c'8O Ia- g8\T+2kAu;le ]f-.(neRs6Ɇ5+ZU7~ا}O`Wavî}zvwaJ@9T|c6V9 P9\Dz82{wٖMDvy.'[ `Wn >v"1Wpy`B(}2bXd~̷$J]e0v1u9GHA/;%oQğ TR(E@BId (sPQfG~Cni-7$D R "(J75AdE~0SR k!n J}J;^H£%A{2NjE=cS+f(8ɋcD*Q*.&wtn#v<- J4Eul@fYxK-wq0i:V|րիࠧy)A V,/6|vzV>|!La'6N&-=cU^ڜ0}Hm89InŁkn%w=nbg}^,cW6dU XתV[PYGn-{EV~VMFw/[.%xG% ,UwcP# |B>jy;G<ӎiyY2vId6W6oWܚ;e%9frF$r E nh6pɗfm7@ 5'[*`9h_} LBdeNn<dPȦj'sH{LAŬ,\$$:b%[ݚoʨpYQEjKs̽h-ݱ V7DM4K~618j +N [Զieąh8ấkoVZ":[,!qJ\^ MJ*NFVeUjvm7n \{0חiIlIE{+y)Ҿ^zk5.Mʊuh(F'H2."VmpjNUo@/!ͮ8ܒXW6ñ8'LG+فGpq-𺦼v4D(rqh zx8']9et[0/10NjeY!,gaaЁ昃O,X><RVj"aтj]$ OBa,H;]VrT U",1 ]= t~i1 &0-E|`c偖"‰Z-L,/,Z:]l1zNh* M/E;OwA З# Pqa,\Xύ|\Cu#@OAVo +זҭNR&Ƅŭ9,c(*k z[Zy-~d;(QZ]4H pXl4~'rM993 cm%6t`&]]7g˴|4[uD_J3}Pw9'V} .`9}00$%a5tד^Nb=5 ԕf}N81:=:D?݊}T=RP S*_RK4. Ggyp|Eu1M,r(yFjs ]-hE8wErg-q&9qOe<V8qjؿ`_x]^$U]c((I˔JH/:*AB ?|OrI#- l)5xiutkXj$/QK5j6e%Cv)TS.[˒WF/Un9\P :1{39(*RWͶ}Vv?\Yչ;I 8-Qx#}`ܩ ~:TGn۩pɖ;k Erc^^gBu  [ o;XQ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33554 7049 0 R >> >> /Type /Page >> endobj 7044 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7045 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7046 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7047 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7048 0 obj << /Length 19 >> stream q /Iabc33554 Do Q endstream endobj 7049 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33555 20690 0 R /Gabc33556 20697 0 R >> /Font << /Fabc33557 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM7 endstream endobj 7050 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=582) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7051 0 obj << /Filter /FlateDecode /Length 4654 >> stream x<ˎFw~D;P@IU:@s̩gŢW>IJ.+##AzVb2|MͫKt2|s_PղhgSñ{~ __x9JLc/:+`(u^/Cta z yǂ /zƁ/s:Wf~Ěꔦ3oi``#,hbSҀx\a7@4lL^4iLÕψd9*i8k2 Ut:$X>HD2- 7`R>|Er) $ 6"/CJv`&/Y-_9Z&aKfu@ f 'kw T. К?2Q0hh N*|`rdrPl&Df{`EtoApPoDXQ*Sm uy6Y蠀GW{+j onBVDZDTJ'!5vyк/YP'%<IɢLȓ{ ^ eM9t)S$ >JRIm88x4 Nq5:>]C "LpzEC3V5jxIb' 1VpKxɓ/n-ي*UR۟UQ^a#[㋟o+c rJ , vM{38"-`s i^+,#C1xa=+ބ=:YV~ @:IH,5AP|c صF0X|R*|V}FDqV(,6!&藍[V &#$SD9rQ;ItK/ڥ6)" N t<6 S l1­cC"9=6 cلrÉt0qx:`뤳G'{TQǕXqn /WY[)KkE(&W %?Yٽ dq \ g(x-  U%_7zgˎmל\Jll:`hf5eyXW8p#Mdh4mDy7{-+UQtQF?5aD9׊L"A0rIuabĆ8jVаD9ITGcjN4eAQL!Z^g0O`Nk&0m<go $AʜJ.?R-م~hg*f3qA6^WxPɟ|ϒܢWh"o&ӮvHv奈JƸ=Uƒ_C%w8:e6pa][bU 3YbS%idNdsH~D$8kHS\x1{ܔzM[h,0vTN) _1ߓň68S18X(Aq3d}-kT8c갘t2W;~lԅ<ӏat{)v*v<[‘`6ۨ%륓6AʑCv_oB-ߪo/99Ujvu)&Tp)|Gba"qx5mDh~Iec祉H%{u6bH*S 89%D{*{BY҂~ſ; ɿ,lߌ W`ϏX'<1@mu8bzXgU*ӓ*oM5CJxp:KʲC%7}>dęFoWHkj e˥|YsZ|Z@5!kn Mȴ#>i)-Ypn׍ 9ZLJɩ$c6 :n.k@ Mb}q}3$$/㚐:C0< gWhnHP gԛ- Eشfg~odkGفTڝ(4Ç4j:dUȼ6xCƀځaQjC_i>mGZ%?N:v;V_5푝 *(v:ܝ n~G;ߒM>.ZuUU[vfwY ) {ᓫ4V+91[jL(sX"3D je fƆstv,ﵒR_"dad63mvm[nHo_qg,;&ҚlÁtS7Rt=?ߩ$\QEV6&%Y)sҒ(}m))Dp6E qZLT=˿p[h$vGMw?ѱV@z,܇hoϙ 3o?5{^gq+d`1&'5y/E39u}O{:͛tM C"w6JL{U=e\Df<"Ds J`7!-9f孤rX|Hi\FL,й#GVmGACQ?8똿."`vv9r:+~Qާw;ݎ0[ok-un쓵 ֱ}dwjvD'|H]6B\ 7N'ϵT3#ˠ2,: ffy{}PӅ!occNU:՛h^ b%뾺5lS?XUG_;EwM 8,$ '68CXwv)1L(ۓrKs)&Huܩ-K<|תtɱWK (!J~c}`_-"'Sy{"HXIBӧ RqEݒ<,o`'9.&bbmue2؍H` fp @{]acV&#.E$Qm&WeD{a/DLF\Z9c0c²2x5&8~A!/Y++`^r3'ĀJkr`hl10M% 7 c0 c Je~"2 |={ EG3q.$#`H H@[GOPWx_nWVZ/}_F&`Ӵ0orx>7K-{H!@ʿM9.^5 ÈSy,QjD9 0<K!u[ּ$zЗ>,j8 endstream endobj 7052 0 obj << /Annots [7053 0 R 7057 0 R] /BleedBox [0 0 612 792] /Contents [7058 0 R 7054 0 R 7055 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33573 7056 0 R >> >> /Type /Page >> endobj 7053 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7054 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7055 0 obj << /Length 19 >> stream q /Iabc33573 Do Q endstream endobj 7056 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33574 20690 0 R /Gabc33575 20697 0 R >> /Font << /Fabc33576 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7hVthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>T endstream endobj 7057 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=583) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7058 0 obj << /Filter /FlateDecode /Length 4085 >> stream xڽn9@3 d&̻RovЖ(ŪbVJKHz}gjuv IլJo )NoRJFW`QJ}6ɧo۟uB{/g텷&wVNXNRF*hR꧅!Ƨn$ >Dxi+feTKECB Zx'OG+JO#l^#l@: ק%};cIߗUd‹] 2j+\BJ\~c,(rR@&xP d>Jy$N%RW@j\fx/H9 ]g63 %K?wna`>nkx vxhkøJJ¾BzMbEa=ؕ\\ P(y`:_uswR׻34j+Ey`qxk ]|ޯ9@8ӚiD첇4.4]h#$bek9H:EFҩ׉੬B)~OCJ$eR5 E:=z̋J$R)^p ;āu2XQm" V@ ZjYe@8/ ym u<^~rJ(r̮~WW3jU(z!&X;ŪT~%/F2/5QI}3_1ٸ@&k)axޱ$k\Ep͖peKAvp4qRIB'8/ZB3u9"T( uRS;$K>C*Һ{ބNJ kR>u`4z]<,k`Aǒܝi}i!s%AW6 }_b( P͝qucHQxARjv%!6a]^:! k!58B {k]ZVIn=jEk~r^[i$Da(34)rTawU%X}Z*OV^nΕUl2䜉\cY kh1VA٥9voxE,5W08lc6Qh t#ۦB <A,oRFS)R'gH,_>,!T{8b-'E90FE5[Dc:E< 8j, a8xnƿťDHU3S1OɻfjI$X!0: ^ bٝbȱN͓`R2%~v sEWh%̋LBWg,y\۱4MȠA(61*ħdG5jc. $h$SbB0^ n>Y.J$(}9k@VәyޘdJ[Ittcy9ںDJj tҩ^2s'/؟Yc1:q bTQQ!հ }kF7t4cb娚 Su J5V=>'ꍃ?UWLnu̪j@KG[x _ک9,m|f+ z T7~]y*5ZZV͈7}T\^k]w{fo8B!W/Sq`m-}{:%V WsܖʝOYCҾxS.%PK&!TpܮhoFf87c.j@a׾K.T\HWR邮eqޓ8gln_ əxwWDܷp--᝙ FfF!5e|*[$8㫺xy_5u? yq,6#.gIz`eɿ;ϵRkI>;4}j^4@g[% wUReؕmMll "lDp(]RR thoLakkeZ`~p"2C ʲkP"+cU5o*=T#lQ9K"Fʝf[ٽ\)ʳj3}҄{U~+-OƦ ̽􏈍J约i5[%Nlv.|6nîr]a_z/mho̮Do]μ9ӗALG֭kD2 pe`򮢎tNZ<rJ v:TW؟"3%dicQK;Zrwx#(,}7*bƎ/lgm1Wps7鱭ٜpVf >ydTu"RRZKEmS_DO.7Nm;]9vգ+>̰;软W(S\Lf a]0@^-DQ98"ro2~@:"Br:R;X-8W#<4c]4,깱C߆$1H:P HBD}W+REX>VbjBqrp,4UhD]Z $t|2#~y%;ܗ\gl! %zCp&=P v!x_DDGq~ Áah P bIAX`&XCTfO&jn"D^JSfnyA XA)c2I)$[H{"Kq~t+sl@\#k?i;7=@3ؓ:, r7g&7oyTI+іD䀊UZ֚͡ Y#w87>ehHemd[-m]yB]p;xϺsp;1Kh}̥d$鞛=,oC2i-%2߫RyqR3v!bz*%2{sMy~d%Kr'j=\]Ӻcq4_G}^CH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33592 7071 0 R >> >> /Type /Page >> endobj 7060 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7061 0 obj [7060 0 R 7062 0 R 7063 0 R 7064 0 R 7065 0 R 7066 0 R 7067 0 R 7068 0 R 7072 0 R] endobj 7062 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 606.1 203.2722 617.1] /Subtype /Link /Type /Annot >> endobj 7063 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 589.9 145.4837 600.9] /Subtype /Link /Type /Annot >> endobj 7064 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 573.7 154.2562 584.7] /Subtype /Link /Type /Annot >> endobj 7065 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 557.5 144.2737 568.5] /Subtype /Link /Type /Annot >> endobj 7066 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 541.3 149.6802 552.3] /Subtype /Link /Type /Annot >> endobj 7067 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 525.1 164.9372 536.1] /Subtype /Link /Type /Annot >> endobj 7068 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 508.9 180.9312 519.9] /Subtype /Link /Type /Annot >> endobj 7069 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7070 0 obj << /Length 19 >> stream q /Iabc33592 Do Q endstream endobj 7071 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33593 20690 0 R /Gabc33594 20697 0 R >> /Font << /Fabc33595 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 7072 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=584) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7073 0 obj << /Filter /FlateDecode /Length 1620 >> stream xڭXKo7W\`7|?@dYzK!'NQK~!w8Iy%I[m.WtY.X?T~sZ}~\4xk ;] ǝ t2!nީ^P:bұM84ɗ=Hҝ1ɼN;V= h@rA' di r\ M` Ws LP7 nhMM٩fqQ (b8/씃x4NI?WN~>5і\vВuX_Y}f!ZRk}&&~E8- l̵F 'N;}~ϰt4<#{>cvޡa՟uSpDܦbfņVcM9ئTdrzvFct̄­R:VvQڒUs+9%m=Kzȧ͕-z+Au}H*Q6B0?ZʹMMd"*cQjXbݩ"*4*UKbA}Q(Dt)?[wȮLL%{P}ûW)~e6Z}ovupZ|hveSTߴI9᨜OGAO€6G]=_sԆ&lgq&ێnb)%NPFv5,Ιhs 6 >0c .g+<;xbm&r6&X->e : ؝z@E՗W[>oW0^`j:PG;̝1CAChTIkА4f!=Bd|>۩lX-qalqK\/o(&"N? \"g~mrsR)jZ6Fcj \EB%@KLвxjo8E euBm xVGW-OTn.y.@cmsRնRQjk`IV [ wD>Py2`Q):L2c:o&M'm51n0\M/o+|,Oz%DJ ,ZHѾfǖZٕ 6{B]5 dOS-JM3)]v|+Ya(hE:sneDupQuY+I]3B2CQt*9P8R>oHקŅ'$".e[sP^+ o+qIn6Ž0$u#DRqz|ᳪoY_S{U ҩ^rUzG+ЀsC+fyK<0ލ g`yt_j"z _"pk/˦W_ ٘ekr,{w"DisIN]0z<ɿP<1nop7=8{zל_J LisiasX<~iC \e%ag,Xhyܷkm,BA~vKl^.n(a.(!o)8SM G$, (f endstream endobj 7074 0 obj << /Annots 7076 0 R /BleedBox [0 0 612 792] /Contents [7082 0 R 7078 0 R 7079 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33611 7080 0 R >> >> /Type /Page >> endobj 7075 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7076 0 obj [7075 0 R 7077 0 R 7081 0 R] endobj 7077 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 310.725 147.728 321.725] /Subtype /Link /Type /Annot >> endobj 7078 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7079 0 obj << /Length 19 >> stream q /Iabc33611 Do Q endstream endobj 7080 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33612 20690 0 R /Gabc33613 20697 0 R >> /Font << /Fabc33614 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7082 0 obj << /Filter /FlateDecode /Length 4154 >> stream x\KWl@ ߤ=|[{ֱ`&zVOIßiӷ))|Ln2Nkp?V[.o!ZaYk/iyҋߧ?MO_w<ۋoiK\3E;0fg9,VЬGXz`3m5dq̯:laj -s"$ v[hj]Ndl~1D@pAtIR$*YcҹmHrzSuYQ-nf K A RLP"'J75AM'(,rԮ[BBa^%*8A˜He<$/ ޫrT~ S-`}otMG$FB'TtYL%u?fwףbp_XQڏI{k)`ŲGf؁jy.KvT΀ˇhMq}yCP ĩ j-añ,syz~D鉶r ;fHfI,-/p\lzxM\o\X~cuťhoDhǍ\я~(bB#0u'w1{'y-)́5}˧pߕ_J2}@x J WH4hve<Ѐ}G,Ԋv)Cꋄ5šD+TJV=)erKv$V. 3 RM9tƽh۠jb%%+YA]m'| };UFˊRRsX^ZcW UO& % Gcp^ 2Vz * RCCFF\[JKEg c :zj3Vjںoy juVwYUun5F__ Z>ɀ,iZ}G f6[O VGO9 K,ν2R''W}'.K>oNxwR3r1.9t8>nUՄuq;>ޡG=U< 0НL%"j51Ckp. Kh7ZfkĽF>M.+ ёa;1mM!{ S l5y0v~JQu?;9 Ux,o|ވ*lT5W ]ڨ.֣ Q46Xb] w6qhe9g|#rdEeE%L蔕cnЪ!%Q0w-!ը6HUJPF^,t[֧g'\@Ԙa9 35tb&\Z"jF&gEĖXMMD֡3,RcC^DP+Rp1tI7jަV-hV\hxQEf[S cr/=WL @Bq]Z~YT!`HR*n&$KPU;8Ft7ZEWՆvK[Es亏Nk,:bYRX~fASAigpݪz=ؐ[>*D/GѤMda"KUdFYN|~ 3YP@٤횦ILgo暄igR}X0t~b cmkSGe0gOӦ3ԇ*,9xC`1+PN*-s֡L n(!ƭ$\_zw=unU Fm#9K=V--іؙA4m@ԁ5^;s\`FO$04;S_iagD;TYq\EGMc d(dyų)yCWr4t_I= TAf"M__v6gs3 BU6t]m`7f kJq ĞX ?};(QV]4sr w('xj;H1Lۛv8{했F2b.KD|B'|oq4. KM,>^zHb|TTcM iY?7LFMiǗ*iIn 7NkD28u\T'İA䙞Tqy*cw騟hme ]3#oVtį[y>+j]=$u>6&iC(5OxC@ 0&gKo6>%5TDAEg00MN$Tr\Wb5 h,'rMGX`J GVxAiѥ9G/ä-2mc8rG m:/DG fXo"]|buv2P[. ,$&;XzxQĠnt,PW&Ț9Ɣh?`Wǽ+tE[ux5hDW0Q ?P]d*K%ȗxJsSBGG{;wEr-ыÖ&91KQfBJY`Sg%,)(1eJ|#Z0BU÷!)nI[;ܐVVsJn -KcRMYɐe-)ZRZt6 ],|DUn9\P :1{9(R~⛌v}Q_ƣQ?\Թl( ǡn FOߠh ]Vǫ08՛e}9V{c&y vO]A|ǝ[lip0, WԾA=f샆u>{uн ~ZMh\~w64%99aawvL^wmVB ʜ!@y{9Ԍwlbz,>%!z> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33630 7089 0 R >> >> /Type /Page >> endobj 7084 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7085 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7088 0 obj << /Length 19 >> stream q /Iabc33630 Do Q endstream endobj 7089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33631 20690 0 R /Gabc33632 20697 0 R >> /Font << /Fabc33633 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ |W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo\ endstream endobj 7090 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=586) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7091 0 obj << /Filter /FlateDecode /Length 4610 >> stream xɊ,ȳr+ECWw>=cT4ٕ !e..jwŸ%S˦𖤿~/W(|v5~,J,_~YzR[){YW8p [*L/?KUKy~ח˿I=_ڄnT8ԩO]⩜|Y=Y!wi֪`\ z)lKϙ4pn _EnSRU(0AހWB{=S"4#b#D6 aܖf.&1Q\^D fD4 Z>C "PMi቗ 'nd`L:!$<,8wp2ƭzC58)t__x왰f/WYvUV3v0dnuf51di@]`w@9E&2SƨW=mSGFL6IASJJ ?.HvKj*IMj ȉ$X ߭`gAɂHPùK(h'ԎIX8ŢQ-NA2HXD&"TT4eA 092Pl"ޓnK"wv'IRNY}`E_LgJ@G8ySfܚyVKpKhE5@D%T{\Rc37lCTZeA4)ia˞D,Ȅ<8;z&`ɐPޔC~n͡ A &T̋Ckgޚp g̃ӽ1 w+ꚱ Ш)%[-hȅzZKT|qk1uV\G^T&+^%ųْ?ldk|uRt 6_/b) . "+:~Z4Ek{82y1`2gNV\ܲMأ2J5*a>OHG: i]&(`o ]Kh'‡aw)Ī wXlɲv pmKmN*ub" >|Et,;K4 TΰxU&ECD#f-F5|Hd]df& 4Z"}GJ{Iki9eM<U!5eHXqn%_Ҥ^Ӥؒ _,ԊPa Ld$dƓű+XDK&9 @ƿ3<~7 ,9_e-;4!4<+i̬~MU%ö=7y}D䓀%s5F!Eq#c)H%;6 r fܐu 9=qUR|uabĆjQ&aܹpb¿GaJ$GPvC{'h⇖Y8K@KP-;KY=zSX%'b\*5-8ݚJ UMf!&XFny&}v]Œ繶^S+YߑHw aAz{>&d(m~ۀ1K7 j >Wd Mu䖍 lNhW\ eR\0elv;VijE[ΨfQNƣg q`YOЈLr!jV|HՂQFbpQf4 $ZΨnqbgidVw&B wa8VeNv?C3M4gNE'#("="=meR1NWٰSq@ĤDa0g8(Q,%n紗n@CM~zm&%)NrAǶmGBL>KxXֽo[u@˸Z`QecH?b}k7|:yca:rj Mc(O1֬_Jc^8:?_ \zeYR)Aʾ4Y8j 2ĶC=UJ-n_}ZAbZne\3ڊaMV[c>T.u>-I$ iKJFmns'? ,J Vts.Y_dtX G0HL[Ԣ+[l$@7P6U<{JoOvvu6!ov˄,>&I (2I[i,NpA_Ʊ]E)nj s3 P) p[&K6 bjlڧ >jb۪ݯ`a4^!>>㞢׈aP n39WI vuGw$QSQꐅ-6M.U7oJVu;E}/b}6ki9FK .RA< ;ZQ(*͝w㶊Gi܉۪m]o5`qWŵ;\ $_-]_ ޗ ՕǕG\+f嵥+=TlZkW><⯵S!n0â@vaYS`V˜rqo1rkP(731Z8ੱL!mm7_E~Y']5ؙJ6u|TFMm7r}TJ9n:Z3Q2;HS@9o=hr#aV˷/ &.ϲV@r j,$On>x㟹>f.&W_xX3 o݋8|a^=b֬*vWiV4%>Tq0XC = E2.69qqRV wb`lN0d=75nd*DM& 'm{Ѧoa^SJJM䬬|w |/q{VYEp/w KJ}Ku~Lklîd?]ge$6)eCzZ{fmUE#иBX(w4Lbd.RK9VDT/%V1(.H/Wxd}2TϯĽh< [6(OJSҮ hN/?Wr G"+W_[G18ڸm1"hoCg2.?cOˏVPA0Ă*z5@˹lV|hW`~tz!O]]_Ă% m&pMAdg>G|>wm¸܅a"!W w.Diܵ5'֨EP9HdP6/]B bUd-?-?!݈k1KU@  vqK{4s|yx_>< &H<)c8iݪu zB'8Ef |iH˵_mVˏ[K(MNDb'ڦ9I׬f< 'R4I7LDŋJUfsO9.8(~7HoX-L"^$=;#-O[2OSS1v Rgb+w(z _ rGijWm<4,9Ţ-OtxMM_`i/!Õ1#6"pSW+t.VHܥ\|qJ6Y !XS`S炒+˭wJidJO+] n|0fV|teB"wֺ%y k[|}d8<F7UŬ\tA u R4€ooir8'TYd+@24lU X:hb_p麃N|2nl'> nhk9plp3[F /2ṬgyNMF$k\*#`[>Gpͮ[j$H,>,jyZ endstream endobj 7092 0 obj << /Annots [7093 0 R 7097 0 R] /BleedBox [0 0 612 792] /Contents [7098 0 R 7094 0 R 7095 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33649 7096 0 R >> >> /Type /Page >> endobj 7093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7094 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7095 0 obj << /Length 19 >> stream q /Iabc33649 Do Q endstream endobj 7096 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33650 20690 0 R /Gabc33651 20697 0 R >> /Font << /Fabc33652 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7$%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//dk,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM endstream endobj 7097 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=587) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7098 0 obj << /Filter /FlateDecode /Length 4039 >> stream x[K#u~#id 7䴎ԃjvkF.4b_/?Wfuݜ4^RuZϯ?6,JUxׯ?J4'WRm(WO?׿Q U<~z?G^.@?LyX{MdU<+`hxHe&JG;gH?Fk߈Ͻ@aNۼx;,U :> 12GƎFuҌHF!^|gwh //ZϠq8_5+5Zku֠]/60ׯd!)u&5R+0yƮ+ OhWsj@ l= /#M 4j";$"S{.j0@ʉ6d!q]bNZ$64d1V#8޲l12 hg[8Fx)ÇbN%:H|86X Fj$e UFvzC嵑GaV Hܔ'a m̨hY-WsQ>)$98+ v%CQjJ@dkLY/[q;j׽Z^=qvY_GGn!R5il!k 2LPS +UHiR%0Z(uY@bB}'6i" UH>#̅KT3&lʓSa zJ643# )+`c9[@dta$Jpc.Mo X k {:RI!3O ' $! (8G0c!e}9N*mQ}P5*oHuBtYRXc=U#-/D}wC1pk胲akq @6D4{~cz9YVkk6owhN߂MWiy jqȬ?4h'Ǿ]`_VW6eL,Su>V\:Ԕ gt3W_w5syL ŚZkRkj6Sح{ߟ~X2$;a/z%pg9lS Eښҗix).z9 QdhLi#p_\ Ʋs:w4dUF_K{@E~Ec\}ADoCE_x] \$ vd\ne}WNf6xGQ exRu-eJhlL4|SnKoUXoc-6͎Ն ' ;]Y~V:ke UzVnwvos!5!X`D)8x؊v;(V61->QbJ\7Jmqt_H*]H!N#^VAkڹ%aƬ- ~LcE%0UYNVғ K]E j" g<뱪ڢLk[NU6[#WbQ›dl]v]X A*fka1NcÆ.5<ެˬ+d,*; >hIɛfsf(t=O crcو}7^[>0z!H ">vw?@Br "' _qLyydy;xz#>iWџ'3Wsa{3*a%0z{냓9OWr~)G9ftG4WMKٛDO'|AwȩKcj팁lL^,[I۬AӸ1w'P]~ m!"gԍD@vm&O[9WO#o`R]3y7i@{F?2Gm(rӒ*vX"nSM%ަ4A6:E`&i,,m7OYWDnAJ7s r0+L,f֨G.IT0ү,2pe+cd:a.2qOL^{nM{jCRQ?|7=|}Jk|/-p,fMl_E˴(qty vұU#sn{"bQőKk8[Lzq/7GL$9:Jqqfz-Un8X~6<^P3#ߏA,-b ʔc]ǔ U0"nhgpL6>{7@h#|1r?> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33668 7112 0 R >> >> /Type /Page >> endobj 7100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7101 0 obj [7100 0 R 7102 0 R 7103 0 R 7104 0 R 7105 0 R 7106 0 R 7107 0 R 7108 0 R 7109 0 R 7113 0 R] endobj 7102 0 obj << /A << /D (unique_363) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 172.4722 686.7] /Subtype /Link /Type /Annot >> endobj 7103 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 171.5647 670.5] /Subtype /Link /Type /Annot >> endobj 7104 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 176.9712 654.3] /Subtype /Link /Type /Annot >> endobj 7105 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 182.9552 638.1] /Subtype /Link /Type /Annot >> endobj 7106 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 188.3617 621.9] /Subtype /Link /Type /Annot >> endobj 7107 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 162.5502 605.7] /Subtype /Link /Type /Annot >> endobj 7108 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 578.5 164.9372 589.5] /Subtype /Link /Type /Annot >> endobj 7109 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 562.3 180.9312 573.3] /Subtype /Link /Type /Annot >> endobj 7110 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7111 0 obj << /Length 19 >> stream q /Iabc33668 Do Q endstream endobj 7112 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33669 20690 0 R /Gabc33670 20697 0 R >> /Font << /Fabc33671 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=϶ff^_ |W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$` endstream endobj 7113 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=588) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7114 0 obj << /Filter /FlateDecode /Length 1318 >> stream xڵXN,7+T~ D,xEQ ;UT?J@ƾ=wFj-NXӋ}N.Ko?񬿝vN!kv\BRiZ}6A}b(Zr7<~hw;;kK:hoQ8<(wXv`n#p<(y]`֠a,+iw ~bpE4O} N&T%LA/8~ںyI"I}zfPxhukf:Ek&=V708iW[WqHE{w gY3kCkSk8|;|нrn4@'zҒ4k% `Sl֐5);9D9O"yjB,BiE0ζUq⋎%0uǂbp^m"aCagGsv.ҳVWM[`1t\\Ȗko;(DxCS׷P^8Z?AI"0p8Hvv.ۦ8;Q9`YQa8՘xs>bAr˾!bn*&(P𩦆C8 endstream endobj 7115 0 obj << /Annots 7117 0 R /BleedBox [0 0 612 792] /Contents [7123 0 R 7119 0 R 7120 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33687 7121 0 R >> >> /Type /Page >> endobj 7116 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7117 0 obj [7116 0 R 7118 0 R 7122 0 R] endobj 7118 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 334.125 147.728 345.125] /Subtype /Link /Type /Annot >> endobj 7119 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7120 0 obj << /Length 19 >> stream q /Iabc33687 Do Q endstream endobj 7121 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33688 20690 0 R /Gabc33689 20697 0 R >> /Font << /Fabc33690 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [njЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC25j4>S~Y2c e / §9 ?ɒ F|QH:I*dz)rݝCr<3/*_'ŶT endstream endobj 7122 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=589) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7123 0 obj << /Filter /FlateDecode /Length 4001 >> stream xڭ\K6W@ZIg@M*_Gqćů,ȯRK&/oiL88iWust!ZM;=(KG{ҦGwتڪ uʷ:|x/WY|^v_ÒIjjgafRHX?//pGjϏ5>Atu)Ck Gq6wR*sXf|ग9cA@C_/@G?ռ8\loKVz&oT5N|%#fv g` _ 8Cܮ.JC 0I^aUyZgQ<6'K{w;H h>Cf&g2,OL8[.jNؠ QsE FVL`;hgu[P CK'ހBbvr܂ŝflm\ Ymv-By#Qfn .G > yb~<^=S`fmg}dC$~װMV\)^~D}_o=(ˠw ]FgO1σts gyre}a= DNJiSӼ\'ZVY;ڢu^KP*k`fWAɉkWu{>Uf(ApQpQpQpQpAp{8%UƓcJʤ92Err2D'5M`i.2]S.lyY{nemb@]yw(ӜH%ҭ%62.H֛"Y i"FK\[vQځ(;3KH/MR$*1N@;t% & Q{c '#yae+bx+pւDF?tm't|20d^wQLءдhض>gPϗ}=rW~*bXS yūc1b. sqyp2/ߏ;Q ->2TtyQ|JaW֢Lgz~*n#2t[޹[,ʷ9wʯYjO+7nc|@]zx (Z}%rO,9_!d`/&+_%h ߄DMxRQ .oy7z[Cl`ɗa<hi"ISȁ7oEFQyWJӿ*aeED+RٯTz,2 Aq^T+PdRƏs H-Ϻg|  s)8.tJ?^?vk>~*eEv5Y^c橌R Tu`4K o*SV˨IXA.Tn aV%[i0d&ULbJ?\Z Mq*NNUUUj&fCo \rِWz}מآ; E֡AƣUK_,"ݫ꺔cS 2BFoLº]t88ǎⰞd=]\7ݩ}k5 ֽ[Ǡ{P#PO7iw@-jaq>S߅Zvo=V%~ ?ԅAf6!baF%b:Xz0&ȰU9#b2!Z}mLmo-; 6-kYc7 @0aRDz. ГZeiuhu J-"*C\~RR-Zj|)j\XAaɖ$ hӨ,2ϵ _֩'wɏYwZE|-v =r3ް0w竢-'`/#{-zzÞ"x ӛOK<$N-5Z7|\bABuCvkWB.Y_Bu &V*KS^YXOM=iPKƭ'ޓ/\1#-xN,֔[yѕgX2\͊K6v)leakOEjVF\F\튽uwmamDħ _ԥ*:.@Wtg>+c1Fb0Z>,-4ߎ@@yϖ<~k9S)W6>]*l }Hp#`t+/=> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33706 7130 0 R >> >> /Type /Page >> endobj 7125 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7126 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7127 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7128 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7129 0 obj << /Length 19 >> stream q /Iabc33706 Do Q endstream endobj 7130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33707 20690 0 R /Gabc33708 20697 0 R >> /Font << /Fabc33709 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7132 0 obj << /Filter /FlateDecode /Length 4749 >> stream x<Ɋ,G87dC0MK4 al=ok&Wk 񒠿?~].֟nfrK&^؂Z~[,2BXZ86p#%|<|뷿X)\w~_g! )!.ҷT~H6'"h $+Tz̥Y)SZ*  FSwLxO˂qokxV#mϤQe&>@FwYfB Dzt^C@to™qF|M3et߂ i5ht\ GD+O~N梈0B 1M:0]0ΥZ\#^&! 8gZn7!ki 'IF':m gseτ5#8 c\gκc(ʃq4'sқ>K\#Tiؒ4Fi,Әb?4%2PI 9eyA2!r(H }mdV[@nIJln< SH$E"_ϕL^FE;|h)jp @(DO~ށK!{HBd\JAD"HE'LL( kmA|Y$I 8(7K\d]l^8-OOtP@#+e뽕gDqk[D+""*-J'!5c6)ծ$kksNs4 ZaRJ HҌ[6;$>m̡[ҙu䞽hg?RCIk}8jRi-`ٖn#1fWHN2w&29 }Y;)& Y:9j,SF\3P$hϙZ" aIwМ5"nRri(s͂p@I ?g)m$gdm?qG&d-7w乕Ò2'ť+RąsKw}N@)U{4xC)HI>k?N&;c7~uq 'Awi7 `.|ujÙi8ӿ_&_8/ y~,Nb~֜f2 1Q0gKdE ENs,TyM52)RCtXςZxR֣Z }6+ ))ZɤmI%DöJ48466*~RLT*KO)K1,$cOf'A_3U疮$s&lkRP'k{Tivˈֵ(q+DyM΃Ǎi()SE7?: #}d+< *Mbe4)ПܖзlR=*B ;ga޿ ߵS23WGyg8l2+֯\7uz+}~9(կ}~k#I1-"H7s_J]֐ͤ>1ZGy" ['ѭ LݰY_-qa8l1_O@['k;OB}TƈZy Pj\b~Se`ؐ rjUcRΡZʯ̐0Ƭ{KF?9D٤Ƴ"ͬ5LZ`Ui7OI @=Ϧ ɞ!m(msgctOy2e(7$?R{ZPRoJY3gw݋SⓅuH<1q{M!bh-侮{xPYvۻ/ew:뤳?4;i65$^͎<޻ݻd0Ol]{mK ~:m1(Fz26j{Xqyu$wށhB= NRM{f?`F nMyluj(;if/__vTvstؿRׇYfqZ Lya6}BԎq">, _5t]چ6ZrR, JavM/}oDk!(>ǐWm[f1*·>UK6w6,9X* -OtxM2׿)_i$WW0pE@.pLHMF)fBBAC O't+0Z j2#GJ/d4\|#B: ͲTJ3wT#lVc;=Dfz1_LDtTKDRYܞ˕ď:Fԉzdtإ92JP*3=Ӿ.ez?-Ee4AAߙ|--gT LF= LB{zzsI7nOMsIcsa֎uY#F:rEAj |4Xl\p_ [0f)V5@\{QVu|DDw+++ÿte藮3:/}g46y͗g?jģ8k0DՅj$=T( #r'!a<UZ5XM7pz\õm2Z^>87p=SF /H2Tت?‘zT43i/ GZ f 9%b} 2>,j< endstream endobj 7133 0 obj << /Annots 7135 0 R /BleedBox [0 0 612 792] /Contents [7149 0 R 7145 0 R 7146 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33725 7147 0 R >> >> /Type /Page >> endobj 7134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7135 0 obj [7134 0 R 7136 0 R 7137 0 R 7138 0 R 7139 0 R 7140 0 R 7141 0 R 7142 0 R 7143 0 R 7144 0 R 7148 0 R] endobj 7136 0 obj << /A << /D (unique_363) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20210608081201-08'00') /Rect [104.1732 349.7385 172.4722 360.7385] /Subtype /Link /Type /Annot >> endobj 7137 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20210608081201-08'00') /Rect [104.1732 333.5384 171.5647 344.5384] /Subtype /Link /Type /Annot >> endobj 7138 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20210608081201-08'00') /Rect [104.1732 317.3384 176.9712 328.3384] /Subtype /Link /Type /Annot >> endobj 7139 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 301.1384 182.9552 312.1384] /Subtype /Link /Type /Annot >> endobj 7140 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [104.1732 284.9384 188.3617 295.9384] /Subtype /Link /Type /Annot >> endobj 7141 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20210608081201-08'00') /Rect [104.1732 268.7384 162.5502 279.7384] /Subtype /Link /Type /Annot >> endobj 7142 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20210608081201-08'00') /Rect [104.1732 252.5384 161.6427 263.5384] /Subtype /Link /Type /Annot >> endobj 7143 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 236.3384 164.9372 247.3384] /Subtype /Link /Type /Annot >> endobj 7144 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 220.1384 180.9312 231.1384] /Subtype /Link /Type /Annot >> endobj 7145 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7146 0 obj << /Length 19 >> stream q /Iabc33725 Do Q endstream endobj 7147 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33726 20690 0 R /Gabc33727 20697 0 R >> /Font << /Fabc33728 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQVthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7149 0 obj << /Filter /FlateDecode /Length 3040 >> stream xj$_LǾ@!PU|k#acԆkVTn)eFdċoR/1E9-I㔢ggZ>{mXR+/I)X;yo` PXgvx-BYרU7Ճ46p!TOJ \tX?_S]\97x2`Tz&, ,@?dÅ񤔿 :?8q +zytA}J3TW 4w)',Z ҡg:YQA|4W=Z6њtGdtPUSX|U\DJQR5M5ZG 5皷V"U=#Y=U1V[PyT}ϾPjg1c >fs,(S1ji85"[&ܺM5S~RPb%uj(~EwPJ G "`mx_汏}Μw[ldC`8w0 CYX-l/KgN3ϐ+ܣؐvxzbh52`6 GgFNkZs`1f~ގޕ^s=oc6 =rWꛌk?{Z樁Xqq v11;w7 *}Qq*1rӨY-[*6@c)}l=ǫVcM^:F.! v?lQ̘GئvC vrCE9W(/~4(9 )?"?a;7v5uFW猁˴oJ# ,<>ӥt9(Jpl!p*TA<\s4i2'%ܟM(ƾ0Xz׶O8X[+;N2q˯-{#/R9^&`O"~_o*iU}?iM r\n㭊!tY^SޮO}՚_ɴ@!6j&jqL v(pQ̾LPPaQ¤2k{[#wTsAΓjŽPg) SB"*8\NpxLj F;Z ue2lFq?!w-{UZyuۂ-mv*e|7v=賔GT8@Ks;Po J:F+O:z 0 ̮1foJC]\#8, D[<5ҷk6_]*&"." ūf<2&b$!܅  1nkNTut\\c.|>!/ ϫԀx-ߛ fT a:3fw[xd<lP:81ВNq >baۈ>,ra { fr,UKyEv)_J!{},&pŬ.ᾞ2! w#&'p0gdD+WYD["jf*)m>~3ڣLenFAJJ[f=u̞YST1jꖶ4ؓ",ɒT/]I h)+ ,uNNk"覡*(Egv&@zORmdKyL\*D<=F49TWZH赌aꈶ¥r2 ^S=Q \rKeLdw=3Ř⃴/~p݇FΏhi؎291xΏ#MGF4=k[!FUe;@r+brn F~x*S;)էiH 6eORK*@ĨbIUkڔ;Ԑa JS_\K&0wuݩf/ _U΁Ey 6O/O ye=~LzICN@ew,u2倌yT'BxP~X]T^|~/ ~=~,ZC٘cS?]Sa؉G~ZeQ GeNmUu\A?—[S}y,zbjb>%_Ud endstream endobj 7150 0 obj << /Annots 7152 0 R /BleedBox [0 0 612 792] /Contents [7158 0 R 7154 0 R 7155 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33744 7156 0 R >> >> /Type /Page >> endobj 7151 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7152 0 obj [7151 0 R 7153 0 R 7157 0 R] endobj 7153 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 377.525 147.728 388.525] /Subtype /Link /Type /Annot >> endobj 7154 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7155 0 obj << /Length 19 >> stream q /Iabc33744 Do Q endstream endobj 7156 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33745 20690 0 R /Gabc33746 20697 0 R >> /Font << /Fabc33747 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>V endstream endobj 7157 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=592) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7158 0 obj << /Filter /FlateDecode /Length 3827 >> stream x[IWl 0`{ڀov`$M钿p{zF$ZU\ɯH H/48~xi*"{?=ʃ[Hdl/ a)aK(c=|$^z ڏS/IjK"3.ӚGa+FgfT)J:4&G鎏3 ¿3=}ZGH8QJ:]>_@AJBc Ao:h0(+ ?+?U#4.JJ&oxcgzɜLɀ*#/GMh b \vD(4ak)+DAfsqCOzw<1q|ƐS&. M]bQ܇r FSYyac}%h{MґW]+l4*܍<8cU/ZDg:kM5hU٥ބ][ -\W52aPL?oGCFa 27vObe(:B|>e'Al?%XGB721Yybwʭ{!8eD7(n)y7CRSV fdUǒ@x2{= у^6q\w۶lf ٬<_W/[45qXeT 8uUF= >~n\ˆqfͶOl>SD%9(Gxbv@i (}q|â/y4?`zn;a@U_m oDb+FQZMY4wjkNzcK(E(ũ 4vt`ƚ}jB, MXS4~o3 4! # =8of7@ 5MhAԊv@SW k5vN:|6C0fZC Jj|4dU.q'Iy\jB&E+XxƁ2j(;z|~xӘ-5 GL ΋EffL,F{-o2b![0]`۬Jb en.݅&^j+NV4e*u5w9TCh[ @= HE'y ҾY-w!e:BUrkst/SRn.r|pj"QY2kebk%bAfS i\jN3"{b^eMyֽP7QYVgt@5G_;O\nUS{.V i^Ѕ 5..-۸X|]*Ќ7+쾋,ɯf2=l9늵܍ {"B՝6_ǴNg tFmGS;"`)9/ɶ2C:ezXbZyM^X `nuNK+_W޸XqkʚtEp(G~Ǻ4iyeq BG-8JqB{! fE(@Uy6Ɏhų@-}Ir`ʕpzA?Mm*8"ܣYw>{Ob\崁vf6i0h[N7z۴4vvJޣ uyd +kۥ{Hͬ=b#ݍ11,D'Q/*76w>.GM|l^6QW6޴=3X| Ğ'vY'kb%涆{Zlt&JGlm C%D20%SU>a^R06Ǫy8Uvs@{WǚM6^S솜q`|郺5\T9['b멦8jZ9) t q qڧQ9S-#m H"_#3Yt[-N۝ Noz-d^1/m׺/%6>m)@JH @ꕀA=`x5A~"P?dQTq>\[xznV-lJPJj R 4>\\%obVAay|Dﶱn1h+D׏j@⇘FȪ,G)ϵ$L?92Ma^ G/&Up}?W ڙHg=/555ydQ&toLW%)g<č9v%5֌zecGs_:eϨ2WB{ɧqx 3u@G_Owb0c Ғ]=;07M]_(v8Cuzd *[m^N_ŁDȱn{|ȘL Z{t*lZļg xX*Rk D7.Xsxbj6ɚ?ˡ%JCS4ƪ|_Et˽D+-'=MrP2l&xhQ* GH  Jj/5Sch\-S3qj?/ٍ y$Ïr]ܐ%rsi5oh~=H5F>)5do֌zLK#)zcv6`Y4\-N|r#1*;nyoT!,'>WGbϫc}Z:O IhSqe:u'" .X ƨt'N.8 L`c)we{9|YAT~I'buO5pm\+`~{ ~x # ~>mn~/Jӊ^Ն18(X Omӭ(beuq 3jF7{eN^+]~ee&MӍ,mY ""ZcɢD endstream endobj 7159 0 obj << /Annots 7161 0 R /BleedBox [0 0 612 792] /Contents [7170 0 R 7166 0 R 7167 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33763 7168 0 R >> >> /Type /Page >> endobj 7160 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7161 0 obj [7160 0 R 7162 0 R 7163 0 R 7164 0 R 7165 0 R 7169 0 R] endobj 7162 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20210608081201-08'00') /Rect [104.1732 295.8346 189.8302 306.8346] /Subtype /Link /Type /Annot >> endobj 7163 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20210608081201-08'00') /Rect [104.1732 279.6346 182.9552 290.6346] /Subtype /Link /Type /Annot >> endobj 7164 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20210608081201-08'00') /Rect [104.1732 263.4346 188.3617 274.4346] /Subtype /Link /Type /Annot >> endobj 7165 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 247.2346 180.9312 258.2346] /Subtype /Link /Type /Annot >> endobj 7166 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7167 0 obj << /Length 19 >> stream q /Iabc33763 Do Q endstream endobj 7168 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33764 20690 0 R /Gabc33765 20697 0 R >> /Font << /Fabc33766 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9d)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 7169 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=593) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7170 0 obj << /Filter /FlateDecode /Length 2947 >> stream xj$_J jS=f ݗ}-TTj Telo"2Wm;ɋӟu:=[2/r5K[LN?><c^^ 䓼^S?p`_1n^D%3sИ8^;Cx3\=W'vlN`Ɔ 9@Ix`PzGL%"o3ߠ+8 5 ITx`DH Z`^`e$sETΆUvZSF{a__ +96w!u'ӋƵIJWb`֢) 0d"}u 3 A:ж$ALم76eQ,˥ch+V<;WJ]@WtMbvMU0wY ʢQ3=Tf%~~CI榢m흍peh0!(V[&QX%%٤tlӽ5Ė@C`t~ZhlQ!jVfwXU Ģfæ|JB/܍XM4 el^zekEA2WH~2N5*_2')/`$}>gcc{5tSΣӽdy>D: ";!``p4#P,XfS5J !Ƣf& ZUEcaI(cL+.,W&p\KPYdjuf8-Cit)Bъ:-ZtjQC-hƢu#v⊫TP}$qe5&״V-5?Bjp}yT_!5/!ZI!oPyiN/?O\JBb3Y7gdƓ_!2֐CAGX$`dYN]# Hpi>U#7[-5#re(w1>]u,<L}6];AUxD=HEsͱBp?1=o\|i0j$"*9* 쳂Nw Q$) -٘ƽrXS:5s$-ʎ1wD"0td3w~/h mR@|XݪHd}M%Su⧼%ԪoE!Z֔2>8ύ $aBGǵ'nL3=y8n90b^o_2!w9;υle i~7yMٗS4JטVk(-a8NQ=y[Bfl+W(GPaah(dS<G^1`?i-e11Tɤˬ젹ht 7pbӵͷ7?4lJp1ܦ Pz6msy `O̸iAD[_'r/"%/_vZeo]GD7D-e;1> )iTj#P`$);7]>Ƞ4 Ҷ0kB{j(f(_ն:Yo a658\'Фg'xtqP%0zI|0Iq;J/rߟ@"{?hG?R悧!-i ڿ4)'P~v!),ENO ?fw7p IxySd/2g僲 g8x}isNNw$Ah(Qf$} LE| * \>X$MU>6Duw&l_~Ʃ&pyT.'mW@QMf?O9/ё3.,>e3d. { q,1i:yS{3▀5 u /LVOTOrC?<^i2h}IX y3RM[A+(Ku6O_\\My| ^A<2ԙeZ^vAP 3ɹhq@QϸnAOp`dӵW˰SYTk l\C6't_ ,'5r H?KvxNQ|BfKQ{2 :wQz (Zjj 뺧* [.m)=q\edFAFJKF; }ϖRT[ꚷ,Һ!*mɓh^V h*g~ٕ>+$@gFPbfI +3;tk,ndhvBQ+W-N28rT^ȶ1qIZ9-S"%L$)]GL? M,f872ȺyݣDuطex-qx!-+]#F bx߁S՛Kb XU;5޶CVً9vc)3I?QR}fT3Y$zr\+1aVat'9KOQʍ,WySm^'XD%9юI.Ui7sIvWǝot(4f^Gd-[RJu% 59tZ .ݡ9b` 9^O\=S~du$8"Y(7WӹP5> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33782 7178 0 R >> >> /Type /Page >> endobj 7172 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7173 0 obj [7172 0 R 7174 0 R 7175 0 R 7179 0 R] endobj 7174 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 7175 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 310.725 150.6705 321.725] /Subtype /Link /Type /Annot >> endobj 7176 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7177 0 obj << /Length 19 >> stream q /Iabc33782 Do Q endstream endobj 7178 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33783 20690 0 R /Gabc33784 20697 0 R >> /Font << /Fabc33785 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v~1OEҤYȵ7-$G5U|t%w JJhx&I 5\fP=(%ypf%)Rwm[;0ROMˡ|72ْԑJnRLsVWӬ.~7‹!H5h}g:g("G :gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7180 0 obj << /Filter /FlateDecode /Length 3953 >> stream x[Ko$W@w&hFANA ^|fuM_E8}̤ߌT fiyOL:$>N?=N[Hv4~ +gV|;W3 81n2#.,0_x!@4;:Gq&#fPMwWH?#.`o#ŹT^y9&m9/ѯ3 X/pQdFN1d" Bpxۊj%E*L` |4_|-a̘r yj Lf7e3]f-ٰyYRcQtHFws;#*!@uə_AZiHj* ~v3.!\,ƣIN4jA3#YBAw2kڴe ǩԎ-oWɃ" 2>xƯSƃ3}\@3ְm7O胺`꜈# $+gr>dlt~Rb-@bf/DeIJfGlG֍u-}]vfv]VMyةDICQt ᔨdB {'<0L7":by%DQg&n';}]Ir10]I[]0YOD^`StPGDܢ}xCG7親x #Ez|#]-xK'0,B˷M;hOˤ5O棤n>`e2ݙM`>:8Rnun4BƊcG<Ȣ,:#`gbjctSt nQbK6]a8+oHl܅ \]j0opEG )&?cbW'oWu%9KK̫pO6_JU~>q m= Q H4hCye</Ѐ}G,ԊvBSꋄ5}e3 E7xjv_~RRB!BUjHGjfZ<,tbb%%+Y: | };UFˊR-RsX^ZcY-FKuhUr˺&͒#18j = +N kАW떮q˜<|`|WWIVi}ɪ,_JUMK27=[{a^i_{&e:4xpJrE{J_rq6kJ n[j^-TmvjY=|4{T-k>YT}k- ֽ6iNPs{Uw*Q&eWPN[> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33801 7187 0 R >> >> /Type /Page >> endobj 7182 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7183 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7184 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7185 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7186 0 obj << /Length 19 >> stream q /Iabc33801 Do Q endstream endobj 7187 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33802 20690 0 R /Gabc33803 20697 0 R >> /Font << /Fabc33804 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ^ endstream endobj 7188 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=595) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7189 0 obj << /Filter /FlateDecode /Length 4606 >> stream x<Ɋ,Ir8d $U tzn1l#r'(2677}U NYkznM/)mխZ~CmؔV[ 2N)_V|㷆OOxYsoV`%C\tW? CmtZ y)Xޢq+>xj^Va" ˆpV9(IͻrӺaܦ[p&&h_3iL (w#|~P`*k3e183Έ!en[F_^M 3Q\^ h|/2L!S.S>uxnm:.i=.#/ NeD,wTײ~3 sY3|Y5#s^gκc(ʃq4'sͱJWGC]aKe jOcވiLrД*GD%rɥMAҏkD$rK,P3ȷ,*Ht U97|jV(!ED R{\ޤ!PZ! EI;^$TQF&I=[ /RzSMC 2A+!̋GI"8o ҜeRmp;Sb]g kS%I,]F}IYPXCՙ9bLafޓǔ?QR0kx4]>k] lOS8x\oef}U~-"q]6K9CgStjTd%*nKqlI;Jxz 4=ǵpT܍pӔwD^R wC+2zM1UbR5f-Cŕ2bхǖ5QdUfZ@U"Iu0Jw'xc@o~ܣ%ChB\}CHCMnk"JM'Nd(k@^<5HwTSZjUh;Bo{4Lv-=wθ3Uz^ƝŕnsL=vhޅ{ q:[y8"i>L.G-ĕ.v Do .7@dDrP3&!~>L$%]#;[2[Gy/ :a>-V]vUE@d ~|nJ]2ל>Mo`q*72VA#+ cyZֲ̐זS'-{{[o9D}61k<;3o3n uC#Oϲg(ۜ,$4*3؝ OQrV}vp=;5]X [9ŽHqydgMǼhunJ&`;Ix.(: 5hv@eUFFGlAkǦ[cl)<;1˺M2c<h఼6V0)=ge\6nS I9U ƶj"_8'ěCY(VB!J!6NR'\vRa6[Ǧ{:5KYzAT3{#0M.`ִt\.%u ;ц?QPTlebuL7ڱK"RI_@/Lig,!dL n'n)[2Sy ªoe5~w\!یM&xMZ շQW2UܐnAoxTj߷;>l]/;WayEE\foϦ&AҽrwdV3 {oKƭ uԺ;YzwdkFB T Z̈́V:uh jL؂K޽ȢdI-AB_E7X-o/RĤl,|Pz8E6nʸq7UBŝ:": >awƹcP} xܸ9%*#׮BQQ)]"]!2 A(4ty+ʱ:p'7(D}gS)mѦ4#M_ܫ*ubml }e/ߒ{#6fNm[ -If3Jj͒aQVUh^nm }HPo}Pm})B-ڳ`i')-C!.;GG[n1fo=5`'p8*YgĊ.+p'[툁Ywӷl24NĄV}u[-.?@qc H3?__!CȜ-8 Nuh_+zv8nGnb1_\_f/ O)rmMX?bx c/#Hd_N'1 E>$9[y&*DKe,N F0l_p-(hG9.tIJT*3wT|V8b}`Hcʙܘ≴/J}Get?Eu2":fMe")g6ӥM&hI|8h|+#/E$`hBŽp|/D, X1V<6~NuKob@HrG?KY{֥ۛ 3ˈ3QvW) - ~9A p9EU> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33820 7199 0 R >> >> /Type /Page >> endobj 7191 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7192 0 obj [7191 0 R 7193 0 R 7194 0 R 7195 0 R 7196 0 R 7200 0 R] endobj 7193 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20210608081201-08'00') /Rect [104.1732 231.7 143.8942 242.7] /Subtype /Link /Type /Annot >> endobj 7194 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 215.5 146.4572 226.5] /Subtype /Link /Type /Annot >> endobj 7195 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 199.3 164.9372 210.3] /Subtype /Link /Type /Annot >> endobj 7196 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 183.1 180.9312 194.1] /Subtype /Link /Type /Annot >> endobj 7197 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7198 0 obj << /Length 19 >> stream q /Iabc33820 Do Q endstream endobj 7199 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33821 20690 0 R /Gabc33822 20697 0 R >> /Font << /Fabc33823 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj2 ufg[XLۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 7200 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=596) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7201 0 obj << /Filter /FlateDecode /Length 3392 >> stream xn#^_QgԾ @@O@ 0s-6D~ZR;l7YN&S8ȿ\J|3/o׽R:p.p&ʍ=oE1a3y^iR _ +lSmA& u^CR)u<)/ST  .aiK5@@@PŇXܐPMZE{Q04z$E0֡>PAmțJnjQd[?7ryJ}sdVn{^59pn8rVXrSPFM #þ輝u~7AR ) voL EqØV{#ew,kNULYS9Ux[NuU$}h9 L0ù{6v Ɠ9 o$76̚K[Z5?dO,:-3dQoCZ"6DJ!ss.&)FNtMc4]7xK /)j ܤt]PܩʼnuCdj:頫o].TPl[D1:Q뚷|p/?ZmT_G3fҫ W+ܴA/Yo1pvWW fce[J ^ȝOen("ѺsHnPOU 8t d茹S)}E_+ƈTS1\*!.X]f^;$^x u#HԊ\0pryyid㒖pv~ڤ=늾7|[s(d%gx3ckqӌny߼hkR{1*F*M3&KC& Oz+|V n֬ f7U9*ʝsa6cمZLQJbn^=|6k:`7dDuۧDu󨗨VHTC)Sܱ<(K+g+(`%uΜ,F@xK%%qpxS{foztZ,~>b^Tm'Vxŷ uXM./.{a1vQ~2c]{ پו=.\lME(36ŠBIIk6+>רR+-2'fJk1Ѝm\ʨ4DK\CzJ·GQ6$6$nq֑؂Lw,QQSǹ;F:mGUؼXpZRNɜ ֞bf;ͯM^{^9e40U\BzZEwfN"og*E|4;\㡳ź#cs&_8Zvl pW*6%D%nu۩߭9%F~st~⬩?JoR>G>$3#\dοGwwN(6 q<ΧRblKэ\qS0{*a][K3-@i.,ny㱟?şt!g?OҜ^Q^ͰW]|<DX\ca\yRqu9 6cҀs!Q;H#r(cSVC!AB9OZ /'6P+o6@=Lk%@jc+IPnjiW4dT#mGզM)lkg^ai8]gn_.$. I ~JT?<DdPjx2@p?ҧ $Z5 `; =1~k|̧2m#ޘ[mJ˄bBf7W*#T^W#T{" G/+: \Ek1Oȋ5ypr&,У twmVx÷-Y*lx voO)O ߣ:Z{HzICN@fTzj*2倌yRgBx# # ~\M! 0&w~'pN0r)~7Oa'kk$E*vDͣ2u U ~b\ܒ̢gA dѐ l endstream endobj 7202 0 obj << /Annots 7204 0 R /BleedBox [0 0 612 792] /Contents [7211 0 R 7207 0 R 7208 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33839 7209 0 R >> >> /Type /Page >> endobj 7203 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7204 0 obj [7203 0 R 7205 0 R 7206 0 R 7210 0 R] endobj 7205 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 263.125 122.505 274.125] /Subtype /Link /Type /Annot >> endobj 7206 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [127.818 263.125 150.6705 274.125] /Subtype /Link /Type /Annot >> endobj 7207 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7208 0 obj << /Length 19 >> stream q /Iabc33839 Do Q endstream endobj 7209 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33840 20690 0 R /Gabc33841 20697 0 R >> /Font << /Fabc33842 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$b endstream endobj 7210 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=597) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7211 0 obj << /Filter /FlateDecode /Length 4422 >> stream x\Ko#9W乁L0`s0Sv/՗/R)Y}ZTJ2`0c0M?'3i7WZm*yf ~4wu>'kU_Mߦ>hj|)$y:[߆GՕ3+>qow8BVf;NA`÷eoۤfxKm*;,4~qdh.II8Evw:B:B:.9.F\ JBu:RVrld W-YV ~EZ'͒&LC~8􈂼kԈ l]8=kb{J~N(RM VF%~]ZuO2AiX Kă_zg "{ZGJF@DEJf'qL檗v?6i1c? /M~n]W@i dwu`h9gWK"zf@Taj. k GK>ஈѸuP\c87Ȫ{y fx02rYe>/DXЊ#`dkC1`S-Veւbm޼(v`oÖy?{ ؒ6j,\7vH:~>LỌGNgҜws/KkԮKk|A՗c=ř_'N۬PqWHv >" v_.i( ޡ5NNFyQ+Ih0VP$,U?h{58EgAx 31s8ݱ߇ʅ˗Aob$NPfHSOġNRM78+?!i;?SYE+6'Qޖ/# ЕUKw>6Ix};u0][M+6XĊ:Yc;馁}mvm_TI_\ZtLz~fN/4flP0"ql ܪ{\?QO|rY@4rgZdCkn Z}B{ ]O|#pu?o;i_wL̢@>?߽-s\a%je2:Hk풠y\q̽S,/-oRx0k׸E^%U=*0J]Ԉ7 ݥ =>6]ݭvܳٿ2jY۽+7?lWrP@8p WfSFls*U t_WX u)WbBZ]qVRuI]Rv:4lhݫ5MyI5 YS+ɏFa'n:@hIJx\?mZwAk(N ð]t.g1mW,Ch5"ۓ^KgAgj/z F>RwC}E?㾃b|뒟)`YRbp@cVW G[yA75n F  Q7̻o Dzs @ZѪ֑a7Nykw um6e21WRn2[:_4ar`@Yw10 0%-)Y=& .:9\Lчxe. U eC%O ,]=^2zzuʸ'4Caܫ:&5PNųCBZi53풺L$e9͜Ֆs)J]"-QݹpODVV5 nhj| %N:rM%$rcm%Dwatuݒ-^8_ܑ:2&r t1Qi9BDfprieNfqQx\='a5QLxjf}N81:\ԃ]yn>B>|K,T \&[5p1M0<#5#aBHBWKBG#_V:X˳"bыÖJMpUfB2 TJURQ>]c(R)$PNx'?+mp`-I%iin%n KD1AuYM֦d }ʥT^b 048'^ޤsZW :5*{=(*R g'~ֹHc p6iukws7^F73Z~ry <)0ZP\J _ľ|]CK'+0_ERtDzg\ bFVԾ{ Ap:e=)nу~5S _‚99>Ȍ(YM5ܰ?Pm.TmW*_ pSX2?%~Y"xF ;XYa l endstream endobj 7212 0 obj << /Annots [7213 0 R 7214 0 R 7215 0 R 7219 0 R] /BleedBox [0 0 612 792] /Contents [7220 0 R 7216 0 R 7217 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33858 7218 0 R >> >> /Type /Page >> endobj 7213 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7214 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7215 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7216 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7217 0 obj << /Length 19 >> stream q /Iabc33858 Do Q endstream endobj 7218 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33859 20690 0 R /Gabc33860 20697 0 R >> /Font << /Fabc33861 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7hЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?* endstream endobj 7219 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=598) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7220 0 obj << /Filter /FlateDecode /Length 4607 >> stream x<Ɋ$Ivw ?   t:ը[ lu%K Qxo\X b6rOޭI-Em|[~u_P6ՖBvgSù{spD8Z \i̖l q\R~" [ SƨW#}FLwDAS-SE$DM H,P3w/X$Ei@ is( xn$5(ޣeT=ŢY.2HY7>zᝈTM2T"*&M ĉ|YE/LnQ)6@Vۊj="Iz 87hkUBd[lY8M֧:(?>ʋZ8|PRC syf?z}*Σt /yR3~ŽIֽXq5xQ%VIJ`6-5I):X远/`״879A0U| X[y#-ZtↅVqcb(/",V|gŻGt6[J|`\$& һ<2K]Pv4 'v->  ߥ:Wa $˹I+hO.}I;d2D|l2GY.vj't TΰxM'ESD#dF7|HdCf& 9MH/,1+1C9uJ0]ZEfUH *a1{.=Jq!7[z@ّ`R۵5&zg(eo.9['6%-wl:DwKS+~"SlLxg>:p1XOZDl"'!h F!ԿOŹB1ZIV`!;71j7ʌAi}"6)1h%)Q/]F}IYP(1Ym&03oɇc[()UU^zN<.ٵt'E(ĪgW2q>*Hr{Ru׉LS:%a@C[0N^F&FCL49My\_wit/Q\;B+*1 }j&u:w\{ˤ*[Ү7l_Q~]de&c[( $:H? &h̜fJwU|n i J$ svqډkl{ 9o:Mj*ފ(hoӟw}Y߷a1e`C  KjZR@)JTk]FsBƃgބބ16bݐS=Qم|IoN[ m 7>C,1 M;JA_A\jt*}l w,*<Ab`^v ?+{civsLMJ^/kppM=b)06A,R> 8q6@~`lؒ͟TZ`L-T:<">I)\[-,S:PcfLQr1EP/l8T i' ) cIxH7Έ}#+rs\{Ú`H .]Ҥ>-#4OۙsЩV9yB.ϳV-#ˣRϝRJ< b'gQ̜q˲Q7?P-˧.[{w>9[arAs`w 5\ڌ=Z㷘D[IqDF|v t9 @}7p \nG$w u0TV;A⟾Mj"{㒰ݬ&͹eϖܸv%Egj"s[vV{!C [t2H78N-Pc7ek7RPe ?3Ƽrظs-ߛzρ&sGfmgcb&m}Q!~~ԯnxt` {m_m)kF[ySYEqydgMǼoO5nتO>[xh AtOn nd۲fDzO"gѶ%[v,Ks3Fryw'1˺M2nJ<hఀ6m{gRZǑ:I1Yvauͤs$k/Ц 4H+.Sh{2KՓ8t%j"TR}G'^ԉ(.TةMg<VIj'6|aQ&`tz޺Kp^6Ty{R}s({w6}]cѲHۮ2uh&H%}0n۴M/!^~Ͽefvs6VZ74&[4mmXY$zk耝d=~+Z>VຜO}R_mz[6Non1_ݖ=,kbFKt?__!C-1K]A/C\ᕛ/{ק1/3TrmMX%?`x c 02NT&|iIuc+PFbؤϊ;utc-,ͫLBfzEঅpҔ9O9AHYA)S(f0 ϻY@pk̑vK<>; cǫh7,#s }=B·>זTl>bHpC禪^=z*ÈS\Us+]`"`Iɟh"|I8d.ARXc} >,jl8H endstream endobj 7221 0 obj << /Annots 7223 0 R /BleedBox [0 0 612 792] /Contents [7231 0 R 7227 0 R 7228 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33877 7229 0 R >> >> /Type /Page >> endobj 7222 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7223 0 obj [7222 0 R 7224 0 R 7225 0 R 7226 0 R 7230 0 R] endobj 7224 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 256 146.4572 267] /Subtype /Link /Type /Annot >> endobj 7225 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 239.8 164.9372 250.8] /Subtype /Link /Type /Annot >> endobj 7226 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 223.6 180.9312 234.6] /Subtype /Link /Type /Annot >> endobj 7227 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7228 0 obj << /Length 19 >> stream q /Iabc33877 Do Q endstream endobj 7229 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33878 20690 0 R /Gabc33879 20697 0 R >> /Font << /Fabc33880 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nbЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?Z endstream endobj 7230 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=599) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7231 0 obj << /Filter /FlateDecode /Length 3316 >> stream xZK#~ւoc|X41݆}#Zݻ3ʊ%K-WF~}ɤ?~KZɗoQb˛e=G<xʗTQ@_{mVJ|/̰eOwq_-t%b|%RNIi|?Eo% a 0(P* f3v)ar~q87*UjT0 "p`Poz#l$mg|jpcUCK"-"M'<8[ & ٪5ZYͬ-"tb@`j{^B} esfFl$4~C""A$wև%'N;Px6nQρ?'1-.4~C.sP3|Nؙq;k%xrP߸gۡZa**5 ,KkMKB#0ӱL Hz+G)j"l?@afPw VMq,:fL08Q}QxXuKpjZl6=i& @L֎|ף-u~A'jmAğ"=Q~c{\.budc,Q&Q<(?\Ԣ*ɶbq Я( i9U~'6N>/HZs 9AlZNsl'5;r)~6Iom5P5?dwEtZe[fȢF8L#9^ELm\LC.͑Sx󯌜ju (h.l|xK /)"Rnĵ7]{ZR(sk:RwoazB&>tK܅j5r^T~LlD]փl[6"UΘI*\pӢ\2n9pvWW fce-pӋo[m/Χrm("ѺsHnPU 8t `2sRtƼS)}5sVLY ee8Pk‡ ( Yk c#yQCXsbmk(tb21)K=kV|X][㘓elkQRVօ맩ni<cdbZ~\9_yQEZiY L5P+k~ߍmJ\Mf( ҷ_q6|@zX9MO1$q0C,yмbSmP{Oݵu [ݵ}W;SO+c-Wz/v9@NnG^sQURv^Wp >M t?-$ai?iAҺ褢/o~tDHg>ㅓF];~[>Lk"|=G~ =Z:rE;YXkZ,dQ~6O)'qaXRZӯ`Z>@/oӳYBCIF8Sg{{Iz>tV1_T3oe)c]}mǀNc3n[oր ]a(:B;=!cz񉗵|2UĎ2ʏ]mI &ΘQ:{: pdh9_E5I TduLjU ?b9+CQ5NEȿ J:~;_u4GO$֛D@lqD%0#]^W%OTMv L+?K6١ei*@r*&6N|nO"y _e\LDU~I%BP7҂H%$*:M\EkÜ'Śy yxwB*yV*4%3f_ p{qWA`CKnNS_oC>c}Xh* %۱,W1+"#Vrp@71 PۙEF~U&^S2bbz"5"@lEtD3vWY_(-rueЪef\߫W>_vn#G#3Nxe bF3*FV#[ZW%lGj$+W. 45O_ITuvUy"0[0K2.?22B}xvV2NpW)Zn5]a#N5{% a^h7 ScHL$)F2cO攬hzABeLKB +?>Du18i R'ABqd44qә&=yVKk N܊o܈BmG? ]I Fȍ4H  J%OoVuզc!mFr,U3\@Π.0L74$5v~tX@%TV*@"<TR\Χze=m$MJSjΤ!' ,@ǖ3]D$x9癘?^XM$vARL* ||O4 f{3FP5R?͍S؉GJ-[ˢJLguNmX;jԻ*0ԧO,QnO܇E *0A>,xy endstream endobj 7232 0 obj << /Annots 7234 0 R /BleedBox [0 0 612 792] /Contents [7241 0 R 7237 0 R 7238 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33896 7239 0 R >> >> /Type /Page >> endobj 7233 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7234 0 obj [7233 0 R 7235 0 R 7236 0 R 7240 0 R] endobj 7235 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 278.125 122.505 289.125] /Subtype /Link /Type /Annot >> endobj 7236 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 278.125 156.5005 289.125] /Subtype /Link /Type /Annot >> endobj 7237 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7238 0 obj << /Length 19 >> stream q /Iabc33896 Do Q endstream endobj 7239 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33897 20690 0 R /Gabc33898 20697 0 R >> /Font << /Fabc33899 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!KF>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}rT endstream endobj 7240 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=600) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7241 0 obj << /Filter /FlateDecode /Length 4533 >> stream x\K9Wq&((Ug a'tܗ/>!)Uަe%_`}~M_ߧgb1ߟ.arvC~>ɘi:9O>,O/ֵIIi ElymkGU/⿧/Mo_w5oS4?Xm߿2d6EFo}ogdBQ]g?Yg+Ӕ۟?M |;~,t˰N|R"W303^XR!.{fB$qV>)UwL? 8:%ACAӈ[/99D_)dy´Kӟ~bjkyFŶPG8ø0˫30LZ\_{;C lUơ{WwV[Jk)vD)랡k}d\dMa4_}n0gv]P-4 LT֎V\JmB5zbt:c#؏@.)&bT:pu]'Vgx<ץ^m,#rLTzPO,b$"l6$Wj;]|N0AV]K8m,ឱ+3l䮭Eϲlk0@qp`xu-a]ꁒ@6M8OZ=UUܮN{N~CqlqCq/3']=i}om@ΌU5UoK}'_=*C@=$c @=&'l․ΐ}kJƵ =π~9*fo,;)~2eN%rYlĶ/0#V>|Jb.\Ttq[dցTn0e0ݕ~-U{GHKbS9X噼ɛy9 P4,o D@"ZHZM2R>5t ٨NcLYbΗ myePK&h;wݛfqܗa:J}^(ey56>K~(U.(|UC9Pͼ/+6'  6Qt$cЯnf`V2}]n6z`n!<_(kKDKD6/f-Ɖ˄ I&`gyb$hQVPWyfä;KFT c]nUA/J>`x.;b˵&a()}Yl*>u;g?eG:Ӧ;l6\][qThb^fe6Z?uyt'Iskwܻ]$El9K,߃\=T[q6~S|1L}~̳W \n תɃ> uKKx7`;D'/W1% {-uO+:6;:!*§0N~|Gu7Bg $wzA/(,;DzѲE\}O,ԋ~AW5W '-|ɓճ5̚3"%5)z9tIG=:jxp"k"Hb V[@4U.JJay^nZ1Zj@ ,He6 55,$2ZdVlIXi%\`̌4 /\t-͕Hsr%$ݕ|`~7wI6cjиMԡw2;7 -EHEGy)ҾQF-.:1]ʊuh!㥄;U˘"T溔{QwJO2z㊯&j11 8 Qj%aܝL5PǸUx_S^A0j cP=GV=:ՇVǵ8A :lu 6kGLHR=nv"sLV\w3tH"+W'Fj?v'ڑ#gIW?{wW]#_#SwŶGmh3\1ȭp㓱\y-,?C3b6Bu><1c^w_m: ņߘ: F'KUi<|ڇp!U#i[o%gn]Sn!~s0J68%W y tXCMBL05s|(Q``p=A ѫC( 8\\ Upvd(ո\o4FRjY\JU !:@" =`XhEըz%[A0*4eE*Q1[S.0^5(8 ,͠g`E3Bmb]`3sRδE4yڹ W03kQM\9;' KXEAH%ΌJųa4"x^X1-gA3ghS{`yubc ̥w7`O/[f+i@B41NL[!̦S|k&6(V>Uqh6!6a03X1vOhkk  NU4D"\Ilfp3v9ήaTUgTsVN~YΌ䨬˲6OW3T,R<;*uqI}<<^VôK,"q)Ѯ3aRC1M Ҽ)2׭kT|.N<$/K Ų<isЯfӰKݞgq`eQe=k̛~-./lhr}]c%_IoZEJф2l5$k@uX_Vۅ.lA% y@R)FPjOP{$4 cwCŎݸX͘aƱ$wߑ'cnLdtݧɖ+>'ks U];ݎ-eɝ;eȕa9iA./Wzn `(ꐪ=U7>0o$Z;/[<3sjϫ-䉫X٢,b<ŎzyLNZ0;Oa"5'8ˏoɷh$<&e86Qӥa H+ OKfJnYG_0O`kwuuvUZGvO}!jFU:!2X eNzRNNw`>|$(&l8`Cu&C[i(!UoF-p4zX&YSUW~8<+-vџ* +]# h E95[MR"b3mAIKp8IQaO"52'a#N- 5or@EbEIzWBؚKxf6_YsKZ;_זSk|mLXZ$QFjHuZu$Cb 4S|I:2Wلer2&#EՇ* ~SݰAQŘP_enW{>gy2?,~wFO\ͰHY.ීAʽ3np]YR59=aA^8=N9ToZ_k qT|T|*7[NKm% %f!D8!dq&< endstream endobj 7242 0 obj << /Annots [7243 0 R 7244 0 R 7245 0 R 7249 0 R] /BleedBox [0 0 612 792] /Contents [7250 0 R 7246 0 R 7247 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33915 7248 0 R >> >> /Type /Page >> endobj 7243 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 588.0576 381.3955 599.0576] /Subtype /Link /Type /Annot >> endobj 7244 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 535.3884 396.03 545.3884] /Subtype /Link /Type /Annot >> endobj 7245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7246 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7247 0 obj << /Length 19 >> stream q /Iabc33915 Do Q endstream endobj 7248 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33916 20690 0 R /Gabc33917 20697 0 R >> /Font << /Fabc33918 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n1RthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :yX endstream endobj 7249 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=601) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7250 0 obj << /Filter /FlateDecode /Length 4683 >> stream xɊ#_c_((Io >ڞ10-̔T5m)T%ŋ/_1\ӳwsxIN/nvzK{ԆE){3|R(0N/1W<&(dϯR<E&x/^QB^_ 臂K6GXėΈ[*x]^N %ŤtD U^g+,zU&;}xx{H{mDžB:HHX%:!( )a^B0֕xü' 5|`>Tk%0AvY!N+.x\#2,;QK*2eʴQVYDv UsڴJZCB8My")]=E_i҈~1 I3:Xp=;&E%{^; =0"PzmH(zMFD+rA:\0BHY觀 GW*{( p]ᶉpXDXSհ<.P( 'h?b6\ R$P&WGl5ީrU4 *$ >fLm8<x4(8`pš &{zG VuKR$1ٓ: Ѯ'5kޯ\ro8~/d T1o %qKemmx' )`5t眳%h0nKEe_V~VF.fu:q`%éÅ"bʧ^Vt6Kŧdk!v-#ԅew|@ q/tb;piV4bU'CfBP97nqIGF'Rdh`ҧ]Bòr 1:ضSmkcC*ZX<aلrˏ"yII逭.ΜLRSEfc3KhEɢ؈N]@EmVM `ܸH.p780-6J|9s:̫ijL$X/}% }Y( Vf )l7I~{2*D4 :-&EJq!7'cUŭ~ .Aqm(M~qI=/sf!>dKlPqτ}ޮT"3jaBشpMXa8"YMu dH2#$*_:;Nnh͝jMVgR^\q~\6mhòkei[X lpͳU↪;=C U:U] 5w o4j!rA"+ X4kfa1N 㡭`v@MHЀ`xvL~Wvj8`o[]oy鳁 }-pI%nBXk%L7LJZ>Zl&GZ+F)oGVGﶫK&Ҵ ;cb}a Syq+^Vj8 ^U4(P(ܵFݸ((SRvNAN,P$ӣ8bHcw }Ms߷%?ZQy;k)y*WW  kҺC>h-K"RJ:*l m!t/oeJ5khXgI5 /RTHF勺g^)~5>)Vz+ڛfFm}c?4Kd2lпtf!ׇWڽSҝJIwT_F\&kFsj͖̕%߉Nz*V ]q912=0mwnxEa m;Or%C.]M_oA^w;ԙq26Gy?<;Ya[liX]W׸W_xv Q5X al>?N(3f~RՂd۠w ܁Zϖ>͐US[e5\mϻn>vpˀ6v1i2~?mEwca6ю<7j~4r9mY8e8 ʃq,.;MVѴ.떯Y.n"#۠\3,:m7qV}LъZ˰vZA}p8mS.u ՞9&]7}:|J#^׼d+O$,`f×ڰOt%}l#ee*Z>={=m@O@rswĩFH$ɄOW+PihhbX'^T(.{cv`;R#Ȫy:k- խ z&ijtQ0jw[=g]oUTы`Uvȼ&yǤVd)L7ٱ!RIA+2  Ad=Sy]Khhξ3T V~';+ؾ|[ŽCxM̿4նڌ/Pb`Fguk>lMM ".DZIZ%5;N=7X3Vg:z,a|2i1IB T j9CՕ=~mvCV;j?V8Ӻu?)h %9-- Ywە_61 z.:q)n;&u2w3 _ ,6&d*>6-5渘v>dЇ0 z" ?8!O[1FO ϣTtm^!,4@T0܇@Ix?2N sw E>$<,zk >Q,*?Ǥia,޿ +8=6HA޷l${ſM<^5*È%SgyNj$k\?EE"|I8d.%0&$w>,j ԗ endstream endobj 7251 0 obj << /Annots 7253 0 R /BleedBox [0 0 612 792] /Contents [7263 0 R 7259 0 R 7260 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33934 7261 0 R >> >> /Type /Page >> endobj 7252 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7253 0 obj [7252 0 R 7254 0 R 7255 0 R 7256 0 R 7257 0 R 7258 0 R 7262 0 R] endobj 7254 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 306.0039 196.6447 317.0039] /Subtype /Link /Type /Annot >> endobj 7255 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [104.1732 289.8039 185.0562 300.8039] /Subtype /Link /Type /Annot >> endobj 7256 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 273.6038 229.5017 284.6038] /Subtype /Link /Type /Annot >> endobj 7257 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 257.4038 181.7727 268.4038] /Subtype /Link /Type /Annot >> endobj 7258 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 241.2038 157.1437 252.2038] /Subtype /Link /Type /Annot >> endobj 7259 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7260 0 obj << /Length 19 >> stream q /Iabc33934 Do Q endstream endobj 7261 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33935 20690 0 R /Gabc33936 20697 0 R >> /Font << /Fabc33937 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuDL|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYc#x#5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7263 0 obj << /Filter /FlateDecode /Length 3182 >> stream xZK#9y z?f)2Y^)]pTB"BߊE9-I㔢o/W񷋆{Z~ B+_JԋSxR_sT`w%<-V~Mg蟄60qƑgz" 7#l`0再ZSޓ4$,\[E3Y\Y"x>$~C*hq)~*D:LI?-R2ŘF<*>$Ck>P^ NF!Mclߓhqb Ҵ,{w:LiNtX&jF@ĜW" NYs[euٲ⸞M *p)X9*RAPThêc;P2lUiLJL`A?ۭChz)x e>tHSttJŮVU"0j8rM>QFBv^44X(ϬP KI-VAWf2bP`f||*43 3q $c{Or'z ;Ot 9aSરfhך~.|E4<5p5Z$1E f?ۓ&i ywM옍˖>6ښO["ؘKrS.㴵D\[3GfWNVԝM,F=9A4)R]8$]a 0m9{`ZeԶW%cU8#82x=GgM@U U $-B=3z|nŭ.FO08Şf<P\90'[r=٨~x"n kҚl~/VMdsd)ndSχ& 4ݐki"=r2ӥFH qQ4n@1+@mSbJg{Zc淁lQ!s_Bu < kHxFvZ#9BT[S;EPoy2C{m3z9X-[[?%si#Ĩ}}݉Qw4Z<{&P1]1&e7TOXNyH=-Ě/5V3' !sC\6ɉq7&ӦFYmF2yh\)Jĵv{R(k+jj)bNE|K܅7Z+v=eؼT+]^<\jWZaW8Q @z*pMRM$09;FbZ2ָ; n}+-{n/Χ27XA(<?T/`Y t e(yٽȾ|+raJVQ94TP5 ߘ]C|/`@#sĝ_ Rw _5avm( AJ:5Ơ]BKG/[ fmr9s{ܷG t1ƺzb41W? ڂ2&ط<ڱX>Aũvo\,2cMAac/Eo) !mId4"NFR{Ͻ7+yXfuj<~,{x5`,&-!~cY]7FO뚡)6}dsu `/;\oC*d4 [|I/QSBV}ÚIftQ~<871⏽ylT:/mPR] (>jRwAD[Q/"~Y+;q@SZh 󏽭F]̧"3 -jZe"_%T1> R<尩)lcY^+} 7V@TN-V4C̍eVTAa9~Ɇ8+U]PZ9vN=E7xy.*wb_ ?_1vP\.x I/{hf0[!d0̋`Wԙ,MZ`G쮕}ތDeO[f \N:1ВMqMD۰GU! r7,j6Dz\퉼"r'8DN|^6{P\Ge^w0 Y6LoeCLs V`h-]mjf*lS`LS{[L?h$*G.OfhN8gRŏel53h\uE\q&O }4-e_:Io"MCU %|E~$z~'bP6^ŃJp "V'Z q9*Yȶ1譌a[4Dj:dWrJ%o~b)F zfA%hci_y~GNe`LVc#1Gs\QIWcTlJWv>d#?GuG ݤ3j&Xf]uɁbxo> Xx&g>74-LңuZ%,X^gy*;\SX+ TnWt\m *]l>*0Y6/G9KNf]'A}Yt+9 endstream endobj 7264 0 obj << /Annots 7266 0 R /BleedBox [0 0 612 792] /Contents [7273 0 R 7269 0 R 7270 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33953 7271 0 R >> >> /Type /Page >> endobj 7265 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7266 0 obj [7265 0 R 7267 0 R 7268 0 R 7272 0 R] endobj 7267 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 278.125 122.505 289.125] /Subtype /Link /Type /Annot >> endobj 7268 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 278.125 156.5005 289.125] /Subtype /Link /Type /Annot >> endobj 7269 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7270 0 obj << /Length 19 >> stream q /Iabc33953 Do Q endstream endobj 7271 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33954 20690 0 R /Gabc33955 20697 0 R >> /Font << /Fabc33956 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7273 0 obj << /Filter /FlateDecode /Length 4434 >> stream x\IW<@  &[O1 4\*[s{h*d0`06w¯<;MyI>+`tIp0Ɉ*+?\K$(X/\@ <迼@OEbnӏ0H%M0\oyϑ^.+0dN.ɢkC~A5苀aMmYC(= ; #6Vb{vqB.%bU:PҶ.tk ʂ,2K_89#I}AEDDO!wg# 8k7[q鍫 Wjykhhh7UD0& O<̱׫'x8К).3N qzf]2|*B'{9MEN UQ cuJ93VUuW-|(@=}\1 P)冀: i(3G2fN6`$K3.zB'l 8jeuKpϑlsA* @Y ̜ʬT\%ʭRް.ƹ>z/bH03zl*FލOR6?t^^! (>'L.>A>͌"4,Fґy@Z!g7d;c}pTO tm4TdluY%N\:RHP>sԍGͥfxA&*e̓b$1##Sc?6ҍ@&ϗ|?w'?a=K`H'(}kz;k?n॥0!_H\tكjx +n95.,u:w]#>lCU$Ce9VqO!g;8Ugzo RF>e8=GH? -KMZ{b8V Joufei?L4@ܕi)M!_[e Kj,@3OE5V5S#W X/޾ai:\6Z2ݼh-3 oU B?ڃZ .Z}9pUZP.U[6C9]Bzu+~l c⺛9Cv=YZ9R;+GG~9tp!\k zy pyo>Ӏx.E>N$$ԝ)y_F~ '>˕9b3d+h)dц( h'>5y7k]MGA~"K3j}q;0֊ jP`g FK8`8kyݰVZ$|0O+գ{X&Oev^a4 xחgCfƓ_*W]ݞKmKR[) &So|ƕ6 ZKqiUö.vT=< -C`)Cv-ISɃ.]~\Qw_k~;[9~* ,}}B ﰈRF\D1_[svPQOI"?u'! *:iTAc]b |_Flfje)0S `a))D"tFrcR.8t9Jh\aEyՓ,xH\V9retFŻ |z3+Tw  貾侀8v ,M_w6vV gq^&61w=P><\"~(3d3[]X9tg^5AÜ㜬`E>!yẖ*tSr"~ fi.<ɎS 7 7#1Q }z޲i4c ¼OrN!gOܕ>Cna-@!X+ kI"F٬ N@~r!AaS2:#Jckמ!kj5^aTMg4t6NF,gFr4Vy +}`lqMy}FVA !ɪ=Oq6 lôK,"^a(qO |HMȉS-V0BN ;uB p>K 쫹Nۃ cCbsWqdU (H_@3oڹ6VG=N|#o(`trGU*N(5kt_^ۅqٳ>.lAJ!c>u8:U{֥ wVaLvvÅuzkq9 3<hM1Jr%C(Ce`ca*&*7EΝK04gs\ z%+Z`L[|;9b5nh+&!fNm|j&) ,d̄ם%iiiVWfjg&7H-g9Ţgnu[R-Z2eJlfPQ9;?&ш. [.AQpdd ؞pT[ iW'.:<50,Ū28|4sWqP~yPEbz#' d0hx^ju;cqx`Z&05Tn26K,H ]L TzgN z܃a .NJSag"Zg?SFz^]0*-5h ژRse⑼٘9qR{VV?n|GT}]ex!Ol5UeSͳ&nEfTi\yQ;J$hpoXi%Vf~%INFJJ 5]R,(A+J2%R xlBHy|%ܒ.Z{D1Q YKdȶǔ=Zc\DqM~#ΉMo")..*l'H.?AMvNU*&}D_ԗkX܄7e׿zc3Ħ Gqﶞe/ ` c_~@$_JB 7E 4樫*|3 ]iG8-ns!:|˲0^ :tYB9>ru $w%ۉ/rŶ \~iw-V4ר`L)&m}~cۦG"s~mR% ^8s)[VW? 2"n(ajz E z^_ţcYap> endstream endobj 7274 0 obj << /Annots [7275 0 R 7276 0 R 7277 0 R 7281 0 R] /BleedBox [0 0 612 792] /Contents [7282 0 R 7278 0 R 7279 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33972 7280 0 R >> >> /Type /Page >> endobj 7275 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 588.0576 381.3955 599.0576] /Subtype /Link /Type /Annot >> endobj 7276 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 535.3884 396.03 545.3884] /Subtype /Link /Type /Annot >> endobj 7277 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7278 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7279 0 obj << /Length 19 >> stream q /Iabc33972 Do Q endstream endobj 7280 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33973 20690 0 R /Gabc33974 20697 0 R >> /Font << /Fabc33975 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛQ endstream endobj 7281 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=604) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7282 0 obj << /Filter /FlateDecode /Length 4661 >> stream xڞ10~[, IY6YJI/޾rkֳ b6?rMI%E1]ۣ6,JUxoqs(R1(4_xs#|l1ѿNF {}# Jo Mc^x.3+0uA=WpQ+XNE2o vBl Kƺۿ 0 '`G X@ҪedcE<+~tWqӤ1\yaA%7+S{$AFhFDx"ED\b\Kp0zjLSgbX͔w~;Y|J7(JX",*l+2MhL̓Y|OND: pHF-! SODȔe*Ӧ-ѦBU6,3NEA^srWEQ`@b_LB3sn9LNx +|~iakZT9O3oiMYXu $w@T+4iFLc5N4PI+9\d $j: XHD- 7`R$A`"EzUI"@/ =|kfu@ S%\$@)3He,N÷F7qlrbn+-"Ik F@~ Rr GG8RW>?[yQKo MDò٨ TVQCj "08>IEIE/$*QF&I=uCB{S7&A8egRIC*-1&u @x#θ&X1ܧkEc(A ^тUd͆Aݒ/IlDC>fU: ѭ'5kޯ|qo1~u/Vd ^To$Gelh' )Eǐ ]@]^ .o0JW%`E7oeDGZeZr-8/",V|zaUluAWkd${9Kڵ.R=M07ƽ]KO [҈U+ ܘݺ B~ݒLM](F./hh(8q$Ej` ٛc& 9MH/׈8ў.t2::!5Uqc66{WYԛ,-%ݵlt\Mb_ ,["őL+~o=dڸ >=yKrZ4C^!1*`$ ;0O3K %Ε|uJ0MZEFUH *auۙMzCnt?#-*k%k8 v rΊ<(e/.9['6%-w,:DwKS+>)`65*LTgW;q2֜(M}=CD]8}e^4/#Ɍlcc LJS|$ZFz:IA؆Lʋ 7o.>+ry.)Ƶԅdv1*_mA$G_l $˩G(ZKF:3[JɅ6c_~,V5]VCި9Y" WzjxT+Q)a%m:lU{by|6ِ@9RI( JoKb8ѽ%apmL>[kI&ov;Z_+F)oG>@ qc+_E(fmsq(69KT׉LAg0}*n%O-rKa.\{56x7g`dJG7j!_#}#VBQ%S8:].w+ 5ptK*<d!𧥵5:Pua2t!κ$՛'ڠky1I/N)&aU)O%Xy粇v'a.߱:)G;}{kA```Ӟ\[KdWEOR|t˓-:kڞ-w wRMr}΍VrCN># l]ǝzвd֣ i z}͞=Հ,j MZQ*b1"C2u@WB) GkrT8YMڪC0_yaYT3ή_TF 1j4[勺fTsZ6nwXiHS^jr6QZuX*/|55m{qԷ=[m$=/>)Nh;jx`UnVDZLm\ +S!|Ըk[=^z[!Gny/}3cab0X-O^EًP>hqRX1CM>Z|2t?u-qzHҫ?]V/& 6g9wy&GgMNKƘj]<-}/}'WGvHʻ)Bp6]Pvg7l@uta};P/ξ̐SWo={SF?79De{;LY[٘4|0ǧNU@#%aJ:ijz4r9m܄ܢ'Ay0e2ܬc:ϜԸ*ׅq q61 yvnlV=I>[kiNtOZ;oDtQjZYҽ "J_#^dǛ$O$,`fl@VvKlv2fJcR+s = >TMTY<:E3lM৔;V(RZ<"vJaoQU1_7fo4f G?%MQA%|XT 4dyLujlC zMƍ? ,6&d*s I+ ~`RchG~̿ϿC}rQ '!s]Ύ}r|>y|4"?y:ܧTgla>Lt JOCqm(%QaXЋ/4i# P ;E]&ݳvIa*,^a!.tP2X >1pP ׈F,\Hxɳxe8sd.)yy اJٴ~^9݀>y?זTlșBn97f KDx,zўQmby"2]p~fNe<3T'ke)ia#G,秶TLFj;6&ەLO4G 'E7kn'&mG]sics(Vnj)f+9A049a5V&#`]Z0߲÷!6{8CeJq#rB~RH@nt^|,­9O[Kk> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33991 7292 0 R >> >> /Type /Page >> endobj 7284 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7285 0 obj [7284 0 R 7286 0 R 7287 0 R 7288 0 R 7289 0 R 7293 0 R] endobj 7286 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 306.0039 196.6447 317.0039] /Subtype /Link /Type /Annot >> endobj 7287 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 289.8039 229.5017 300.8039] /Subtype /Link /Type /Annot >> endobj 7288 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 273.6038 181.7727 284.6038] /Subtype /Link /Type /Annot >> endobj 7289 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 257.4038 157.1437 268.4038] /Subtype /Link /Type /Annot >> endobj 7290 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7291 0 obj << /Length 19 >> stream q /Iabc33991 Do Q endstream endobj 7292 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33992 20690 0 R /Gabc33993 20697 0 R >> /Font << /Fabc33994 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?$jQ<<9C99 3/s#9p!gf \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}X` endstream endobj 7293 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=605) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7294 0 obj << /Filter /FlateDecode /Length 3163 >> stream xZIocW/K(Ԝ_97jګ4(#R%´W9?+p\t0Z7AA QZ$z~| 3:Ši9Nz\ysW)>?\`({&@=% g:4TΖvҨ2۝YĜVq" >YGZdM6ֈx)AA8."|sSnv &w.9IA,n_EP=UU\mf=VEX0v]iW; *[܃;@HWfy<)-)XHp`FLpSW2*8>l%lFSa.ΨMj{^!*p-?B=A&Yܟ/"" M[ M;ÒcW@q}<b[\v:J.sP3|N0[`(q~6;h:WWzt#\Ze¹,v h_61WY-NC)ZO0SXרMWF߬]0hf;ip~#rS.4*J.q*2K{$'P4W )_1*k-nsB1LAYuJBuvb8X/l1 [TaW>*̑kS;9Po\yY\֚ZCǒ骺w;N’H n`诒왅_rNOW /6Xe5>G">/,#JUUj.wAց|y7 71ϭRSq[?|Y u ]ʬbe!)fw'>o)';F/z YuϠUX,]m&0ͭt'9$=8ucRŌT3*FV#[ZW%lGj$/+Kn e@]<H4t}SS قYqmr5w"J m# > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34010 7302 0 R >> >> /Type /Page >> endobj 7296 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7297 0 obj [7296 0 R 7298 0 R 7299 0 R 7303 0 R] endobj 7298 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 278.125 122.505 289.125] /Subtype /Link /Type /Annot >> endobj 7299 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 278.125 156.5005 289.125] /Subtype /Link /Type /Annot >> endobj 7300 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7301 0 obj << /Length 19 >> stream q /Iabc34010 Do Q endstream endobj 7302 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34011 20690 0 R /Gabc34012 20697 0 R >> /Font << /Fabc34013 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 7303 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=606) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7304 0 obj << /Filter /FlateDecode /Length 4581 >> stream x|Mӧɻwa"Ii)]|*;@@O(~A /0i/ OAp"~_ĵj O\jc* Ȩׁ2.tשU&.ѱtGV11+!QLL}3kR5phv^xxyR Yn*Єt$6ᙜb3Y*0Ι)*@\U3eiScM8SUl !^%h@*[䦸WӔ]$t 2qZW[3cժy_5wBߩW @zHC/o(ZdPXwqdn(r,)~{2OorYf.`4%gG8k<j%4 Wpe*8ҹĬT\"J&?[1 2JmelqU^đ% o`f=ʙ]GЇp6s2=~ s"2I$\-c[PoW@fȧJG$B w"#G,+|? J?wAD x#1QWR}wy57>˻>TeԾ: S 173ksݘqnIMSg[t*8>~K?m +JVň"6afjZL!lNYX,e"Ȼ\#QB&OcK#AMW"cF^$Uc~g:8y?;̤ݰ6iGCd0^UmѪQ5;<ē"b0ԻtZw*4rS3u`^&zf!&=?ҽ89RQjݨoԽQz-w.e:R*e,VU*s]Uƽ¨ۓ'-_ n-߫6Ÿ0̑CˁaܰܝJ5@qk𾆼bQG4ՖsV{.?jVG0q>jVe:v̐O]>bBzu{.(|:WFjghG(c1\}GepAI_i@7lNXh&9e| s6yvӿS}'^Mڀ` eWye4x0r'<3šPIpwVW [oXD;RvȫT &vvC1K&~w 'RR zy|a{DSݫ"N>5rvJ4.F6{e m·Շ٘ffg^O@rJSd"Y)"/;6?9Xri9ٙRYm'E ,Ap+iV[ s~wg@F 'uB 8 sЯU) ə.jɪV^/㍹ڋXҮ2 eǒl7‚ʋ=XA VKk7mHFRtT݊Ι6EgX`g[chJԽW`ܜ?_Z.Mɚ=uPHN5eeif蓣:y*yCm۱;!ԳvP;4:]lCq=Z;w.E.kA6]ZQ7 HuG"{6y#Y?Xٚ&{]ktwrɨ㸊Z:hiwك Ʋ /#; 9uG+ yR*uDQ7/737*+N&u6N-=YX{q<0"AnKmbd TP?pJiRs=#^!ǽMS)9B. n60rNV~oXr0?NxFC _qu'zc1/2 Bq}'䢫~ݧY^\\|ux.`]_v+TEH!nެ~I: Bۭ>or!m ,: rMǶ#`ϠOۙ:d8, ^MwAŔz@ aGewtp'."S/2J)"",cIg-?X endstream endobj 7305 0 obj << /Annots [7306 0 R 7307 0 R 7308 0 R 7312 0 R] /BleedBox [0 0 612 792] /Contents [7313 0 R 7309 0 R 7310 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34029 7311 0 R >> >> /Type /Page >> endobj 7306 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 588.0576 381.3955 599.0576] /Subtype /Link /Type /Annot >> endobj 7307 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 535.3884 396.03 545.3884] /Subtype /Link /Type /Annot >> endobj 7308 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7309 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7310 0 obj << /Length 19 >> stream q /Iabc34029 Do Q endstream endobj 7311 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34030 20690 0 R /Gabc34031 20697 0 R >> /Font << /Fabc34032 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2Z-LB.FPBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7313 0 obj << /Filter /FlateDecode /Length 4661 >> stream x<ɊHw}}$!#20szUC6_"UwODJrm7sg=+!f3m.mznNt2ǧaQ Z╟6RƽS`AA#?G}N:;8F>G] ":ԏW<`ټp?DB}a \.@Wf4-3f 2C!"vef4u,i/ t@ mbn4'AWG ?V 2K1Dt>+ |['K.,A'<4D݂tK4z!+jh^rhJ ׌ tV*\Ϲ0{f;[: UfI+ݠ(a! %ҌԧNTЍqI> @#ϧND*-z\#2,;QK*'"e2iC.n6:)wRhƩ:d"Q6kbvA@g"t@ ÜshPBO3oi:% pԑbc+L򟬏Ǻ8 #}i*v̠+EJZ)U>/H<4 ~,H7ID(. 7`R$A`"EzXI|I#|k}OX4)VyD*٥!J~h [^'+xk 'D}⮝p'#Pr0qU]Q:T0BG言 G-u mݰ6U%q#yH]ΡQ'h?b6\ RPgG  fS9(Ain "(`dDHupx9A؆pL|sOlKfYtńAO+ɒ>,Qg65*LH'X;Fe,)J:شpMXa8YMu $H2#$*1FufW筚O?V)(nd)x:Q R^HXSKH6,l "qQ#>G% j7Z-,/bsc,e6R^[CWBAێ9|MdҦsEi9=Tm14/}dQ&415*Xv8%set+ #)6"ZfI*U,Zr'+Q/z9t@v ܢzDV>S؈9SKT 㕰Xg@`{Z\\ 큣[0B\h7r.8B&Y6f8/vU$JG ؒ=%`6sUɥHg!؆؎EWAZG]ib@5іhQ>w&)<)ZcI*7X GU@{8Y٩6m<(: wS]e4TZ@xdFl 옔E۲VZY yk #CE۱҃?LAA*s }qE Qn1zZ,0p `MIYq.f_i10>[qy'bVuʋ !щa|,:8E"yf_"\ >ql~vR%/djaW'bP; (R.t})%>*|F CPlrT)U1ڔ })Z|[8/LriުNdIĝ-a-wun,낪UCrĚGmLpyʋ3iCz cWZقj[+L#[ZTjc"c?7A9/Ψ(ٔZ6[#kV[jzX-i~.} F|?o;]x[5?ޚڬÜ6Nk(i @39n-6vt[`^Xߺ  n! ΅6!3$Baq5*gk<kE_+jg3r}Ty!C,>鐻*L_Oa~φJjA2md{YbށZj9&ŐQOߑQ fm}w޴Z|@KYFmgcd!>m?wb:~v96l 7 w?#ϘGFM|*RPb a=W-]X]ط J8= zL5âcޮ_7nتϤaZx:dOMϾ~RGEG{u.. mQk lte][_ nms:Ҳ>|6XAͲG[U+ZԞ={6MNJ;cT#$,ҽL5n/|*ʱƊ"Di1ҮRJE 4;Sn:b?۽xlMBhRR!މ4f4I)5;.%,}y@Dpwo-PPlƢeW\ۦ2uh&H%}0fq`D}go fL֫fg318_kU >LC֖x.w}ptڶg]g/tՁR>Po姗;5R6&Y@=iǝq;3 {oKWƭt=,],f|17̘j{K |-"|Ijl6ȡvek_,;q=EJ7CILda{NjvMh#wS㧌ȸO*C{Ϡ'THH!"x)q.cq49T}$or2uPIFDvGXGHɼ·y>ȕBn6f K@x,Rz|kϨ6<.xYCi B|ec`L4A~)*dr8?6d2کlW09/n*0֏Qz䨸wA04GTMp)+y.-/᫕XMSeJqFu|" Tƣ8*$#``*H@k5}ABU + ~QF&._p41~ +8=IxKHppǦdW0bx}Rb|/Q͂dU, 0K!u-U$$AG,L}Y԰[ endstream endobj 7314 0 obj << /Annots 7316 0 R /BleedBox [0 0 612 792] /Contents [7326 0 R 7322 0 R 7323 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34048 7324 0 R >> >> /Type /Page >> endobj 7315 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7316 0 obj [7315 0 R 7317 0 R 7318 0 R 7319 0 R 7320 0 R 7321 0 R 7325 0 R] endobj 7317 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 260.5423 196.6447 271.5423] /Subtype /Link /Type /Annot >> endobj 7318 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [104.1732 244.3423 185.0562 255.3423] /Subtype /Link /Type /Annot >> endobj 7319 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 228.1423 229.5017 239.1423] /Subtype /Link /Type /Annot >> endobj 7320 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 211.9423 181.7727 222.9423] /Subtype /Link /Type /Annot >> endobj 7321 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 195.7423 157.1437 206.7423] /Subtype /Link /Type /Annot >> endobj 7322 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7323 0 obj << /Length 19 >> stream q /Iabc34048 Do Q endstream endobj 7324 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34049 20690 0 R /Gabc34050 20697 0 R >> /Font << /Fabc34051 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7326 0 obj << /Filter /FlateDecode /Length 3148 >> stream xnίy H3r@@x@離X|5NlcM6Y[!TE9-I㔢_O狆gZ>}{mؔR+/IGIi)Q9KOF~ˣAeM>E| MsFD*GtV"^ "S+o |Nxx?ׄ`hNEs#c*\zx(DRy;,fcfҎ".8x''9 XMU不JÏ,jʀRU8.ʉ:m 74E?(oq%ސ N(-<9ΚJ +}EI:Z1uԛECtp !)2Ǯ;*+F@e)+bp<'X_/FOSWrZw'Ң*PE.u8Y$W`ְ J qG'>Nܩ ӵkN /xD5:{d(HM:A=2x`rV 4ƀsaq }R˦yX#'ihI(b p b|3*ń*3FL@T̪9U .ۿ`:n]]=5R*& > 5S|0 E6n[q3r'L R6!o+x#`[zL&Q8[w ^j}l97Yt*&30 ^ )\9`j8P bVx 87N! Lg"qߐENZm)U.QRnRuoEjIӲ!25na j4 Q\mujS[zrtp5 5wY#+4Jl~cst=wÑjUԭݔ2jM4;%B!^W8yse.UrTO<{gK|Mn!&w/wL2yRtQbNC"ݛ-wW/S@ݥU4Lh}Ydfʏ)4븹8l,!l 4^@uoΚM98bg#.%5C* ւo0]k0MK-hfyzvo+QF9ϥ3[ 3-zRJAm_}qm}DydS!漣HS8T|+K EVLwnx#[W P*S:p~m) jrZ eLxbb.k1j́)􌛂,T.*zr]Da E =skDZdxM*N–6Қh%;dZ԰Ecjr8@\ܞ&.T][f5^w 6cHt_)?$Ŷ;dqɫ'ɂ7k 2/ң0cGJڦdheHL}"Oylk.  z`O4.zc>~np~͏tZ̫8_W< :x=|dr[fNGz)T9Xpu BW"`=RQoκܫ'Tk׀PZ.n+f_U ^OZfoEqZ_uJī[4kge~{E3.Sl= }-@il#(SzPf^~6:.}#io(vj2Q<^ ػ|[*?rS}FԲE`!'sCa\EfkQ1 oFN/N01CF굾HփhOřPeոRx,.58&A!iE| ,!V+o_ܹĴ5ʡS^\-ȉvJ=\Wju0+>װױfL{#] m<]q<|R :xwAÇBƎJ)eK0h<$An1foȻ΃SNփBGlϔ-lh1Ȉ铦 ^ }KP1!p [ϐC|q3g.dc:BP7Ђ@-'*:z8dhU_\\X@R* y˯= 7{t~3fZ . gwNehI8lp=h ;?DC _raݒ) 9YKyEv)_8l<N~|[2ESp_O{McĄ.c\Ia"D/:0˙ApX@ pOYNh0]Rɓ9=*)mԍ3{*fMCSŨ+m52h\'uEX9R%_AP4OҢ͡H0tixE @ux̷~@> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34067 7334 0 R >> >> /Type /Page >> endobj 7328 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7329 0 obj [7328 0 R 7330 0 R 7331 0 R 7335 0 R] endobj 7330 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 278.125 122.505 289.125] /Subtype /Link /Type /Annot >> endobj 7331 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 278.125 156.5005 289.125] /Subtype /Link /Type /Annot >> endobj 7332 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7333 0 obj << /Length 19 >> stream q /Iabc34067 Do Q endstream endobj 7334 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34068 20690 0 R /Gabc34069 20697 0 R >> /Font << /Fabc34070 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo& endstream endobj 7335 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=609) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7336 0 obj << /Filter /FlateDecode /Length 4501 >> stream x\IWyJs_.Ny04}%r*UI#[M'3i;W*v6<3?eY~MLɚ˯s1OZd5>R}z1 Oy=onz^m)ÕşiLT 8OP^gN!h';}oߚ/ӿ!/cJۚ?+;l46}f],u\/n eN:4ק)·;?M |"[~W|Gi{|eI]8nA|aB4O w7ixi=)Bm;,X ,sցف7@4b.>>\6s0mN`5^T cgG8gzA|&^L]!gο@>׺{O.*i5X"a:"{([d V}&Fʭ}hGxGn.}{>ҼDCR1g)23Ij#,%eFoeKmB5l ".Vlb>zfLr5f䷱yiޭlmCB^z MS$qO:ǐ yՙ|;g"S5aQN:Jl^]N'4it=G7-iὦgˌ&ǀF B(ayL07(2{h簡l (*@Z#OӍ|c\>yk<54f 5z- >^SN}K|% M?OnLL~B~FQ1rׁnHB#Gk"'rw=?({/3@2:A 5UPyрiX1A##_s-P`<?%? bsPNKIҁ;U[2*l̦x҇ 6$u.RN8p.ݐnh}7MAxeh\E$V IZOajWCU펙ɻGĴ\#[F7#"xDjáOCSH`< 0zZ8w rE.9ڲ.oGGEO, Nn63§0LNn_<ABU6}@x ځ đ|k&о~DPy ^vS+M_$7Lt`2&7gL\Lr gEPf%5*=8*Kj,fopyԢ"[ׄ ֲ!Hح@ e;]GˎR/sX_~/s7-%҂ZapĊfK Wf )+NRÍ{ ~ר=#*#ݥ2qBfU[Vdsy]i& Yw:%;W:\;frz^-'OIۓ7jl]4BK l*TGSN2Ʊ5J x4%/{d*Am^mMy6XaǠV{PO?j@>_{juԪC[qՃV}juتצּ!͞`=[-S&?kAdj5I&~:=Cc]G[{+Băf1-ra$!nuP]A|N|Wȋ W EB "#~,jrvvŸ[]GA~[H >>.VT`PBc*C8p虷a(uTI`B)&S등viźz~F^XۂCaS;^=TuhpN[D-iTOƧ)=W<@`y/ݗ;B) EFCBM;Bs`w'xZ mTC݊B@ZFnwIs; 2Aa<8թNɮر8rrbS!Np┵fGlFwϗg>tS+F4KW6A慱e3.kpJfv GO]ۮ`59ʺ'٪4b,mfq] b@v[w2䷶\_q2`~ .C0.  dR^XM:ⲃ)nD`w`i ?sR]˖q4G%ސMjHnǫ])}J?qWZَ劏M_ f+ <>uOƊcdF>m/N@~>AaS7]DeOY;jÄT;(4t6NޅgFR+l]ԍL0&?WH};FL"bBE ;si9=6[=*"R1ZY*ug0e!`suOUyR3rqyHS.tᔰ!p].M T]Ugom`|yj@{\iΰ0nBi/JnuO#3NRjY0U*+=s׬aCܳe"xmسgc\ qywÙ~ZljlAqKfh844Ŋ+U#zkr qf>x?Lg)64B!{?b/"(cYPuƨ;\ʚUhσe1tgC7%AQ̳o&Q{h9B3nh+`u;m4lKßU9'gGcG&X\ӆEuHW'Lq~鶍cAjhrdž}I6Hb 6wBuohV3/ֿ!Ǻe yH٤0YCxoW5)`3Z$0RdV3 Ql6Ko gMVt1!AyANX |˚K_S;?c9Jh ({= ̕f2gp2g vݭGMwO=j|C .]Ӈ_\L&]SQW~8+"M7ɉS}YgQĶ[T`Se-ٖVS,(g!v 2%R x; Sh'pw\p״KZ 5F=G(%RMٚQ7u.S.ꍍU؄gW2V#5DeeG'mw`HAo}མ):$a>& qNU2uo-8`c6E_AWy beOq'dyrqնn\ڻk: i#`Mw tj? d3-[/yW1 ݡ>_i^~*^w2{!+_0&ìk._k"m-~1]9qIS&:K:A\Sfb^m*~; ѕ zEy{fݷ endstream endobj 7337 0 obj << /Annots [7338 0 R 7339 0 R 7340 0 R 7344 0 R] /BleedBox [0 0 612 792] /Contents [7345 0 R 7341 0 R 7342 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34086 7343 0 R >> >> /Type /Page >> endobj 7338 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 588.0576 381.3955 599.0576] /Subtype /Link /Type /Annot >> endobj 7339 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 535.3884 396.03 545.3884] /Subtype /Link /Type /Annot >> endobj 7340 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7341 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7342 0 obj << /Length 19 >> stream q /Iabc34086 Do Q endstream endobj 7343 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34087 20690 0 R /Gabc34088 20697 0 R >> /Font << /Fabc34089 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM>H endstream endobj 7344 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=610) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7345 0 obj << /Filter /FlateDecode /Length 4651 >> stream xɊِr $Y|0>=cLa~[, IYmldk x%To;!f3u.ݜ4R_˗7;k=jâUW~RƽT0JŠ&|?+g#e2 aP `"]tmE ou機99Ǚ/x|p>`c 2~/rRe Feg$&^__qœ(Kƺ߄1ù{?G ?X/J Y!^VQNP\XNp!UFhܬOPIpV97) q6.N;Lk>:2lfI+ݠ(=C@JDiL ̓Y|+oND2x 䳈F-! SODȔe*ӦFYMgmڝ.Ti7hƩh˄*dH#Ra 'kbڦvA@g"t˜l%g5#еsgμae'MnVe4v9i@M0 cmDzm*LAF41M)r4 T pNI4#Kӡ ǂE"EmI V*r^yE9W|t/5(9S, +|ơD*&JC*sf@5l{ c;""rlH^[|7hkUjhPP֧;:(?>ʋZOx[ kX6uJ*둹<.P( 'g?(iD"Ȅ2:z`ŐPޔ͡IL q ?Jj!NgI]p8ވ3Eӽ1 wZWj*fànI$z!_{}*Wxɓ5W?Ⱥ+n/  )OrFbc r.Woi/qW9A0U|qH8Zq`x2\9/"mӲ.jmU[ [v-!#ew<@ q/pb;`iV4bU';Bd97&~nqIG$!&#dSE9rQ;KtK/>)" N t<ȶ S l5½cC"=6 cلr˯&M)h逭.RSEqWfcpKhEʢؒNZ]+F@E9L݋!xF'¢l dq$xW80-6e]V>Ь9fU46&tT>d&if$>Xٹ3sOX$Uj4X%!Yg٤G).jK1; ̜S8CX~ .AYq2%`,%'oK;ΙEL͞|uj%SOdJ4F̈́&*w8fs Uk(M}=CD]8} /ȂdFH6U P1 c ̪p6}7h͝B%M 6~Ļ[H:+3D(mܐGR(4Cxh->Gg)V j8 QQ~{[Ju!vcpEG.cSW.|OǦBZaF܇k6}T,k]Uk|bmNHjrZGt-dCFRKVsE(MSE3Nd[Q-G9b4+suyՂ?7D$3dQBc_'2=tu8 zߏBZ3By'b9߮a\cy;zіޞ+EoUSmƎ]ʻSHP{H94pߖ4Nݎb2 U]>488AY&!=ji] B江zUjGM\'fZnGqub9~nF{!.l~0.ڇ3[9vI$RX|*FS VP'(kXIZt[up(զrPnK2C&\C#_}QS. 2,-;Q<ݳ'wHVBP㱚VE~MCxy`ր^HOW|2zLL{(/'!ubK'CIn ElZ} xfpX$܍h@/^k`MyNw'wuv 3n+>ݢ۰wt%}l#ee+Z>={=m@O@rKwĩFHv $Ʉo_f=V+PihbX'^T(.]v`'O#Ȯ}c[B[=*J5CR9{sEBNaK~Zϡo[$!xG˦";MaɎMJZaM|g{ LVѵtn&[9Cu 2+a7pvnq;=>'if Nfknx@cv>ԯ'*2zutgrdn5 {nKƭt>=zy{OS#)BB(nq@PkuOP;[Wҗ;j$I5ZcEJ7CINd_BvêMhゞ>dG}zʸ*I]]4*`F2*8)9d'}"JiIa/lc",ȭ)b+ʮM.aPQ?y? }cjvQo|Ccx$џ0 Hk7F.:`'7)bQI P'bWcb`O ,d hZbcB_gdHZa #kq1ȷ}Ƞt1K]AOCV\0i4=ӈ ypRK> 05( /YS aaȗD^%bC/> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34105 7356 0 R >> >> /Type /Page >> endobj 7347 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7348 0 obj [7347 0 R 7349 0 R 7350 0 R 7351 0 R 7352 0 R 7353 0 R 7357 0 R] endobj 7349 0 obj << /A << /D (unique_58) /S /GoTo >> /Border [0 0 0] /Contents (current_board) /M (D:20210608081201-08'00') /Rect [104.1732 345.1423 172.0157 356.1423] /Subtype /Link /Type /Annot >> endobj 7350 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [104.1732 328.9423 185.0562 339.9423] /Subtype /Link /Type /Annot >> endobj 7351 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 312.7423 229.5017 323.7423] /Subtype /Link /Type /Annot >> endobj 7352 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 296.5423 181.7727 307.5423] /Subtype /Link /Type /Annot >> endobj 7353 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 280.3422 157.1437 291.3422] /Subtype /Link /Type /Annot >> endobj 7354 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7355 0 obj << /Length 19 >> stream q /Iabc34105 Do Q endstream endobj 7356 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34106 20690 0 R /Gabc34107 20697 0 R >> /Font << /Fabc34108 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd>3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} L endstream endobj 7357 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=611) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7358 0 obj << /Filter /FlateDecode /Length 2784 >> stream xZɎ+x@2H$PY9om`Ne U/TnhdK`/"ߴMxg欎AS}M_Yu}ק`l*cNgc1stTU/D]2i?Cr\`yGmLF$xpᎯ/<.0&o;+|Ֆ:Q{3@s e'^.Gq >$WmtTꇿR(:j$nNX.&Q*:WlcM65;aS3VM qUZ8_ąnΑ=zUeMոKb[{b#z2 Rp⦤uaU5:S>aaR\w:D @臠Y,G%z(q*RkhQ҅Hc+zgq;1 #ݘàA7M>ܔp5pq ۰P8&%*T ڻWn03TIwb#m,pq;U,̘@D\S|Is5yyT qā\g!z\+ѵ*#f3a( Ԗ0j^]խzu+5rf&xo R<[\=`&6n+{^pn X=ccs"98`~P^nRf $=_ǹAm`M_We9)U&,$YZ$I:.&$%h^M.694?73'Bu-vaM\].@Z0ĭw|") -%LGk'.3->Ò.qZX(SKFa9mlCUuլuկlZ|âQaW5o'9ڽٖ`9jLGX8T4;; o~HbZj.gM N\$_0T!@,P;قK9BslJ췲BiZڮMݜHe,69sԶd:9wY >775SƐ?7pɑ.w=.@>q^1:- !MN_ھW 3@C7!"l,r?z*=U[\Lڵ%d1|]v+ʭJJ=nDIqbsE/}?=|s?v|8' JpaT؜w| K@:';@4X "pv!0we1c哙0o\KV}1QF8M{GG:D ZmvQRf+s 5k }W%Q2%[,y hn[l}&x`8ZQk"%f %^VcNEM?ן3r4oGS\fB @,L f¯RmG!G]a=fo a3t03&t]q &&ހB0͎t.FVqA}7FO ^7(wpnZEFN^QBi5cZqfe_3`kH7Š'L}s0:o<l5FvQ?Z9]Uà#6#d!k xV ԲxX2L_j@$ * >0Y=̖IeLO+$]`Flfp*.нFh-dVX"sn{j˼tWֹH (}ݩqOj?z-࢞m;?A\;fze MgS3(4䱏R{۩u(!P q8A-xsqL'%JؿDNU|zfOQ}e`nr% KFbbMS}og:fuf①I31nF{@슲/xqpRZƂowxpo><μo<LZԨBXYs/ Qѷt; K!RDDVUvG |lY 3je endstream endobj 7359 0 obj << /Annots 7361 0 R /BleedBox [0 0 612 792] /Contents [7368 0 R 7364 0 R 7365 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34124 7366 0 R >> >> /Type /Page >> endobj 7360 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7361 0 obj [7360 0 R 7362 0 R 7363 0 R 7367 0 R] endobj 7362 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 292.325 122.505 303.325] /Subtype /Link /Type /Annot >> endobj 7363 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 292.325 156.5005 303.325] /Subtype /Link /Type /Annot >> endobj 7364 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7365 0 obj << /Length 19 >> stream q /Iabc34124 Do Q endstream endobj 7366 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34125 20690 0 R /Gabc34126 20697 0 R >> /Font << /Fabc34127 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 7367 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=612) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7368 0 obj << /Filter /FlateDecode /Length 4361 >> stream x\IWl)Ig!i;\S7IoFNYbEӷL;}yJ*MdQcroZ`5rܝQIkP_ ޹-`v23L&Zg*e_!Pu ڷ#WWMOӿIqФgKpffgeBKMf9PĠ_Ww|<\ ~-߇3Q'u܎m'9gp+ Cи [C񹍌PѝD!uV8? WS~6sl?0 G4@g`0#L BL 0u\ /ggZǶS:i [-pF HfJ+r>(&Kԃ.r}g3YG:~Imvv i4.w)_d=Xf' | PQu7ت5_}2F->/"3f`| IaUR#QeYV7EFU냬(v }al%ZDH$He"N-ܯebٍެYqY'YL+hX1fq㝈i؅ƶc6lBXUAGzë[;ͅУ8E^Uۇ%{#~ Vn?J~-˝` 0o1\Yo `E溴ņ-E]tʽsNmAzaY+NʴdI DAMqer mѓ8֊ q28 o܄Ǘh+pRdo^য ܞ<)=y =  Nem3De@G-CC!x ;b8Q42}3 7xbq_P~JC!B=^?`N ;x0T , ܹ:b?[f5d?NQᲢTXyRZcA*XyYf)u6c18j +N kԾieąh8ẕlVZ!:[mزBSRSU)uUr7n \GϗHlIE{+u)Ҿ^zkOlMʊuh(FH*,"Ԯ߁o!fWn)Up( Qzv\Nc\;)n0j cP=Z#PO ~ ukP{@ohm -Pw#7Tw+oAVPwDVZ}jAUs =CHoM?@VjuAEvCPb܅Y&VgKJOa搇h#dK Ezm cN-]rr0lWdz%5PlaP` C*ĈV+&kEym4}Dy'0/b]׾;*o"m;D:A٧L%%Tr6iz4LMDDґv)̰SWO8[ϸqi&d(o|}ur r K:b991"t- '$?g!~n*n:-5ijI9<`U2 h-ǁvA{N`C\LL0VʇMJ@iSHkT;uA^XE>)"P2a3J\ME`Q4廬fN4u[mQK PVVu<&3X L7 }e_̪`Xo>*w.tpJhxH8;/+p҄s~UWZLX5"b7. ;"U1_+@gڌsi-tAnmqCrթ8+ ) 4_ n9+' y N9VCU_UTqO൭=oٮeSH:TJ jҍs_>vV7c[nrd,u~- U@M&_=~?iX֬~RQQ\\J>~ xEr3TԵh|:2 BQm녷Dkay^8XzucD*p#[A;ʪ޽U .nQV#l7OCby+e6L7}wG >50+/[PaÊF\\f9}w|?v_`U7U~䬄|O5T/M g5zte|H~:#n8cLM )~oSZk(ǚɘnw?@6чO v6œc.\gC{Jp :e=)_-ekGZ2J_jM=t+"2URPV*=K`]b `Y|F _dr$TYeArubM+f.rP1еx/&>/igaALZ9-n^ %q k6(xo&l^S.t@hM+ԏ(W'Gnk_Ԭz#(TA}}@WVPC[>/Od>eq,%_ endstream endobj 7369 0 obj << /Annots [7370 0 R 7371 0 R 7372 0 R 7376 0 R] /BleedBox [0 0 612 792] /Contents [7377 0 R 7373 0 R 7374 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34143 7375 0 R >> >> /Type /Page >> endobj 7370 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 588.0576 381.3955 599.0576] /Subtype /Link /Type /Annot >> endobj 7371 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 535.3884 396.03 545.3884] /Subtype /Link /Type /Annot >> endobj 7372 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7373 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7374 0 obj << /Length 19 >> stream q /Iabc34143 Do Q endstream endobj 7375 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34144 20690 0 R /Gabc34145 20697 0 R >> /Font << /Fabc34146 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶP endstream endobj 7376 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=613) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7377 0 obj << /Filter /FlateDecode /Length 4665 >> stream x5t}%Z8 IuA$?2 J/Z`jϋ}@ByۿӜ0M)-c/I=8OGaV"*ْ!'H]w6E:4Ϋ<䱼EV%xj0V THo'k'Pi^ts*c @ #ND \`gTeUpjUNZ)TM:5Lgm:.Ti; I4TyA]M%AZFsY'619G m@ <ΜuPLӤu6ͪn6" WX &oH-)hLc>4;Yc4"GD%甪 ?.H XHD(. `R$A`"EzgXI"@Qb!/٣-_(++fu@ Jv$@)3He,Ȯ÷7[#A!w2qĆ<юP! RPeڿ. ﭿ(7|}mݰ6!ܒ#yJCΡQ~RQU3}V]͖k}Ci(#Ee︀&Qľ%v^4bU'?fBP97~nqEGF'Rdi`eP}Fò7rM!g:>жSmkcC.>xkxlDa ' e˯i<tEgNx1_eQ/(6KFЀqSh۪x¦,A07n#IW&{ 2䚘/?51u.Sbf՘IT^zkp g%`0s%`(0NxeUL&QT46c[]Cn,9!o0sN}Xa ]t]u3:۰Ye;&dΙMXL$P,%z GM$$vw%V(\#V>Ab: a$-_*qR. *quSM\ :{Z">(bXwxLf2-Ө @tbImYvE3PxW8 z0W.pXuўuZ*p wL 2S/XЭ,PDaUoh8\cyWq,L%^3VzvTQpŭ$nBע۲0\ MJIeWvAnCa*6듺xЈy8g(MT&c:y#O=wchtM+BfFks69|b!)uU'm oHI]>.grDBuݢ"Xr$vVJ}1[}W.>pwop8;{mz{xHbZKTGtm/{T[s[c4>g a*XaHTk4SX*d"kzFP=.s0s{=G jxl=vBG8 gLJWڌ Qz1w i3f(D/F~rv-K m= io߫ՐU>8 6q~1Lpe/ҮZЪEaĸ/?=ZR{+>sNIa:@Պ4% iN-I_6)RQbs/*]iESÞzC7zzwvTí1%]$ʜm-;0>=hqDAT ˒O}GB޽[HO׳ީc-5[W=FzqFm&F*CK7mwɆAQ#wPoʭA}F=7/śWڷ \.?}n&մ"gv D)*}z99HX֝:4X{˷amڜ{ :Ғ>vXIβͬ@@Ԟ#^{6MNqzڝ0q=kunKBhuZ}Q8 *n2 FMn笋c-xfj4'zeXg܇5--";s"gR aLV)ѵtn&;DSu 2+apv77~6Y"mf$(=I*{n17}:M.!e$8nˎi ΝaXxCp%_:2ne.#̇t?詓xm3sQZH%S5MO7jlma iL؂kT*hZYz=-Uҡn6> ׏ê]h㆞>e׏q7UBŝ^hTQXZͣ ytf`&?W6ޱBRRd)b {ceF0B* itSVtrg(9ܒv&Ooo#' ALU"6eV,k6>Gr}7y[.Rٹ_J.2 yݽԞ*q#nxIGp@h]~?4|~}ol?VXV):[ o3*)>5\t.`U`.Z|'].lC vM]ohcLhuWeK8X ݏc4c\ z!^8GN1_c_f/ O]3y˧л}K&.JoKqX\0QKK\ 7_n[O \@1lkE]AMw l^erXR5+7-DŋJSkX>b6Yq'T(f ~3cIρ. N8U sԱGc0GƇڐo}:# cǫh Cs }=v·~ |g-xбD`&;a5=\'2MDG[Eȅ"DZ9D7oNY_<%_\Vb/"e,.#Gj/d4\|Cx ]n*t((__+:.i=Xnz1Q-ƌO!fы\#&%/рKw0u*#D(#llKݗ3G,痶TLF;\J{& =4wO]sics4KnjH)y* s'/e=Wcn%C1֥ 8xgؽL5"dgПr' yYw:?{`nO|={kCH r|_Eo$iZz N|2~ m`!  폠ùD+4F\e1~:z)Pk:U}+7K߇"`Iߏ"|I8d.-tI&dat1gQ endstream endobj 7378 0 obj << /Annots 7380 0 R /BleedBox [0 0 612 792] /Contents [7391 0 R 7387 0 R 7388 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34162 7389 0 R >> >> /Type /Page >> endobj 7379 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7380 0 obj [7379 0 R 7381 0 R 7382 0 R 7383 0 R 7384 0 R 7385 0 R 7386 0 R 7390 0 R] endobj 7381 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 344.9 196.6447 355.9] /Subtype /Link /Type /Annot >> endobj 7382 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [104.1732 328.7 185.0562 339.7] /Subtype /Link /Type /Annot >> endobj 7383 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20210608081201-08'00') /Rect [104.1732 312.5 216.9837 323.5] /Subtype /Link /Type /Annot >> endobj 7384 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 296.3 229.5017 307.3] /Subtype /Link /Type /Annot >> endobj 7385 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 280.1 181.7727 291.1] /Subtype /Link /Type /Annot >> endobj 7386 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 263.8999 157.1437 274.8999] /Subtype /Link /Type /Annot >> endobj 7387 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7388 0 obj << /Length 19 >> stream q /Iabc34162 Do Q endstream endobj 7389 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34163 20690 0 R /Gabc34164 20697 0 R >> /Font << /Fabc34165 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ JIӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪGFxaH&E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC-]@ U8Ρ\_@E ۾{w endstream endobj 7390 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=614) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7391 0 obj << /Filter /FlateDecode /Length 3023 >> stream xj#I_ľX0 shꡱ.XS)Kv393"#^}˔ߤ vxȗ7YN&S&o$_V`f1M{=܄3(rc{|;@Q E pJ)Ͽ [@ xm+@+zrJJ&J`=A[ Fla J8Ƶ+^Ur^0nh:X2{1qV)r,h`?Ulfi&+ k' ťJ,, Ve.$#̉x%#"\^TIKN;@ٸMDIp| c}eҧ3R 9{) ~Gvb l;D ƠUUf³t-j/4*f: rؑ6hZ3ą :6N0H3ԽUw ěvJU0O+N!sP"Uޣ㳸L_eFm}Y6Ӫ4%o%1; V@ +t-s=g@4lU8K!{PF,V364aHMNڣmӺI'̨NOUbZ 1EsocqRuJs|p5r:/6Ge%o*m;v@;+CF6TeTGftPcJQ챷B:r\5*sk'vnD]׍Y5Vc/}CݐnT%G3g Je-_f¥zu>.OY:Y{7H/~V,؁s:__Vqx5P2An H/>h%%As^j|,5*[:rKeNƯ˕;g{ {C`DPC"JJ?b ^%=1z"bb4mJֻ70زm` Lco PztT5 [B˻~I3z.?[@+U+pQhʈw!7s{1~+ȫ؈̙;IeuLG9'>5x.0Ca&[?@R_I/؈{|Py'w=ㄒ?!ϟq 7VMe&CH;.'+`eh1Da&;tZaR1!pt Ī,*b"泯+M b u-J qQ*sy7# yF^~y^Ż\gl= @wW1܆h2o]Ɠ_#-t;M~l=PwCi UPka9˰.0l&2__LWn"VB8 p$3vfq5E i?xeQHW$ъ+,sGo/fayf\߫3W-JT6I&OjeS7li5UMiA-+ȑ, }AeԀBͮiމM$VU:4TeE @,"p<zORmd(QѮB~Geh(\*'8%%7<&z2%!Z⋍b KA x{T?C^ Q 4lGe <Ǒ##H `bb0yV%kU܊oܨۅ.d#FJeZ4R‡oIe/F+c4 q,ksRSKc ~>QM!ӿy ~[W:ǐvc*94T9H$5 <vkkWA*6geNm~ɺUȼ!|I9 .%Ն&\FA >,Q endstream endobj 7392 0 obj << /Annots 7394 0 R /BleedBox [0 0 612 792] /Contents [7401 0 R 7397 0 R 7398 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34181 7399 0 R >> >> /Type /Page >> endobj 7393 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7394 0 obj [7393 0 R 7395 0 R 7396 0 R 7400 0 R] endobj 7395 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 278.125 122.505 289.125] /Subtype /Link /Type /Annot >> endobj 7396 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 278.125 156.5005 289.125] /Subtype /Link /Type /Annot >> endobj 7397 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7398 0 obj << /Length 19 >> stream q /Iabc34181 Do Q endstream endobj 7399 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34182 20690 0 R /Gabc34183 20697 0 R >> /Font << /Fabc34184 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$M ^|v,9)C ( 'C9PmтGŶ$T endstream endobj 7400 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=615) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7401 0 obj << /Filter /FlateDecode /Length 4400 >> stream xɎܸίyR ]]]rsb 'Ofsm$U)H\v>=}̤ iӗשԙ))_􇫟>|Ln:try IޞᣒV}kZt}s[`e6>}Y}#N Nv:ۋPIqФgKff#0 Ln2#g]9Pث_>NqrLPgXOec1+p` N) Ж QFw] eCKw u9|ui?cO' HC݁'0DvG=urq2i²o~|(X; Yg t\/ ]O RWzʻ|+Nh?* а fL,?ch\ݭ1:CҊ8# Hy| >¼Dٙ090ke5L&Zh$ޭ@FT,+jZk7_1T-ݡ _^9<4QYJ|s Ϋ2Z&CŠS/-^j42B0`ʕZVlr[% |ԫ4Zw*WRU3uHZ a3lHfK*k_Ok^baݤXnPI.}tRR.2n Nn=oYFӛͮ8RXm>a>a=Ws\ܝJAq𺆼b!HnV-cc#Xv=V=juTZVj]VZ}o/ m ͎٥=.U̞^懘v Eag_,|ݭ^w@V^mmGl(c.czys-{[?ăfX0knuP2WIخ БOW̯EB FGaqLGA1[pamE +Y]E#%, j-9XPiM%GbG Ln:yN7m6˩m{FoaSI 55,[LT;֊0PpNsa)̰L?NÐ-;eU8 8\,g=&}.IkZ\,a/(p5h]q!IALemFd/=+\wҜaK"`;$ɬ z#{\%%;Ä59 ܅˔.eࢤ mr7N9<Sڂ VtevZy3wH+7pE2]mJ`EͻӚ.ѱ`>p ,+k@++.Vbz, .Zbq0{ߪY(|Y}inZlfrr@z A9\֊Q %@XPXk_HO,//EhNueq%Z>H"v |E ыXhE3pCqB4dƞ TdĞ\XAZei,A&cIYqFˢU!KR(*|!ٕB7V[q0^~PꎅuT (N謜|2WVwu] ~jׄ' Oil". N(w8Kw{"BQZPZ۹a=ldp0Ԍ2hq\8*w.tpJhx8\! SfH j 9Nb9 m^BtqdEĕ)q}VBgڌsk-pAnžJqk[IB^"CDʆlPﹿ꟫d5++k[={慭9o,Z|WJPF|]Lh7VaOf5tǒlS`NŒN3:Ӆȍ2j2Fw1YuڬU;\ _ ўdn:A/J<[7ϾHQo ?Ea/$Z믛QxsuKSiXhi2ʢ @kp5@]S3.x,,Q!BY^Lfz,n j%t\jua7j[%>2gd-`ܣR&7P aș1성X4r{Cv#U;}baNt~'q6&qSsa@p qB@A+<7"\e~R ܞ3}0=yF~zKw7&,У>Lfk{/p hlr-GX^J VxxBiJќ$h̤2l1;NdBeMVpAiaxK: eNߍ;k,oIiuMv~*okT'1ѷ*PWț5 ƒ#): [G{+tGԣH*>`&Z2eK%s\~Au1RM,/r*uF#ԟ \-8>r(xAYw^˵EӦŸɅ4eYT X]+cq49԰AZJ ܑCᒌL/ĩ֢CpH,|c!%Rvy l,/ھm`x*|P]aVu(+r\R+:XkRlA,*n1pkG *ho]yo"EC ][/|lz{1'i˟k '/,%#^2۷6Zx ohK6kx:&D ;i9#` +<]}c!Sig|}ߋO{WOO+D^䖊ZSW07 ~ֳQs#x@~86׫nƙڽzKǦW2f׼k\.3\\OEWa&),d &A endstream endobj 7402 0 obj << /Annots [7403 0 R 7404 0 R 7405 0 R 7409 0 R] /BleedBox [0 0 612 792] /Contents [7410 0 R 7406 0 R 7407 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34200 7408 0 R >> >> /Type /Page >> endobj 7403 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7404 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7405 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7406 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7407 0 obj << /Length 19 >> stream q /Iabc34200 Do Q endstream endobj 7408 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34201 20690 0 R /Gabc34202 20697 0 R >> /Font << /Fabc34203 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻AC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ I endstream endobj 7409 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=616) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7410 0 obj << /Filter /FlateDecode /Length 4601 >> stream x kzk~j9`kT9/3g14Y8FYXu xI#.Ұ0hz5Xߧ1 4~G42QI+9\dqArIt9$ }mdQ[@nH>|Er^)6OE"_ d"|h/OhVK0 @i z^x'",r!JIDq_Vb3_!*hMt[_mlH-*+ZP([ki px|(6&E7@DE{\Rgoh! ڤ# "E eyuL=VË!)n֛C 2A+!̋gI]p8ވ3 AxL^na{+ZR$K[ѐُ^JQ<y?b$^+$%I _C _.sQ`ikK嫜 F *ёqzB+81`1+ܳ]أ Z-k%Qi>0.HG ].(`oGIKO {҈U+ ܤ4'>$]tt[2b" >B6Ut,;LOgX])đ@ǧdF7|Hd܇fo-fL6s2^wy8ўnF:N@SOl]td*xe66{<[B,EŖt ՒZQ6:n UC"1q/VAvoYEfow&ƣL q˗:)/B :p1XOZ@l"'OCx^gA$3BbJi[c`VggߖŷI"Ek<:a$1WR'7v9}|`C1zE7euXv*vmL]$Z^iR e';n# u(aTqB')nR- ?w4N=-Ju#|uu]Bt03O}0.JMϔ$fT;VfB-aoVZBq\"+.N'mWn;*YSv, "yjXhD烠3侺f@{Ga\\ 큣KR.{E)2054&8⿮zՒ"."! Ak2rTk59MeAóBf}B3cLo rzd2V%GyC͹nL4pRvQ .klP;m9=Z8@!Or8,:j-v?bc, DlflB|V5ƾ>7?"GN냇iב I={Ao:ުVs6qM~o~.JjhuBfu*64cP (nnZ_Jg:@Ր:iT-fsF[s/N*ոJkE^ { p"cr$QMQl>l"}z !8?=i)ލ)pcVӽenrnnYѢg양AAz:R괳 ?@jgfblm4Cv$̸`^Xzjne "}3s}9b/A8 !_ޮ8:c{+Od) 娩rK0ݮ-夥-K0 ʧQy3[^. {GU}6<$1r9m5ƇE5}Vk !C@F`3h6$GV7en'jZh2C^jğהt}w-Csgs빟M]R|EI̷77>:(8dzƂm湉p4y܏^yEgvig=tT-]o{d;0.Y=ga1{̍-GҰ Zubܵ }l컐T wgs]Ydmy?oWsa{:_ʶ~D#W7fAgq{vn|G&r]@6vV@+ғ%` i)I=_t1D(h= m~XFACʸ!n&;'t2wTQO7h=C'TN?W6ޱBRRdSTT}ee즸W*PQx@qcju|Ccx$ѿmn1fo5\t.*Y0bAI 4dyO\,݆>f߲ɸUnӴhƄL_ݖ=,r!^chG~C.Q !k]΁}xe0=eF~p_R[>^ 05(Aqc(%Qaߋ֛/`h# P ;E]AMvKa*,߼^i!.^tP2]'\"p>_8n6 f,?THxQ̑vKɷJ>; cǫh Cs }=·~ |g-xv,9XŪ -6^eKe$o"R`X*@.py$) tB|!`>QřxH)"x)q)cq49T}$r ]2uPIfDD:p`6kF!\3R<6|)ohcTXFK^&/Uì^֩ B|ec\d,4A0b#G,痶TLF;\J{& 4wo]sics(Vnj)f9G5:S+?^& > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34219 7421 0 R >> >> /Type /Page >> endobj 7412 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7413 0 obj [7412 0 R 7414 0 R 7415 0 R 7416 0 R 7417 0 R 7418 0 R 7422 0 R] endobj 7414 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 345.1423 196.6447 356.1423] /Subtype /Link /Type /Annot >> endobj 7415 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [104.1732 328.9423 185.0562 339.9423] /Subtype /Link /Type /Annot >> endobj 7416 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 312.7423 229.5017 323.7423] /Subtype /Link /Type /Annot >> endobj 7417 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 296.5423 181.7727 307.5423] /Subtype /Link /Type /Annot >> endobj 7418 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 280.3422 157.1437 291.3422] /Subtype /Link /Type /Annot >> endobj 7419 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7420 0 obj << /Length 19 >> stream q /Iabc34219 Do Q endstream endobj 7421 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34220 20690 0 R /Gabc34221 20697 0 R >> /Font << /Fabc34222 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 7422 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=617) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7423 0 obj << /Filter /FlateDecode /Length 2797 >> stream xZI#9W`!mVC>L0dT][E8ʥ`(\R()I#5%+_e32x NiOq|^ <3xEF|zk֏^IpYÜ{x~%DS6j)z.<4&"<8׃C>MzXSJf>Hk9) 7 ^{]ʣXg'M'IEoV DD;;S; Xun>65|;"E9ChUL7Aު\ʤ2[35)3?̑%J*#WD+Ïu+ni==y8+Gz$GAODsE'd57#c^'At~؞Lpp '"'EVD+Zvzϊ4A%SUx'634w0 ~5[bp%]I|3wP5ՀroB7M>ԡ4P!6R#kr%Mta+&ݑZ(idcwRHwP%&.#ŏQXϣ|~#4C 9혞4NmtMe}UfʘLB,qA- SOUwÕ`S3=Ϻz4IM]2[ZN87 B*_5B<dch 0?da7k6~j`*:1^:P>RXdiIrU%2iU_bKuڴ\c~QuPklX& o ! V@/\ڂP|")ֵ.ūL=B;NOK]lQcGD 55'f/ڬZNX}6Aj[!D[ߜhɋf 1y7VVjMJ\-vs|suP:?.ϣ ٫;b@ܱ).e yrֲ)]L5NcWE{*ZT>SoO0k]2'ږ,5Zom1ŴQ1)g*L>[7r .2Tp2,ܱ>5 ޗuKI "!7 RU@ )/cS*jt.++vXs]LI4ۮcq뺐[߀Ѳ̮4CFWU)23ױWg'WPCpjvDl3+/_CԜ NGʔP[ A"&EMXTHU9 D=8WgPZL o^7X$G7"]kfeQU-TcZ[讀˚ }o`M*oyǛ>nL]0yWzqj-^ x\: ]=9PՀӷv_KeI|j`}$T!%Ι(K~E~#l|[/Ϸ3 r.{ŻMBfkOѢPjO9fqU0e*,_#r-C~}'95&&-퓒ޗ B rYPStY|srJQ!.2P `7B>?VV`9 @pIuKD倮o 3Q!jΜl̉ n"W%FFfxuLPlao9WRR&VWr:40پ-ۛ>Y7Ohs76M %ـ_xJib0[x~j>dj/ƂJYR[zi.;n7Ͼ]%ĺx!YFjLJ/#AnT_+@F*sCV _auĬSqKpviH)o-G}q*x@ٍ>R?ǽt,K}5=^[7tO*ߣ:Z{%\Hw--Ju% LZ?`',?TȒ3ACOb \kzUH<{U1/ uqp0 UcށS{*#?`.Q068> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34238 7431 0 R >> >> /Type /Page >> endobj 7425 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7426 0 obj [7425 0 R 7427 0 R 7428 0 R 7432 0 R] endobj 7427 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 292.325 122.505 303.325] /Subtype /Link /Type /Annot >> endobj 7428 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 292.325 156.5005 303.325] /Subtype /Link /Type /Annot >> endobj 7429 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7430 0 obj << /Length 19 >> stream q /Iabc34238 Do Q endstream endobj 7431 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34239 20690 0 R /Gabc34240 20697 0 R >> /Font << /Fabc34241 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMM endstream endobj 7432 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=618) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7433 0 obj << /Filter /FlateDecode /Length 4499 >> stream x\Ko$WyJM& PI*݀ƞ;c-=}Nj̒JjyO*2`̠Y4;We)uf ~47uk]_-__i+_!ɯGcpiGuG}k;U;*~ )uIgG @Zv%h~eTik2<ҫv%ff]-4 ,_Xn1,\\¶&(۝>-|Fes|m^{ >C6kZl.R5݀eǮ>10pa'm`؀ ?E:"܊t`)+AR3~~k$C zFG!+w 1 ]0A(3FC\55SU37.3A;CPq%"xnG ,>*gHEf &FU냬(v1Wm~ތ|\`3;_ҍfsB8qe'{?VKܯLݵUјc F_ʔqd  JbGh ӅOhKD= r8匤w>KK@U\m GQ|prHɏJ k@K<,/t.Yq  6d@F3<"2LW-CC!x#;b8Q42}2 xMfq_yǥ5\U,竼s3hޑ$[fc\a/!6F3 Z [?ziWt}gTRy|lc:-hH=R%ސ(Bp`%:r(:L,lM]D0Ӭ㩊 i|q2mM4aJ[9+գR.m E*0c5$IG&l5n Cqc;z LcyŚn s!̳LU΢^R)̉nsbY1J2,}PL;}=uhOj5k0p9uy~j4A gYEXuЦ|m^EeEoM:W9 ȗbe@bmL[ce~|k>ty)涭Y@dED{S @ӆ lѸn֦N#ԥMְ59r#܆qЖ[{PySӺ)Ar!2Ո xFȑWϘ>ra7 |Ӕb lK`Juj!ܙ9`yl.Aζեդ2:e`0qJnh7#oD| ej9VF!&^;58;o]7+6{ݨ欜`9-i^Ok]1PmH#pv),n3H8-w%(vE|_oۇA&L[!,,ŷ)?(R9Zo۽"w[%!p#Y*LM@s]S4-\{mhxmˍ8d=Yei7Nq}w Nk$_CE\*;ldĬDupU۪~}l߹[om\a-ľ1ÈqXm{;cN앩TWb*wS זmt̂k+V)s((..wI%r6xh@N"<ζNE6C, |lIf_v3NBoqb|u.@u%~QդXmx~,nMi 6SПWc̓1Q@4r_6JACXX j 0!,)A0pu 05/ +K~WaNY+"v)]'s|_><Uԙ[9^zpxLӇڞ(9|oN4>r-Gۖ5.й,&9 B9I׭2mc8/T MWbҌ9;,odaQ,n,%yHHk+y_:6jUL<7k!%u4~a1>T(*_R[4.b X7T#$kjR?NHO|ipBHBWKZBGG[+US?/^]Ʌ<,Pr,O ΅_ɗw)D9o wӎ$#S!՞PNx"/i> n%%n)[;> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34257 7440 0 R >> >> /Type /Page >> endobj 7435 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 520.8577 381.3955 531.8577] /Subtype /Link /Type /Annot >> endobj 7436 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 468.1885 396.03 478.1885] /Subtype /Link /Type /Annot >> endobj 7437 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7438 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7439 0 obj << /Length 19 >> stream q /Iabc34257 Do Q endstream endobj 7440 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34258 20690 0 R /Gabc34259 20697 0 R >> /Font << /Fabc34260 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}- endstream endobj 7441 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=619) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7442 0 obj << /Filter /FlateDecode /Length 4580 >> stream xɊ#_grb_((Io >ڞ10%T5mixKW w5՚5HMR_~SۄQYa/}B5 !"sgux< h zʟ-|^'kx6d/Lݒ00 1_-7uv_~Qi/Jv'+/_균-y8ΨǤ t}{ 9 <ʋBќ1Dâ2&ãE/seB"I*)!cfә2_ !/bAR|t/'-{Bz,=Z Xd [!-r gFY WA'7%*mpƁ K=yc9Dz+S$uu/"$㲬ߜkYvX"mϕ*%ӻjAv}jzGEtw2;[y~*4,<5 sAily+6*BDEK4[6a<7@SYAXeCn7H]Y :E8i 6:i .m=DgGqqy33!`O}T3l\+#(TiR虔'O^׻tIJRΡ"'-R$K6HfE 4"'Y&|Hn:wV5RC%̃DLt4=doM?-ŬYPn̋n +${_w&[lX E\(bDŴGiGGEvbJ]W&S(GyܝE6|ٓ^B='XxC$K_[TzI I59:BJ)Vpd<45DΠES3V5j$xKf/APv.7UYqg9~\E 8EaH(6@V17Ā>:$X8iSQ%}i" "E\Dz[ tz!.Ɓ¡x?NU 2+ڢ59H -`F6%_PEPd|#6uU^LƦ&)X2fL.33oa䘹^&0i6a4qvv% A&ΞH[Lqkјo͆ 1Q\^VCGV*J{$'649^غ!5OliSoiSlKWlԎ~ L<अۄE9He w#,vsmz|,6y0 rCeFAv'|yrm#%tv.G;!jwk ֥Pu1NGvf(yH-cd7X9^omZ+Mt<27 |΍Qt+)rmbDΔɉ>RnI9jPbE?W32]`n3偟gL>y8um9ЇQo<0^fjDEpM۱D2t.%|%p\⫑ּ{kh#V[of-ڈ뷣e[@pda;6$nbw;3x4'N' k2A65,x_m)Rg~ ,RU>BpԹn9kFYSuP,yX >:!YQ2pX+2A»efmW}>(>=:4[xhon?nsפQ$YKCH۵h q@bȢfE+5vqܡna(c7p!邋L ĩT=/ѥ\ "Ʈt721.ձi`kJq*K\'7娌ML]sV!6S]}5w5චG3Uj^RMv8hr6#|И [,r>4v%T('5tĠ=G)c!; ')-@~-2 gz>7=ӜUzH}/c/f{$5OI-]]e< B5ݣճZm#Z:YNjժQhJ Q%6}Yjߡ$xԷ\ZUblPKQ;r9s1H(A8 /?|kwyq'9DC&4'\sc35Mt]cr\kڠ=PxԈv㨭4Y؅@m1g>"L"c -4FC~*Ԃ.nx Pjd~ UE*e UUcÁZ>͐y/e%?N"{<[h|ٿhҝȳ2iQV^D=_ x~K+,o; w+D#ϘGٱ]|5}Y '|=4{*GtczOIUc6VYc6o|& h&X.y\g{">zdfRIJ֛*5H [[B={4M]+I<;;:0d;,"tie@@Ԟ@@*K#xBP|n1q7_dgxv5R(j4J$DiޅW"2(ΝI*l&Y~ C5?m;&Ճ^d'G"0,+Y޸?2S/߽ nѦ3O"xovW{{e܆%w\ m_%$Ϡ* =R|*c(}?ٍњmg(AVXehg|+xF/@Fcҭg~,tG|A`e^6I4y|,!x-?&<-l/ِ'HW_4TD:쓾[_- Rgb+w(zt·>Wm<6vbO}EqixM2׿Si&}kY Ix6]w0^O: )Hpӟoצ /i0bxy甡b">s(5"YWI/ Gڮ f 2Fdpdic΢gЕm endstream endobj 7443 0 obj << /Annots 7445 0 R /BleedBox [0 0 612 792] /Contents [7455 0 R 7451 0 R 7452 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34276 7453 0 R >> >> /Type /Page >> endobj 7444 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7445 0 obj [7444 0 R 7446 0 R 7447 0 R 7448 0 R 7449 0 R 7450 0 R 7454 0 R] endobj 7446 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 284.5462 196.6447 295.5462] /Subtype /Link /Type /Annot >> endobj 7447 0 obj << /A << /D (unique_62) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 268.3462 264.7127 279.3462] /Subtype /Link /Type /Annot >> endobj 7448 0 obj << /A << /D (unique_64) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_pins) /M (D:20210608081201-08'00') /Rect [104.1732 252.1462 236.9762 263.1462] /Subtype /Link /Type /Annot >> endobj 7449 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20210608081201-08'00') /Rect [104.1732 235.9462 216.9837 246.9462] /Subtype /Link /Type /Annot >> endobj 7450 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 219.7462 157.1437 230.7462] /Subtype /Link /Type /Annot >> endobj 7451 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7452 0 obj << /Length 19 >> stream q /Iabc34276 Do Q endstream endobj 7453 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34277 20690 0 R /Gabc34278 20697 0 R >> /Font << /Fabc34279 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 7454 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=620) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7455 0 obj << /Filter /FlateDecode /Length 3170 >> stream xjHйAѱG30 shT=`T]m).`02oBΟ_#M73?iK]TZSAk x'sZ3VF T?O3@|O*z#M0VJaQ:`u-NMaZP.k{߀&vUwfR;]Ѐ;^/pL,e 9?@ @W!h޶bZh6.)*1"Vh,l*0Gnasap m% A~#/z;k[ߧ[ݿ ::[Š#}+5z6].("+(YZS@HE1{D@.1?€X4ޛG^zw# aS(y)6/6dl&HPA7 R򘙪KE &k,O Pt(r-OtZ~b5Cu- ƈ֠ ja2@{$UoF0~ptg#Mΰ$5Eevwn )[emҶ89|")8=oU^w,&ff2nb$nh|@p,3=uƽX䟊 eֿ4>KÒf렳h0a 8 f&7'FL,wUɸ*3|MQVKNP*J P1ZT f .jg?[~UBXIohG-Cn:Y.aį¹4rr/y&y5X֤Vb=g3w@-CllurqMCQꄎ4 ZT]HCwtCVݡQXA:^G'_JUkҖ /5#/K(5;@5P6i!y R-薂}'ѽS]\z5Ҧ"ޯaqZ~# F#~_ٳF>Cvci%p7&ssl ,E?dEMZ۸&/ǼwYtb|d\$GK J"$LiɆKv %iM!}1&4ϵ^oK஧^+ency.0g\®ʋ1jK6WWIQec~ҝv-Wv] ֮~CU0mQuh@queRc,7\I'pҀz̡}7( n}ѥ#H-?} ۼcoz}=fKK7ɘ`14Hׁ#26t.un5@H +-[rĴi-Uh`m35+f' LϷmD K1-1Ozz*sa*OU'M5HռJ7=RTD|j]͐$}SzξA?ܞ^cd}ݓ }l6z=a졷06;k6-dEz= vud 1wcW.e:ǰO.SwVsQj+Į_f0o(R׭'9L q&G+ rp9CI\'R /~aHثYLW.9gF֖N{9}K5m>5Nep粁t;=',I)3]mUwouV9$l9 a \kpz`G㙤nۜ"[ JQxhht慸)zeI劃lZxSDмP4rOiFTw8K|/)>}P><:݃kE\cW4)#?~ ȩ`3ů\NZhpч12anC,Dߚծ=ј@!pTX4_l.d2>pF`LQ#ESAO:(6p)711ߍy|@~"?y;ܧ7خj ?ݥ`?=bgp^qˊ;`Tyc#jqk( ŲNm(P5a!w^Ne˰|}=AoQ4JeteE$#|bMeiלf`~ Ɉf,^)Ϧ&_H<tR6^fG .)I<z'{:: MzMV"{Rׄ%3$?Ϩ^FTVc) 6 njY@%O<HT / X%fxۀg`W F R ^m1E`S^ h!Fǣ-0-K3 >FWp[ eL4zf`ho.u#,ۍ?oL/mn6De3zC?jau++QVЏ\, MDxR&襨lHn%TѸNLmPUB ݻ؄TlZI> 3FJ]V?|]N"FVҧbW|?k_2}4g4c˹RVX f>R5$ՎAԲ>Q]sx:Sc >~2Z浕KCjͤ6@e`3J{,6ae6udѐh endstream endobj 7456 0 obj << /Annots 7458 0 R /BleedBox [0 0 612 792] /Contents [7465 0 R 7461 0 R 7462 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34295 7463 0 R >> >> /Type /Page >> endobj 7457 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7458 0 obj [7457 0 R 7459 0 R 7460 0 R 7464 0 R] endobj 7459 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 292.325 122.505 303.325] /Subtype /Link /Type /Annot >> endobj 7460 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 292.325 156.5005 303.325] /Subtype /Link /Type /Annot >> endobj 7461 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7462 0 obj << /Length 19 >> stream q /Iabc34295 Do Q endstream endobj 7463 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34296 20690 0 R /Gabc34297 20697 0 R >> /Font << /Fabc34298 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7465 0 obj << /Filter /FlateDecode /Length 4330 >> stream x\KWlI{ 99cN%?C1{[|XUXJ=}̤_iחԙ))eOL_~ou~N>&7}yIk0ƫ\B5<(imuj޽m[NmT^N`j]Z eN!T;}ȷoMPӤdhҳv%ffGeB3kMfSXCQ~~"|;u8c}ZG؆}A;X!!PLJVH8sTp 1r3E@32hگH n (A Co`˿D+Sh3 6Nc퇂0E# A#r~|&:C3ןԟ ;~)?,u+M la+[C3jR8w`C5!@Z[: (:OGKGaҊP!Oர! 4.w)Odl/#P^Y8Y7,jxzMCs8Pkp @/MJ]{\m|Z+ lBnQR7ӡl=̬s Yz@{Зza]qԘ$ҷzzSW/zo8fYD\;+25dfZE!4CRvDxm}r=֖.œOݤle֜;n}/n6!*)k'|@Z^B2}@xlz@Fan |&г!d(CW_$LBd*^`.gLvv18/g_W%顐M!nj]HX&wS3#w./XC nǩ:*\VjZh-ݱ wܼ,DA:;Wf )+N k ԐV\i8laNnĖ~<wUzb5&NUUUfz(qR؊\^-ho}?E^oy-AtӲbZxFJ*vʩ];Q2BF6pKalW:ñZOfj׳ׯ{T>ƵHywn}P=Zwjf?B]^:2;PnV}BK1 [P`׏,zV@Z0C>ԁd8PY=z[} AY.̚,:CXP  f6 C^]60@![m([h99ggw#\VKk f^ 3XCU*QA׫Z n& kG_I Kn"w-@-i=Ev!gp Vﲂ4L(2CQc*V! ,4["`LkevP-J*$H^uJW?m)ƓҐ)AʻZ n);L-RYg+ݹr0sy4ذ# %vy|Ney795s. a,n]י-91Ƭ[JVQyLfv Lg >}eFDmcS4mfqrb1 #]+o, ϠlUa&2*Ҁ$ey.aTSkL Vu g-q"[N3<77Iezp!΀`yKx3 N麳ۓ"٧ԏwe+L , zQ aK~}~Kd&C%/AVKFgsBU3b+Y`Hqv ׫a9X7fW'\6Z9'{|d${c%~OQ=]׏clh5 =IVn1`?W஬.ș ;Ңnb k1(fqM t#,x>' 6Ta79ޔ;rJ8p8a կ%ؤVwR&M /w'+* Qڣ?@3mƹ=ds@y{$ׂ[IJV']jV!ٿ2}Pgm]ٮetw|nŧ53 aOf+fk-q9 2x?!֘Z4|!|r']dLgc`ݧj"sFFqr[N*yг:͗F@uMw1XA( c=1~&՚?Xn %M=oQ`腐A;{^.D/MKQhoX$xMp5n`ڿ.U]ښnyGVA;l&IQdl*!j@M͋ n&SZkUO0Θ v4y"Cv|r%U;6œ%).nt`uxʼn+~^ ʆx8qa6=qotC9q󬾐VD:4 ]J|xϹr>yO|ż<*2/iW{(a']Oa)f(qё)rioP]XRDŻ0M{ݜ-f)m' RgfDnD_JdP r`sX]K LʜP@\Ö$;;{:YkT1cN ̕f}M8uI ZF+GӃ*nz57أL&~9t/&]SWW8:#w„ ]-7<=r&_̇D7\+"k]R <$ҔYg=CcuA`SeMJ,(?-͝1dd*|%Z'BY> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34314 7472 0 R >> >> /Type /Page >> endobj 7467 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 573.7577 381.3955 584.7577] /Subtype /Link /Type /Annot >> endobj 7468 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 521.0884 396.03 531.0884] /Subtype /Link /Type /Annot >> endobj 7469 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7470 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7471 0 obj << /Length 19 >> stream q /Iabc34314 Do Q endstream endobj 7472 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34315 20690 0 R /Gabc34316 20697 0 R >> /Font << /Fabc34317 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoR endstream endobj 7473 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=622) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7474 0 obj << /Filter /FlateDecode /Length 4585 >> stream x<Ɋ$Ivw ? $  0̩n![lwHBUD/Ϟ}1U_+$~}-^ߖw*~=jJ[EJm_.R/"S|;|% 2wA XmmX﯀(yPgȎn¨@af̗9A%6uYr%ʾq vD竘(!h` >(+~[iqe B30"Im"IL P<_dJ@ $r\'[։ a=L]gWෳhᢒjTyyUW! MӘ!Sjħc'RYq3#'odgb-O2 2*K^E@g!t_ƞ֬ƃ%r99k27Bj#LڤWX &y﬑{BT{}%iӘS1u3M)r4%F$[ZI}.H_[$"Y^ÀRDfE΋ D$_*DuuvߤD;|kN'/OhVKЬ L4ad PLRkVu doW7#n;Xmv9&X&X,Oj#Pr\0qd]-LǼJ>Mr_ӽ7|6!/jU~hRcsu 'OUUqLHhdBt^ݓ'تy1$Olz#UI@"ȉ2`d,ZH{?~ 6B.;LuF;{&j|(& R.kNK4 GM?)N;;l¢BcO–kb 'hq/CdT^%W$$[▟]HH ?-~Ñl2sDW@ q}7o`˅S O ZB(Ek{ZDU @hǺYBCLa\/fq8ꦌ)eEXy uW&g,P_GN}A7zvtOE^VjvTʾǦS׏bLZ:^ˮV$aI}Xr; ٳFU4B-3٣nuaKS,ԎP; KTt: O;>7s5!!Ah{E:!z^M!N֜bcn22jq腋pDٳ%zE:q`Bɀb6dzP/صB܏ywxRE]Vȴ[E2b@*'b ҬSjUhUVElӔ*1!!kک@yNx~kSXTlk+ o7,2X_xZFձZYGB롂 xnR}T 9n 5&FJ*ݶͪ ?P99EGq91LpI.l)R[ЪOf*^Ftr7B a)AƸ_jS%"btV vĺ?e޿Rc/N#^Dg=t]`Z" mNbDf<1s_uMH2 ڷ ഐoh'VsZz* R-qV\ X-A-ol;ZPZZj;fgn>-k \hVڂhm%? m坓VF9VLiX-NU5J%¥[)TW:NZkűc[}[}[̅\ދrQʨ=?,e룊^}}ټýIC8Evnc**VӦA3m+ds;ɫmn&6Su 2̪o$~yo\IYה_׿mf}2ː09) }][vZ>dcV^,SIHW&86 R#/YNjy_:2ne.wo};p P~PZF% 5GRWyS@𰖲 uq}QzSOWK7ҟۚ֋Tn695ۗ%W6 Z=eLrʴ&)]], zV0DkΪ7f1IRSJK {e,+)eq,EFM;EE`+b'EAd|UL Dy PujU?!ӃvHmo !$#N:`%`II%huN|؆z%]$pP+HbCDW+0x5? t@;cue2C NUh9[ rv wÐC̗-i{ˌyp_RM"B>Lt JOCFpm(!Q^,q(ml_aSp' j=kD Wa?T̈́An"I)5,Ohap<_8(e w!f,DfNkwlY*Wc0GAo`T4ϖ v"^&QhG·~"|˳זTl<6[;bU?Q\TL|p)T~`aP1#6"pKWʒㅀzo'<%\<^ DX\2aؾZPpُ| ]4vP)L'߉RtK 'S0_̄L|KDJ;,zўmt;Ee2`ҝ5iJ D(YןN:Y Y_oyq86d2ڡdlW|@\w|ϺKp;1/K?hKHX7G*$l7wS!Fq[ M &.Lyy`m/ +]X-.%ฃIЏXqK?@kot^|,­i]1s4_Gco-ѯh!:s L% ˋoFB^y_AP~A_XM}O~O:/=zx'k#Άn ds 8{y"\!ktV u?Yq%rXe—#/F+b3< K}`s5iX endstream endobj 7475 0 obj << /Annots 7477 0 R /BleedBox [0 0 612 792] /Contents [7487 0 R 7483 0 R 7484 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34333 7485 0 R >> >> /Type /Page >> endobj 7476 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7477 0 obj [7476 0 R 7478 0 R 7479 0 R 7480 0 R 7481 0 R 7482 0 R 7486 0 R] endobj 7478 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 349.4423 196.6447 360.4423] /Subtype /Link /Type /Annot >> endobj 7479 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [104.1732 333.2423 185.0562 344.2423] /Subtype /Link /Type /Annot >> endobj 7480 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 317.0423 229.5017 328.0423] /Subtype /Link /Type /Annot >> endobj 7481 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 300.8422 181.7727 311.8422] /Subtype /Link /Type /Annot >> endobj 7482 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 284.6422 157.1437 295.6422] /Subtype /Link /Type /Annot >> endobj 7483 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7484 0 obj << /Length 19 >> stream q /Iabc34333 Do Q endstream endobj 7485 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34334 20690 0 R /Gabc34335 20697 0 R >> /Font << /Fabc34336 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuDE"|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYMޚGFx?1$ Z`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 7486 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=623) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7487 0 obj << /Filter /FlateDecode /Length 2813 >> stream xڵɊ$71H*3+ s`ƧiCRDF.dGH!=}Sj&|7欎ASM?Yi6kkh~v06c1w٘9 k:T/@]2a?Gr<`yGmLF$p᎟<.1&ǻ>jKN4DI D No\9_?'Ix\sSD9BX&.vQ8\JE5Z̓pAGc\|_$g50~ L:XM \>&μčA.((6H\EE"xب'fOB!RYͯ0|k(c\Y$^lbբQhgG [}g@Ats֕Q`w:h+#FJB}-%ͣxfId,V6{#x:EĉU9y2fC u}jwa.!ҍ@9N%g( YmX ybs=2s]1Kn܁%&F񹐾RK#]둁~%Uq M /;s**#&3A( NVxs[/[֟[>б:51KeXmQ9#dȺ\9jgt@jZ$D};Íy3YɍQPcp}qʢ3[$f7"y?ZN.c-.0 (燘'^έ y+ӅgB'cgXhk3%+Įe3ʢb J!nh_w͎MnIeC|hħ].5,]UݯGh6T|5K`WWgc{jmX *!4F G22GP~$&Lm v1\*軜eNwR^.Tr| -jC䊟-%XXJf12ҴT=99 SI;)XlP0Ԩy~ 5 q 1Mek>O-YfBq!}ؖ(`QkBuݴ>{ʩ;KwrpzmgZ4r-AꌯMVZ}}c]ܨ6{*:vːM]ܨK}0Im6*/ g4ﲐg<5h7RнYby tilWώDV  :' D@a, Mm7n%>:VekƒmDUŹǤ*}eek"[t@1Ry-zzw仫jSCH!t+qv\?`/,ituNڨ]MCJnj~֐g8PJZSRskXn?ngScx;&BG3&r('BG>mwE&hZ~diK[FOMe;@r+qF B^qK;)՗ >saU$H-nKw+@Ĩ^rJ_K4f#E.k-%څg+vQfxi7^+DvTI9PLY?9kC Qp̼ΓuDz*fP 3m Bg!Sȸ; 9]'OWlWxמwtB$Պ0^~_ wrĻ,A[p+ó0 5Ъ]Qw/ Q5dh> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34352 7495 0 R >> >> /Type /Page >> endobj 7489 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7490 0 obj [7489 0 R 7491 0 R 7492 0 R 7496 0 R] endobj 7491 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 7492 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 301.525 156.5005 312.525] /Subtype /Link /Type /Annot >> endobj 7493 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7494 0 obj << /Length 19 >> stream q /Iabc34352 Do Q endstream endobj 7495 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34353 20690 0 R /Gabc34354 20697 0 R >> /Font << /Fabc34355 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$V endstream endobj 7496 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=624) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7497 0 obj << /Filter /FlateDecode /Length 4333 >> stream x\KWyL@hKe`IP/x|TuԾR%``& '*NM)Na?~7/]7hsO-^v\8'#wG]O^w쥷}~eAӗ?O$+ yӷ)8.|G~<9/n |{d7OO'4N2varkB%/qJ\ljOSp~|d|xʱDkxq \"XK(`EZÓ>{LFI\\h, e`7hBZjA/%&_9Sȓn.}_0k0+;XG8gz{&> 0u\JpWy?|.'LC׾uҌF~c-̱H ) 7?S/.[S)@_.,s2s {hU\2!A5 4A>WXX(M" iGY X/L:; 01@͎jFoҎu~Mf{3he$|Xu`|0s3xTe0't<~'YDk.΂s %(y5=մTHܠ@$/pLt.<RHevQ'vSmR佝ᾥgNӮƁ\gFQ„uJ*{紣xTY2DTU0w*Mȼĵq?U<ѿ;8 EKU-[WgA᳋/!hɮU~gucq:if-ڣK">|a\+x;0¬!DFzoG(itocHŎ!:@vAI9:ra:[#QA gQL"muͬ~V; jݷt;l:TݙIJ?M;܋v`)AVڠsn\(_"i Ka#߃q#0w/ZUtQ|Ve+S 3ߢDV`zFǍ6'Fd/ZHiiQ>L ^9eqyY_ }g;d4ZU\#E݉ #dkv/01}c1d?{g1RAA5}dvx>5ۘUY|:"hܖzwۏP*aسzW:`#5u8';cAmk o4Ey[fant7ze%YXѸ79FEQѕrM5CN~`B}H,`]،!%-b2s}kdQY+nkmRr+«blYor2/pKv_Bu hi/{BD-G].x b8^, rIaUR#p*vqo𺥼zzQP=Am>js@m~}|PGaV8V&a~kBm>ȅ*a.Dm>ԅ.da{q"DtЁ7%n4[$ cC%@) w* W0wsl 6x(P?悟-7pq[qq,V6Y3VD*@jĐi s{a^n2 0WzyՆT{~ nw:cj 't}X?\0rY8󌶧{Oi54O5살D\]i::5/nR)yo'|k#_ VLͦi1ҟn€Q’w31 82iT> n%`H7" 9WhzP XX.$QF "8FJd7]Y)nD.,S2Nтr> &2D4'-lKl ܫF> fۇys07HaS+RjǽXr|q{ lF/=w0لƲM$mmWVqdK1 #]j2^nvvDo ֹ̱zxO]J*hj6s6'3#}YƸ~&fSE+2K1"IÔY<~Zϳ2r7W3-.!v}Kp0Y 5wlBEQ42,5lnNQ Εz昊'8Zc(wcS"ݰ^Ϛjߟ}Vxsj:4nӌ[f[xdw<6v^J{vzfwsM]T2Va@d@g-8*{j|$<'8ƚ&m U}Z+Z)*&pauLLWmci.t.zbuvٖ;MVJ_x|*LߦQΈ(qC$P4҆H')whm0[O>9\_1oO?l4\x"ok)KH~p hzPe~7ϼH;l1T \:Dx3C˯h.NIԔ/NɓFHF4bB˗3#l(׆~Ρj 'UYgQľ]$l0sĩcV&%_`W%vN % _ qǦx9 BY8>KJR~MrG!xƎQD3ɨ{W^*Muwչhzg㾈0,WF ߺD8R\2CT6A\Ƹ JMqvMԽ|\*cs>~5_Yd[աXy!kY¢^Q5uX'csu|,P?t5$ģ&1qNb}v" >IzDZ jrV!6(_:I‐%>専s>Y9!ORk?$?sr7իp7$;&xB>743z^?5`KR'Tbrķ3/hkKV*\'Z14#P endstream endobj 7498 0 obj << /Annots [7499 0 R 7500 0 R 7501 0 R 7505 0 R] /BleedBox [0 0 612 792] /Contents [7506 0 R 7502 0 R 7503 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34371 7504 0 R >> >> /Type /Page >> endobj 7499 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7500 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7501 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7502 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7503 0 obj << /Length 19 >> stream q /Iabc34371 Do Q endstream endobj 7504 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34372 20690 0 R /Gabc34373 20697 0 R >> /Font << /Fabc34374 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 7505 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=625) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7506 0 obj << /Filter /FlateDecode /Length 4765 >> stream xˎ90hۇ Iv`&RU,EJݽ$AmX,Ayuֳ~drMQ%E2]YmY%_Js/c > ['ǿL jp1K\󗿝0f獾﷗?a#_a82)S;2 FJ])Ljޔ :mX:0!F;IcL@ |σb ,P+FѱczƽLg!Dg*膰Dy5ё . e paȯUZme6^v蠀G;W{+/jPonL )"&RC syH]3KCIYIY/{D(#ʤz&/Rz4&B8egRIC*W0/"c$&p g\ӵ1 w+Z Y!$Ķz!>j^yRb ^Ib+$gld[|[Rt 6:_NDiqF.|H3`"_ AZkŁVqcb(/"V|gEأ Z%iQ0 #HGfIew<@ a/pʮ%`I~aX}]}V[@dBp&賈]IQᓩ9bvHd:K/& EN t8ȶ S l5eC"cl'%9I׈y8ў.Fڜ2<uţCjqn1/W^Tt׊f#â@l5*WO.`!qnq/2=yCrZ4C^!1^S0)2d4Ptv 1loo*j4X%䠢!ig6Q Kr̎3xViJp8l#Š<(d 6ʒ[ld_lKZnYUV2%DDgQ3񟉊evv/l6a=jEI&c=%ǟO;wESxY00ɦOGeG ]9x-rMx JHxfxY *;J]>d6K"G -?bmKQ-IՂ6#hxS;Y!v0)4.pXu.f 1Յ*.7 *EXyB\$Rb,. VK<q /OW4[跇aC~:'v>Sn]lbd"ʌjrv$4TD SHAWF.VAE ^A&Ћ]ZY^A@&ks$ڳ>Z 4ӛ†yBwTH ,Q5!uƈ5wZ]6 mcx\lؚc *ExBc@vCQ"Qm (նZj}pd0.-:Y~H˛@xk-B\GZjͽZs5Ս(E`Xxi^̻ ]ѭ5r@Zu(cRE|\: 4G;_"kuX=({BK G 7<ߓZ0. ORz9DzOK8.m>&l:; [AK\GsGMǷ[!T à+{ #y,/B e){BL[cop34yh"î)r֙-}hrũh HVͥ, eEds2sghy^-'\_KT$;Ǟx3[aӼԵUmTe=j!=[iU2֑ԏR?ۃULj#hDQ aψOtJG{+={=K6M'!иSRrǯ8%}Y( Sih҂^c^%׉(.TتE';=>Q~_mcMoUAcX#0}2K)5- %+u˚xPT櫴-e~X)sT$/_Oڴo=D6@:1m[Flf7GsʖP^Yed/oC3qY3^~v 宄/w7;|i9c6[}Qc-?_sڳؔ=>t{|%5f2, /_2ne.w0^^ͿJo ]{"/WSZ_uc7?5/޶'jg\dQzNVOmcEJ7CNLx R{9ж&j\âW/Sq2fJcB'YC{cP'YlXUp̡#* @[|SZRK6f",ȭ)PTTzʮ"]!2 ^(o4Gm\@٬e(7ܳz#c&Ң.&Nm^/;܄8NYɵ1MuWXRYRj*>l otZ>YÙvmw.Y&.!k1}:o{BQ>kIEYQ %+ sӺGVv餥 2S75py^x(k jByo8`}(`W_u0)6t`\k 5(UZ1#HmWo|] v b{^le^jR+b,Rprv>)?\^L\P?mkJA əHOu' !WPvMPYLن,%M:pu!KvI`8 d6pVE~ڇ R<||ԖE$W&dD=uvb&ʘKH;1X!aq iMB[- k.NoO9FPWN qQꉯbM%JpɿiEkeZY]+~W{:?," 1!9z 5-ęx!!4#IԶ0h6]AH6^R.^5g+ÈS YGk܋?zF"E#|I8x6%bD&эN*}`_,jؿ< endstream endobj 7507 0 obj << /Annots 7509 0 R /BleedBox [0 0 612 792] /Contents [7519 0 R 7515 0 R 7516 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34390 7517 0 R >> >> /Type /Page >> endobj 7508 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7509 0 obj [7508 0 R 7510 0 R 7511 0 R 7512 0 R 7513 0 R 7514 0 R 7518 0 R] endobj 7510 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 451.5346 196.6447 462.5346] /Subtype /Link /Type /Annot >> endobj 7511 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [104.1732 435.3346 185.0562 446.3346] /Subtype /Link /Type /Annot >> endobj 7512 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 419.1346 229.5017 430.1346] /Subtype /Link /Type /Annot >> endobj 7513 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 402.9345 181.7727 413.9345] /Subtype /Link /Type /Annot >> endobj 7514 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 386.7345 157.1437 397.7345] /Subtype /Link /Type /Annot >> endobj 7515 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7516 0 obj << /Length 19 >> stream q /Iabc34390 Do Q endstream endobj 7517 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34391 20690 0 R /Gabc34392 20697 0 R >> /Font << /Fabc34393 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7519 0 obj << /Filter /FlateDecode /Length 2458 >> stream xڭɊ$_gAc_)KۘNc6L_~[DFdWGbΌkjv鯯Y. {U_.E[_G}W'clk#]G>ɇ?/0+yc^;Jg`P^;CxiXo6N!/)Rhݪ.wY ʢQ dǪٸ=CG?P,@W}Fw94#YhD]jb!&k$5٤ {zmzfRk45+afX ĢfMGN߸h,6DلsQc5ҽ_SW5yEy}g(8u>ɜ Taqm&<;' @ڹ F( 8YJ4C.@j9M@N? LKvU B8^$Ƚ@N2 Ix0t&,ypZiVAg[ m$RJ-jbAvwKVP-u>7&- ![%9( 8g+iqXB ҷ5v7h!3v+B6 Ad[k_h6clM-;Iek#u;p "sbchTf>Yc֨?M6!i. bPPd-h!`i 5|X eB\WhekѠ`9vp%EK Xh5[Q>k5MƧ$f9@[\o@ M+ƚ(dobcHv ;ݸ6odư]߅{ 唠~QߡK!Z|ۯiWH)q&'qЪd Ԁtlƶ}<5o;?^֞ԓ+R߀ѹy%z @[@(E#B߈Շ, RG'Y5r0 7b &c=p y%:pb!5x*K*m\ ϴwP!V5D +ZlĴvẋ[iQ-XZ5&= ?GG9w !HzGufvqPPp8at<d5Kv?`5 `PU}*CHVnR Vx T<] K[W5+\PhU`j}yqUp2&g V:BuF =tOzO~DxeՇRgjR;J w( 3Źh2xWyɦ8-/۲(R;:ਆ(/YǰrQ4&Goj7a-hD&h?6eN P1T2̠U@V)m)]:ftp.Ofhtd0ܲT5[+,Ue;niIWW4/+d +ڜeU3MC#(b$cBe$ 훀}f'xvTP56' LQj9*/dxtn6cJ$i$U3^t˘\w~fdh_>s3,È_3꺞1+b9xCF!2aGd^iqЄLp1QY(q\ބ]R\]ɮ)Į?)- ^qXK˚ oT_#|xc!HŸ~܎ۆSYꡍzb{vir7j=SWHPlk 9 -#x5 =ރ\'?Ͻ_ Qh|>gBKi*4t0t[FթwU9 |sy&c>x07oES,_2`g UcИ)?C72D`'z]P V:u/ ٚdˁˆ%vC K)""`gXH< endstream endobj 7520 0 obj << /Annots 7522 0 R /BleedBox [0 0 612 792] /Contents [7529 0 R 7525 0 R 7526 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34409 7527 0 R >> >> /Type /Page >> endobj 7521 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7522 0 obj [7521 0 R 7523 0 R 7524 0 R 7528 0 R] endobj 7523 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 292.325 122.505 303.325] /Subtype /Link /Type /Annot >> endobj 7524 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 292.325 156.5005 303.325] /Subtype /Link /Type /Annot >> endobj 7525 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7526 0 obj << /Length 19 >> stream q /Iabc34409 Do Q endstream endobj 7527 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34410 20690 0 R /Gabc34411 20697 0 R >> /Font << /Fabc34412 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoO endstream endobj 7528 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=627) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7529 0 obj << /Filter /FlateDecode /Length 4423 >> stream x\Ɏ+t eB̭|0|j{0 \(R}2zr$RT0 Ec2N^RglJӿSǯ~fks1tr IOã7By7oJY_R5xN_^Bp)hn\=\5?M_&=%#=kg\5K4Vv,44}addȺ82' qpu5=/BpgTk-?g&AI݉+Jrm>p)hzg E3ba.sց 1/(\˯̋/ѧv7@m2i²~`l{9˂o}g`rAID x&` O/\rnux==?Ԏ&G؆ɍ&S7T"1$*D .u<,}O')PNh?g0S\k@|&S;8ґ[i͚;|-_(3 lt@^>^xdkf}֠C J.Sy^Ŝf Y֚:u~αAv2ȟc/uk7| bK|oL؍g*] Yj'(`4@4v\"< 9'8q◵z皆R9+rjZemc{`bnC #^e;OC%,fql 1Y8\nVƵ{5Vbxf;4*wỴ*w V"~fx%vNs0|G2[%`|njp/*o+]PwmVx[f]UV4 `C%;!/I] E9QPaBĎEy\ lJ0c]S-{Ɏ|I2y9Տbܯp HM3~'y•~< MzO $WZ xd>9#/L2El#sEsߡ+C k+{.P)!0]Lr 6n߯ԫPȦj/O*HXf oKjeGVn\ ^ Rԭ;SuT(&庮ݼh-ݱ o~0Q,Na><-ժ2Y&CʊSBk+q!Nn*-J+Yg >[V4*j8M֝JTLPqR؊~^-ho}?E^oy-NtӲbZxFJ**][ R2BFͮRGGQ\'LGkف=pw*UGmu<@`[0j 1g@v?uu juUwUU un-uGdUO J}ZV@zo`5԰ DvCRbV &K"ĭΐ/:$9;s3!rrnY-6]^rǂ[p+53PlaPW`KC*kԈ Vsh5PsOQ> @`.حN--qw_\& xCٻhf)PyV>Y);9}*.M67~ *}׶":v8G%zՒ|0R xi'շ`,Θyxq(8G )8tD(5F)%"l{H0\sS̍#X\u'V 1*75^]64d3w_O|+[aZe4HExx@"̓*oYLr6"l} s8U7VDv469QuRM]efɟ썕}^g?55@O+ޫ1]ѥsm;]b)*-z ƨ!C cJYq4VR3rɘnC' ;Ǹ zS*(!pS_ L^JT*ók;')6>ͱD 0pnhEδ [ޗH}'S'Yr7NR0]eeHHf5+!j[ߏ={ƅ9{!aʔU]QGO76gCbߨbstzlDNR2:єZ4|!}r']dLgaT`LOpZؿ)2G{lm-IT\.f#3 K4Ŵ^/Zϛ3uΓCydNyKgQ;=,󯻦o좛[S~ː+Yҩf(|̠B2\zeVIpc'2˗ٯ"M2&˶m b%^eިC^[Ia021W%L. 8˸#ShhEK)xն1DGg]gqoJW>yϒsAcc0}LkyկC аMVD:@&@;tv)]&Υyzs|y52?yn;Uԙe^.;o`P8ܧlmOP v4>r-9̡UI3`YD7*pNHx}9 50,eʙ _͠; 8*%3` tG]nSX}%ϴ>"'" =+'9IW+B,5hA19>neQdBCo:yQ4G~CPTѾW|&t`xcL .gD\=LEDL@<05#b endstream endobj 7530 0 obj << /Annots [7531 0 R 7532 0 R 7533 0 R 7537 0 R] /BleedBox [0 0 612 792] /Contents [7538 0 R 7534 0 R 7535 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34428 7536 0 R >> >> /Type /Page >> endobj 7531 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7532 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7533 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7534 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7535 0 obj << /Length 19 >> stream q /Iabc34428 Do Q endstream endobj 7536 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34429 20690 0 R /Gabc34430 20697 0 R >> /Font << /Fabc34431 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 7537 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=628) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7538 0 obj << /Filter /FlateDecode /Length 4625 >> stream x<Ɋ$Ivw ? $  t:ը[ UluȪB^={Aԕ%C' %z Qi*508C6ZeATPr$QA22L:jx1$7?zshS9H@|%4ڂyqq l5 Nq5:9+C "LpzEC V5^ꖤxIb 1V4J'5#_[_d݋7YUbś?IQYa#⋿cr , vM{38|DZ(^ŗ7:Ң5NOVXh%G<,b"bŗx肮fZItKґABzGf >M07ƣ]K'iwiĪN# ܤ4'>$]tt[2b" >B6Ut,;LOgX])đ@ǧdF7|Hd]f& 9MH/,1+1C9uJ0]ZEfUH *a1{.=Jq!7[z@ّ`R۵5&zg@؂Ͳ7-;g6"%{թLI?)l6j&T<#Qq]De'Ty\u!h F!éYnUńGꎮd6["Ǩ -?bKImYE*g (vq:A`rlJ]*=f 17qEUӻ",ƼN\z.2%ź`@3By'/O/|k'C>s&0 _wtґ\ $U0jP P賈6W*뽪^ّkjs>) xh^ȎɞJ*Dq UbtFG fj`եCEIuҍy\xnnD`ڭ 3-)7 Ol"n&_l各Eg~\ ܱ6I,a"]tL%VoOܝ7ح6c|R<$1i--ϥ؝Y)NbW'֧Y'(DtbE;J۽ez^p2fbkc`7+&Tksܚw?ݾM~Bh>ovTrCvnm6MI yN,>w%]<:U>閂A~^u]h Vx,q9L?nu /\K66Uy?k)*@a1(yCH-ŷn甎[TX혙ZMSPl|}O wj5Dq٫HGBHd=]qcd3RHy+f%g`ܜoyx1`i2U?!T=e=Zj229*h}PZJ!kΪB_w" Y6codVoθX^3׷xkPpʭAF=70wcb[#,&;TXS F!-c[̥ pƜ'rÌr\rznr\Ǟ%@a '4S q nk8wP&޽L@d, ?Z[2k@߼klW}JY%;!C,N,[@GCU%UN!>꽿,ؑ8QKfKMҩۓ>{Kf?9DݥGY[٘|qhU`?dg ;;e-X<7>,&,mYmv 5c?1X(?ss<X֝:4ɸi@_is.LJ8aY')7^V}R{z4^:-rkwĩFhO{2k vas |ʸa>OσK] 4U#P3_b_fB-וx)1a 5SQ;xhuɒZ^DZj]k/RJb"{W*g?vQO?e{}yȸ* ]]k1%"#R0++f7N (N1Ͳ;Qbk 7XuiQoKq,%tos KǜNU@*^g?u~4 D[Twt}^8boE:ZPе-yvAmk>3YCw_w7[?lڣiƣ!d7.:`*YQcIJ=rԗwbMMxWeK ]J1d{s"fp+@{.Wq?r|}2#p_>:c| ]'н abez4YK a D/-r^|yl=!PFbd7!vQ^5-ͫLoBfzEঅxAi` '\"p>_8Ȋ?bRG3D;EAk̑vK'O>; cǫh Cs }=·זTly}mCH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34447 7549 0 R >> >> /Type /Page >> endobj 7540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7541 0 obj [7540 0 R 7542 0 R 7543 0 R 7544 0 R 7545 0 R 7546 0 R 7550 0 R] endobj 7542 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 330.6 196.6447 341.6] /Subtype /Link /Type /Annot >> endobj 7543 0 obj << /A << /D (unique_61) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20210608081201-08'00') /Rect [104.1732 314.4 185.0562 325.4] /Subtype /Link /Type /Annot >> endobj 7544 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 298.2 229.5017 309.2] /Subtype /Link /Type /Annot >> endobj 7545 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 282 181.7727 293] /Subtype /Link /Type /Annot >> endobj 7546 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 265.8 157.1437 276.8] /Subtype /Link /Type /Annot >> endobj 7547 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7548 0 obj << /Length 19 >> stream q /Iabc34447 Do Q endstream endobj 7549 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34448 20690 0 R /Gabc34449 20697 0 R >> /Font << /Fabc34450 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶f5o#x#?$_,o)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7551 0 obj << /Filter /FlateDecode /Length 2942 >> stream xZKo#7W@|?C%Y6 {r$f.[>[-YvnbiMj1&kɤKѿ￉ó9I>Y|~<(^j/ / ^ⱼ^* TSF-><(mR0–u<}%e?#-[JPJ0$R8 R'{08բ!,\2,>tЎ0$<NJդ%Z &X:~<I[x F la KƱ+Y=$+"tȃbZUX P"MW/(NP KZ,, MVe.[G3b#1I f狈pl {Y;@ٸMF G>1bLx2# HX+BQO;´v֊K0sP߸gۡZaz_\ååU{P&bG#k͌206N4p f?{ 76o ߙA2vZs/*GĪUcgTW%XR v5 Nv1Tpxdd:hP[?JQ fcQ `e0[fdodi8;`h\WʼnC:jׅ]\Skע`Hk4PёO||=2c;+L 8Pk< Pl :0'i\i:+ۻr #;tcy~ kE󝲩E-l N!אOPgGQ̑YafpxpZiP;0bɥ*ġm$ ;Q`Θ=+ U%sʂ%gI(nA y-g@Fpj(8xtTy'O*m܍}^Vסr22"Ϋ?5Ojv,(SpL&QQt\B}oqm!}2x1q%RN?0 9#v1M)96Qt]6!SDh$K n]Pܩʼnu!25L|8+A j4Q\muو_-.<ٶN% lEޫ1^Ub]Ed%r.JukT4'ņ\39!9q :q3HtKJpfI):[7?N ÒMcZ7| =Yhd6[ ?qb35C=mbB70mNlmi6N&,v N/D1rUq\$$ A~!u f:e :‚ocWO3s)-6 vE:cn c^xr[VVt j<<@1ae8,ƐSlƹ1b_;cRo]}qd'-אA'nAo{萠ta bTh-뉢DVNͽaU:MJhN ŷ!>\,A.NQ/)ST\~/p> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34466 7559 0 R >> >> /Type /Page >> endobj 7553 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7554 0 obj [7553 0 R 7555 0 R 7556 0 R 7560 0 R] endobj 7555 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 292.325 122.505 303.325] /Subtype /Link /Type /Annot >> endobj 7556 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 292.325 156.5005 303.325] /Subtype /Link /Type /Annot >> endobj 7557 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7558 0 obj << /Length 19 >> stream q /Iabc34466 Do Q endstream endobj 7559 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34467 20690 0 R /Gabc34468 20697 0 R >> /Font << /Fabc34469 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7561 0 obj << /Filter /FlateDecode /Length 4586 >> stream x\Ɏ$ +<@ ̪`nm7S3e77mK-ɨHQDc2~-N^gd0K←g?Y3}yqq/Z`5>y:[KãҖܵ75iTK͆n'3c{#>N2|U?;`Sо=?4 s"=kgh?oYhi²pe M!ϋEq펏(u\eX'AܳdqZd ms)k1~w pݢ [ g基NTz1MϏjyhu9h "? _ Y 읤AsJ)'zB 82NgG`8kBLvM 5|9BKR[ ٳ8B q'  cO;% u)4{} [ sTqH#'i7?Ődx20_쎆 Acq+w7imhjd3؁6?̪n_lzrM.Iɇƌ&ǀŲZY+cyLPe֊aCq/3w5 GZsߍ"('(~ Ew9(MYųΐZ>z̳\MqA`X`"hdKph%z5h暏U!4F\.H%#duc6#PjYE[lD@2dߥri /$3gP.?J%QT GDGDE⪢(Z7IH zF{#CDޏiQ@~"1OǪjUkg>p ?U:}lx^[ZvI_̅nwYFN2%bEPXL/3c-w{Czc9u- ^]+̩n&"MJJ/).07ޥCr^ UœmEY?z~tWdzWZn5;6B6xy#fϫ^h9gk;t8u˪[@Y:6TIf'qXva:hz\y岳1[ůŋJO7~~㈃O@Jb"|ZfEЉ+Tʟ/@HpL'dɗfc7@ 5&[3EZwh"a e*%K :9d,|gܒzHI l R;?`N q;mP}5wD`Hp舕?n@vk>Ⱦ*eEV9,/-c-FKuhy`͒$ՐX-CŠS/Bk-?4ddL4pҵ4VZ":Y,!eo. MJ*NNVeRLu)q3l߳qDfK*k_Ok^{cЈnRVCG 7$>YDe)vm'Gd~ XܒXGGQ٣#~ܝLQǸVx]S^A`ۼ0j 1g@v;7u_C]^:2;PZ}BK1] [PV_߾Z}iY HTҏվZk߂|ѻ7wa֤I ŒR''[}/\nf}Hen ن 6KsvvٸKFa b7;>ޡ교=U< 0ln^tѡpvb(}('{suAsc=UmM~'J8I$ecb@,Af0PBip{HsQm)̰RbPxR98q˜LQ&Lx}B*$E'*]b4bd"mqtbytŁNq *hM<^]?^z!iW>(ȞKFB "o%lsZmqN(nL'H`tGM݋رQ :ڃ.2ƟU1%HO(Xt-PĢ2K#0q5xV%-jFաӅ='lg*дzhWB<` f^R -*h0/& $CER/ҢݨA.'<_Q0ۺ,ECy̞Fn}{X{[x3X L×'/s\Y7AҠ&0Kō _+VЙnGM9.I!jLtY]*,FvHvz9B%y qĤ )jnQb`_25Q{sٴt|b ݻTK]+N5$,20:8k'c: ڬU\;\hoJ=66KtRɃ  u.ӕTl+ZW4Dbu=B5?8α<  QwpJHՎ2+yGv=mȧxRP>46vbՍUv=?PmIHWK_~{eӯJJMޕj>B/鐆6c?q 1q6Kb6&%֐ p0,f4}XMFsIZy B1Glh`6Q/ 9rh27ZXPb>/+PS*XVD:DqbD.ds|Z3?y;Uԙ<狴@=i0[ҀUꐱAr8?xL]73ϊZgj_ n)J,PĶR l0sĩa}H.)(k1eJ|#ZB/^"pKڑ憌Sj|oְݒH4F>.8ڔ kzS NX+&,Ϳk*7 .UVFLF{t|튽U K~⛌~}Q_ ?Nud qZ]@Q2x=`Ӗ_~$֟m3XriF.7=2nje冏k|G(h$@Tp%^{\wV 0}{h>Oy[8iG'̃V:,L1zhE ] yQB2xCH>6#+y5 "\*轱9 M3^@GN t'?Cn,rKG( endstream endobj 7562 0 obj << /Annots [7563 0 R 7564 0 R 7565 0 R 7569 0 R] /BleedBox [0 0 612 792] /Contents [7570 0 R 7566 0 R 7567 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34485 7568 0 R >> >> /Type /Page >> endobj 7563 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 476.7731 381.3955 487.7731] /Subtype /Link /Type /Annot >> endobj 7564 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 424.1039 396.03 434.1039] /Subtype /Link /Type /Annot >> endobj 7565 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7566 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7567 0 obj << /Length 19 >> stream q /Iabc34485 Do Q endstream endobj 7568 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34486 20690 0 R /Gabc34487 20697 0 R >> /Font << /Fabc34488 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛQ endstream endobj 7569 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=631) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7570 0 obj << /Filter /FlateDecode /Length 4360 >> stream xڽj$_JǾ@!J|0>=c}{byiT}\/j_!뷥SKTxK?~/˟fQjyO5~I[vRۧ%;ó 7y}ZEYp$ {ߊg>-_ yP:%yCp5-`“(+QOНir.'H{Z::e PVA:Xio!f6䪼*[g 0F 22=N8Gq&+JCڱQv:#*~bA{NɃTS ͛YZM|$`bcH&az!YioF1PFwi.ۜ]G:c,dkUr($TU)g_31T,~▤-MS6jݪBc N8XVHϙ(āB2ZeYDL)sТ͌ml l ]7NJivr?G 8Jyy+,vpglN3sCz^9y$cVdK^{FѩYRn%E1s|Ynv3*qyĕq\c|!g\Eiz])_wy,j>E&:Md(Ml\<69\ABQjM:.) beVT|dVm\M3 Yeƽ`5@wf=Xecʽ11ob–L_edͫBs[R^ Gע-oOGLI(,\Uۈ)h^N( pPh HLfxYM}5x {a( :^{!1։>#U|Zrђ{p=(BWĵA9Nd6׈Z Is01DT^w{`fR̒S,-%A{ml+ ̪E,~ K7a Z*$H;}oQ0 ^EZ>3Z5w)' PYMJo]m(cq 40m ƓnYWUQߍ, 9,A{9wXdT/]X#"e3NttL_v7&LoLe+ݷF3v &n7,=GvUmHycAw8wܛ"q+q=u=T)ar57B/gβa(Ď UPe绬 /4&tޛ["Gb$cn$r ۂ9$ȪJ`RD@` 0cYQcpP|lJvQ+IZY}vd^.P<˫/|W6-xvY"0HNak5!{U*E!bi"H2`f,DZÒkё)ء:3|jNrj\ U A %I(| 5/VU(a?dn9 +ߪI7Śũ;Y1`#,\9(<z"Kj2XSF0UG/XkيdD>p;Ie&KP^A:hWA'^En&0 iO~a¾!qklBdY;iFpޯB v(k_`zLԆKʦV/!t`Y|EĎ#CRco>2 !uM]SL,7fZJ@S-mlzl2r"6v<^/YS5ݵdTX%C,8Wip"ټY\ecbH]1GrDSTIvw2yzK' v7PBMZ#gu[{0MQΘIp;IbXOݖ'sV9JK/B10LvfŃ\! 6˒[m%?Odkn^nL-kRwdMy0 1ϴv"mA l#Q< k#E]Ju! [!#FtSbb+YYH¦Vm>Ry2vKv~X5꩒[ލ@寮a N(Ym&q3Km1JsqC(Yv\gSFb5N+֔2Gf@:X:"/5h< k~4~&=䈜l[+A5ΗE|X(u=7mՙZU5!ߨ.zKLbu!~dAֶ2gytP`&T/6ON! d|?YWOCHe vi8oTEf3`DžNG214oXnZ {M^6WA#a_w|qZsx[}yowosVV6ԝ@K?#ROus;JYRڀ|svz!R^;>ݘT6&јl:-| 905n'f=R{0sC)XO,G)MrS=%|%6wOJ+f+,TrZ#H鋔T܋ۖ3 a[qKQC|MV^I+aGbr͍R |g(0 $CH}o\Jnt0x~5! ح|}[j$<2YՖ^iީ;vQfg0/&X|ܩ\ٻް͓1+IXLXiq5*aۻ/!zE !Ko~ʯ*8LvXOŬ!$c5\62&yUޘӺh(. v o׮I'ABIo][`XDt|,aeeH N0jK[=P]./ arr|r_>< vqmZŵ ֭ZELd~K|ѥɢܮ ߐS>6.u. Pv6'2qeAM\FMkid^nBD^X/*]= X!!q~ Z2 "$Pf[: ^6O8{$or05w:΍Wb~`W,nE/GTm%X,*?_Q\Ty׿ҏCLbkҡ+˄B"'?u<ϖ"/܉ ڒ.Q$uE܋=%( .CȾ .(h\"_FwJe|5S?M+PY0(Mkaʕ Zq-I)0NȾq/qYa`WvٶF&.YǯL藢m֟Tt4KR(No8':$}l2Odl7b]p߿ZtKp;1G\J챩g斑 3%1$ȧ޿a| qf^)˯ܱ.ڸb“vXzx,S.c_kk5KZ=?-rƼ$ |3|Bnʈ\|~+O{IZ`= TaY}po^ *Uư +C]K> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34504 7581 0 R >> >> /Type /Page >> endobj 7572 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7573 0 obj [7572 0 R 7574 0 R 7575 0 R 7576 0 R 7577 0 R 7578 0 R 7582 0 R] endobj 7574 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [104.1732 147.8541 198.2837 158.8541] /Subtype /Link /Type /Annot >> endobj 7575 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [104.1732 131.6541 181.9322 142.6541] /Subtype /Link /Type /Annot >> endobj 7576 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 115.4542 196.6447 126.4542] /Subtype /Link /Type /Annot >> endobj 7577 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 99.2542 178.0272 110.2542] /Subtype /Link /Type /Annot >> endobj 7578 0 obj << /A << /D (unique_73) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20210608081201-08'00') /Rect [104.1732 83.0543 157.1437 94.0543] /Subtype /Link /Type /Annot >> endobj 7579 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7580 0 obj << /Length 19 >> stream q /Iabc34504 Do Q endstream endobj 7581 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34505 20690 0 R /Gabc34506 20697 0 R >> /Font << /Fabc34507 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7583 0 obj << /Filter /FlateDecode /Length 3909 >> stream xڭ[KW)gX #u `CŗFD*ѿk K.N3cЫG7u浵ۯScY1CfL5t5&^ϪH}-Ѓ~3r%E'qooj4af0!x.N!'a]2v6}c2*&N/1s 9t*:Ad6j?? O?sΒkfɋSϪxn×{`AmN6,^aa9/ڶ!XW@6DZ>ܠu鋈 5O32hxQw m&3CBH蓖szTeUY l/]rpYԴ|ڋ7KM`E($ GwD/ќ[s!6 vMs yAGߠqڼl~˂6f dǜ'ldb`"aj[7T,'n9DBLY٦oɐGk:_E2sz#kS]4U@|y q|UR53@!jCAVMjv]$3A퍮Wc=Yb>518`WcbUuV[S%8QFq{0Z6`˰l%wi:P#o \A8倛EXYH'HMBLʅ-jjJ1PғAxvT@I[ypwItqUM=%G%\/X^%` G_zzz!Na&G\nF\-Sd ep#zċE؋1e?mܒzHdOnPeFقt(e)ȴ cH@/LoHJ^[0Kz@hG6 L  0H"xg(3-;fh!.dHQSբ'<Xђ¯NL/'bi *!v4  eLߋUGR#~̇w_B@i4{XrߦsF3Yn{,/2&fF?+}֥ksm& IHQ??mֺv cM$#.Pi0됀 I0-iPE`FP7ù:!a?;)M kziip4bjjo;>͆o-6mԱUJ|&誛Akh5Kk/SSޏFieqء??ᯱvmӟ[51eōܱ =5}rY0+Q1vTͷ#tDA^t88Of;/::(#߳f=q_\!oԈE#_ zŧnc9"Jvw9-CN8nL:æ?SWp>u\:GT4/,3*7fuo3C EظL蚶l]8kLylvRJF{y\vuy&\㢂h[7lw]!w]0XO&(s va#\h r~]%QHw66Hp@cUZs7]M(I'yT.ܸ[]A~ U[ E}Hk>c]<~G\M0nAiZqobv1/kwUjRcsŝ0J9#9>Gζp.n7GT B0[fH+mx$nw\;Q&#]oBm"0Nc|Z/[4zJrrҍCw^v@Ht 0XX*ޱ9 p }3T]5K: ).@-f$n #zc(죜Zy7=V 1D>njiUA[=t$|VG`C.S3zѻ]pr7ͷ@bv//̶58e >q0lȥz2燙d=B[="jve,aJpkZN_+R $cpb4>Ph6;~l4n͉Fx|9)r"t; <80wO6X}˧p݄LI(un"&r5Q͜3͉DCk9dn*N\?aNGׇΏ|w~lzE7?>xDR1;8BВfq)ճ`Zog >_+X.dA=(4Cz][Xnw &W1e*@= VD:Үm^ tɹs|$>1/0Ͻ+u@MZx0*4ПV;_{x}*8;~0b'ndUǍq0:zD5_8[ăV,<`jE"%su qڭ4#]H8$N!FƱE@  E>ɠ|(> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34523 7592 0 R >> >> /Type /Page >> endobj 7585 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7586 0 obj [7585 0 R 7587 0 R 7588 0 R 7589 0 R 7593 0 R] endobj 7587 0 obj << /A << /D (unique_65) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 216.9837 709.9] /Subtype /Link /Type /Annot >> endobj 7588 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 201.7652 693.7] /Subtype /Link /Type /Annot >> endobj 7589 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 181.7727 677.5] /Subtype /Link /Type /Annot >> endobj 7590 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7591 0 obj << /Length 19 >> stream q /Iabc34523 Do Q endstream endobj 7592 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34524 20690 0 R /Gabc34525 20697 0 R >> /Font << /Fabc34526 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$X endstream endobj 7593 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=633) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7594 0 obj << /Filter /FlateDecode /Length 1190 >> stream xڭWj,7+jhҫ%= Y& aԭ;6 =RISu 1~Sibt~>f(xJ ޾Cu5stzcwt}'㵙ӟsxQB;<@flяaGRlfG*(/s(V0NA_)ؠ7k &ITWXW[vLfK'Ճ>!S ֍LfkmY  ^sI669`ilZU75A-ݕXQ2Vg=J3sLőEhkG~Шaze =$yh'ctrZņpbuaVj~jkQ`iE8zVjkwِyMۨ \|]@hLߢ_-F82Dry]:•tG^1Ie6O8r+S6Sgd3ڶe0EVYX2rʿiÒZ\;S3ueQ\1ad[Ί[D->0&xX>*T*Lm*h:bkhj4*9aj[ Hn^.M1uI楶g-3ɨLŞ fh6.BcFzD]5nVbɀ21 vʶyqmx>v%$M1*\5s%pt)k%,qCb5HDլi@(6Q >8Ro.8X)fnvf(׽Gr5DDȨHr}pyR3(H5D᫑-?6 endstream endobj 7595 0 obj << /Annots 7597 0 R /BleedBox [0 0 612 792] /Contents [7604 0 R 7600 0 R 7601 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34542 7602 0 R >> >> /Type /Page >> endobj 7596 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7597 0 obj [7596 0 R 7598 0 R 7599 0 R 7603 0 R] endobj 7598 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 292.325 122.505 303.325] /Subtype /Link /Type /Annot >> endobj 7599 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [127.818 292.325 156.5005 303.325] /Subtype /Link /Type /Annot >> endobj 7600 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7601 0 obj << /Length 19 >> stream q /Iabc34542 Do Q endstream endobj 7602 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34543 20690 0 R /Gabc34544 20697 0 R >> /Font << /Fabc34545 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 7603 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=634) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7604 0 obj << /Filter /FlateDecode /Length 4428 >> stream x\I\Wf?6l>9ɱ@@6.oe4c_-,g:~M_Zglпoo09;}}|=4ƄLJ WɺОn=+OZmO'?M?|6_?MFh㭟ْM/GOv)=XA5So|<|'$w;a$؆}AYP9pS:H%[JT"YtJ΃@\[ af-%D_)O5V]s ͢820G&p~2{&LϽź476 fm#pAFq{e0VW=(6KcٔR&8}'A$Jcwa~6Ӹ4il/>ڴd̓a-k8m`Xh"qbBT; }}Wօ{歜yMz&sAހtVbˎ٪#~G$WgC;$#gߚ~k Iy岟Q=d8>+<"oե|sFR XAk=|t\V656N^ue׮{G~CqlqCq2>cvܭ6GjjEдwFS|/;ſ E7UuTj X4$C)h(iŠxXH`],v,7AM~z/vcZB!yuS#Pj]v8GW#IE@Ҧ#vtKea: !gډ<2'B((HZM2h|)}&1>]vd6j TDp'1<Y6sζ4(}$C zN6o{a^!~!|7.\aP}`ςP6Fq@T]UV/h;W7}g\?6"3hd 9:K?lF,9Ó8$0 E~bWHxtbl\f})(8\ 8m f<ڪ[j{3_m݅sw?aZEFEOيI` XKs E t76Q]D$Ii8v^+lGn̰kܽk|r*wx1ExONh/0յm./6Ht!,n4TXX^Gn|N 3y\kH 1-<91ӰƮQ <ēPd}AP?1A~{ZrdB$QҖB 2ːy}j'?}H׬N~<=?NxO/>^kG~>q 44@Fan%|&ҫ!-=(CYH_؆hJV+UL^gL8/6.J(Il PmB9tIg=МupQE;B@V[@4U.JKay^nbԀX*V_ $h `jQY-gKJ(J[/ob /\r-J/$mV -+py-&4"Ŧ8};UPWsS3u{A1B` dzR{Q;RQjݨoԽQ[t.e:R*e,VU*sYUSvD*da`}unW:aqXOs7, {T>ƭ˚ ީЛV[Aj[V?Ba uP{z@ohm+-P#wTw+oAVPDVZ}cjNWws #]hMot :yGr,b !(GmHqg2ċAN0j sȋ|h#dk EGm< 6'KNM*x6>C K%Fx?`нJ%"zu1b@ḕIb(cÞfLot P8 TݥDŽ|Cd<ܷ?ܕ䖻rtzV S`=153$6R԰Sa'i,Ps4?ls^qW<$UN{zM. `:Iϙsz0S/!./Aߜ$(>(΃Rdh09rH#1+ <|"[82u\=U`jg42L'bH-w!AH"햤$sm%0Ul%eijM̜Ut—+ܰ/UҞBΉn VΙxMj_>2n+fmY0"0VqK)t+]sإCk;:$LkI9ƒ9} RStzof,HYk,VhilT6Adc01Q|:m ɱm7[F^HLn58,aŞؔi!Ag@gdQ5X7S:y++?,Qp+xt+M ~B0M$?8YqF5g=Y^9Jܞ{`׏b[Qidf$ڲ7fA_:x-͉QvE;y8a#.h_jh1,I`y>V\LRqC .KBC<Ԁ[,K j>jj1ZZcCb^"n?XڣV:y3Υ%} :\_AmUMC'irWNj Y0PثacZM]W=ag}+{@ҡ)FPjOP;n]{B|d.Ge7c_nqbĄU֪ԃ <b'k s ?v9'w]Ť)[ Z(\{f6OGQTAlymIϛ[Rxa l%H)|͇,pKٹ%-Ye%ϭҟ1}`yx*BTCs֊m('*aC`]*`Y:gF _dr$TYe;Arn7쭮rP1qhKb^LQ}\}/ϼع!\\|=_^zc1bxj7؟z C>ׇՒz{ ßϠ<ԯPN\!:zͳ0bEBy3|ϳtx>§~?v2'.en?%+`MNqȝ( }( 9MWJzmWK젤C zoʜjO^_u^?;A2ݐn cɢڜG endstream endobj 7605 0 obj << /Annots [7606 0 R 7607 0 R 7608 0 R 7612 0 R] /BleedBox [0 0 612 792] /Contents [7613 0 R 7609 0 R 7610 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34561 7611 0 R >> >> /Type /Page >> endobj 7606 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 496.2616 381.3955 507.2616] /Subtype /Link /Type /Annot >> endobj 7607 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 443.5924 396.03 453.5924] /Subtype /Link /Type /Annot >> endobj 7608 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7609 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7610 0 obj << /Length 19 >> stream q /Iabc34561 Do Q endstream endobj 7611 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34562 20690 0 R /Gabc34563 20697 0 R >> /Font << /Fabc34564 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=@dQHLn2Wp:3ϳ-nYUf//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/j*; JtJ‰pT[Xݛ1\ endstream endobj 7612 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=635) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7613 0 obj << /Filter /FlateDecode /Length 4702 >> stream x<Ɏȕw~DǾRRʀom0Oij]%v%eV `wC%1Hx%"W _>ok5k8$__?*v0*6+_Pumop,` *W|.u皮 C<*_ ћ!:fL ƒa0q7@0Iz PMx:!Idaeyaq|^LF|PFp uUㄈD߈s>5SJ@X,_t]5֒Q.#yPe9v^ҘЉN1gpkefbB-@ %L H@H)yL1xˈNJ׳,k ~#HxXZb*VZI)#oTL9Ote̿Cx].C *ũU1%gȢH؋JbbņuS)*_? >Tdʃ::[%o2W7xSMZ kog'( |OzVDD4VyYN-[b˪ R+O+_W2ff5 IƸE+2"~NL9;l77|O7oW-;~ ߿)d7P=Ʃttuia2NSo3"-ჶV1 m􀮴 kVFߋӮ G Hlt2t&( Nu럺nNjÄl´XWnV5׽ dAH*ZfkMb-A b9O'V@!TNzMuNyZ42Tv@pk4IkM#h AB@^DCLX>bm w癳e7|3,\]qXS]זbz;4ft~8 ?/H&$5]I>-jJA)h`wx%Ĕ28IIQ@oV*V8R/5?|2\hP+CX|>o„l9JU.{x**6ZmX "0d*3-UwUQiiETk.a1m*%7y,=k/du\:UCkc Q/.4N< Ax i,+;0AkՌ^XyVtxY%%ӽHL!MIU5'lUTE2꽴86Ia6@%E-$l ;8ּMF!ResqNO&z5tǹ!yݏ>E+ʌj%= z۴ţud7Rq)݌oD?aُuբOZD2f/ q~' 쩬s9;Lt.a$Smjò%7ib '7T-r-ƨM:MR7'&zp;m5 r;!X](%'JbDp KYTn>lt! OeŹ^ۄAV`#- C\ΪQK6n xܠ:'Kƴt< >.®a499 a~;SKɀρPC:w4=J Vt-s碘ߴG$G> NN %:sKa.eQ@o8p{<ֶWtcG3҈n}.{ϥ{B8.k|gт''*a>-k1e,UN/[ I}-+_!KnՀb@ɾ_ps?lyz96N+c caDqOH0ǿj )Y$ Cʶ_XMJvVtjJL$IҠRW,Xeu :H!/ccR~iDeDE}iՊNӪ mb5jeܷ`PBד,HyXV sI֌/ ^vsٿ}p fssNf' K w˖z])x@[A~6=7K[Vb ;5yd7b(<Qz`iskjqK^tF"ȵ&},d#v5}P{f@/@cǯP|n~1q7_d·pb>P(j4%j5J,1U(eԝh< I׻O5gKu< C^UFm5?,Upv4g?'} C^ɲstd*H%yPl̮?sw&k:{Jߦfwc4q4'=c5",OvpE8lDCSvSU7:I4}jNREGʺ"&gqlmG4bXxZx/gmV7|II@|Dd!ԾX $# WI_X ,қѪ@Ou mz7ĦAs["],ي<}-hP*$m L-ZX*^ߨoc(mue Gt`kp&\z!f8G1_Oc_FO O.۸CX>)c8i@U ބ)0E0KM\汴%7okK]~[K(M^Db'qP]Űym_^HL+ܰq/(Uaڌgq~wJKwĒOwO &q*UޙM pL0B>2[H?`*u!r7G{ ?.|[>*|uIcWa-`*VIhѿW(s+81ބ7K0pEz\H~".7*Go&^X(wĦq&~\|qJxq.cqJh0rdkAIFW/t,L43]|%J;–W {0z".,+ӵR=,IKZF;Nޮ3pxp͗g/5(h&څc Y! hgL%!g7"HZo麃 N|2TnZ  MƾM9.^3ai+Q=tPq,NԈdK^O;?@p`O0nX3Yacݶ endstream endobj 7614 0 obj << /Annots [7615 0 R 7619 0 R] /BleedBox [0 0 612 792] /Contents [7620 0 R 7616 0 R 7617 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34580 7618 0 R >> >> /Type /Page >> endobj 7615 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7616 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7617 0 obj << /Length 19 >> stream q /Iabc34580 Do Q endstream endobj 7618 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34581 20690 0 R /Gabc34582 20697 0 R >> /Font << /Fabc34583 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7620 0 obj << /Filter /FlateDecode /Length 4067 >> stream xn#>_1gAHrs #'9vhx/ԫ3Dy @vAqzf՜b29ӳw_/.vz~NaQ +?||PJyp9*e02J{8m~3J:O0)*s/l0a kITqA&Z)D_q6JPs#,׀k'6-^ RYрo'? 3h/a xa]gF` 2ȳ4/[04k(=de-$D,Әl@qST艁?ͅ11N45( "{F&^6 /ӯZ/ qOH ނnOR@5H YX:'<b 9x`| Bxx^rk{s qHaQyѤ]|0~j> QPn!ZPN)c"RyS^ژ -6$`{YD@;^\ϦHzf)Eiu_Eb:UH{p98E9`{lEB6u ™eM` 5˶j,q$D g{׃ LJ+CY8 | g %m՛ #)F17)$ 1Hfv q= h|h2Z=P7FnM`SubC@{]R̝"nJJ\@d[f#L6f97| al5i+"#6v7vhZb0eUK׻dR z+l:@ DB5T)N@pJeC]iӴ{EIld1-~r*/bHY3V՜vbÒV320c.$J8FKNDjj-. |>?8W}V!ٳpPV7c mL' 807=Eku9v_QFW#e5E?l n-!C\i(FԤ&fyΛS0xK\ݣ);#&#pWv+<D)X1]deFi~>,ԁò!(;bpmy9fhNcᛨOR+ b9i`P6ajs,D!Ybύɨ_!%i`Nq&Ma߷"ќ J)),jqT$W dq\F'Jq 3s7ffk;܆$%Mk %v P~m U}Ad2ߛTC4GI6t@PُβܞZnߔٰ|U#iʻD SrM68d{7"q|CkEF?DnMTG~=j6@.J_~~|%ݾQS.ٱڀ {,: qi86(t.5Pr&/5n 5m~ |_Ż.]'۵9EAS[tUZi%qJE%r-ZKUw:eK.Y\v+ƚE.}~/o'֫vx3t׬+=<Ξkʍ$.T۝V/5;^;R\ Yn>vCqGvgz#ڗ{ێWWqWǩXk> i~ۭ(Hܱ:6ݪmjYdlλ Ռ6>ZJS_osFNbXVpSP!!%lPp`S$-dH߉HS7,k7E}@ESWC9+ԆMh}>urZbkZjme+MGd|{SAi:0T2:9+ RŢtntG3dcjSՏ[5߮YMZ=md5[iYTЙ7ꆛMXuQ_{3a}E8^&Z]PéXr dOği̮XDwhI9|#DͷM#AN=s p_?5}ٿA&{x.Z}lǘUJu Ao|7|X'l]El^K)@{G^g]#0nbК{=yow]9o\؋ʻ&nŨĦpXXQ.fUziPtFB9{޵2) hEz dBzo]eb<0&W1S>ƃ= h{ѶyK5XcCh*׆tl3>c4c~=~-'e ew)1 A:tcT% m@`Xy_(0 ,T=zBru9Lci%Sc1%'r굙>tK(x.:`}x;aw/Z3YP{WLB'!s EP ?b/omQc#cjvs_!Ӷ|34z3Z|S'Kp~L rnE YԒ#5S`B\S-Kf )?C biȘ1.'Ȱ:p<_|b>x4"?y~K5O7ئ%]jCp&6-P !oh1,yhIK͏Uȳ A60;54sTXf&hWn D]JU4Lw9E>1pP5nSC{pz"G&w35;kDu| ĕ{f흊h#)ؕ:[GsA/|;7+|l5QD䀊YZhM{M!22 3\%ȅ"Z3vH׋yN''jO)^y"˸N|21+c \$ *\[x'a؉G~ʆIb"!Cs+ Doy —Cu[`]Z}aw endstream endobj 7621 0 obj << /Annots 7623 0 R /BleedBox [0 0 612 792] /Contents [7634 0 R 7630 0 R 7631 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34599 7632 0 R >> >> /Type /Page >> endobj 7622 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7623 0 obj [7622 0 R 7624 0 R 7625 0 R 7626 0 R 7627 0 R 7628 0 R 7629 0 R 7633 0 R] endobj 7624 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20210608081201-08'00') /Rect [104.1732 481.8 198.2837 492.8] /Subtype /Link /Type /Annot >> endobj 7625 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20210608081201-08'00') /Rect [104.1732 465.6 181.9322 476.6] /Subtype /Link /Type /Annot >> endobj 7626 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 449.4 196.6447 460.4] /Subtype /Link /Type /Annot >> endobj 7627 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20210608081201-08'00') /Rect [104.1732 433.2 178.0272 444.2] /Subtype /Link /Type /Annot >> endobj 7628 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 416.9999 229.5017 427.9999] /Subtype /Link /Type /Annot >> endobj 7629 0 obj << /A << /D (unique_72) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20210608081201-08'00') /Rect [104.1732 400.7999 181.7727 411.7999] /Subtype /Link /Type /Annot >> endobj 7630 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7631 0 obj << /Length 19 >> stream q /Iabc34599 Do Q endstream endobj 7632 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34600 20690 0 R /Gabc34601 20697 0 R >> /Font << /Fabc34602 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7634 0 obj << /Filter /FlateDecode /Length 2222 >> stream xZn8+n*| ܇200WINɦNU%N ې%SEEYVG&:], ~Ckk祫O1!OwƸp#qB@m0M&@VF݃/ zhgcdjOu -k\Unѝ `@1(Lm=p&%hkf5{6pڝJݤ#fHNk\O #,<bZ5*tE:',.&XZh% 3a~pX㈬;[b &c`@[s ZÃ2 ط`pXbIƫZ3^@6nG!~Aj"f%͓Fd;>f$&iݛ߿|r>EmJP;߀N_C̃5Є/kc/WTK̻v~e￰:X..Uf/rEJuV+%] <[ !2=O$$ 5lj{g6ؗmpQ;e߽  CE.1a ‍(|LIABkdEȣl3"\xRoes&x|R; x]e'NYvK(t:\K>*%DYٴ7Tdmxߌw(n}XlV|oGWR5GYxEa^H|v,v:3eLhd99o6U汼gQ;|~81qvg~#yXt__Cct CE(!&[O\M!/dՂ.tvho ȥ]ɼ_t(Dc΃%O} sL2hgՊU!^Vn7s{+j ^xie:&;u9:Az;CC3D6pS_G5tN0b瘞it>aت}_jZU7&a39Kʼnn1 OjmQ4n"MgRȘцC){n@cè蕺,J9^R>ެ27 H9XΫl\ۢ<bGҞ%*,S(>H:NC/ًTTOD#uk[{3Xju(aQzr/G&oYuavX=WiQJ[G}%֎Rf;8 7JJ& Eӏ|yD?es endstream endobj 7635 0 obj << /Annots 7637 0 R /BleedBox [0 0 612 792] /Contents [7645 0 R 7641 0 R 7642 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34618 7643 0 R >> >> /Type /Page >> endobj 7636 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7637 0 obj [7636 0 R 7638 0 R 7639 0 R 7640 0 R 7644 0 R] endobj 7638 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 277.325 122.505 288.325] /Subtype /Link /Type /Annot >> endobj 7639 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 277.325 161.9785 288.325] /Subtype /Link /Type /Annot >> endobj 7640 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [167.2915 277.325 195.974 288.325] /Subtype /Link /Type /Annot >> endobj 7641 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7642 0 obj << /Length 19 >> stream q /Iabc34618 Do Q endstream endobj 7643 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34619 20690 0 R /Gabc34620 20697 0 R >> /Font << /Fabc34621 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ d endstream endobj 7644 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=638) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7645 0 obj << /Filter /FlateDecode /Length 4291 >> stream xM@tlbeyy <?T9ztyPbgx@xrn?fc\1` t@ݧ]9"BRbeĖ yJyО ,"nRDK$8!Z|߀;ee(?_4,H,Qy3P  <`. b.|a˥à1 ]ڥq Įi(rRS+"D3b| 3s<; yS: ROw hzsnTV"IK=ig'Aw nI.O6ցW(ȋ:bMf u,Fpw(S/]-+ <k4?lēXt1?Bu2%"'Ů'3+J2qqS9%mc+S?^|P+jC 2"EbGyJfgZ'z+~b:^[EvV *&7 =`NSF2̆Кīj-](0Xg>ruq,WY\r'w ɐ@5 48ǐfx1Mg'Dz2KiSi^u1~,`X%wV rMA5* >,((5f3J=[QImd\+b7$,,Y{3cK!i-#p_򂇽l@aJ,̭J$\,c1O zظ+ ;S#!;fʔF,+| ߟ$E߹5a&;+|òژ0h*Q,z:e`z,"f!o6[m^af1ROU`iv-3g`~A߷!R^bK,gV+C?|^YG+I V2L]zOKیFl&4}cB;qؽ >O:" sW56,=L.C,#N߼Yib[tnf1QyUJl 8@'IHXr+j'S|Z$ zڹk*/B /^hRiAe;Z<#%-]4KcbO}lqwd"yW5|C ;3ٹ'O =5ݪqʹ%| M  Q5 ψN^j@%/'| !VĈwGCtDUgj +-oFHK.K]Zq{"(^ ]Y\El76[FXd7޴G"Ovmw)ah%LA8℥Kl<gj @k!Ha@VAEw*H[Ӂi2T6.* 2\B7[jHVWln `j,ْ%\`MC,#̈́ սFK i2ŁMnAbK]Y MI)Na@QC'b蝚THHEGQiߨ{[.:1]ʊuh!㥄;VX"*s]Uƽ©ESeaea2:vW[ jc0qOաܰdncP y*1XٺqaV-XX?jaz|VZ}VgSe:VB:H} >ՅA'R}:Ot" ׋|yogX(b)dh qg # bʨ.v21qɣ^chQ)h>ڠ?w-_՞A5Au@-6ьtRuځ&G`t1QVu"FZW'p{E2TO]K:d.m˛h nZVjn(L!TGq3 [OqU`/S(x'UN(O"ZnLz"qLQo>C`V . s$#qF؝!k7 J"%aCh[ˈ[ &- [\WQ8HN9tGbdOb=Lbguxւ0(I51u^)?Z3y2T+"A`I,.w&L1w}%rVRX]3tk>9ZH$)Ⱘ_ qX!!uw*}HwS r=? {ϒD{Q\s4A~^l'pvO_v 75L &91w+^p@UlĂ< cYv8YUad^qNvfTV Tx}jVn1W>L _v%>rDތ.}R%z7c- o& 7Tߝ36(v >ɥ9!Z,3 !~g\ہ8}QGX7C:ɅH]JOC[~t%LaYu0*yad՜Rq稬Dz;+ا6qtbߋKCu@<Ž]}jB.wCg3GNx M Dv 8'z)(I[eҸqL NSBC0/}\U2\h!pz^N QH_+@gsmxAn@qV*fOuTҾrWȂEƆ\ӾkIv_^ۅ>/lL% y|˗"u0D+AKSrH`'vvÝõ rsdBLxJ=|Ҷ\ ==Y;h8Y5URƜܹcvI\\&lq)nA^rW i(P zؖ76y!~ل̩SbZ,%RԂ8vn_;L5դ| _~sԓfNye^>ĊWg0Y#/+s%N,NlK3 dX8mI挏_~ž)C]W,ߘitZyX񏻸9߸m\m\opSf! %LhYt!0$oH& endstream endobj 7646 0 obj << /Annots [7647 0 R 7648 0 R 7649 0 R 7653 0 R] /BleedBox [0 0 612 792] /Contents [7654 0 R 7650 0 R 7651 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34637 7652 0 R >> >> /Type /Page >> endobj 7647 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 505.6692 381.3955 516.6692] /Subtype /Link /Type /Annot >> endobj 7648 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 453 396.03 463.0001] /Subtype /Link /Type /Annot >> endobj 7649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7650 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7651 0 obj << /Length 19 >> stream q /Iabc34637 Do Q endstream endobj 7652 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34638 20690 0 R /Gabc34639 20697 0 R >> /Font << /Fabc34640 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JOp.*x P@|۾{w:" endstream endobj 7653 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=639) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7654 0 obj << /Filter /FlateDecode /Length 4743 >> stream x\I#9rWـO 3ApDp 23Tոnr#~ #$|sjqv OI_ofQjpVN?ޤ}Inp,|‡c}2E &A wF> OX#$|}˿ҩjO "ƔoT0Ff4zL>` 3.F"UZcMlf5d]"3OƦt\4烁ҩcֱ#48B@{,^JS<{ <ӨLG*t1Vőŵ?OburS_)(o8J. dQl>Z3H%0"q8KUo(r!VYNmX4qN4GVj<:L^r]6 NJ'Ɠn<芳AS_; +3}(,3Pm@G' ow$%L<\f "*X4(UOJm.\ر'tzD%ࣜ|0?  n{JZh;e\tf&])Z`|)A{mL7H+4'qb[ktH!ZDɣj.8 )=P6ʀL߭P`lB^Y;gII|IF C|-z1e Sjne_tk|̗oCVs&DD7gr"1;9alB'ag6@p ^%B>,v Ccxs ut;1ye{ 8etNo Ipc{Uחw9潾9")8`GN[ovc;|Vo;~qOwLښޟ y> Y^͊V^\%\,/$3LZsxJXrQX(ڭ.Xn`7^cc\' Zl8[?@h9\Gcލ?8}Y^dD÷Lv'Qz)ӸLVs}}Mds^~N5S:u7%vb+k{UT[<TEr0,$b*+D{/.ܟH! pS]htAaVHk ;]]D./pbKY-ffl3]Х4Yt=O.~ r鍇Q]kCa5X_U҈ "s{{&3D5zOwS5~{*)u UWT\#_7NMzӴNDɂ]3>~IjtAbnx6@@;N 1>ѡ+M.jDT_r4ۖL){1VD^@~Bpt})v( o|cfa۟|ߣlO]*^x08Y[q vd 2mzkcBiu4IU"`Ҹxue86ƂuX Gmf^GD{rQN8;aE4\yR8")0$Rͯ4X_* e- y{p 8s2m{޶x,E6f$`<)3}y1WNosͯ+0{պCuulzAYuQPoL??Kֆ{u}I=[=>)N/`\}O %'I:U80xl3Iic𤏄Ud7=p;uĴZ븃NWs͖"D#Ej=(6|1n/)ۋMd؏E"֦L2~'hx+M^wX1ܬKe"q|v4arHe)_r+P3:pfjh8[⫪$^̠NZͪ:fn-ؘل6Y5p4A`kpSinV:3Ⱦߦ9L/r(ֻLYk{RSLLoDMyﺼw׾M6K^oɻC3NE8z3xo')lP/lR-Uwv_aoq!#;4.>?\гm똪۝'o{ގpe{z*;m8woDmS K.7$zk 9Y7+jAZ7:݊{׷O k7wd;U̽K=V]s!B˯k;v#<4:sξzו?*'4_Xa? ?!|ByH-/tp;H8K*?[M-< I?&h=/'"/~ۢ4g:X-9O%528TmhAC;]ncףn9\l)_>/f >%.3iMc!j}SpLV$VBFl*t8կH/ H b\(G&vcDZdvD9;gd<q:NNY;lSrx#he) H) 95őK*$ѿ&&u+cb~XK~};7o穐` 1$/rDi2LU WXDjJ]am\q a y5t }үo{ zfi,i]1hr{9g `1P t#h; #~Jo$7L9|~~_(,Z~DxcCЃ{:rk (K@>-ݹ02^9fHeSʜ"?,4X,n!zI9rmk;[E7b%5&}_,Z@x endstream endobj 7655 0 obj << /Annots 7657 0 R /BleedBox [0 0 612 792] /Contents [7665 0 R 7661 0 R 7662 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34656 7663 0 R >> >> /Type /Page >> endobj 7656 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7657 0 obj [7656 0 R 7658 0 R 7659 0 R 7660 0 R 7664 0 R] endobj 7658 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 112.7425 196.6447 123.7425] /Subtype /Link /Type /Annot >> endobj 7659 0 obj << /A << /D (unique_70) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20210608081201-08'00') /Rect [104.1732 96.5425 229.5017 107.5425] /Subtype /Link /Type /Annot >> endobj 7660 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20210608081201-08'00') /Rect [104.1732 80.3426 201.7652 91.3426] /Subtype /Link /Type /Annot >> endobj 7661 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7662 0 obj << /Length 19 >> stream q /Iabc34656 Do Q endstream endobj 7663 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34657 20690 0 R /Gabc34658 20697 0 R >> /Font << /Fabc34659 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7665 0 obj << /Filter /FlateDecode /Length 4012 >> stream xɊ$y ( *3+|Sc ӗ}EkDRq7Q7lo;4R&tIR+,F}{RJg=< <(x`E1a3yǓ6+̳|7eO_ q2_-B*/Ƌ6Ycs PS)M[8ZR)X;39 nf5ops/.\IBSDA!XT6-yR AK:rGܞ} Cs2ql]&puƙD=ߐAdDx7 r. T ăG2hqnă龬J5~;ҳ f .Na&7ㅿk,pw BAڿg%Bwς*PHό,N?uT^]J3}ĸI̗E^(+}|rA4e3h4 x IaꕖvQF*16"26HƗ>V0G`=T':9[Ty?]} bVRt;aMZt]eâc5Ϣ[_$?Ř͂R R E @ذ/.]ӥwM3f &bTq6et`sٚ]e㈡ eYMȗmIY-˵eXԨz`mFܨOlDn&A,$Uf+C@v챳tv;K8<0qQM%>mI#q_JBgQ-uyĐ : aKX9Խ햪 B|zk&t ݙEһ=Ik^$n̵ qmNS 0~'X@UM.Mv^- Kw:_p& џUKJ*FDG\Ip3H^=XS#> Gc(2x]DWVgGw øT[ t=}h*p0H~ƙ&* ]B$4 M4f |G^W95b3j6z6M!Fe5=U|K{حUaGa';.dhVMϩvyOTY%u7 A8!q 3xҋ!kĖD*;)jX*\(b9'wFtuH-A4$ll@/Z+uz; ms@#A).CBRT9? *"" 4caaI&lbw|)R cۧQ(U`kC6Hmqt^p%n9+sF5YkEo3E9&R9`H&76f-G0 >䞙S{q1}VRc{5!f%ԩۥrZM\l̫if|aFmX;b^p" mUYGKlZ}m4^ =T%3ɟps,18W"C:"1-eQ䷇&T? ⼸tߜ*ol>ur%0yʍeez>RBc8.\,ad^Klx#IE|osء'gZInp˛P}LW}L0 kO$|꺅3} V/$,}R؃MIzXc sQ+IvgK&&[nK 0/VpeJ|8x2ޛy[Eb`нR d$%k8 A;VtIhRX\~AgZ oy~BW]S ;:CDaU3E!8dx %7~Kp4?%M^Scvp zڈ* tdx!ZoG ,e&;eYނ ID.Y47仄;b."م ą O 6bM@ k:p]b.ˇ1Oȋ5s/5.nR*,t>b`hEo8Cƙ<#7-tk%b"Ff ¬CZe XW]V p\g3)bxLHiĄj^H{D=fy'B((y3*LW ܓ{M_J@TvELҐOfS̩Gp9uj4uEXr&I¿ {؀2C|C}y$5HUa릦*gG8PgJ F&xq()u j_ aY+ZQq뽴C3 Jefj|!JE5pˑ+ܦPyX -ƁBp3fx"mǨ>qݛNF/[3RMew")[6NMS F+haÛ{۷\ڸz,ZmkMn-G?| }*ܓn w\_Fr0*+?|د#,3zf>Un0:i ~ΔgԊwZ0nj=8@ 6Ӕ*hMQ}0q*Oϱx:_p<+iǑnT\' 8`5=1qr@Ƽ(r땐?^0p?U/pr7e>H0|iO0A9z8a yc!/¹9%{*;\۰%XBŠ|v-G2 ^ߍqC`58~ae.%, ɅwJ aaxgQ ] endstream endobj 7666 0 obj << /Annots 7668 0 R /BleedBox [0 0 612 792] /Contents [7676 0 R 7672 0 R 7673 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34675 7674 0 R >> >> /Type /Page >> endobj 7667 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7668 0 obj [7667 0 R 7669 0 R 7670 0 R 7671 0 R 7675 0 R] endobj 7669 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 164.9372 709.9] /Subtype /Link /Type /Annot >> endobj 7670 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 180.9312 693.7] /Subtype /Link /Type /Annot >> endobj 7671 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 165.4707 677.5] /Subtype /Link /Type /Annot >> endobj 7672 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7673 0 obj << /Length 19 >> stream q /Iabc34675 Do Q endstream endobj 7674 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34676 20690 0 R /Gabc34677 20697 0 R >> /Font << /Fabc34678 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nUӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7676 0 obj << /Filter /FlateDecode /Length 1172 >> stream xڭWMk$7W9WKac{r990^aJRz<ɚ`RW>]Cod7!fKϯud:ё1tzYY3;:@? +͜ wg-sc"*ܡ(eÞӯ خM˪[.H,md͏hOѠ\@vW`-'s4!ISҐ6F} 41:@o7FNǘMk# `Ϛ :̦ k;\ KԲyw%tf6Sfl|%҂:3MLQ[x˜u& 3fVHt.7Q3d>2|ߐW.Fݾ:^A[;[AS.ϸr\b^Ycѡ/;j6.+ É gNbWw\V[ U AEmg&}y!OQ(M@ɑPeԚ_-4>jXުP<ȫVG5OpU^y)#_"#:ZmQjKײT+>2M-e [kAv%M\1ؚ*5w%qt%k%,qCXb5IDY/R=mvGiJ탿q3xǛX)fgNգ hك1OK!g`P{6X$u #GͰyKu>4{m߫Mk}I'^08mII1PA>Hs-p=Ft旄I_A\]˟Ͻʔm`^P*R]NXCT%+Ԑ}f\ endstream endobj 7677 0 obj << /Annots 7679 0 R /BleedBox [0 0 612 792] /Contents [7687 0 R 7683 0 R 7684 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34694 7685 0 R >> >> /Type /Page >> endobj 7678 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7679 0 obj [7678 0 R 7680 0 R 7681 0 R 7682 0 R 7686 0 R] endobj 7680 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 7681 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 301.525 161.9785 312.525] /Subtype /Link /Type /Annot >> endobj 7682 0 obj << /A << /D (unique_56_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20210608081201-08'00') /Rect [167.2915 301.525 195.974 312.525] /Subtype /Link /Type /Annot >> endobj 7683 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7684 0 obj << /Length 19 >> stream q /Iabc34694 Do Q endstream endobj 7685 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34695 20690 0 R /Gabc34696 20697 0 R >> /Font << /Fabc34697 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ^ endstream endobj 7686 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=642) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7687 0 obj << /Filter /FlateDecode /Length 4374 >> stream x\Ko$WyTʀoc7Fk_YR|hԙd2/ 2Z/fsK*v:dU~],u5˗W_-_ޖ?hx]Hrll{L6 4;%u_R"!2F~ 6Q Iu  sZ@%҄Q}Hl->Dh6./}R3pπH80ˋ68'`(^9m%ƀɮ1@4%?էaGҡOkăFK)yaƟ0  (2:X06oYQ}`hl THljTlzx8WIMM2@SUxϫauc}j^ (2 e$+ v}YNά:ǐLf6р &e`ݡokv)FL8\ME=!==x9\O2`ZsM3X_⴫1y-To+[C̶tT v05(U&hpjh:THw*ȼĵqğxo4xX81 ÄyU:"B܊t)AR3?J_$E޹Ӏԑaȏq)~nk0 ]0A(3Fi@Tlf冦_-w3?q ?<_PE$h!g r"'S"I<73iAy 5m%h$H"mq_%)wℏ^pWjwb}iTO&bݛ5W2ؓx }sNHBκs,( 8hG[iKKݍX^U8Fw~:&$cN1I~P&]>Ksf+G0~^1L8 8c< }&q4W>_BX$2 bf<Dh#CDEa`^_,sb+l{U7ܻ>&fzwIaYܸǿi}kڈ^+m8pYk76; Zȋm]wq/]W_%7M&ڠRNo[C&a9XLrໂd\PȦ/ 35@3c3y8vD`*pZRժZAm@ ~* ֤հ\[ VʁM& 4GX༚*3e2$Tn RǦ! pu/ђB\u`*!ge.o݄ޤQ|*55Sw}CLoΐՒWFS}أ;u$Y*e,VU*}]Uɩ.Q2CFlvpqi>a#C\?=Tc[- ֣Z^~juPCj#ZݫwCVwVwk{;#\HRBFէў~҅l.v?N; c asVMD[!bu(swf501@![m(0*ßssήwA3\\V\fhzd` { zTDC.F i-00X(nur%zAnz;0g 5W8@/y0pw~떎d? `F5{pF kj_v8SJ`,uO7:>.a%QK2hI/ 6-qDs>]+nfQߘwg_Kct`UGax_ʫeT6rt~(A&RJ-šjGq>CDšaT-T=J$bLOƀPYx Ws/! ג.̚ry_5H@7*g{9レEt/9vC=vEY.  -jVhSc2mJY ~|nYʔqk"dWGpU 11'UvIf[屙ؖmoJDT#7/}֊S?Y ]&>gB >¥"Z] ZdBQLʎ{`wIQ$_{Ubѓ1Yu}Ϊ7eў;ea2iAvr]0qDٶ[Cvep1Dk be^8QĶ}"8&,?Ԥ?z~ |I_uaLtX 1FHrS_^n$KlmcʚSƭղa] ƖP|(ˏ+ޭZ vhe;Б+='~_*L ~m)p=Eԣ6/ͼdnJܙUFmQ@O2-H=, e q%E\7N`75ʻi]4՝CB" A <:f$:0ebBu,rmcjR%Of֩5lVSaUL;bjTk<팅5ёLVgpuʸ șB~-qBLے`NѾe՛JZ;ZN&y{ǜ=ݜ'ՖVz]D-kJ)ۻ=UiZ;l_թ`E^}H[9 f VuhS9 V[Cs0]f˴y,wiWbaҍ9,,Y$Q,gRKrI{M0QĠRhϷV2@l0@yZˤwQJ-(!UoE-pd f9Jto&YSSW9:#oل?U@:UZ^)x}X] ݬrXnO4m~K.QfO"5?1^4qW[_)U{J?0mpO?Dj[ApgBm kwy6az<@0t|9o>Z1t?t`NBi;k:0<!7}h'/I3?gZ}h?ULJd#䔈'i~m_a"Sń.,Z' endstream endobj 7688 0 obj << /Annots [7689 0 R 7690 0 R 7691 0 R 7695 0 R] /BleedBox [0 0 612 792] /Contents [7696 0 R 7692 0 R 7693 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34713 7694 0 R >> >> /Type /Page >> endobj 7689 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 445.6885 381.3955 456.6885] /Subtype /Link /Type /Annot >> endobj 7690 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 393.0193 396.03 403.0193] /Subtype /Link /Type /Annot >> endobj 7691 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7692 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7693 0 obj << /Length 19 >> stream q /Iabc34713 Do Q endstream endobj 7694 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34714 20690 0 R /Gabc34715 20697 0 R >> /Font << /Fabc34716 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7696 0 obj << /Filter /FlateDecode /Length 4983 >> stream x\K8rWl |S TUVxaSwE/>%eeۀ3ٙ`0"Y5w3kf~mͭƬ^uQi w :~[^/IoOZ ?W뿭~B-@fZt~yׯZk}﬊6״Wߠ _k b26ppw ϫ50Sڄ >C&zp@pNx^~8NOao1rCP+^S=/Hhˍhpo/L/Q>yjc rQ^U6֋5ʉu:o#Xmof[f'8qMg`mc9 sfW[29/G ttS!mAlԐpzQAr|Ӝ^f㲝&IY޶4Gb&RkBXT61oWu*R ħԘmbEDEQnMe:Sl:A.Cd_lmSʺ-^~A DD0"MV -,`4 b]1hq<:*(kL&-I *ԖJPG+ 'VBT6-boi~^ɶ/'e7Ni-)6v(jLGVà+\7?R*,oVm\LW!J;p*>=xr>a6i\D Y0P*zoŻ oK.W.-2VC+[&6Ib*@Uf#Qe0Yfj|FK6,ZekBC/^c$m`$P,PVfT<8܃Wr {`MJ߂}o{d`|`omAFz4Rc!2793uSv W؁/"wM@-΋ˠ!?drm&" Փ,ޫ}y~ˠ/e/7@bcM / 0Ѕ&z1K^Р~GɎpNaeB-0+Fy\[3eL.jAX3D^ `r,J M-To+]woz&@06JVZ z3VLc)f@P8 oppXؓx);ZX{Wnծ6YCSG̛x&}  D;=dJq0_/ ^M긯zxӍ\üx'̣qykj\wAhC5dERwk.m"5Xa!\#Ytr"0v"NDqjM ꄓr{D돽W~_~7LBv%Z֔+0:4ЪXȲ"os_MRMa/.F/F)^"-=ݱs|y8}ǧ5 k.E|#P@f3źBxj5Z&y7/9$ЉuՄZhǜ;@+]Q;#MFRVm.Ÿ`a 땾}A%4&#PM!(WM Zɔ/Yr8]4T&!2C;""(j@t0sH nFMDv&x[7"Gp ח欶Ndٛ)8:73Z׭~:MX 0P 6ۖН?lVkUPVbKK)P(İ.A @XLCƩY?#YwKvd2uAZIW+ :FV֐FɍY澌+*'5g|X|yi9U$"}mv`Ql!*ʼn7aEIj|kT{Կ;@BDS;¢^=Ab*"Tm燖LV)/ Ӧa濗ivOmII5T7ubXC@UDtLx瑤q[ׁ)!wD%#y*+aOSw:ǔ,HQj<:zVу_U U\bjbv{b6ҒۇqsWdQWZJơQ7$C HpQH e.S{'ێd[?-Y#rQeoVD |t{dr)A |ȶ)$0JԀtfձ5c</!B4~cbJZR$OJ c 9zOwQb59{7iH]L`8\j]kX2>J%&tutPվV=\~hb*VNz=]~ɞn;puqd{46s<.}ņtՐQ&)Z5 8v`2Dŏ\M~dCmeJtzU1`JKr(+7嫤2? Urޅ.to=)|&bnSrK΁RPs  vϳ!lfm c9b=/V @*2*恠۶'sqXGL-hra4`M b-_f$33r iOFgz8"6y'1]4B^a0٪ /k᳙R0PvdˡUki$)]rl ޥ.llNmQ :uAb6)qgU1BHnMu8? qd^ZKbL^㎑[R1L1F.NpwK)ϴطwyNEs?$aȚ՘r&r+Ϡ8슰c!`=YGNj$5ϦMGaѰ/EZv.2x3lnT4=/9e}p+qֱEXXx3o<Уc 53x-1;_d.`mmiC5!\?2F !w6shi7u[nFylE!m6lh͏ݸvzZω~%lO#זq=}.-`or5Mˁi2XLY1MPک|k^O"A!vr73;2KyiW _"pQm.6ؒdER tZ48Lގ*>,',fN m[t5tVf+M\JbYr½tYUFuN>;uR#h~J*-{Oۛ򖡨smI|JKa8nߧ]hLBy7`}^ʦD{g3P6$nNQ}PQϫzH.29AЍw.cb;4WXLz WKZ{LPomTOՇB;u*= XhXB[~Iq৐[-\FCA٬HoLIdtn;]:Bg*~#n043\}^x^c9GhUK~P+!dhl[vva,Klח'T14ƗΞ;~os?+tqֵ׆<#v2}~dNxm` ])9=73QK@pV"G? 3WRș><&Ɇro!mvVEGΨm.X<KrF6'b݉D\k7x*kïzנc5t / @7ye5r 9SyBvL\ʹ /{ׇ9/3V}+u6|J `R8AbOaQn"\iTL{mNݝ1d;喖D0}ri0^-GjGMю+-U6b㍜.9j-Nu655Y?.垑7_<射Nȅ$Z0JC74)/"'Z9_%_\sWqjؾX~\@ܺaP$=wT{C}pmUBƓCɕK"nv#c`^?;u,oK$^:>,U^֮ AYCR\jg 6 A-O>J/~yą U$~n|*{ &jR6ہ#Lҷ1s㟻3&eo)ǿox9>zGԜ0F*jn7DRo:Sj?G<6]9?Dx+| <&~vMypB*@; 0`r(o"otB1z{;1zÈ$+| ||[U@o r=x>=뼧".^UWo=uhKP9Nkr$b/) o^mek ŅoL,T endstream endobj 7697 0 obj << /Annots 7699 0 R /BleedBox [0 0 612 792] /Contents [7708 0 R 7704 0 R 7705 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34732 7706 0 R >> >> /Type /Page >> endobj 7698 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7699 0 obj [7698 0 R 7700 0 R 7701 0 R 7702 0 R 7703 0 R 7707 0 R] endobj 7700 0 obj << /A << /D (unique_59) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20210608081201-08'00') /Rect [104.1732 126.4502 196.6447 137.4502] /Subtype /Link /Type /Annot >> endobj 7701 0 obj << /A << /D (unique_66) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20210608081201-08'00') /Rect [104.1732 110.2502 230.2717 121.2502] /Subtype /Link /Type /Annot >> endobj 7702 0 obj << /A << /D (unique_71) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20210608081201-08'00') /Rect [104.1732 94.0503 201.7652 105.0503] /Subtype /Link /Type /Annot >> endobj 7703 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 77.8503 164.9372 88.8503] /Subtype /Link /Type /Annot >> endobj 7704 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7705 0 obj << /Length 19 >> stream q /Iabc34732 Do Q endstream endobj 7706 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34733 20690 0 R /Gabc34734 20697 0 R >> /Font << /Fabc34735 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w1^ endstream endobj 7707 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=644) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7708 0 obj << /Filter /FlateDecode /Length 4035 >> stream xڭ[Ɏ#+x@i PJ6F>>c/kfj*L& "I-?%f#~ɤ?~//W+_WmXj/?JˋtR 3E)gV\̥wEix={W0T MZBB/: Guf_; cŔeX;HӖ"mh$er;eJR)D`Ybe,ONrr\tBmeL]1KA*1,О^d](67 :c[o/xmÇLZAl-,CÖSmE:\YVڽޚcYwcsD8ckumw#q$IfMʓ̃p P胚'reEY OsccRveyPQ@9 ^inxDPAyujs~\(+pjVFe,IqQ}3QL&gkSOh=f1@qWSo ׼+ҰYp]BgW]Ko1VbWxc+x XZT(є^_#/x0X8>gcD>N)lw͌Eۻ^)`[a+ה+(v7#ʐ:9Oqccm.[E&Ւx ._'# ǿ巟/45CR1.9sN0 ^Xs.pH{kH\z59{a4h4p;M>QzVgGO3<>KisA0ާA{T`hqk5G^ʁdGlb>1o?1&oaJg&hXQ 60n&4{l&'ּEݳ\nk3/Ğj@ׅF[ӗ;|Hmp z4#>g va7+J`ܯ"8hTnrkjx^P4lCO-MwRխT)vUTrÞY5(Yj6M"ݴM3Ms1Q %xMW6E!ZPLG kY$ESsm47DD;i`~u:d$Uưt;>45\b<^2sq ̪(uf|uɕi#Ha !Zjc@{Z-%0wYcYYJRkoZA>E/gKyf 10\)f1>u%~o0`eC]&g 5Ȭ-] X'0cnJj7Bq 8484zؽ/0k.2 !e @?ŚHg8 'm|&%yh7d*3w]l{(LUD] H)Mi"Kb)ytVG]"/ 4pvs~E+z]0Y<-eVZtx=j;Zf0ɾUG= oʎ\ ܚ`"kheIshTKk59@BE}5pٷf~<<h-Yl:hf0u ZSak՗cT|*9>9!<;hDKMT#X㻔@j&n஥}2ҷfKF7GwpLM$4ڪ8Z%ؘ}sic\m(xXlmxfl:w#ݤI9afQ9 e@%pϯE5 N/U};DGN.+h~Qi4hrK<P8d Beɨ#ǨfZJ,yz2ş?j؝d*9k '+P!Cc2c\aM,zQP%>NODsy@Þָ}&c*%]?XZS‚)Ƀ]-^3z d+dM4VqNFk6 ^AtLyuw`+)qUkhsNt˸)qI9gB:Kf)Ovx#)j 'i5lw\LH򓺋>Pug.6W0OlW"n8yT.`g6=zrz?0$x-;N5b%8UXHENk | LL܇JhfVPI< A16l+JXGGrNϋICzK为*c<̌ݸXQe30Cd_`f?Ḉ7k;Tr2HЉ@NcnR s^3bRwߌ j &5PCZ=bEW, 0mH\tX<X#r&ƐĐL{S7 cmֱ>Yۆm}#P"׸)AnQdÃYiG-a~o j2  OaUĒ_(ިWb1ŴD LÓY½pߏحL ئm9r4gJLsNPʬ4 SId^DK'bKERܧH6+ck_cOrm<Օ븪sт"=c(FDŽgDŽ8*؍\tth}#vEA">|D|TMwTg#LX=`a)}R8RTNzh=R'궢ZI*#sNxXJ9vPUѧML6_ y)oC V76)ƣX<-: 9Ӿ KYƱz8Dmqb.\Eg.$;a"'ZXZ!h`d Ha֡ŝuϯO[}ָMt X9VO֟!cJ:o|IWEQ ĘIkj rd=Zt]vp>YfMiy gCXMi /AńÎߥ[{CgN]D4ثݑak!d!@ʠЂKNԱk*rr\>M8DX/?A@R?&4U"2jiI[;,6[O:Է26(" !RsCwЗ] XXW֊m' 'ih $E ,Ѡ)~p1= Kqiߕ,D_Hu}gU,Ϸ@ypO];}ޯZ{+U]JWy#̨MBJr;{*fIQRkAc]WD%,Gj$+W/]nPWPi~HcP-CpSEf+_hJO?5@Nnjii)fW%xռ$}^kpdej:ƘbdESYQDUṛFTǹD_e~x>Gf|1{4_G};@qik$ n0͚kB^9c^}uFğgSj"1K cRy_K{$D ZBhYV?ss"\vya%@Pf>ܖ_F`54e$e.% مW*qaaxgQL endstream endobj 7709 0 obj << /Annots 7711 0 R /BleedBox [0 0 612 792] /Contents [7718 0 R 7714 0 R 7715 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34751 7716 0 R >> >> /Type /Page >> endobj 7710 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7711 0 obj [7710 0 R 7712 0 R 7713 0 R 7717 0 R] endobj 7712 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 180.9312 709.9] /Subtype /Link /Type /Annot >> endobj 7713 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 165.4707 693.7] /Subtype /Link /Type /Annot >> endobj 7714 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7715 0 obj << /Length 19 >> stream q /Iabc34751 Do Q endstream endobj 7716 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34752 20690 0 R /Gabc34753 20697 0 R >> /Font << /Fabc34754 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo7 endstream endobj 7717 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=645) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7718 0 obj << /Filter /FlateDecode /Length 1152 >> stream xڭWKo6W\@K$@ć)lpHCrMp͓Hi N8;tE{'赵!>Ȇǽ>}oc U#Jqa |L{~h<)gwD Q>b iJ&;E7PskYǓꑚj#th}k iSΙ~S޴7)2Kml P)ԑqsٷjX4l+ c:͔r \| &FNE?]GNdˌZ.Њݩ6MG|.ן0I`Ǩ7kqnb0w^ 87[ISϸrT15sM/l0O֚ط=N;JlD& /YokzGGTՙϠM΄*E ec4kN?FmD)RnrXbBɦԚ`8Y YexGYՏ/k\ue\FBEGuFεJUJGR/}o[%eJ-ߤx\ ˀE[A^rt3g7> Xy2?4TU:X =q4E?^`,ؘ9'r,{W%JFOF `Oyycѧ㑍?h,}H2;q#f Lu`p |ჅQ_HBnU7Ń2’0NQqlyKB鵶W~yC+džwC&gqu#}-" jM endstream endobj 7719 0 obj << /Annots 7721 0 R /BleedBox [0 0 612 792] /Contents [7727 0 R 7723 0 R 7724 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34770 7725 0 R >> >> /Type /Page >> endobj 7720 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7721 0 obj [7720 0 R 7722 0 R 7726 0 R] endobj 7722 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 292.325 122.505 303.325] /Subtype /Link /Type /Annot >> endobj 7723 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7724 0 obj << /Length 19 >> stream q /Iabc34770 Do Q endstream endobj 7725 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34771 20690 0 R /Gabc34772 20697 0 R >> /Font << /Fabc34773 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=϶gEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}w ݝCvhw%TKh8rݛ>b endstream endobj 7726 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=646) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7727 0 obj << /Filter /FlateDecode /Length 4466 >> stream x\KnW 0^wd lCԳ{zIVx\%>R$fM /1ͻ-iR?ی޾>j.D}˓Ry;wwm,.uv>Vש\۝z^;_/>}?ʨq'}ۼrFU_PMɐ͗ҶaJҦvoTMب`g)RB)&=©Nzz 9+4MC@xzwҁj/v(Ը~G Jh|' a:JN6kAWPKhS^5+$tn ,nb% j.>+νi p)sԣsyLMh͍L6 8ih3L>g\xr`"BtY8`0 NPPğx*,PJ  'ciq& N,e",L0rDb\xS*4U#s9l -:G|^1FYf\ _~wWۼ0L2E.j?WIo>XQW;|n-ɍX >.mҊ%5(č(\8 $^xxnD&ȄEs!ym:́~g;`_\P $U׊nu2<1[w4``U; U( q~n0S di(t,(8* 9L/r;}DIU/3jQ cjvMn^?븝?hֱ+uoD\`ڲf1Ϻ湆2X5WƟ0oۋ_ۉro &Pkj;z->Gmx05vlTL&Җԯ-dpYZ"SM)m8g1WjwFFȌ+u`+a~-c=2#&>lI*wܸ?&q놛wh+>q A|/ۻp5k7wPhELV-,,oЕ.f*mP ^-(7+E&au l;TS[dIב135@3Jgf#Sׂ@V7֧9dTl(&:"ĀX*V pԁѬA`.b(xTH-. (J[+q<5xf\hX溗h\ U20'ULb]Z M|bS>փFirL<'zfz{O螗}n?QoԽQ{tNT dԀ Ȳ!} hAqnJ[/UѤQ ZR [}Gz1)*Aa5؆6KtkFit>UnhF|ll!P~`C*`hň^ wZ(1~#d&nL(m`΀@60,/RoҮN_0e$ ]w)m-Z:[  #&GG5UɁĖ`A1IwZ8I O=ݯn K&bs%"ș6 IMQ zRN۪e70!o^ALHM_ j$X{cB=+|z/S%IKPY,K֤a>eV^dU_ܩ2ɠGrz,;$!`dq9BS}\ҡ6o)y]r[eռ+jYEK3[rj 1ke_&pӚznrg51ϝj):4PX&Q@1*{!}@<5G~Dfj#X tb)[{qd޴7'97,DIRaa@ws;C.|9]7Kٍ nC\ϐ-d" ѳKou''ѫbv՛mJ8ӂ.\T|g`QŹYi1={EXXFVo[z栱3u6QmF2i#Wռ /M#$q}Y@jw]d?'jNMއ% [OTt-B3Wl 4pa#~ AxJ91!fT|XC/薭3h@g_}qV[5Vs؉vj^H{u"X< SipQ-w+BtaAߑ[QUyt0wGUY{= e]W3,ߕ5t)?*p GeGb-wkj 7;a=Gs*NUӎf6j9(#PZ>d@űːOP)]eicHn Cm 2[@r T붟wKanlhfr+"UwH"P {DPpiEL,SH@ 0}g#GLFd,n1foRi5`JoxgM瓥W.xj2s20\fb½`ʐrʈߕTؾmP7]RH%- iBk6q]cŞaGbe~ 橕xwQ'n!I (SҘ(ez,!N;M CGr+1NK_[_P6\jvY3(JS a Z ѦQX463*䖁t0Pּ^cQ~"IN0)Sec2CEu]vNrk$iUDk6tKh4C?0>W U>j5.c9HZO_Q]4WY$tsuorScBGGJ [5xn|(R{Oc+@]Rďl$x)qqb6©&c/޵K4P,a_QKkA?QV\x2|WpF̴u [G^D⵳RJVl]ů%{Qsl1+!NoMn<"8/PIe;b2n7쵬r~x _ynG1gyc* @Kt@q?㻝/`^i FyrwWF\q'wpd=P@tß(a8WlSHF,zL0]FʉhXÁ~l _nBXhK[ָk %r/]|'ΙV-~!f\ؽWt$mzX31>cK=uTWpUSIg32 endstream endobj 7728 0 obj << /Annots [7729 0 R 7730 0 R 7731 0 R 7735 0 R] /BleedBox [0 0 612 792] /Contents [7736 0 R 7732 0 R 7733 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34789 7734 0 R >> >> /Type /Page >> endobj 7729 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 578.1653 381.3955 589.1653] /Subtype /Link /Type /Annot >> endobj 7730 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 525.4961 396.03 535.4961] /Subtype /Link /Type /Annot >> endobj 7731 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7732 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7733 0 obj << /Length 19 >> stream q /Iabc34789 Do Q endstream endobj 7734 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34790 20690 0 R /Gabc34791 20697 0 R >> /Font << /Fabc34792 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7736 0 obj << /Filter /FlateDecode /Length 4738 >> stream x<Ɋ,Ir8d Uaӓx-l#r'("c37}qU Nx٬_5z&ríZ~ڰ)eW(eR޿7;5|"|ۿX \i̖l q/JxzU*_(Vdpn^oLP E@҈2dޢ69su5^51%ߕ |UaI-xJBY䅯u¬ң̦t.k  a#V1T*TavP1=&]ѱOWTh7pUxŨ2"O# 4k s|$XyNm>n8:Dz[(t');<q}gW$p䷚XvɆRaQL6).ڽYUp$ ?^=[YUDk7bN] _AΡd'N4sAoe喓'*>Q7^CNvbq7V/H2 n R äi#ujTc'-+:UW虦 KcFE7-g}~c4I< (l" Έf46j Y-l$suKE Va*Ʃ(LR*^jVH -$dLBZ VjLy"u(NHȚkT?<ϜupF(d 7Q3L\Ns<hj8mӂRQ ǂI"Um -(E[zBujKC9sE"_-Nk4M3!o*p @>q'",!qa4h y0o^-#/`4au-]$I__J*%dqne~ڿ#^i3_ͼ%C'\ %LQT=a.oRg5lyMAvITLγ{ L ߤ94 1)̋VDp8ވs3>]C "LpIW+V5SRG˟_uh͜56Ҕ}Zx\)I5 XAZ ry%?.'|s(4?)Οף8"knڦan]uS﫛ˍ:V77䯲 !PĻj>!}rʫe\7z VH7M/"=谋Q{ٙ|;A:|C#OѹaPoZY,)kR[h ~ty$ǻ 3T@dfM ;5)M*Z2i.J*9 S9rV2o|& ;`Oepy#k">F(-r֊}b>?^7(ss|vXAͥ,jU+'HW)Ц "[Vͩ{x:'q|],1fOX.q=QQ!ҽrutðk̸ձ0^AOUM9'qͺq5ŽUb_AfB+׽|Ԙ׻M< 0;IHn6%1gg?vQAƟ2#Ie; uڌuY:~{ O,;'Y|t=z:9u^Lt&bUN1&T(S<l1&u+]mUYVy͕~/kjxuWA@sVQ%f-/6_._ v{&K ٴbI2~YsPV2k#* Il%Ϯ Ԯd[r%2?(3WɸxbOr'lC5ƿؕeRkm祏)\ [1,L}ku)nQ*0PLoXC>=ŹJIϣ qɐ@^u9KH5QHDһצ2u n?yxӼEoV kh_8(e 3D1bA_s+ie12>/%اxcxMgp(uR&Q0->jq5`-`,VMhEkz5]ބb9U:V@.p,H-_S \(ȮQ>ONVbI wSA#L5 J2.>Qx &1+t((^+1/-i>Xb>C93R<6[|]O>py":]p~gvچ2x3TLE4 #+f>RO~4ҧ"_`hы{{_;~Y0G'c.ylQDceS6sA dD?KG`jKo X1gns*SZ ȒYr#K\݅p9EUXLZ~J]_'c>igAHXǦB=^5ÈmݩB*-~w/pT_"|I8t]r[& lPX9?N endstream endobj 7737 0 obj << /Annots 7739 0 R /BleedBox [0 0 612 792] /Contents [7747 0 R 7743 0 R 7744 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34808 7745 0 R >> >> /Type /Page >> endobj 7738 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7739 0 obj [7738 0 R 7740 0 R 7741 0 R 7742 0 R 7746 0 R] endobj 7740 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 299.7346 164.9372 310.7346] /Subtype /Link /Type /Annot >> endobj 7741 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20210608081201-08'00') /Rect [104.1732 283.5346 155.7467 294.5346] /Subtype /Link /Type /Annot >> endobj 7742 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 267.3346 180.9312 278.3346] /Subtype /Link /Type /Annot >> endobj 7743 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7744 0 obj << /Length 19 >> stream q /Iabc34808 Do Q endstream endobj 7745 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34809 20690 0 R /Gabc34810 20697 0 R >> /Font << /Fabc34811 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 7746 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=648) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7747 0 obj << /Filter /FlateDecode /Length 2956 >> stream xZI*@d|0>3fd.;\gFghUeUfDd,_Dd&ToKF~*3-I#E&O$_RjWR:pnp&^ʍ=󟁊b*¨g6+Q>f[",P1tH8xQė"'+\Q2'PB8 $񢔿{"BW?s-\t06Z)DiȤͣE,#“xZ4M3BaPeL X^TF^[m5`5Alh5|V-I=eGGާ ˋƵ(kVCYP[!)mw`| Rޮ&/(VP5F{UllaE8kI^J` qXM7dS]cAht|lM#;Zg en6 #n 7^M ~ugtƋ< 6fO1sAԸl7O b+P/vή9{ 3P]UiDeaW?F h(sZụ %"gGj[*#zToGy]m +D^ʳ2>%+HuwbRirks4IvT'E@v<8f) >gެ6;H?@^o|W)\WMh: R*wkv#rھ `}C (^v}FL:iuJ :nuYrX+fFiw>=nJC+[-RV$\ -6[~4slR`Ms1u],-hq躭(k4!]l]}Co##Uj94PWvԈ=!ʣ^{mB'u8xl4 @=k5& ǖ2&8tmr#/\Z85cqX2BTO*Y5l *74џ(i[H4g-dCB4~U 3VDc<}-0Cqvc[39?W[B SAo@Ksةfb^Uxe YU}Z 9;F-°{2jN86;dȋ%*#Xَ+;oi<-nҌguGi׬kQV-Vy.<~mi@m2zM ,Qs TC hDVVNnۼ^QWw;#z: {G%.}s>|}.PZӁ]4%6H b` 7@`<nVLGtx1P+6GaJ;ӳL5G0n} >6X`!~ _֧H \/%3bO!,ӶNʙw(&í BN.=uqOnv#7Ĵ`'lW <#`mX*p4_^}bس@e(ǵl^ Muqh,D 6uۇ ޅ>M-Kh003\]mqAWN- DK[q񁒎?~ ^ɢQEͮ1$5 b"(Yo!ı" dYOe in `>#d!4bB-įP&ͪy _$!|/sBD`iA@v=&`CŽݒ'VxydjlQq*>M$cF5"j _0<yhɧ8\į' u}XhC*pQC k XwݑZ"&r7jY]dO}2 9\ وf,X<ݾP::{2X6}/?~3 Vzȓ9$=:)-ԍOO"T@WزHFϒ endstream endobj 7748 0 obj << /Annots 7750 0 R /BleedBox [0 0 612 792] /Contents [7758 0 R 7754 0 R 7755 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34827 7756 0 R >> >> /Type /Page >> endobj 7749 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7750 0 obj [7749 0 R 7751 0 R 7752 0 R 7753 0 R 7757 0 R] endobj 7751 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 225.525 111.681 236.525] /Subtype /Link /Type /Annot >> endobj 7752 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 225.525 139.8465 236.525] /Subtype /Link /Type /Annot >> endobj 7753 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [145.1595 225.525 177.6645 236.525] /Subtype /Link /Type /Annot >> endobj 7754 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7755 0 obj << /Length 19 >> stream q /Iabc34827 Do Q endstream endobj 7756 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34828 20690 0 R /Gabc34829 20697 0 R >> /Font << /Fabc34830 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ@ endstream endobj 7757 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=649) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7758 0 obj << /Filter /FlateDecode /Length 4147 >> stream x\K6WZv8@n bOMf.|J-=%E*zH<}$Bӗԩ)*yNZM5v3}~AJ4īX\W/J׻I,矦7 :m*[@O}pK([BO4 _OҋF?iAE }s} A v\5/tQKP%6 t?;yԏ|alH ¢ق^ҋ>4eOmĂ)00FIA=f"ECLbRZ2%SD\. Qߣ߷pBB\_y@eE#z/0Q1IZ@agkFb%mSa!tm2,'DӫEYfգ8{SE"eN~}N% >FsrstuN,yJ9 1ebJu.qdd9~yD/0h%ALƊêF NV6G-[fE ݊VetFK/y)H^ vHu*Z3(y* WjVO#Xn9=ȱ3tj;1YKztt8_|}.;hT&8vpnZX_!4y88Imдp)f.1 op / `GZ$\U E* t W>^ Lixm:B}߶X)K~[b2[ dE0A7\ʭO'6rHȸ̍ecīT]h2u6/cHVe S6"K-6 /-3錠x#f,WfE*M葃6BIlձ{Cųs}x/{Ld:G6'pp:UfPˤ$i"*PfBd36 o6"'>g:)6lYҼ?C5/H126tIo΂P5jrfajVbA4Xqs/5u8V$ּ6̝F7jwچ{&~.M6X(*ס٢xr]lm&S򿫷8牗x,HڶrzN\".zPJpCSÕCMb܊H/%Ps aOM&YbhzD{?}>^YKyNitS4$6Sgs.,aeQ.m2c&t|}}|VڡJA^' v2=͝(03TQmm٥UrXݮf6L&W^<9͙$C_]dX7qlA@mįƩ}R wĨwa7y|1}+Tm![wHv۳Z3Y/o7<*r0 %_H*#{.o4#L8|FWpSɗn1h)"Nv!sEr_+EY0n7}QH4AI 7:J9r lfRvsґfiU?q)\舕_vK>Ⱦ*eE65,/SВ C3g4KvV+j O KĶidhu+ђe`LbJ]\ MbU6֍JPL:p q3l| 鞛5h}?A^y5C'IY 2%ܨ\bV\dڥ7+@f7 k<8RX2ñ8'LGkفkGpqm𶤼P5[POZlŧ/1jmf5^:2P+Z}BK0] kPV_~kxiUaZ<ԁNx onP{.)bum`t.VeAWĈ[vӦlEcr<(Vq62@:A[>@v>a* 9AcKOO%qj$)|3(HP<ޱI:AF'Ts*/{*ĻflIЉSn80–$JnP47;GySHGS)'anlF]&}N2FB!ar:w Յapbsb=MY9Yr pI-.dfcfQAc(v|c>aw`=p$Vu|NW-zm!=QP^f=@#&F^GeAcÝ8fBǍ[ UfxV="VE WgTZ uSU51{EYQ b$E1TE7[V98;xBז[sS|n$/JI?;vuE>X@j;U*4`7H&-& rlL&9x؏-d)V5GztX(=fjBzu&BXsv`1{8934N^[׾9v]~d;jx;LJ9XH|`ϫ8hH*h_*`m,}1C7m2`_Qr }Hfx T*,up̑ 66{sq%S^ aq1!~~K;Ž:_T+v7hLWa\9vsK;V]\DYZGvm1'ʧ0h)+ ;EQ!Ue)0PmX&?s2MPwXEtLgaLGaίӿx 2$r3tQiƌ0\Kb0b(`ݖ\x"2#θI HبU2qGެi;7@yZKAa>7]Q>q!E-p(Oh:xEj55eCSI 8CC+4YX_θB:ˀׂzG{Gj!ߊ,Pľ! Jk.@c7l Jg*|!#n@g4ĿS)K&dsY둖nn\RkJ:O"/Qu+5jvsEEdB+Y `Y #΅Unyp$8'PYeArtbM+j.rۅ/6fVLI|쐍.cwB-Hp6!m~P Ra[~\Dt:.Pj1Ul8گKΆi|E}\xR;d By:X ?>[A6SMFY79WS>"AS#)ծ3GAI<ʜ"\w7ndO.5M|ޗ$kcY2D+A/? >,ZoɈ endstream endobj 7759 0 obj << /Annots [7760 0 R 7761 0 R 7762 0 R 7766 0 R] /BleedBox [0 0 612 792] /Contents [7767 0 R 7763 0 R 7764 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34846 7765 0 R >> >> /Type /Page >> endobj 7760 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 291.977 381.3955 302.977] /Subtype /Link /Type /Annot >> endobj 7761 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 239.3078 396.03 249.3078] /Subtype /Link /Type /Annot >> endobj 7762 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7763 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7764 0 obj << /Length 19 >> stream q /Iabc34846 Do Q endstream endobj 7765 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34847 20690 0 R /Gabc34848 20697 0 R >> /Font << /Fabc34849 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMoA +.؞J= P"!TqHm%DEĞqV/? ߰j[> }0+P} s ൫ QC;f٩c8TRQ e!Wm EIn;Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?Jhxfd߄}j]֠zf\SSVM^RuTkSb:tD'W9F&[:WɅkEY̌b iV` ^_ Dm뜡K(sh^2 'LsB.&s^t#ER,m'@eݞBr\vhwe™p h#jwXX endstream endobj 7766 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=650) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7767 0 obj << /Filter /FlateDecode /Length 5329 >> stream xڵ<ˎ$9nw}E V(ۀO1f| ETV2%ߤb}Qm_oܬقS}ЛۗGBYۗ\Pemp&ۗ_`)Jr ۗ;|kHw>}G<(/Iܮ É G>ڧ{x#w>SFԏw"N9驘gr4eHPڤZp*8+! ܏X'j+srXR C/p`qQRbkNg _Vޑ2' ^Pb7^&YۼO5mIRRX}.A;' yZ!)`e&:_?9il]v $83Ѝ/>OIgW $_ 4"P2U8T I>D-NFOt^q1QF}=a}Ṱ(o'F 'lrewrO5l L9ɔd)y}44DƚY.Gri:n`Mͪga~4, w/sUi8@q\ڨU4W󐊁r ˽agEM R΋vS\"x:[I9ύv6r?k". 3|%x%*\iVW`yTTC $u\x`̀tmBYv1k#xȭUx9[| nq 1ym04RjŽ!nJVjhob>(\$;.'H0Eo)`G.r FtM. 8L Z2@i/]ſtk& XUKN S\gꪒ7%8S "e7" v($cKs8ñs"ⱷ܋^f_V8p ==UɕߑjRb!{M͘2mh%pdW4;˚XGcqh?%qoki9:{zkٷKl{TU@ԅB jNS+%;o;.p6v;:Ƽ9p+-Ux*enk1j ̡'ㅹk{c-u؛DIҎxNb\+TN.̇"Jv /pњ@EZ5ި.}xa,Z`z$ݦ,$N]IdߋO pDL\c/:73X:,[uخşe>~]k%AT(Ò9`Kʵ{r )c1{~q(#T:jH%@rۧk-5M".f gAjXOZB+>H&d1f$?fCrѬp`$'8?VO%UE>1i2w5 [t!prT߰UslZt|?ا}o%WJL'~Ds׽nikۉT}[Q}I5O"JRueÎlTȏӒ7I2cӓ&e4iԢ'g򖖱j{ ;WhӜQ4)9AuA +y0&hz=W|T+]SA[y-f6j^j5x]l9pS6+loU*Ǻ`HzX2jms#cORmYUF!"FJ~8 P槤Ŏ)[1jWaAC[aD%[7YMJu\ZiVOq\=9_zMՏ7ˏS+pIgLLJQIO +TQ{.,NTke|Vf] tOQUW:6oPݧbTg,RNeέ4 5 S%'SzleC7a]  ܕ3 -z{vsVVr%{bd_$v..#uYٮ{)m"-ܿGu= soe7ơyF+TmR&4SBLsJ؎kxU$u)(MfVlWP:728d aNn,[uc暦>GM4x [v'}VpZN 4ϋ2;yqpRɺd̊+&TJ;ukKzQj#0M-€Z䣥1tQ+S_/uRN3匥zý]*A(6r‡;f89& ߉+o?mu#G̭A2j*C3.GǃcF@ci D;;z,X˟R \ Rr-lf9)2L5O=q^_\ {A(i#5Cv*mϰnd\O]LO,=M#Q̷}|P*:^V༴%Xl+tӴtkZǥIp;1`h_2!cG"mɱP\s&f4XW8Sz)%_ i/eDd0pLqNh[>J2: *+Q]>Zc8HU|6Xq,&bR:g3Mn8xN˺Y=S|xGڷCV'k3* 5Q<|떑X H*2ە0XFmwͭfl]+W8炐,vjs+:c [Tp$ }@ l`8U{$} K-J`5x6}o1Ssk2 %k.-x-t]ʨgל~&^˯(B, %`x(DD٠naʢ?̑z endstream endobj 7768 0 obj << /Annots [7769 0 R 7773 0 R] /BleedBox [0 0 612 792] /Contents [7774 0 R 7770 0 R 7771 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34865 7772 0 R >> >> /Type /Page >> endobj 7769 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7770 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7771 0 obj << /Length 19 >> stream q /Iabc34865 Do Q endstream endobj 7772 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34866 20690 0 R /Gabc34867 20697 0 R >> /Font << /Fabc34868 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7774 0 obj << /Filter /FlateDecode /Length 4520 >> stream x\K#ׯA|?ihIo >5fưGJR. j2#3#EdԬj_!ۏSkTxKҿ~YofUju5^H wpҭ?ֿHY_E.H\VZx%;J =wWhO`gpt4h@àgeX)uwx1Naqy&3#ҡЎقk4y=[p4*oz܀{/hEA|P_B1ϡw{|qRʉRIYa g{^*i e2sE֮CZǼ%*puHg߶I;?{]fIXܐs$D `Jo̲ >Q 5,`1lBݼvlf 6n+Aeߚ>|тHY+ݲ"KdQy'!Z<߸@y#2u  V {3OU^zTj:,l؈XuqA>tZ_]nSAvAJ1[5H >pS6PT`Nݖކ=klP Iェyj 2ĉuȀz0脞`9ǩd.XZNa?P=k P@`vN~rEic, &&F!s:Y>;{¼l0/0[~cT4eS_B"簯6?Д̬涳K|*VV"usC9獞X)T4KC@^\?ƊUt2fO=s}KG57Qځ=F,*5{~gmq U7m r)YFBa-w V涡esoCC:rhyj7$ Bg"{u-b6zј& z[ dNᗷ} GM-g?ןęgUzㅨha" ßa*+K;|.0+ܿyJeGh{=:?t`rg=>Wz'KOL ;S1K<2\7W&UduDVW(=KUi_Иk5ArB{ɼ[\kwsj ځo,KUoL6&F`uς0'][ʱ.>@G8|ͼ;~y2΁]0J>䘹N^iVr'i#UO(!iT)%=)pUԳ8"+ʢz4̅^"k]pߩh(QOUG- |ʲJ".B0t*(e֦*$;ϷDPJh5wҼ"A- ;=˨|ɾIf_4<wNV-љLbL?@3 "ڲKKq\zٍS.*'$ZXp+ur$amFM疅ːdykFٜkkohHAA}аɰaQ(+)hvpNf*B|# K p&;̲yΜh^hn3 mZe׃[S1"u۳!wwJd=hOIs& 'f:Ium˾aaJda,9,3l䥥J5bs2 8/B[#>i;K%F?L҉6ɭ:Ldhm82AiclmCZb>4=0WF{gDs o_[v|< XYλm՞j`2|iuZR>С"vDi/y9.WA4hDztLF!KZ%+s7FJY{3 I$Rut^gT5ܵ)ZKݭgѴψK[*eBȚcb t(sV2S*g| N窠~gP]PZ–tXnuLȷw( Zylmސy"E'BA}*0JS+ Us%L_?;1tʑD]/L:8|BZ9)PEo) kw 4^XݥX64GY2bk@=sW0tu.@e^mcws9-՗ -t5g{=}xl /="89 CU}1ѤVŃ%Dv :9m9G<0գoe5T=xTC/dM5U$E?w̘Vzǟ7ՏD+0"+vlAsOK$<{㶔 ?=H ׺'\$'k/cSX;ZF/˜pz8w9rs.;S'y;cH.sϧoE ^</Qc0Mtx//c^_.bNG"A`yݑi 萫 [RSO 5^`XM#rધZbxZF5:E cP X C2_=&ҬzƳ1oj_SL^nRj\Jr[+b3{陽̾W]72>Ð^8kƹrJg2e60\ԕ/{6tw:k@I}sTnU^ ,;P1ap )<+h)8Qk:qk~sqUՀz3 xA䤅 ,A)cSL YJ,~זTlmka89XŪhZ|CqQ655]?N=SC+sr!0,MKWNͿ") ](O"=Y4xr4Sׂ+^B[wKydFjO] <i=z|eBfwz%y z6p.xnXtWmf bLhK=KeYh1{ݷ886d2Omm]yg~[͟D?-} X1\RW> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34884 7779 0 R >> >> /Type /Page >> endobj 7776 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7777 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7778 0 obj << /Length 19 >> stream q /Iabc34884 Do Q endstream endobj 7779 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34885 20690 0 R /Gabc34886 20697 0 R >> /Font << /Fabc34887 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HTЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMe\ endstream endobj 7780 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=652) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7781 0 obj << /Filter /FlateDecode /Length 4116 >> stream x[K# u#id 7 䴉U~IY/ޅF.dY㯣?Wfe,ݘ4^R/[?ܺ0)eG&QR(eJ%gh{c@L̛)h >먠2fqƫh4t@O#zQ x@`EO_qXq`Gf>^`mRX}&t񃓢{*:a@pvO1haEW< Mgl̔Rʩ4uѐ}Yw><̄eiDY9!_4 4Ze "܃B[peR2*h&Q Z0\72GkQ]EJЭ>vDm_OC:pGo#J+70>MW#{d|ֆҤ qZY< ?CG%#dɾg"÷*h :s'72tt ZLFud$pu:M>wKt\/r7\!.ݧ͓6qR=KAqM,*QYF3esn`'*z, G[q¬ӓ7e7 +uS1[>kV;A@ "j)g_FY=-:%j%V訁ԦmTge$&d(eYEOVlhvnMs-1O "a=y4=BMɥn"+&c #$TtѨ_ 㾶G\KIrf#=W~̱Qj{/U#Q {ǽR?j~0ٱKQ\ʲ;YƑ[` 4Ad\ V|Ip;9aN603wYVtdIV+,8[&-+@wf,a64OCՎJn}< 3[hNK3;^`V "FW9F{W_+@ `t+քXz.E O]W XMzTTZ[8=Fl-;r48mo>Xx>\W/"Hi;Ӝ/MU4ִ5uF~, gV4tpi߹胠d4I[0sZƼ35t/H|_=+Klt K4w]!ΓM'Hrmc[,E#6Ya]p{-YANBY~4:);+ؾVe #^3aƟja" 'F"/q4㧯pŌg&q$&} 1Rh_o63z7fu a"B\?`.^( z9P" w ,˰aW[i|&_x\:_ =dh!|W~>;}侮9`xm9B[*v.vpQ7+ K|-/KSk=yB~1yDž2JRH<\$S8 ,ZA@PrOn~ ژ^>zƢUBOSia31[U}vOWm]gD򯙫cU q,*Y9Rj5͔4|gd<Ők%lJAKws)wQVMaI-7n-4]KSW9ɓq|gܮs.ϭnp1tjIr.JCa]ǰmZM 2`^LܾyAJ۹&{S@Z3j{]eoxzK[2w>ԫo `w:BW0C,$UbwvmV{2#"YqtXZ"YhչG:!aRe[ e -K|&2}Iak2iՍO(\v:tSg!1ߖga M܌lFӬгFE4߮5>3)θq8SkmeÚi{3%TpWm.-<vmOD't!@0P%S0Aɗ)PziA1mZ;u2iͳ,η?<2"r訴`;"aQ,fMټhLډʵ;7Gvye4cd++cak`T \"s0Q[|FsrtM?C'5g(4t,tt<=h'0?qKܥ6xHnVb"e.3Gj/8dt\zOtf|L$vGKz?-i>Cy"ni3x /$\'Zޭ`tk5je =9})lR ?ĹV&#MM$~NMv==gY?~'`\Z%\ a4N1g aW^'ྦ@Iu 9[D.kt 퍼^d.+v оPW> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34903 7796 0 R >> >> /Type /Page >> endobj 7783 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7784 0 obj [7783 0 R 7785 0 R 7786 0 R 7787 0 R 7788 0 R 7789 0 R 7790 0 R 7791 0 R 7792 0 R 7793 0 R 7797 0 R] endobj 7785 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20210608081201-08'00') /Rect [104.1732 595.8038 184.2532 606.8038] /Subtype /Link /Type /Annot >> endobj 7786 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20210608081201-08'00') /Rect [104.1732 579.6038 191.3372 590.6038] /Subtype /Link /Type /Annot >> endobj 7787 0 obj << /A << /D (unique_448) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20210608081201-08'00') /Rect [104.1732 563.4038 161.9287 574.4038] /Subtype /Link /Type /Annot >> endobj 7788 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 547.2039 145.1812 558.2039] /Subtype /Link /Type /Annot >> endobj 7789 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 531.0038 144.2737 542.0038] /Subtype /Link /Type /Annot >> endobj 7790 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 514.8038 164.9372 525.8038] /Subtype /Link /Type /Annot >> endobj 7791 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20210608081201-08'00') /Rect [104.1732 498.6038 184.2752 509.6038] /Subtype /Link /Type /Annot >> endobj 7792 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 482.4038 154.3497 493.4038] /Subtype /Link /Type /Annot >> endobj 7793 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 466.2038 180.9312 477.2038] /Subtype /Link /Type /Annot >> endobj 7794 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7795 0 obj << /Length 19 >> stream q /Iabc34903 Do Q endstream endobj 7796 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34904 20690 0 R /Gabc34905 20697 0 R >> /Font << /Fabc34906 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7798 0 obj << /Filter /FlateDecode /Length 1795 >> stream xڭXKo7W`'`$@o)P6- @|73.W8mCo^|?+Wt9.D?g4k:uNZH6ZvO[>K 9;U +1(1&wƗ{4!Kky4G'SF;>v1Za7(8a&=iNjP@I$ja69;162_z;9;J+ǝN:d"'qQZ) SuMd"BoޚJ͈y0&ݰYUuDL$.?4{tB桃̷8OkևSY}R䷞)l0|Ͽ'/AYfRL B5):SSA{}~=?g)TP{%x+z<:;(nғM3-`/lISz5i20}S4)ho2Cc K3x -M䟝A,\;bOI!Yj&T_mU ibC`uA' }LE9[Wވ圉nV M':vo*sf#&wׅm5U,Qzݩ3~NDgtO4_C1Ks^d: 9:Iy[O}Wkar-3 p0w6 3_/O(Zim`8Ym=h7!Kwײ!z-jԆKP LjGLТdjo0_JBY݊y黨UU%(%izLR0Jnef?2Uzm[u,av\IWGJ/Ĝ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34922 7806 0 R >> >> /Type /Page >> endobj 7800 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7801 0 obj [7800 0 R 7802 0 R 7803 0 R 7807 0 R] endobj 7802 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 7803 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 7804 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7805 0 obj << /Length 19 >> stream q /Iabc34922 Do Q endstream endobj 7806 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34923 20690 0 R /Gabc34924 20697 0 R >> /Font << /Fabc34925 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM7 endstream endobj 7807 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=654) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7808 0 obj << /Filter /FlateDecode /Length 4444 >> stream x\Ko8W@jvsSv/.7^$2vU)*"MnTܔ8y%NMׯ8K>N`m|zNRRghnmud=ZR)?N=}F#ġuJ6'WK/ӿo#;BwkvjBm%&7J,\Ŧ*6qp )µK=19XY7 >Og9y$q3~i\^Ci<-P~g~Wz ~+] @B Cvke]W@TK}#a'paw"WP}Q`π˗̂!z jBf~qL эX6|`BހW& x# i,Bl˭evr[1~1$ נ4QG ڮsR7Q4j#QADE4EjQ?[˺ӬTPAvGtB"+Ҕ9 ϵ~`vU) ޹-/ڝ/Nދg\7 QCuOBy`y:yRcB bYg-BDe((.ؾϥ\s<*` QI(T0Y1Mn}3! 2ȭt7h99KD|7#h/䘳z}Qƕ1Y*"צo0AŢPUEopo9?K˥è'YҜ1=ʩ$Z`N#x(7?ǂn˲6T~^KYP.6^vTڝk^Q6x+R^\./7_>!#Pn5ik(O e$:/{soUޯ7} P'7yGxW րN5/ ioӯ@HpIxd >Õ $>h9b|W~ O{WU`bMTFVZߨdV`++PRs"ґZ,sյn>ǎ ܸZ_[_#Hv[>PeRkjX_Vc-QҲy*Z\i֒RX*%33y(jl ٨ (E=)ja/@rz̯YC^\-ls(DŽm { a~kYm>6A6Ema {fm6xEZ:>K @XP $~ #A0eU>1@+![nO4;tV9ʭ8fޮ||浒U$|PiDUW#N{nSֆ6לk(;,La'OYmԯgoZl;`wЙS͜Xj,! wBt~pn_]8^/5kዖOZi5DrulW!I~Nk_noKD6=--~8Ɣ#? ylLA2 {̦7Qe$&V̝#ac"J(b>+s)hڨ:􉟕(zyj'9H D! \kPeIgFJܔ'v>fFAg MAI@nq^H+sn|ܖ'FD&"6w_Xzb8נu4jk-*X2h0`jmш-j_ݴ66wÖ| aؼ&5i456 Dd60&PTҙUiYD:`aR 7}][t^,7J=1gR 95oR-2?6p֩\zBW^mupOȨӓ)yW L=nUb4ԊExS +AYòaޏ*VBX= *KVeqɽ{0\A_*#h˽5;!sPj׳Hu.pU0Bx)N9Ë2KSrU!7n6C}ÊʸV ScaWStz\< Wqet;v+܎]u 5īievY\?%JavD ׾r>*B;rLQy<EaA} m \UvO)ymF2kf!gjOm6t |S/.Bb5I"0ztp BuHnfU;4Sߣ1Whsm6qfwwE`uHm<:7XF5SD8ygkh(=f뜰2|K-ak>93!\Ox~ͷ)̥ڱ7Av!p;xKV 5ڪs׈΢Ճ-L\-0C ~ w9w? 6=6D:͙rQv-]'@{ǜ=ݜkf湕URgnyJ ϯSfLD91ѱʟNcp1[ &98$m/EGɍYNbsTLԻc,ͣ,ί@e&K6WbAQƌsyca8 qI\("Dn[.I0(v!mjb^pe≼4aZqHu ~A8&]SS9:'o?U@TV^)xTl'H.رdC SIY>.|b_ͯc:@SEH?1_ RְgIva.X:6?9]!'ss.O sL| 3v"ئ_U8o#`XɫS'&LXc!|u|yߧr_Vzq >/IydX$AK XmKatҲlGULj99qTqT]Vخcb4s;"ÍKe Et!џ//㮘HE  endstream endobj 7809 0 obj << /Annots [7810 0 R 7811 0 R 7812 0 R 7816 0 R] /BleedBox [0 0 612 792] /Contents [7817 0 R 7813 0 R 7814 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34941 7815 0 R >> >> /Type /Page >> endobj 7810 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 438.4692 381.3955 449.4692] /Subtype /Link /Type /Annot >> endobj 7811 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 385.8 396.03 395.8] /Subtype /Link /Type /Annot >> endobj 7812 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7813 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7814 0 obj << /Length 19 >> stream q /Iabc34941 Do Q endstream endobj 7815 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34942 20690 0 R /Gabc34943 20697 0 R >> /Font << /Fabc34944 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=d endstream endobj 7816 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=655) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7817 0 obj << /Filter /FlateDecode /Length 4486 >> stream x\Ɋ$WY!H *: 4 tjiFdf{DdÈD-6Z~Y"B˷zN-.Q)I~Iܾ.~EQW)͢[|p(|{Y4Am <ox#?hT +,98|/~AcJy?kHt޽F7 |f\;FBU&^hi0\҈rwߑ~UY8d'"¡J=ӹB\V.3DE[EZiVrB~ y*H'C5*/R6ج_M1@*+hzN E+Yjі) |SwQnZ*|npN/CZ>2cjkM,TymÊ$W>+GfӝK k3Ay2֦_R hq}Dh+yi*oud]㢞!cu?C*S.g:g=3xtYMuc5bM4OĻaK"AG6(pk8o ) 8<[\Yz|a ʾrƴm Vsd  ͆"[>N~x€# ~\ApȍdFIKŤK a=u{.]Sd*N6ynszPDOP]-%pƮ+"mM$ʂ*B=;VVMX|ucql8'ZH8#e#m{aY6s@3T]%ͷsXoD3s7Я^Hd5ږZ] DtB96hia)͸w)Cq,ÎcUkeQeQE(QtAJФCs~&Ny[nRaٓʢM|n.TwYˑr)7Th)]}AUMG5pf#&UHmgՖaߌ9M|~9Hn{b5T@9LuF~ZcDVmSy55Vʇ~5_R'%]ꘁC۟BnKaXSh>Q jT;NEJkBO4/,+𓡡1"m<E9'D' MߔA3]&yf E+;~JZ #Rŕn&,{ϓcx'5*%F<Iڔ}UV̻xt'Uh SZCl q̫ r2DS"HQ:JV8)i1 Bmm;d8kjڿ` QaM|=sio X`t pCp?Ly`^*Y45ʪ0->t߰}ao2VfK-nCCFMNeZNnwbhp[P1tƛe$^-;'5!QkB ;nzAtG _fϺu~&=nR/ PNCofy =U#w`t{#m L)>{T^~0)XpNCimP4qK"DRUGmN&Not=}۪:$ai:ly.w%ש ;ŨK0{ZܘzJ.Km*q𗯢H~qvWٻr_ WA.bӑ 2ǪѨ=@{ פ֬!G4T!boy+Y|_K}qa2ɣPGFZi[*ztL{pvs<wI6wyiM,^ iZj͉ғN\L_e(CC܎0VS |}ZCPLD v0Yδ*Lf_y!2$rB]<`T1cE|E6du~E&Fo"dw̳$Ӷ - {Cg~ B=E >3}΍GboyZg"׻izKʷ|חT1L0KbQ4-i5ݚ-s< 뀫T@:T<@.XX[~-]_D,+r58R~!\YfRı> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34960 7824 0 R >> >> /Type /Page >> endobj 7819 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7820 0 obj [7819 0 R 7821 0 R 7825 0 R] endobj 7821 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 82.6078 197.7282 93.6078] /Subtype /Link /Type /Annot >> endobj 7822 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7823 0 obj << /Length 19 >> stream q /Iabc34960 Do Q endstream endobj 7824 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34961 20690 0 R /Gabc34962 20697 0 R >> /Font << /Fabc34963 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokWAçCfŮc8c.@Br!sut;_Pt2Qh2 ALF  c̙h;IHt(sBD* ^Sl\9 " /5"]zPL.O5HA?hxaxwBD>.PkPݳ(E'ܱYZ)^v4m11o:ٓ#,J[OIkp:3ϳ-nYKGFxaH&E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( Ρ\_@%u^lMD endstream endobj 7825 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=656) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7826 0 obj << /Filter /FlateDecode /Length 4585 >> stream x<ˎ#w~E  (IU6v>>xaT/0d**u7LNL2 ƛQ?|/_gb?No>'m M\޾.?c.OK##t8x'xNNcⅿyO8ܥŹbE'l?-X~ SJUfyϣx 5xZyyװ3ؐui'ې9>rO[xYhDǵmr;m1%\BPS3Z:CJmitN' Ӓwy4g ,]!`s\b˓ F"v1:xu ` GnOh󆉼tze^|k|'lk3|2"7,\_dZ\yEle`3=V^FV)w: 8>ˑ$$™/rn+PIv7:kJA_ B߈F0T*i#Q}sYM*W[3{:$1oT ƙ»4lPPf0:ؒ8f j \ `5lbDiQGKªib]Ҭ:sQyvOUΉH%{p*x*x>,Ly^H^'o~$"g2A[k1ceb}zyS/F-R`[ -i= ނ]k-%/9ZLqN x0s.mxw*0,N#/7Nʂ!MGlql1E@Saa^'ƭmzzOߓ}5r/. H/;MMr "zDA~ڥ~zd^?qc\ `d0J Ңt`r]MiOYaMJO!UًHܶj'D@֕E][@ӏf;w{H]>khN}ZSSm4 mIryp/nk5Y e̒sDSbK"{be3+K^(b9gw&ssH-A4WI"dB4΢Kr𞚺*fۨkvίbyǷ:[rI?hwy$qsVo^{2Y趼i^G! ֙,ekloЫNdt_E8s1Z-Ei$J#fGܶ޷c*bP*ͻf.@le"Kd6j&[!WPla5 [Q%> \ ʂM)RB?-I@AsHCVv*=H>J٘QIय@@"H>. BIt0̸x` -4 a9,`q0G]3aZ qQmuo(Gu/^x_W{@#zP) ؑ-*0 wQҶm|u@ +9$VMamӇv'151aBj Wf aǵhٗ;gq@6ƼV?:Ya-8UZ;y`xmn̴(Y+G5(S{?RК5窎~YT/?uWtX3=ꚴƉ>^፩iՓzuhj>p7g4ș0CjѲǜ{a Mwd+X[4%38jcG3jlTi3q79ܚr2ɼp9>6Vz5߈}&xuN$C]˗ ^1棍u䣂 j3F.fsD<{ cUBoE޲fj k &LK n1UI%N)8k5zs92M|왖&$DBf& ;r1)=ZMG, gu;֩lF޽Y"/6` \K1XtCм^{\F}V{=BarEx׹0vHnUi~0Z>Uf3@Cނs"f?̺/:4G2I[6ɉ<->+^Itz 5:$/PC;5˘)*߇{BaĬtfb`QLEѥac͙LkĔxcFzq:H`2dMDWmj)n}cUٯ ~%<, /PChzxeQHed#cܘɭFr/? ܃Z߄KU@+0vx-2+kZt =Ӵ|Γst\<@,+tv+0v,TƋZ$C27nHr\LC@䉩d"ݩ$O[*Onc@׮Pp ( ((a&?6ꝡ2 L,q}n[giJ2]&xN+O|oXvٳ]qɘpFgM:c6n_<ƎVE!Ӓo5r6^)RM.hs$eMt{pw9Kvr,9-bիd1rwJ#2TѣtLF6m;1X<]tp+w.]Ɂ \,W#wKYRa2<ĺ%䮧>WD.Xw@mvۺ*=v{bຠ k~Ě>ؘll/tZBAL޺c*x'yd/b fN(sΎ ,%%^L,{/sM}RyP.StΉi,w7;U+\Bp%@( LSQCZJN)SQ4V |SNΕ$:gz MG.7Bs]XD{ҹYNSUTn޺x>"Cun[,`P"} *pفz:m?vRXP|3ю ,FjuX4bpoVT=$3o jZ]T/Y!S?(d˃BiSl.X3zDĖI"֪1h;JCUiwUT } kVH=$;V TZǫɞu9'8fcGÚunp?Vuup[7ѦXUiP{XbIAodoSyO\U&SrETbܴv.FALJSf> cSjޚeR6}uꆰ,$ *nb Z_T ΰoj@%OD/H g&xZzGq :Ck_ F0b+,ֻCMSB%Y_RŬU3&yM7}?32/ ˹k/瘾MV̠1nXw"x!-k]GF bs7_x_MKvVz4~80Pۋ9R?d!a{.կ#9|@LQYE ?!] U/ʥ>@D_(b۵k8LF6ْ/6 <sgqe}>y'h칇ֽԿQirtvf-s[Fԭ ݡwg9y!OxQ}vI\ O sċ!/Q Qi|^\g!ؙ׍'8^LġA`}w/GJ&e 3ӆ|@ %.DDzxS<>, ~ endstream endobj 7827 0 obj << /Annots 7829 0 R /BleedBox [0 0 612 792] /Contents [7842 0 R 7838 0 R 7839 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34979 7840 0 R >> >> /Type /Page >> endobj 7828 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7829 0 obj [7828 0 R 7830 0 R 7831 0 R 7832 0 R 7833 0 R 7834 0 R 7835 0 R 7836 0 R 7837 0 R 7841 0 R] endobj 7830 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 195.1267 709.9] /Subtype /Link /Type /Annot >> endobj 7831 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 197.7557 693.7] /Subtype /Link /Type /Annot >> endobj 7832 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 180.2547 677.5] /Subtype /Link /Type /Annot >> endobj 7833 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 148.9102 661.3] /Subtype /Link /Type /Annot >> endobj 7834 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 634.1 166.3397 645.1] /Subtype /Link /Type /Annot >> endobj 7835 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 617.9 208.0022 628.9] /Subtype /Link /Type /Annot >> endobj 7836 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 601.7 165.4707 612.7] /Subtype /Link /Type /Annot >> endobj 7837 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 585.5 172.9067 596.5] /Subtype /Link /Type /Annot >> endobj 7838 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7839 0 obj << /Length 19 >> stream q /Iabc34979 Do Q endstream endobj 7840 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34980 20690 0 R /Gabc34981 20697 0 R >> /Font << /Fabc34982 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶKh endstream endobj 7841 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=657) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7842 0 obj << /Filter /FlateDecode /Length 1309 >> stream xڭWn#7+xM0زùx@`!nFx"Mj-'zӋ:SőWuw_A;0k/7Ň>`޾j tv֖ڜ)oIyg|y{kaRED)܌t  /@wd-wY\ DhOQCA4>j}bيB%O4jL{1y7/,8ȐTctu"3adFQVWQP䤽7'z\/k# `SƖ5ivmճ1 qiZ:oĒɼBk8[ f ō:舵NyD''_2rx#!3nV ̌Ht.]wXt\}\!O^vލt u4ݩ3~ӌf h*Y>aYY5ȳ{rΤ j6e5q2 xwV[ei/ g&obJQw!ZQ(ElXW9GcBǖͦSk~f*խ -Z5)IQy2RO1u3c:om;ȶO'x3Xi;d WAz0pdkihj43u*mZD:5i%Ze8Rzi ^egi1 $hE^!-CӹF1v5F"wciVf6Ss|ËiBވ+ŅhbK)"5w9qt%򲒖Ԅ!Mqa[K9 mNBz$}\7 GM>UچbfE DK]z#q9JD83XwO;o9g툏H[{OHvpgyvi:Ϙ,^}^3(m'i\`Vt\C(cػ;T߷xr{$]J Lic'5y |§P7$t> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34998 7849 0 R >> >> /Type /Page >> endobj 7844 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7845 0 obj [7844 0 R 7846 0 R 7850 0 R] endobj 7846 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 7847 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7848 0 obj << /Length 19 >> stream q /Iabc34998 Do Q endstream endobj 7849 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34999 20690 0 R /Gabc35000 20697 0 R >> /Font << /Fabc35001 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7851 0 obj << /Filter /FlateDecode /Length 3961 >> stream x\K6W|Srs2@=MY,< ؗ[/$Lع-_=XJ__t:=[2۟v Yԇ)~z`Lx|gn.w1ɺښ]j};;<v .xwBvmOO+'yp&+WCIfJ Mf2 Wgd?++4 'k;bd_˔M,p?>|:xz.{kBƤ ݛ4Kh1q9mnfN>PMtXٵ< _tT!1_#E€an>[D5-!Z۟NYc%Ov 0#, pMaLz`X&r>\q"B0 C2*˲4W1w))W &r3}dLj918|㉁A.TǴg/c긋sIH4?"ǹ^:!zx8x7O[#<FjGƠЇp*J|GX]GP*< aN\ޘM75)' M)WfÙ~ŕm}*>%SHb_y`R:Ι w~S+ qjZe]*cbWY;fw.y>W/G2VKjRfz:m׿P?U5jDUTG܅*E]GJX@_#qSwvCZ#:ia6{t@3` q(š&v(/; Ԋ r!c+]S1J>䓜)^WmIm`kڸnwX1NѕH{FDkhY*B?Øz>*k;@D(Ee Kn(">#G}KFv_HC]!"KF"݉@*7X@[Q %/V "Za=D\(VR/RB b#Nc$Ȅ5fϤ} }u(uaW YUDdbDTXTHyrp/ oЬGs2=}`515b^/mNjp{mq-]}"~|1㽵ZeyvAЎv,`w|e%[+w̼Q"6I8S!w A\ռ':O:.<ɣ6|\ayT(P{$!sS=P]K!Fw`ЋE;QxhQ.X um֯|)]eJDXMy~zR5<'Gwe~zlmL8Z sjyԽ:5y +Z9=~dz;(QZ}9DQ^=g7zmǔJ9PoZ:$ ʹO胝h;w<Ł&qфZLz)m‡$4Nl1]հuy|MfѯoHb|TǨC<-d i\Btkec:V_W^A&)~LvP:3!ޭ awjR>ev2FkL61jYD@Q!%żHc k>tRcЇy_A p@`ZY~'zKχ 6@TӔmbibTvRă0M&o~`&ݳ~YxOZf4Dn5s.:*͘AAঐYwXeY\wYiuMv[o]:ٲ*PW&ɛ5ǒڛ v. ^VAz7Oݫ|mJ[D \f !|i?^s)=gLXnE$^UWjbIp0x_UT\a,KvVό8THpQ62ڭnWT䠨c̱kKgv.}RƓ0|d.a0ʵyB39QŇ>b0Z>R>#53y4Q7ݗMLC3tBY~꾸+d3=6] o10 ϔ sqx9¯\s:YZmMK%_aMAIc2~ ?>[9%m-/;Pu^S{5,EolͩgbK)9Ls1Dİv_d,-' endstream endobj 7852 0 obj << /Annots [7853 0 R 7854 0 R 7855 0 R 7859 0 R] /BleedBox [0 0 612 792] /Contents [7860 0 R 7856 0 R 7857 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35017 7858 0 R >> >> /Type /Page >> endobj 7853 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7854 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7855 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7856 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7857 0 obj << /Length 19 >> stream q /Iabc35017 Do Q endstream endobj 7858 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35018 20690 0 R /Gabc35019 20697 0 R >> /Font << /Fabc35020 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n dЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w  endstream endobj 7859 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=659) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7860 0 obj << /Filter /FlateDecode /Length 4768 >> stream xɊِCIAeVOmmˋUF]ŋ/ drOͫ[t2ts_Pղh׳R)ܽ spo?X2\i̲g .x_me/jHI0@@iWZȯ%7[e6 ,N"gһRLjޔ:m\:0!FIcL@ @u YWt T Dzt.! |N8# 1._jkF7z& @֗q͓[yQKOxp˔"" QiTj=a.37|}ixȂ6iVì= "E equL=VË!ޔCЬN TҐJj Aa ij٬"8o м9 yjlLTLʮ̼ dYbtv  $Uj4X%AE5LCtglң5.1fK1; RY]+_a[!WV A -(K~qoKb_r̢C|dO:)'2%zF̈́&*N9<\zB՚'`LzHV?A?wESxY0dMNTP+EdR,UQHsKV As5x/QO*-t}v% gAubUgAj3yK>S~*G)]U@anJg`{hX5j@Z&.ǣk]noYʡ.Ȝ"S_'+Vts}KީZ+9wtȑ,ߗP.C*KQd"ז%,|-5qBl\ Zn±\RȾZJPw+`BB/\0j.6ivo4ś Nh(HZ+Ήё,?khSuѶ(&=(s&܌Mnf\fxSfά$I$ր-AK4["g92nь'TH,ΚGɲ^ C75Ac#qÔR$u+{g8#2-{;|h>nXJ'(ҁd7\4ClDž |+m4:=uo X'1$'KGܚeݷM}ڏ[M7XJLl4|j֜Ebi0͡"ȨD,bB66C@*Gkcb߶O!r)G7Eզ*mg-BR &5{%%Rs+]3~@n4Ŧ[Wx LnJ{OZ@ZuA"0G/;wfV2~lމْu9gؓok)ZUӺkëFB{zԪܛe$]٫cݩ_M~5fH!o ZmLQ1wDz Щqk;ţ:ΔZ Zz[fn߹koϙ 3B#,&SXJk#&߅i\Gy8߱c&3:] ]mZzZs\dPSݒ1ӂfz!.Amv >{y6Ժ2.iYxղژSy8*7CX6؇eo`*\V Ao#+ }#@-?]`4C^kRNׄەtM~n>sZ{Ĭ-lL`M7OϝځGb{!RNY 7Wţg#lp zβB;c{D" +o[$k*NSͰ蘶[ɼ4Ň`OʃLX+i_U֋ Fg9-Vۆ5c*u_~r:Dy ֮Irڹ@*۰'7Ҳ>|6XIMy@@Ԟ=@&ГhlW].SPo5 4+Q ;VnR fMK%y",װsGs{[喞CÿQwEˎ"=MeюMJzaQаT2x&+修efvcNu7<~`q 25q)n;&tRE<7|~RsYzE(qsJK {a+)eq-EFnMRv0압]"]!2 A(#̌?j c-CuVomiQ9s}nl9#MU+uvՎ%,Β*,}x>oTY &oN9kC7|ױY*)fU}Z>="))' [f֓_ ;{3}Lh;WOrt F%:gR|=mP}$jSu}YH;T4C M Mp5[aӚ&HyOܥG^`= 4jLR[v.ʿms Qi{Ŕ_ 8y7ď7g ~+0G?e7+]`'4j=/b^DP+9Z"_L݆%",6/ 'qEw/~ݒ<,u701m0B621Xb_P.Wxi4x4"?y~K ܧTglӒaaXtO}t F ktJ87c(%Q`ףqfN6G&uQ3}͸=T**ǿY7;+]9?sp+x:q<ѻVDh!܅c YL% qLvn_VwBrR8W8bk"ziZ78|`Ն6`^ "LJ+t0bxTb:d>szn3Lfl$ynuZoa݈WnM>,jX endstream endobj 7861 0 obj << /Annots 7863 0 R /BleedBox [0 0 612 792] /Contents [7871 0 R 7867 0 R 7868 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35036 7869 0 R >> >> /Type /Page >> endobj 7862 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7863 0 obj [7862 0 R 7864 0 R 7865 0 R 7866 0 R 7870 0 R] endobj 7864 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 167.1001 146.4572 178.1001] /Subtype /Link /Type /Annot >> endobj 7865 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 150.9002 164.9372 161.9002] /Subtype /Link /Type /Annot >> endobj 7866 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 134.7002 180.9312 145.7002] /Subtype /Link /Type /Annot >> endobj 7867 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7868 0 obj << /Length 19 >> stream q /Iabc35036 Do Q endstream endobj 7869 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35037 20690 0 R /Gabc35038 20697 0 R >> /Font << /Fabc35039 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}$L endstream endobj 7870 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=660) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7871 0 obj << /Filter /FlateDecode /Length 3586 >> stream xɎ+ίـ:` `$ ` ^]ǩF?5dX{97w_ڧw2iR_U圤Vkj,F~??)^j/ / ^ⱼ^"_ PCF-><)mRa-xJxl+@+zqJJ$|J`;|?CV{c ;r~v85*Tj&*hFF?"``P?!hm5 ܿ-(aǮhUP@D"Ζv܋BrVAcA;Ab3s@4^9 Xt81[%.^Vl gNhz/sr8cN_B(0?_Hcc؋(ɝa `0<(O'1[\&y4#tW^9´`HqϺCJJ@X'VFL2T;m_kFZAU n`Fͯ6uAvZH;m9"h*F#`UX+b1TW&Xb 65M/cΩBB=@xTNP+Pw lVM1L:gLbU1P΅==8uaڴ(lz橋5q|}mm&[6 YoYc;+Lua@ԝ@1V^sTԯl%`Iq:AїwAbM"os~6uEVnJ a踊EqtjDrڸ) ͞SxhjusHh:/m|!RDJ @I$.9ijISkOUIdNW_q]Z!.7E}r5d5k=jp/MIu*n`.Q挑* 7-zKD En킑nF7\[Zӱ I$j7&vtp|Z%-2 xd=Z@A ٜ1w~JU d^{@HWN+xpR8+}ɽ]&f@tLx0(9lW3Pͼ (DF60RN@?uNwz`ֵ{$Hy'Aq.cǢ=tV tH}E`FqRxPM#o5ペeEGk9C.wr\(kqT޻]h*ܴjߖfZG'0q[^Ŭ+`&E9V'M%jl" !s)>F1 5VE吓"kHZPj֩v=> EKʾ{gu|q0+T- TD6;Sc%_A;%,?UJ$55`;HgT’Ez+^!t>YSi6xbnP+R DM1!q77͢!d\LĂћ nrp)AS7Ђ@{JTصu8rsq|X#/?[LHHVҟ~F)[6lRq_u*^b~$zFIDZOqnaLν@'PUցtEJ6x KQևsbb;#ϣ8{zICLL@&ǣQ*yv WBp x3sn (a&Y *sJ0dL—lAf[03 1pǜEE/Bo endstream endobj 7872 0 obj << /Annots 7874 0 R /BleedBox [0 0 612 792] /Contents [7882 0 R 7878 0 R 7879 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35055 7880 0 R >> >> /Type /Page >> endobj 7873 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7874 0 obj [7873 0 R 7875 0 R 7876 0 R 7877 0 R 7881 0 R] endobj 7875 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 263.125 111.681 274.125] /Subtype /Link /Type /Annot >> endobj 7876 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 263.125 139.8465 274.125] /Subtype /Link /Type /Annot >> endobj 7877 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [145.1595 263.125 177.6645 274.125] /Subtype /Link /Type /Annot >> endobj 7878 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7879 0 obj << /Length 19 >> stream q /Iabc35055 Do Q endstream endobj 7880 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35056 20690 0 R /Gabc35057 20697 0 R >> /Font << /Fabc35058 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱYGkڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XLZ{//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 7881 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=661) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7882 0 obj << /Filter /FlateDecode /Length 4384 >> stream x\Io#WyU, 4CSgf}۸J eY>nOfo_iӷ))juOL_:?'Mx?=N;)`l}<kmyz'o!׿N/_wu ڷ#5O!ᕞ3.5K4~Vv,4~pd^0/K\),sҡt]qrg3}䵎NGew _RH:f@#@2ZOg$2|q#Q$ A"#.s9_Hl۠\A>ke^|0}j?~\6s0 NT06A$>30~Y z^<;x9m}TB>Y 4@}AҺ}*o} G ]|r`!08eI?/9>A$h *-}E^V|`Bsn3f9Vy9.k9,5 h;kcN Nr[y;i GU_\Ld1t 3|j6f㊋1UpyB=pC NfRk؞ig.2pNa Btó$\xXGP.C'RDN^Q&Idy(#eS@cM-~|D&hSFO-gj^{>tj4Q%}b2:^D }"(̇= niĕːTuI׹2[H1 /}A<;/4c}߸~m-934-k-`Ŭ!"-B0u)ڰֆY.}Ο ?TfGƉ_+ESg"3G7($+}o;aɋWFyI\ w>u>o4I(T{oqM.eO#d2Ԇx$Ox!^^`Mv+NB- 5k R" 5uȃʎc_:FYʾiG!_/"Vmpj @љ_CV:h_fWnI߫Ց±ky8'LG+2hܭLQƸx[S^A`Zk#Osju uNe;POW"*9 uG垕;V`M΃= luQ-ND}iYӜHT'[jI֞"E\[}@V~Q7}Q E{{W=k6`f&Q;gEZ9fvNЋ<4[ּI)a`'O)ULPw80R q+[VrMFx?`M63Ym^ۉme˫JUVt)q"j#qH7@hbFqsVrs?~<)(g -1]hc.GH v$fCI-JB|rQwq2F>9'ҡ-(Ļ!&z8;N;P [Q^SWOq{}q(r\9 2fbt=vL$l:ԥ>Hf, G)3YCsMX賘mɔ#Sm7 'SE~@VuU->^Z+(\;FUGǛ=.I-d+&PPܝ ü?0QCw9ID};(kv6^197(\l'' iEƅvI'nҬymsut3=yf~z\Jw30;K (pMӇڞ( ͤv 6 _CCT0d2s(](=V OiN}W̤l61ӿ#2&r3t1Qi"; VVy .(s0 @Cβf$a5طQĠ ,PW&ț9ƔnbR6-[Y7]Q>u.oD-p,st4w 7T#$k*Rg&javN 4||gܞЙ5|VD,7zv֐JMpɫ2 El{\ f85_/gVR*J1eJ|#j wt g 8\%mHKsC6/%n KD1AuYM֦d}ʥT^b `YtSs8Z&#պ*ho]7s!]N|lu[1exm{aSB&-@Gt[w{پsAy 7F e=\6\vP'!.i|#obmKHi0L +b 4va|^>%I'.?֫y+a0&LZUwA% /\`t\7']x[+Tm?WL7)+7tg?#S Q>O x$e겁 endstream endobj 7883 0 obj << /Annots [7884 0 R 7885 0 R 7886 0 R 7890 0 R] /BleedBox [0 0 612 792] /Contents [7891 0 R 7887 0 R 7888 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35074 7889 0 R >> >> /Type /Page >> endobj 7884 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7885 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7886 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7887 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7888 0 obj << /Length 19 >> stream q /Iabc35074 Do Q endstream endobj 7889 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35075 20690 0 R /Gabc35076 20697 0 R >> /Font << /Fabc35077 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7891 0 obj << /Filter /FlateDecode /Length 4523 >> stream xɊy 5/TVU|kh1Ն?m($ef̀MNmۗPͿzV?1ӳwsxKѿNW7k= aQZ-)Dk翝2N)f WOZ \i̒l q\R<^Wv}Zt yׂh܌o!ګh/O80"UoJ+0yU.:x[Wq ޞÄ9ԙr8Ν't Tx%輿:9&3"+3tc\O}yf4qttgd}7fǚt̙74MVy0dnVu4v9i@]^`W@4l*L^ioӘb#RRhR.2 $j: /H[$"YD@) ߽`A )͹H+P㹑XK(h gzIX<ŢY.2HY>z0UM2T"*M ĉV &+Q)6@Vۊjݱ"IzmApPoDXתP-Bɶزp\O7tP@};uDq(nB^DzDTJ !5vyк/wY&%m<\ RDP&WGl5ޛriI% `^DHKґ.RM07ƽ]KO [҈U3 ܠ4'>$ttK2b" >B6Ut,LOgX]!đ@sl2V#>?$2ٛc͜&{]N,o來ST[']<:;>^-E,bK:jIw(!xF' ,["BT]3WXx ]j^&:!/B pu[!1h%)Q/]F+ے:Q]9b nfޒǔQR0x4>sM lOQU9]x\eb}<*Hr{Ru׉LS:%a@C[0N^FGjёEv p .%lfheF&SԄ]A/dHi2KZUH5ruԠq=cZC@/ބ̷G&]Ou#a|NEҢcWvev9~nƮBKF?1 i3."^,hH$Ђꔛ/KVaӚbTEA [ݪ|9V0afNR6:68TLZ7r)Tj6.ZԹ!K8  {$đK.C9os4卅ؔkKGb0H o~ ЪAk * "ťm_PC<oWWO\DJ64WRy;k'"5 = r_BXxl>XOj9ZJq*\F !,j^EpmNLXMչk!t*Ѱo)tHW搖'>_)\J1.]j\HwƏ [l}BMUW0f<JGG+YF!EŒKF gn̓3j11J}m~ڂ#ÿ0ۈUzU-_~d97!c ws`7 žO3˛_ۚ1K948^NfrWs9jnW۾tW}HvJ)}A`_P;1[khe$lg$c6lwqҒӦxU4sPcx ܲL; GT9$FV7eXWRi5f-07~4Qwήny6&Mf0fhm;`?=d;e-XM6|dwy<6-mn TРC{{70D"^=pqNY=a1o=-GrZӻ<Ӏ}͑@*t"gF۰F{,}E>^dO$cuvM2k4e`tU=rs\oRZǑ:I1Y3umڳ's/Ц 4v+.S6dїxi8t%c5* -q QZ )ī:¥ ;즇?>=Vay|˚ЪVw[\*pޏJ H)5;.\:f/[Vnso\PvlƢeHMeюMJza[MbpؗȾ Y/T_2G3185ȪUo_ kk .w]K0O._2e)eD dwZ(F+7`ڽq[c͖>ϸ'*2{{|7Q3Ez>v!t7q=7X V>=zht,}u2XvuU#Pe_b_'bB-=G9$Ԙ}U< `IjL9zl/RJb"mڗ+ϾXmFmCȸq7UB^xho *{ Iu.=C'PpG'HiIa/lc",ȵ)b+]"]!2 S̮L"ʱ:p_Ǖj[Eo2{N2][8{]-c Kc틷 C_cW=VK}hZڴϠ?]Nըh5% i5/Ke&(m![OooWǿ 9GA9A0v1{E[%!vXE| Z.݆h}dqi |a}Z_/!"i#b44s]nxi0=ӈ ->ݤ:c| = abcz4YS ߱҄aȗD^%.5 &OCma*B׽jҍK2 Wax!U3"pB\4e@Nfqau>1pP7H[mF,lHx I;S1wtPq Oio ]+GѣMbqw@ZU̚Тo(.ZnU7>9{ZF&OBGG""pKXۆqN'HAqH)$x*q)cq49T}$wNte_$37T{|V8b\zkF!\x"m<[SxocTXFK^&OUì^֩ B|ecd,4A0b#MGS[*lH&ڎMv=^w7/D_ Xc.ylNq阱- 8l3S GiT, 1w҂A|~-u4]Uws3'gGrFށPֽu3Gcq4GᄡTG qUH> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35093 7896 0 R >> >> /Type /Page >> endobj 7893 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7894 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7895 0 obj << /Length 19 >> stream q /Iabc35093 Do Q endstream endobj 7896 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35094 20690 0 R /Gabc35095 20697 0 R >> /Font << /Fabc35096 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$TrS¯"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]h߄}j]֠zfQJxpfE3Rӻ6{ik_KiRGcb>tD'W9F&J[㫤̵R̃sV7&o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}7 endstream endobj 7897 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=663) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7898 0 obj << /Filter /FlateDecode /Length 3971 >> stream x[IWl h@n!i;<ZgĩiQɷ|o!EY{znIo)/6i_=)=\ \(raߗ?TQg'mVJÖq<=%=/ ⾅uW!):Ɨ%*uw4Q >P:CX'+ùMR5IUfϋO3L0tT}7p߷ܟ-S6]!gpHD-,&*5˴0ԟlfi&+5J3Du% z V?/,9g<ib҄O o bJ sB58hN?'1(.>u,0W^wάT\7G{Z AWWV q+{ziճШX^8N/|_k(Ob:+ \$aͪ?bxV[]XiGNrN{"BŨyD[e1\4ku"%gPde9ʇ +LWV֏\d7D£8;C0|b!O{ t4D3f HcѸ^pG?F9@^DE.7iƧ1apEGq#]P fIhOפ!޲X+x7Ø Em;`@igf(BN>Gt1X 6h!?\'È{3Ch f`F48ThΤfQA5v|y%H!KpovBv"V"hOh ǁ.]H_ǠJS#>ʟYOPZcEP:N*cQ6{ZJeĸ@(?Wݝ@l=Ǣ1v[)?Hד1~:V/P &Y ] K- o(-C8R2.| [9otN\bXoŬ0TnHi*h &>rf)-]-?mѝd&J m(S,ƪ*GS wnMJWC`w?vE}bTj'VYʵ j=Wx'6IIkm&~MdA1p-8j/c47gh˘ըo Z323Ò초Ij'Eq?ƯM~BQƶB~a#GgaŪ$$NR_O*3vj2~D5T1k1MHcWf1oYqwVp4e# ,BpX˚,apN(4Ӿ -D%l歶!)R[Z*KP.j fTbpT<dFAo;L Kqx?E>L6$jfw\Bi`dsFh]{)FM TS\c:|ߔnz+ 9l6Bτ01fܛSe7:;Op tex\~a ñ~8;LTAs䌡q{_֞a&X>MlhcZAƠQ+d'^:i#B/ ϶hen(#_ٜ+*}Xɷg¼i<^w%T[9-&[m{嬞 #HpށmR䢓#\C5جJy`aA=l`mh{#ۏfx`ܫDՑIяN8Tzb`2Asl#?8Sk$X11r#T}[:q2^aG18Gk&S*Sa׬6;otetX<o25؜rT- doނjzb~*Ѿ~3xsxp 1l4)4r:Zmd cxaKp=OPPŐD dE-i֏cr!,.P%> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35112 7910 0 R >> >> /Type /Page >> endobj 7900 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7901 0 obj [7900 0 R 7902 0 R 7903 0 R 7904 0 R 7905 0 R 7906 0 R 7907 0 R 7911 0 R] endobj 7902 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 149.5702 686.7] /Subtype /Link /Type /Annot >> endobj 7903 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 164.1782 670.5] /Subtype /Link /Type /Annot >> endobj 7904 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 217.8802 654.3] /Subtype /Link /Type /Annot >> endobj 7905 0 obj << /A << /D (unique_444) /S /GoTo >> /Border [0 0 0] /Contents (get_generated_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 627.1 207.9582 638.1] /Subtype /Link /Type /Annot >> endobj 7906 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 610.9 164.9372 621.9] /Subtype /Link /Type /Annot >> endobj 7907 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 594.7 180.9312 605.7] /Subtype /Link /Type /Annot >> endobj 7908 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7909 0 obj << /Length 19 >> stream q /Iabc35112 Do Q endstream endobj 7910 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35113 20690 0 R /Gabc35114 20697 0 R >> /Font << /Fabc35115 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7EthǬ_{9;u S,!.p' J<jer1"-) F͙h;Id:%*2%ī+/ה b6M CZNNj~ۣfiW!g3ɅQQMwĘ d?R4 <~UW<7!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7912 0 obj << /Filter /FlateDecode /Length 1285 >> stream xڭXNd7+t=-h!E&Hþmɀ2vqT|BjruE>cR?o'SR^_nPNpl"Gǿ+B+9%}~6< C`l*(({9p6!ޜv!u)M@Hس^w;E{_5ZYs_}J.mL5.Rfwmq>[w^& k4ܠhI“'A8pl%i`M u₇tS1έs 'U:( sx䲋O/ɹFWxFP ^2ljq)GMPA,_S] a,BU\ȋ4ES#j:iAt6-l=S5a31CѮЊI艎ݥ6)WO379y2 &C jU, Kgx3D ڏ}'_hᥒ?y19W9kM>ejذ3\i:i7 ů'Z.Z_^ .1_A[ Y"EMeCkɟT67p{3v8)}ϴn0QxaS% >++쪎flYqk"l `I>ÓaiC5Fp鈤khi43UB[8'ҩI$.2ռ4ĞXU|.=4f"ϓrnȺI~:QzޕqG4]FM\gG,]_Łh'O[37nN=d V5~ڦ0v46rHyI6Ϫ*$rx2`\n9J=,]$'o5P dL 6BdPCNփo9Y9w-ylq|X31:ܻҫ6O4ɱC.0\(#w ЇXc-j׌D4ǔXb7;8nhpʜ5> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35131 7919 0 R >> >> /Type /Page >> endobj 7914 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7915 0 obj [7914 0 R 7916 0 R 7920 0 R] endobj 7916 0 obj << /A << /D (unique_56_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20210608081201-08'00') /Rect [90 377.425 191.6455 388.425] /Subtype /Link /Type /Annot >> endobj 7917 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7918 0 obj << /Length 19 >> stream q /Iabc35131 Do Q endstream endobj 7919 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35132 20690 0 R /Gabc35133 20697 0 R >> /Font << /Fabc35134 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo X endstream endobj 7920 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=665) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7921 0 obj << /Filter /FlateDecode /Length 4222 >> stream xڽ\͎ )t^K tuwm4nLCRDٮI0[mK)#ER2^7ꬎAU:|Շ%`Lxz'g𮴻ٺ4=*v4k=b]Jh{ok{o|-k)Ƃ0%%}%Dq UK y\U{KXvIOM0ffɬ1v[BA8`8X./FS1%`n<&_Dvs}[;@{i]~ =m\gW)mz]R*dnDu{.яa +hm!D 1Ϗ$K:yyDGR'ۈ{!v}[r~|U~i)_ vf^.v ϪFΦT xQIy yV%]-jFeo.nNG,~GQTf[O%"KjnZ+72ZC u;<&xQƒDD]@PU sNvB_lx6rHOHdf8>謬K:6aEHiὣDm>|ܨ3v^ٟ;+  dJR*|Pj4:vWG#. I!V n Ja3+`B1;3i}37m.o{?Op0*1T Zb2,$.z XZf'=aCHq1 &f¬ag{ݸFf$*@΃ۜ/ c6YN4cŬ<+4Q(6ad(ZWR #`B39_ܡ4w6C#{fiص!m;$'}NW[qcAZ152cvvMD]|{3+(vnЋ6H5DYآ>|'C s_n-j lW0j\,$!;['jP/I]VX}wh 7Y32 a[7Ď; Z(.2"pNW_soUm*UVLBȽT͕p\iP&/C13:jA3/S3X S6nAU'^&at5.;JI2|kwoU@_5hX}PSej p{+u<5xfhxzhiBZLq0'ULbϊl Mq+AU$B*w5S7DLE{qqRZ?E'uOjxcԉRVU&TI.DzTu)7&V5da8q GAq'DUpAԎ1 ޶7PX֣KB>Z 2GP'{P=bCR tϚV굾Uzoku^Y?ZI2"J0#"V?Ԉ;fU6osѦ%q|;S0u{0([2 ̡< tfԉ,cqWceP<3({ nY\&||}#nEiBEVPQ PDGU#b8}e`W >,8y dV#.Ibaݶ0/]PWHaeAh; lx4ArmMTp2T?2*mAD ^iɵ 8CV٭ rwyDRVo \";CCqyvwl!4\1ɣL}l.'d=?f{)XX Cϴb{q3Q:WE=mCjCi/`[! LhYMu.>onmcW `BYU6<Z\c)EJlY t06V]@Ja۔ WNB}JhaGu%W0 L^44Ck`O#f1NXĚ'v=icc|FeԞγш5ԞYFiE۞+JKU o(^aL#sY菺3a]:ٳFy liEz,#ۄwVmtY:*@a+=1H`G߃`i +g[}O d? 88 )\^>-h!8gcɄuXzoyܝ7b]AM~`MflDSSq+ap6,"s>FuE7,Ս+Ѳ`l|g-^7Z i#ͬ6 5Ԩw6BX6+(Dlsjc}+u h.ϏڜPB4KLxxx2I>GA&X Ko!pwY}"5B7M-X.1Y>"_ 7 $k 6K.B ?Ac[ ΋5Kq@9HFZҠ|J׉ۼ~̹so\W[w0_[z-8ګP`8< +V$њ+:!~2:ʵI{9BHv\Ĥ/Mf'x/UuUhf ],*c2CDNn t?$%nW"x+3]fFFݐ]XԔ}>Vl4@]+HL cKhuZԻ zW>{o x-hL0CS$~h?_P],WizsjuďGj4tnt @.xd}i[] ^ҟcO^]‰*3I(b_ilTS[ ~o\UT,b)6g*|!+`g#HdxAz 7iڑ\kZ3:GΨ"D%J^]9Dï˒ϣzg956afz4KEvHpQVd[/nw䠨aQnϽ /凔U|?Wz?ck17nGCYpZ,Gp/Ç_S߯v>Jf|7`q,Na.atTg82(Ԩy2>esqƵS7*DnaIg endstream endobj 7922 0 obj << /Annots [7923 0 R 7924 0 R 7925 0 R 7929 0 R] /BleedBox [0 0 612 792] /Contents [7930 0 R 7926 0 R 7927 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35150 7928 0 R >> >> /Type /Page >> endobj 7923 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 362.6578 381.3955 373.6578] /Subtype /Link /Type /Annot >> endobj 7924 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 309.9886 396.03 319.9886] /Subtype /Link /Type /Annot >> endobj 7925 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7926 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7927 0 obj << /Length 19 >> stream q /Iabc35150 Do Q endstream endobj 7928 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35151 20690 0 R /Gabc35152 20697 0 R >> /Font << /Fabc35153 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7930 0 obj << /Filter /FlateDecode /Length 4456 >> stream xˊ$_QgAa`{F̀Ƨ%cv ڋ~wUtZvWUfdd#2j_Hs¯R%*%_}ӛYZnS_ru-ߖ=I۽ߗ?h*o\AS6X)yO<-:ꕗ|(5 C̷k|yaUm-k|xfnޅKqRʭAI3*i e2s"U%kW!,1Y$!u&Y/jUl"!HZ h^_d >Q 5$`102/3x3Nfn@qoVbTm%-;au,*0;x˓rރdoL?5KpVi/AmUrUq՞BP$}U܀S( D\af e 7IFc|̫TmH,0OZ4`bYN\:b%R{lP/(҅#6߄r1wv@ s", clOeM6;.G3l[wN6x7`))D5%$I%r9@f`\\lICpFfQaCUZ]؉unSSTuY GFy<}zɭ6¥vsFY /#e8ذhP>A,QW@ib UT;ډ=< RɣSXqcT.&~ȧ=Þ+BnO6& 3jj#ԓDf_QpV] XMԣL)<ºJiXՌ6&չ¸27w-y>IbcFd|\c2mt%b.IȠL"WHu /@T_8\~a ɫBWhV%k)]\^Mˌ~_~\7>ޮ,V/"ђyu{ ;} ҅2#;[-CV;oa'`+)t1iΌ!P/(ۘO|x|mw!eDQyV3:'r"g"m~m5ȉqZȼPޡ5Y[QR O!j,Þ ptkV(Zz6*-k5A1hnj؅fϷQzަ";1Reپ| N˩9 ׇe?Xܘ'VZ|_*;Ku ќΙj-ُiV))ɪlQ?Q`0tTWTry 5pl0ovAbUl &!VЩs)i Tk9 @:Eз`xo'zјB-a{Pᣟ9s;̨$LWpIyDJ%=gv `v]I,뺰 <tn\r fExڞQߐCt*~gca Į±U?(> ˶< [5n)e8"}ndvd@#iGzEqx5>lK~p[>WPpG s祱[-:> A=\ӽH$}2݃;]Z 0۔M')Ks^7I|Eqea0$C1:($\ˮ@mq( d yfc]c2xTl=eSJՙuW"R?KNEH,ZRa k7R1gsήAΞ>N[Lٝke]3R AޚK*RxWw6Kѹ;-#} d2)eH1lO̵Rx , VvD}-x[_[P6yT2 .6 CswsKslY ʡKy8haܼRs*qMcاU&[Zڏܝ+ԗVvÝ-cc玱RSsMu>vZȝE '{-.-@bh0kH^.l#62`{t5%Vt.kIvvT2-wJ(oŞXa~/{QV\,J+P!hau1F $%2Oƫ.f]4\Z65gꫢ`dNmRV X_,_}@8e@U J .U-[=P.WTC1[̗1ȋ(.nRv<`r{{4&)_B/ rknklȔ \3L%&, 1j5k wa_Ff:I঍xAi A9\0pP~7 Ha1yX:Έ{wBD7` ~ѨqeYax%6;ؕ:{Gу[·| |Qk[*6^Z],Т/(.*&^Pq*T 뀻?:2O 8fy$柀ĭސXm-XOjp%R/xI8Gy<V8e49T}$⫯7*2vP)L_Rtu Xဵ K9W&drkGXRER_γ%/ Dw0u)otg]eBuR2N77pBpn7!DݛRC@j!:LJB`rO1uk^L]]|l8*Ũ`6TM&)1uc s~f V.xK gB9Pt>AmHMQWBśg&1)01oqz N|2f:wt@e"~pmle+GleX~? T<џ9=76YWBe`1{a$yRXΤDo僩c΢%I> endstream endobj 7931 0 obj << /Annots 7933 0 R /BleedBox [0 0 612 792] /Contents [7941 0 R 7937 0 R 7938 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35169 7939 0 R >> >> /Type /Page >> endobj 7932 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7933 0 obj [7932 0 R 7934 0 R 7935 0 R 7936 0 R 7940 0 R] endobj 7934 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20210608081201-08'00') /Rect [104.1732 389.8077 240.8977 400.8077] /Subtype /Link /Type /Annot >> endobj 7935 0 obj << /A << /D (unique_76) /S /GoTo >> /Border [0 0 0] /Contents (remove_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 373.6077 251.6778 384.6077] /Subtype /Link /Type /Annot >> endobj 7936 0 obj << /A << /D (unique_77) /S /GoTo >> /Border [0 0 0] /Contents (validate_cluster_configurations) /M (D:20210608081201-08'00') /Rect [104.1732 357.4077 253.2397 368.4077] /Subtype /Link /Type /Annot >> endobj 7937 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7938 0 obj << /Length 19 >> stream q /Iabc35169 Do Q endstream endobj 7939 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35170 20690 0 R /Gabc35171 20697 0 R >> /Font << /Fabc35172 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nLЎYzrq"eYHUC.$.p' JdAb2 ALF f78ECIB%9Wu%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxoBD>.PkP=(%NW!ӘV tw%BJC (aNs(?`|۾{w\ endstream endobj 7940 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=667) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7941 0 obj << /Filter /FlateDecode /Length 2543 >> stream xZI$W(66\PU16CNP,_"Tk 蕫_u:],u7uzx۶֧Yhl?Sy8svw ^ } <1ĺdky/.,ӍkzoL# ՏyID67#4F9|{kL|hMMIOòE7 a_t刘WlgKv\1nFB6q.y{x],b˛t/CPu')nz~ATӹ&tr.4ktf;;*t )|lObDCzΆ:!i?2rIPܰF_O Sb`d`@] GfIZX;tЁ5:( 8Ӡ6wiİEm M8R亘X߅?x{lV_p0X߼HD^c!;Vpk#}qmK\qaPӑ0Ҹ95ᦈݵE>f]?1 '+ y; KEƭNpӶlp!?Clf8W%"}2? |Wt1˦5 "JesjonP.CyTp^O#A#ԛyc-Ro aqka/ pHRʻ]AP*$MF7ӯH^!o3xVu>E滭> %}?uġ*Xl _SS57,~6-L PNb \_09JJHG ~B87aAgqC?Y3pFvNm.P$c:I(; bz=˕XFIZGch\s'mkqIMe~hR ` &"'!+icpvbi[ԒpD~WTHH>!Kl;?+re58ZR’goY~.q Fvu@6G Dg)/-\+oW)G?׈34JZ1iQhۼLysuɹ~7#yg^6 W޸EA"-(}C:FݧRϴcPi'8y1gL}v}G婯vjk m"a:eX&oz}PD0g/7D.0vpK )jLL_K6jbz"W@8/dĚҧ6 E`UlV.`ֳuMUQ6g}R^24=אfRՈԽld4 s qeO~%xYfl[I̪4@:CnnY'̲tĊ>7'5ڬ8PqF)^hwјcNmJMqux-ʚY U)muiFσrneFT 1Ʋzkw86ΟVNYQN8|/w3&lŨh'j7 :d7Vj?) *^qK:mfs/y%2̀YCV+/,=?NgQ{)IrWeT{ e o=<!q/..>B撬͡;)d Qxm'xv)gќ@W`S*;l*lwkPB3}߷xIepYKx'7to#Tr6bP~ C{ ;Q]7XX &NM?;|<~QÚIO:HC~t28DPR4=T0_,9ҿ#k endstream endobj 7942 0 obj << /Annots 7944 0 R /BleedBox [0 0 612 792] /Contents [7951 0 R 7947 0 R 7948 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35188 7949 0 R >> >> /Type /Page >> endobj 7943 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7944 0 obj [7943 0 R 7945 0 R 7946 0 R 7950 0 R] endobj 7945 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 354.025 122.505 365.025] /Subtype /Link /Type /Annot >> endobj 7946 0 obj << /A << /D (unique_56_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20210608081201-08'00') /Rect [127.818 354.025 160.466 365.025] /Subtype /Link /Type /Annot >> endobj 7947 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7948 0 obj << /Length 19 >> stream q /Iabc35188 Do Q endstream endobj 7949 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35189 20690 0 R /Gabc35190 20697 0 R >> /Font << /Fabc35191 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 7950 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=668) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7951 0 obj << /Filter /FlateDecode /Length 4204 >> stream x<Ɏw~E(;@Wʀo`f Cc㖙U]R)L2{7-?sG^euva) 7ug]}9엯/?>3V[d]|muѶ4#;}U?j$K4Xyx,YNkOFo}k_;sGaK\u6|~X<=ᙟA_.{3TfXl+D0 y)9BEloqFx G ?mi`٥]~mfyED3 |/"ҏxH_=9ng0;2hgnR1:[xuVOH;.;"!O!M/m M*%,C$ Ӏ'on] ̸yPjj 뚠ȣ t{ff .B-jU*1c ]N⏲#;GZ/l KN5ppwA\GjSR,b [xxdV^Ztz%{xP\qXouꕭaoNG1븃8T[OkkܸVo2+}T8Z}׸&.U5quˇpu͛~{Q׹ECU5Y4F.kb='\J4GtB'3|xec9 Հ1dàö% Wp`eDHKHQp+X$khesG̿|t5 8;.dmX߲L¹Ɉ;c,rs"7NOz~o?5WO3a}$Va0sjQ*%bB !qܤT}~ 5Zu%A V+^QU %`Y, V@9bx0U}a1,%T- jq oWqJB!wИfE56s TƵ0UEO⁌i2X6.&e9z1-3 *<.$ܬA`!mSAM6 +-+[lԱie`xd+)lr_% Õ|ԋtZ*4rS3unzf؄z}uQiߨ{ciӥX&O[UG\runE(amYQ`'S̍VFFI7ܪ}{f?9OM:T(GCI@♿;2gr8I^;5NJóY(#]V5mXwD&GIA 1upv]6g8PUi/ރdW\1]4,U}׉߆#]x)RWsm]#)q.'O6D+<>tl{`+ôrFfԀ K`z'.<hUA34Ϻ}m6MIxp-|5Ǿ{N}k5ƃt-~3KBРड़7| Rҥ,Oܽ?3z၌9;D x9~{PmeUdGa^ےڑ(MٻQ[ϴBA!i brܯ "ޕn>%ӈ2!>(?VV2Ξ4f:j8Xa1 [Lw& 02 <@::0{쮘רEέq(V:چ+p'Cv~sA |=G_ آɔ'3H`f;\APϬb9!dW4")=zy{XpSW8Lwr].knd0d <~ |Aض2d $3-cw= fv[JTL7uɕ:A x6 (g5Vݔ)Aa&:p-rs jaFAlܥC#ҡOFlއtrX۳[FpaWMƢJt*&:e`˖9cC85g >y4(e@Er&|Y^J (e+4\Hq55dRگgӘlܒXPSokПʪu 8tɾKo%R8y@stj-Q]Qr4uGX;VV>.W]t͏7ٖdK@c{ >'lڸ{VJ}9t8mlA3swzE|N0 k@9Ǚ.y՛[tUyaiS㉛ijl1+6inUA\ T?H]VSa\i 3:eA&+X 8/)xj;lML]V̚M&\>s0{Ƹg}e>+@)v뿂V:D Y;\1_|>!/@[wu-<=Jo@T1<vng"mkV ~2=r+'Дձ~R% !H`&C_cLgv~_ń4ˌm1WbaҍҰ`0O( e? 8 - ".d%ԨAb0J7c[+ƚqsIoDoһ zoWSoUNR[tn92O q_bdMMYTĽFSskQ+ HՆyW/F{cV!pOe6E^P꘵bIK>|E߅WˢȅMnB .N*lH.ALvUoMloD_ԗ9)l^Fa>leKwgpaYEp㢒r׏n7qr l{;Gr'I2gRm 7RV0YȔ7=kΗ'}./g#<(/'.&?@Lƥ+mjʢ%icz?~BE^we{``_{PDn(0yW̢ @ ۻx2$e endstream endobj 7952 0 obj << /Annots 7954 0 R /BleedBox [0 0 612 792] /Contents [7967 0 R 7963 0 R 7964 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35207 7965 0 R >> >> /Type /Page >> endobj 7953 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7954 0 obj [7953 0 R 7955 0 R 7956 0 R 7957 0 R 7958 0 R 7959 0 R 7960 0 R 7961 0 R 7962 0 R 7966 0 R] endobj 7955 0 obj << /A << /D (unique_5) /S /GoTo >> /Border [0 0 0] /Contents (report_constant_paths) /M (D:20210608081201-08'00') /Rect [104.1732 376.0192 212.3912 387.0192] /Subtype /Link /Type /Annot >> endobj 7956 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20210608081201-08'00') /Rect [104.1732 355.8192 184.1267 366.8192] /Subtype /Link /Type /Annot >> endobj 7957 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20210608081201-08'00') /Rect [104.1732 335.6191 158.9697 346.6191] /Subtype /Link /Type /Annot >> endobj 7958 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20210608081201-08'00') /Rect [104.1732 315.4191 165.6412 326.4191] /Subtype /Link /Type /Annot >> endobj 7959 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20210608081201-08'00') /Rect [104.1732 295.2191 218.6612 306.2191] /Subtype /Link /Type /Annot >> endobj 7960 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20210608081201-08'00') /Rect [104.1732 275.0191 166.3232 286.0191] /Subtype /Link /Type /Annot >> endobj 7961 0 obj << /A << /D (unique_624) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20210608081201-08'00') /Rect [104.1732 254.8191 186.4697 265.8191] /Subtype /Link /Type /Annot >> endobj 7962 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20210608081201-08'00') /Rect [104.1732 234.6191 172.9177 245.6191] /Subtype /Link /Type /Annot >> endobj 7963 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7964 0 obj << /Length 19 >> stream q /Iabc35207 Do Q endstream endobj 7965 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35208 20690 0 R /Gabc35209 20697 0 R >> /Font << /Fabc35210 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w endstream endobj 7966 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=669) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7967 0 obj << /Filter /FlateDecode /Length 2620 >> stream xZIW<@.rk9դ'tCF0Ifjmri Ox+ϯ9,wuzV~޷ 3Wn>j!_C9C8@2I3y`]+-'$4mkk@ss(|إǣr#eXj<6]Ol9!u'9ɉ$swr:jA{tX8.騽;, GHy{"pFkHSVC v0%![i蝽8`ZкO&61rxȞtMvU1aQe)>.@rɊݩav䃝GyPfrl19MT{AȗCGH>3s*"؋`vr b0=<]+@-1\ %W #;t8BCn&J-YD!ZecŐ u=t,ʝbuXvyT_Xmʁ}i|,7Ua i7L,!C!˄@A|o!5i]X9ⳙsߺpo篹m=@7:VH%vnoMۜi-dI:ٻr *-NG%&bwt'\@}Ӻ5˜nwo!1_i|nRE렝VEK[p51VOЖp *=cJQN"zuJ a0^+.Z9!rX/:[&{L_r\2 VIq=\qR|@C#pmGx;@..yt->?`A 9[C[& yMnBU Iu읨ά nzHQKanXgW ;J2z!Թ7 A4k [pyCz!{b.LC7m]=s (ds.,Vķ`UB?^T7y#'`'b(ţ<ġb9 8]p6ҥZ]2m^uf\$0< m ա^ZQcrx˱߱P-89?_7ڝig,߀kq%ƯJ6Ύ+ lh,kҢ:@C!p-"θrkNv P1pZds+JOUr0S0$RgAep;tڱ!xj WQV^L@qibG(Z +Њp||Y 5HG-2FYf"F` +t8#`Uή y7+Hko]A>HRuJ}ރG\ G&pn6uһ'I2D.*)?+jwނ 'xA?q۟1Qz #[Ta+rsd7M5$~^R0 u:o?xe)A7a/ߐv)eNҪ` 6ExjH^O+6'wrL5dū1Hۈ19~ - _uD5E@h.iE)C0gCA`ҊH'Av'.saG+ՖyyxWoj.-QSpfLhs8W4/0;4&!Q6mW}(g-q8Y/`g,N`D]fjE.0_6y #E ௵|bzEnv%ڤ}d#Z1XSTo4f )6O^TrI+JwyQD#HiqCZ#UHU#RpŞ-q hG*z|Fx9&R3?LmNRU MC+(Yұ<#:LĪޡ³^ŃI^U/uSg-oM3G:J a^d4 FMd<.6CژᦳC4S| eܼ_Ӳ|y;^}a48YLFGyyOB/qjvߙQ4a\ q6>j/D\22zi)4a0S- ET.w#5&x%;鷞 wJo #|L YUOPK@z->xt7Fhkv)q 5u1^FL5@o-ScV΁<y ~'EƚOl:Ӌ`7hjcVѕ3ΥزHݓ endstream endobj 7968 0 obj << /Annots 7970 0 R /BleedBox [0 0 612 792] /Contents [7977 0 R 7973 0 R 7974 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35226 7975 0 R >> >> /Type /Page >> endobj 7969 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7970 0 obj [7969 0 R 7971 0 R 7972 0 R 7976 0 R] endobj 7971 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 430.025 122.505 441.025] /Subtype /Link /Type /Annot >> endobj 7972 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 430.025 161.9785 441.025] /Subtype /Link /Type /Annot >> endobj 7973 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7974 0 obj << /Length 19 >> stream q /Iabc35226 Do Q endstream endobj 7975 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35227 20690 0 R /Gabc35228 20697 0 R >> /Font << /Fabc35229 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [ne.ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%eC7 ufg[X̳Oۏ"bH&|%l)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ* endstream endobj 7976 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=670) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7977 0 obj << /Filter /FlateDecode /Length 3952 >> stream xڵn$ί賁M6 ,4|s@ANA S/Şv,NU,֛5o[,l~>T]jΟ?]4ĵ\uۃrj{JE哑>zOcER >Gv)Ogq%8|G_X?-v[!Bo?h_`ei78uVŦFh .@8ضphOfk ;Ewy0u%pR4J7|0Г Mxh{e@?9by߯M}egRF@^T;ԒPcѸ# '$̲F-v![#JCk]ua#@B}:J}Ja~Y{V1U(ȪFWW!fk) ;YY,#fEsA>hFFGte42\-{ZƊkNe24xJ|ңNcosx,ڽp4kE~(:t Og#t}ԃGq|2>U, I yq2NF]u(frYoHZG% Zx6ns,r`r) [4 !V}g Lʬv2 QlD tuAFJƄ=t #U%XE*cR U~\8 oW|[gJ erSng0dSKalo=NiC'36ad{"/` ܲ{s׆"&.3?-3ҘeƬ3f;w,uX 0soIYNϟLbom8y)S`yPv?#u"}Q0B?7%=,Vu5u-azRT;=٫3qW?]LDHxb j׳cX֜rYY}vaX ֈ^y+3Jē( JAN n€P$cF!C^nx(_ug\yR>J4a!܎DBituM]EgDEUMe{kRX&KHC'p6#lf踊$#5|ȝAGDB824(Լ&S#V/{ך*N / Am1҃A\ f CRڔ.)M m4?ͲPsOIuC}ucXk a07iGqʞ kR5! nG~MDoHTXU&a_ 1-+&_4dB)tmⴁ8umy 1Ԋ•ĺOݧ((f?HIC̛@UH\sP̭[ìMYfN޺ّ[Xy.o!sis]ޯ3mW{f+v6L j|8dl~}A.-\VtESSΛk#nMB^ g)9*O-Hָ2+;A9=|u PN-ы9?QU;! `G込#t7 Iî o-&j?zap}yP6Z?b32wbJ+X[L1]Q|&oc?͊ί[bi&Q͜ c'{5o>% (7Hcp 7>|dHt)̾fGڮ6%!"XVrpu!ŭNrɭە63+si-edž(;!sa"ҀIO.-^"ȕUh$kF+I[>LE`2-%!9@,%_i%"USp=;)j`ai@ !:r&JEpG\G'o<@u)/\ -; !+:A':ֺ m5˻1';YVnR&,tO c1k\9mwW,3B@y-X'76 0y&% X[aa)/>Hd \l((C3| 0=Ozߍx T7xW=(FyY330Nin ."D-w;Q(-o#5p`-`YK҅ď_P\ti*s ?ZXQ@r!#;# xtZZGp'^%7N2TgAĽ(cq49Ա}pEk}PcԨ$;S Qjԗ.t~??H/i"䖶3,9m#/:رM6j zoCh,׭PFƸ74A,791.qTLx Mqv[ ML%|"9=bsR~cO֕ 7e i0=U|uRSf _;[Wl5)]? /zTHѶoaS/b*9 -HgGrg)up/IҞ`/E'coM腢Z~ᨏ2z]2N *ȽsOPF< 뽔EZLś# ?FqǜE 70L endstream endobj 7978 0 obj << /Annots 7980 0 R /BleedBox [0 0 612 792] /Contents [7988 0 R 7984 0 R 7985 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35245 7986 0 R >> >> /Type /Page >> endobj 7979 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7980 0 obj [7979 0 R 7981 0 R 7982 0 R 7983 0 R 7987 0 R] endobj 7981 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (create_dashboard_gadget) /M (D:20210608081201-08'00') /Rect [104.1732 675.7 227.3347 686.7] /Subtype /Link /Type /Annot >> endobj 7982 0 obj << /A << /D (unique_523) /S /GoTo >> /Border [0 0 0] /Contents (delete_dashboard_gadgets) /M (D:20210608081201-08'00') /Rect [104.1732 659.5 232.1252 670.5] /Subtype /Link /Type /Annot >> endobj 7983 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (move_dashboard_gadget) /M (D:20210608081201-08'00') /Rect [104.1732 643.3 223.7652 654.3] /Subtype /Link /Type /Annot >> endobj 7984 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7985 0 obj << /Length 19 >> stream q /Iabc35245 Do Q endstream endobj 7986 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35246 20690 0 R /Gabc35247 20697 0 R >> /Font << /Fabc35248 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3<9 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM1R endstream endobj 7987 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=671) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7988 0 obj << /Filter /FlateDecode /Length 1235 >> stream xڵWKo#7 W\`[b'>@EOS !hg&KO)jFWp?qSfO/uRX98?SCښKp~ّcg>RHT0 E0[_KpCBy}˕gbI{irCPECiz^OM;1t<) NK k%4lB|rfD_ʘA>`Nxw;Ǟ$:.gӓp,4 aT DWUs%T ޾-eNF8[!y ڔ];h} gb]%[ϑ\X* _ 9 8o pb=y{9SK"fF[@')KbL>dn3f>oțk QW?Ģo q,:Okvb{ܛw%JMx`hHDNGzD=7'2-0==w<8:r5I3Lwkc:;X\6l)zt=/=רJR6r_In\h PCvOw13 endstream endobj 7989 0 obj << /Annots 7991 0 R /BleedBox [0 0 612 792] /Contents [7999 0 R 7995 0 R 7996 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35264 7997 0 R >> >> /Type /Page >> endobj 7990 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7991 0 obj [7990 0 R 7992 0 R 7993 0 R 7994 0 R 7998 0 R] endobj 7992 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 7993 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [127.818 310.725 159.927 321.725] /Subtype /Link /Type /Annot >> endobj 7994 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [165.24 310.725 188.0925 321.725] /Subtype /Link /Type /Annot >> endobj 7995 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7996 0 obj << /Length 19 >> stream q /Iabc35264 Do Q endstream endobj 7997 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35265 20690 0 R /Gabc35266 20697 0 R >> /Font << /Fabc35267 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( /b6M CZN\;0o5"]zϐ&FG7uc*.BlH,>U]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XL׆GFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶ7 endstream endobj 7998 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=672) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7999 0 obj << /Filter /FlateDecode /Length 4308 >> stream x\KWyu~F+@nN nxx/bbxfszelX"b ],,/`~U,8Ś/k1r+$u2?MJ3ޣ5>}c{z]b\ߗ/Z>}QpB|[oߺןCƒ^3.5%Tvl4|&bf\\%-IDvw:AO^dzx~Tv VoD53S!HR7FLϩ3KvVm|$Xm#Q$c;j !qzYcΩ/?#Id6&  `6'*?%mc -`l=贉Q0mCjs,ejҸrOfce["L9H06p+4qq20v kT:LInWx1֬IEat8ߢ?? 3"8UXuUN,,3 DFR@-Lc`{e\ +]-`gtp*D>uerЂ5`s8aCm>kp}lZ__(+ HHF)PDP+&rgZXkty4qIt|TBoI\vM2A"8da}bQ]aCJK727nϼ]);WwF;ER!oj Ut,熝uIeMq`: Q$ʰS= y8Q 6ZF0 gϕhww?_7쉭\ٽj!c3M`W{ ހ}e#1 եE޻ ݓQ4'UmB =;S/XkttGءHMRo_[Bf bId{xZڂtδ-̒tw#BP`/x~{p˷?bWRXtyK[?qz|MK34K=WG(|;$߆ wJ!b]e8h e*a 6Z5;dv8$+'J=B64`!Ѭʹzl)Lj XOݖReԸ(&{Eh),p#O&2$#18LjLV\[ԾieRi8zlB\u`UL9\ Mp+ΘNU$|*u5SwbCLG}V}RN!eE:4xZ"M{J_r69[gAw h]s}6^((N є\?ݩT>ƽ˖PKA^>j{V#֭~ uP{ZT 2;P+1+Z:ԍ"נVVKV@F0"V@5ӁljW@ڮ))67q-70BxP wvM0<2Ƚ`|_KD;>'g03À#\VuM(s+5P#< v( (НJ"1p5 Pp-{˞rK@q5`' ގ':Ѩ-4o|O#Ӧ́~^ `=ѡ%a`,>RXaPPW9ȪddMϚy,g>74K8!nKp7Clu,cL6QΜL0R4!i)) W[fHKDDZS T1 NS\:%+כ|IОZQ9~=R$YT>tYcHOϹ~q;k\l`ͱ&b sѼ^ BEYf hɯQuˍyϭreRf{/6%VO;yqn5ޘ7஍fJP; !@1mJT)~Չ2$@1r ^Kx!9 }L"Q&>NR"46C 业KbI;N;bxi1M (d =|.waZ'֊_5(1.qDquɧ}bȗƒ%5+1/?<҂wuuⶬ*-Уv}>@.R:` Pp@|o1 *20/ά=vmr_Uu)L^43}ݚ-ѦY8OH̠+DXT1Gz]}"u~O9[ 2wX ^"-eeČ!;Z_]F *PW"75ڛh?`WM7Ɏ#||[3_k1^ =?'py ̄EyJĹP]/rǑ{9=m&U+7voy}_["VE~mG5n EI-Q endstream endobj 8000 0 obj << /Annots [8001 0 R 8002 0 R 8003 0 R 8007 0 R] /BleedBox [0 0 612 792] /Contents [8008 0 R 8004 0 R 8005 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35283 8006 0 R >> >> /Type /Page >> endobj 8001 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 178.4424 381.3955 189.4424] /Subtype /Link /Type /Annot >> endobj 8002 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 125.773 396.03 135.773] /Subtype /Link /Type /Annot >> endobj 8003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8004 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8005 0 obj << /Length 19 >> stream q /Iabc35283 Do Q endstream endobj 8006 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35284 20690 0 R /Gabc35285 20697 0 R >> /Font << /Fabc35286 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7rQthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>V endstream endobj 8007 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=673) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8008 0 obj << /Filter /FlateDecode /Length 4693 >> stream x<ɎFw~ Han `Nc?[b'|v$/^V +$~c-ޒ_/o?vUj[jҬJ1?0يsҋ4IYW`]9Hg;co>-'Ei'U)+oFaG8.m!7r~O:ڼ#)2"r78Qt9^mWB(`x@+9r@Q.>P"xPRIHpg{@&%>(;f1]h:ž jhOƙE"$t1ޖTprYY,â/Sy߼ǁ$Ϛ9 79 0|i|pj`GKZ748h@iv*7oPhLsḏ{­x>fρ08ΈjPXtde6{Pi^̈́obX1r'3\alZNzV[][f3LYMBy|h*ˇFv X|PDj0%o*YG%砳e|ٷ7EC(ȶִZL( ܕ (>ZH֞Ey)^ (D7ʓM5d3@:V8 Nol UUS/Sѭ f9 *27t!f vX|"V514sF}zkI&iF ޭTn :`UKGY"7v:s;^Sxˤf <𕫉JP$"2`o+Jb4$I t RcH ߺo~ٜj,]vXT=h};0G9T^y8.7ϱ΢0i>lhu_8vK\A?6OuFYIϭd HQ2*dw%V%eqd aIe}E#\S(Nݡ* βΈ6X4UvC6\c⮁{Oh$'lH-Jx6̧i-6nL@Q>KlXcTGO"{_~1i/PpY/Tr<-UtǥtȆH)v*OSQl%%{['%aV1!/yY{ #;ԧQEXrTc0'9b'I/T|-g1բ.qy]?uq^wcC:;sYͯ6'Anb9_(XˠxPh`6S=rk\WZX˺Hi"EcgfӘy1!^.|Zh',Rɱ(:żX#fi:"*ׇ{UtJG iBG:` XmWOYl\H0{/<3c(YȬłX+wuFPx}zCC<%uйRIvE%}a3:+߶EFqKq&qI42>-B`SmhqɀlX0>x_+U Z9B"!yG杺&Ʃw9[>XSZ,,"6DZQ҇ΕX}L=m5_\7WSbdMɉ-DE x,_Sc2% Cܶge-m{tBqչve }0ZELrL9>=^NR__:bݸK]͞ L*PmeQ,3Yf4BE`Ж;}{Ó@J|"U?Յ3e-dSOr2f-ѝBF2Fd(Y̍ D|*=.w<9d S)tB[BY5MTHSOZ#EFB熗VشN0 5G}O:QFkv=s)z 9on&۸-NL"sD/[LO0*rKmVP׃_2d>攠/rBI.= q6w{_W930B}BNGeV|܅{!Hx"lt"N{)OZ"2;}ҙr|T[ B=CMNIn6:Hd͒-n+#[h)*u ^Maujƀ%%mXef' :%j 턙|4=,S޿75*ʂ-|lI}H_$"Y\{p&zG}]pMK8nJibX^:Bu)-⺃ha0یJobXA#ڞћ˔[ {Y5I>h½x車Ԝ!Ě43noLjՑmc[Jo9Gf5h:ҳ/Ty)tEww$o'cK/esǂѠW\)EJɁS/wokߐ vX~/-F(/Nj v*q@ Fc]4RcbN{"dR(L A?V+w<0P|Xo+G'( ^N.WQC̗-Ә (<\+|>![+W6s>._0Mƀv$ DZ]_Mtpݣg^ .UsG=kK _,f`/y6ZKGt >) endstream endobj 8009 0 obj << /Annots 8011 0 R /BleedBox [0 0 612 792] /Contents [8022 0 R 8018 0 R 8019 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35302 8020 0 R >> >> /Type /Page >> endobj 8010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8011 0 obj [8010 0 R 8012 0 R 8013 0 R 8014 0 R 8015 0 R 8016 0 R 8017 0 R 8021 0 R] endobj 8012 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 270.8385 195.2587 281.8385] /Subtype /Link /Type /Annot >> endobj 8013 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 254.6385 194.6317 265.6385] /Subtype /Link /Type /Annot >> endobj 8014 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20210608081201-08'00') /Rect [104.1732 238.4385 184.7097 249.4385] /Subtype /Link /Type /Annot >> endobj 8015 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 222.2385 164.9372 233.2385] /Subtype /Link /Type /Annot >> endobj 8016 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 206.0385 180.9312 217.0385] /Subtype /Link /Type /Annot >> endobj 8017 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 189.8385 165.4707 200.8385] /Subtype /Link /Type /Annot >> endobj 8018 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8019 0 obj << /Length 19 >> stream q /Iabc35302 Do Q endstream endobj 8020 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35303 20690 0 R /Gabc35304 20697 0 R >> /Font << /Fabc35305 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8022 0 obj << /Filter /FlateDecode /Length 3241 >> stream xɊ%y xހom |c ݗ}Ǣ5_zl\EVR({7o1}Zz'.E'wZ>}smXR+/I)R'˃|@kV(eX(8JzF}z&Qp0.EU>uX5xs+J#@aa:Kg-Xg`u21kw 8m# #-dL&V `u:({grkrvb1ɮɐIљbuNGVr4+-VTNݛ5GN櫅9VGBZ\=h8p 5&J<+5XhUh1D8ٙ~$^n_ ;sY &nH8,?V]ۦ`9Ϊ)DuXp&&$M}Kkj & SO7!c&I[7jVepVa!Fd'q51 |ȧP5&ߕy8Wf)&[}[&lΛHc[*r5"ҕ*,c>ࡌj~ŌdCu,er:-EY:FeŇSDSD;ĽPh;x5DKa=%,ȵSc'y=*mo"UTc BDw(5DX0n7a5vyhU&$%[>U|SߺLb2x cƂWqܭcvrmp#G&+ܱrԱkzX5 7+=t]Zf09| ^R0 !v㰱21a_hDŽ-EW]ʶ):>]/v9ԾnFMTM]D֭*ǴQnk cݢ%J>$˅ OtybtܿvYb?䗟',ۯ~⦦~ 03 ܿ,|=FqfJx>F>&>XlY8L%G#9y[*<;WvpeҞ\btGVU5ٖ %C6G~lw_ԵXG-gl.y ,ds0)2(S68!AY$b=4UI<("~Z%,1*Dja5|cѮ $p5X;$؏ϵlwY Jg>6+_f{pBt@%Qؤ"<l;ptM[s0'޸ 4Õ8(6ۃEf5).hHubbDrpyGh?(ʈEe"49vqĨ;@n;*-lS|8"/@.GTĞծȏ"nP `VgAwr/;t-7T5)t 0I ]c$55` (7r]SOIS v ol2eqĚΪ JH]LHHVOATG MV*toiP"z2գHEJb/GI;'@be!RfX]TYr()k1xs.YdYx:_p<+hlM@Qi 44[z> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35321 8031 0 R >> >> /Type /Page >> endobj 8024 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8025 0 obj [8024 0 R 8026 0 R 8027 0 R 8028 0 R 8032 0 R] endobj 8026 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 8027 0 obj << /A << /D (unique_56_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20210608081201-08'00') /Rect [127.818 310.725 159.927 321.725] /Subtype /Link /Type /Annot >> endobj 8028 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [165.24 310.725 188.0925 321.725] /Subtype /Link /Type /Annot >> endobj 8029 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8030 0 obj << /Length 19 >> stream q /Iabc35321 Do Q endstream endobj 8031 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35322 20690 0 R /Gabc35323 20697 0 R >> /Font << /Fabc35324 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 8032 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=675) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8033 0 obj << /Filter /FlateDecode /Length 4133 >> stream x\n$+<@/ @% ֞`a \[VV'Owu&d0" Y~,f瀏Teyf ~47ukU_-__i[HhlP| 5~z^5B럖 ;t%h_<=<5O˿ O^cIiMf]-4+/[̌ k)%5PK;/,}O^xW鸐v  OT+#"ZÉ-4NW(~OK8"Q$b8nuN}D>m)CG"`}[\\6kZ0mߗ_|*/` #}zxxC9>J3'ifI[v-Zd{eɭ>կG#@*qbr9GN#BkREP@Ş@3Oը (ͬ]K1ˆ'cg®1[^3f?3-i,1p6H7#3؁?DN`lի'ٰo s,ǀ鱜z jyLPwFql]Žvx2{gar7/h}7VbY(v+ϐ<߫(AiʪN]8iT`XXt!M8h{Dzn rY?o&48@#[0dF>-VlD tf]2̹@dlt4:la 肭ޣ- ? $l :wGEFyAQe&W> Gv˥vn ق} ;їS}oͅ ̏Q!~gfgWa:Xi)Z 91AiyqB4?ƙE=я1:zF2ueA<79( !^ǃLO;`K$>Diȸ9#=ckič8K4rr(eߒC_u&f';uz0w)UĝGkeA֦˯ *d[]WhvU|#r V-|6Naŷm7H9ܦ 62|Seyڍ'\=lgGz^i$#0ʶd@>-蜼L-)]Y^ 6 -T  mV/p1k36p ZȋmM w"pNhn;4e_}_X hJbedL"4fPȦf7ҙzVo^ž#S ׄ@fzm睰@4U.JHayiyιg-5VfM |WSffLQ•5RjhȈh8ầ[oiD\u0&ϳ9+csy+MhEMqz_w!7RS3ui $q7^X-}5o?E7ިy9S#KYM2%ܩ\d^җ\eܫMFڮ9dtd&Հp(N QJ\Nc l)o? :zj3VjuޡG#M< 0НL%"Ju1Ckp. k芵7Y.q?(g}((L%@@;roNy7ou<8גEh"OtQ|9,VX)P*5(0YU听6r R+^I8B@*g~1hhn{SD~Y "bVm fn:S#Sr]r3FZmp J3 xż#`nC ScbҨ:}{etk6E1[v5zܣ٪`_ԘL|a]KoV1@#u!֘,WU@?({Jj PExc+qD?/Aa,H?;8STHAIR8$=`7b7`יhZ4+VƈEKnSivxZt.f0z$Ɵp|^OtZ4CѨG{6taj9$ P"8Uh RNwjJ;+I$UXjӮ֘j&3*P~hígnʹ!ͪsE-jV^{H{; VU{>6IJđ;x6e0NcgvlѨ텢SCls:N` lf_YE(lN_ssUXr7H`pި+R+O 5U5ҟ!Wn3#˂qFF)A.l޼ˋCuWU=|k/D[&ݩΩ3/P@ HV&,:L9ɑ5$s M=J#ݙE5kѬDhٳ?ljVxG3,z+4,kWࣂoժj.ch%"KB-tExi \LD{;ws^\%:Ҵ=U6TYSBZ s7~+#awLɕq/ {ƕZ 0EuR>L aMDz- GPi%S[*=2|riv٪i3-{3-P& ӨEu.Os_u,W$rS0x c!70fk~5hsbܩel h#LчxEvšZlkdtnjS ޠm-oN,p]J;9i]SpXyosur3#ye~y\J3e-i7{av$NwLա`348H[: #}g]$nmZhjѰa uݚ-^8HAMG DHND\/`Pa{nl$%auBQLxZ+dƜqsJu{u)zaU;oyE[wx%hDW0Q4m?P]d(Kjx\JHJWKBGGX=V:X˻"b}ѫÖJKp8ȧ2 *Elk\ f85l_/|WS%/J'$@ߴ+ 7`Nwq8k%/;P0ܝK7öJM؂Տ_1htDzT{{KյbrUb5(__s.2D'K){ ǒ endstream endobj 8034 0 obj << /Annots [8035 0 R 8036 0 R 8037 0 R 8041 0 R] /BleedBox [0 0 612 792] /Contents [8042 0 R 8038 0 R 8039 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35340 8040 0 R >> >> /Type /Page >> endobj 8035 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 149.8423 381.3955 160.8423] /Subtype /Link /Type /Annot >> endobj 8036 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 97.1729 396.03 107.1729] /Subtype /Link /Type /Annot >> endobj 8037 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8038 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8039 0 obj << /Length 19 >> stream q /Iabc35340 Do Q endstream endobj 8040 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35341 20690 0 R /Gabc35342 20697 0 R >> /Font << /Fabc35343 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ^ endstream endobj 8041 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=676) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8042 0 obj << /Filter /FlateDecode /Length 4690 >> stream xڵ<Ɏ$7v8 P(|0|j[2~ `de4dDo_?vK_!{vgm~yR_TㅔfWRDٿNjJ}>~㷂OsoV `j- >E*R웗~o_jLWq00Z+aBLn\DM/PZ# )'_wuO`)}sl+vAx V<oi ﯻ"Lͻ;_1EHe2e5:N)_+99(kD0!i~(gM"@h~7u~n>Uex| |+D`d+sJ/6leK^u 9("vE Vf`>KjF,on7u,uyGSdDnq&sۮPVs䁢7wO׿"ZwPRIHpg{@&%(;f1]h:ž jhOƙE"$tb$i\E\ 1#\@:-(ѐdtkJ`1)Ny|PI9bH=YFh)PH]FFCF2#:f0 Ynbڀ<֎tꪻ" U]Ū?刑){*x?%:"h\I~Pn6ndg&wy>TI/K$)Kݫ:kaSE7mEf>KXW2 5QVۤ&BJBm({;¢)@p{`b;~pp#s͠qlWPIٻ2«n'gԁơttj" G,LOET"zSYֳFhu8.'$DNʁwm`Pޮ֏G5r*`lYT=kS\hi?%lsȧ t|O]]Beira1fy p|T(Xiًb.[,y./z.Ű9`Qʉ0 @T֝Ry9rxX1VHdqu9n~1Ux|:w4=L(Ci͓6Ȃ:3"̇t!a# vR86T[hC}(zeiN2RY{l⠭Ef_$ +륗?nIu¹ystrx~}T :aP5&6#ms,90f {?9jm6n`-k Y|'S'ίlسZ8*9?-SGכu~:/'L4se*&m6a +Ɂ}4ڜFL * k\иO+EDalr} ރr2FRMciqg&%rC^eKnY ˖EءBg}rv$I4ݱ؉sŠx ҨGz6 >3kAԢxLsQ֗w\Q͉ 䙭\VljxԃtYRs]?N0rkz<`{kA2{zb:nXEN ^@@3=3[X'wvp D}9TT@Ra5_;9V%B7s=:Pl?*"5.תU[vJ g:iq湱sc%6ٸ$#U$71IF2<3c(YȬłX+wuF!XkƇxJs.J5]mY< ANJǫmaQRٗoQT߾o@`Smhq7Zs;^ccMT ZIX/DaЭv$Ey eTq1 7>o K>'볈Au[MufXx߁c}eZ߰^^M4&'u5s^Y |dM3֪uB{ h&F{0ԉY@K?OԀ&jQ?ޟoSM+rk0Y ro MھG=Px1ZA!ߟ򠅉O yB @B^Y `   f1/KM;aE`wxŦ#";l~NM&y(Q,Kuē`@8ܶumZ۶q@MF\CjPT ;%O4 _ 6T"f&.rnz:QHs}:YU~ߦr4X#ʂ硱A2CkgCa}V$z]ᮠES V%$yK +r!͉خR9,ӓ,|:)aR Gnw=]!y/L(LЁ}/tjkY3K]=g}z9ER]L|LL}[EV'`vŌqZ%{C_2ݪU/ MCtwQ PSX5,.綶a!53 ^1пP5q^k}Uϑyzx. fq9lVŬ‹RLæG+X)tU.(kl@|}g!>/+GHA;,?!#=5 :` 1N ʫ0g]4<ó 1')tH](32D< | 0%xx B&{: JuPUv}.sHx4=mF~p:cD:O{)abY@i&L2m Ħ@ b:"`Ǘ'$6~/uC1lҠHaALFDͰy?*Ni#.:(M=a60; /Ҍ%?0Rv mte(kps$}0^i;7yKwzS?g>|mKE+|D`"_ʗ(Sk*τu_C+2!cG:u%KS{#`ޑ:%ypɷ"Ӭx)q.cqh0rb{ANFţyhm{WN)LtRtu h C9#q#, ) -+剟ml mbt5.:(Y׏Lhí.&H2>qt˛#¹mY$~Lv={o ׃Y6inOms)bs[bTHXkC24P.]o,܉ 9&Gd}]wk08Ae 6hM,&K)?}q]=Kuh=?Zg\׻aovHVog+||Bym;|\0^M"W^d&u]ݽM tp]{^ÍKҜL?$^6ǽX"|9vmc2|G} S%W[ endstream endobj 8043 0 obj << /Annots 8045 0 R /BleedBox [0 0 612 792] /Contents [8054 0 R 8050 0 R 8051 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35359 8052 0 R >> >> /Type /Page >> endobj 8044 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8045 0 obj [8044 0 R 8046 0 R 8047 0 R 8048 0 R 8049 0 R 8053 0 R] endobj 8046 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 231.6462 195.2587 242.6462] /Subtype /Link /Type /Annot >> endobj 8047 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 215.4462 194.6317 226.4462] /Subtype /Link /Type /Annot >> endobj 8048 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 199.2462 164.9372 210.2462] /Subtype /Link /Type /Annot >> endobj 8049 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 183.0462 180.9312 194.0462] /Subtype /Link /Type /Annot >> endobj 8050 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8051 0 obj << /Length 19 >> stream q /Iabc35359 Do Q endstream endobj 8052 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35360 20690 0 R /Gabc35361 20697 0 R >> /Font << /Fabc35362 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7J"thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ? Ρ\-z^lM endstream endobj 8053 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=677) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8054 0 obj << /Filter /FlateDecode /Length 3410 >> stream xڽZKW:|?a4Z 7ؓoؗݭ؉D6XWՒߤ #f#?uNKd8?Yព_6,JY╗_JԓSRנ<D K <㨔2JG,B+/8Z%^=H}z}K'QTrj ~J`u#[+d'ID83s "Ws JwrV*F *s;)=mi{af8F0C-k_x]<_w9CM2().1r,/M%\f-J9zpl<Yd7>엄3E`(Ig>#K pumozrӽ^Q'8n`f.hs@q^c[(޺ؗbUĉgk_kjixZ q@{6xh09.]qLb+JxmFv4 gU/ {,(WLJ\DqA{(JGnyQtg;d nSXd d(a%oUs}:7P,Dd_@W Lu8@p%qo0$ZkUu(ەLpVT &ܦ f=6X{*nom͵T0z;la[(;Ym+3G-'Zw# ]AO-JY -7vX9QkasY|0;#?vN6IA~%H { |_g";籽h#Cuӵ4Fx-TcwvRB8:EU/`jmbr1-k?4 "Gs/pz![bP,g~*౸yZeZ5˨;U T[)L2&gS Ćf|v@)@$_}wAY#pM TB6~3{nX_ܬqWw_RH#~j@y3ص)Z PtȀóHV[ڀL9bY/jz-~ 3mwv4[gC_c.=[Y5FUߥ׆+[P~5 ۲L4 F602+)hѣjLŁ,&UvOd !@vw2A-va)sMA u Cɷ07X'>ְm5-!]gDiǂ( _nƿ~0{} ;z ǜ_ W%MU` vt"DO e9h1M%g7)Ȋ l\U|R Ď.eH9k 9nKITut%79[9Ő5*5.^:s &-v}/ƌDO[}ȸ uc%ncm6种B[ Wi]pɓA_?y2Mf@K >C$o"ҠM<@-6K2._2Ћ"z~'bP6^ŃCL^JLJvO=A@O 8#>,8d_u?ACf~eo[*clzN.p\֘É`/n*'cO*44U\5h?v>/OpGb4LE05_|h endstream endobj 8055 0 obj << /Annots 8058 0 R /BleedBox [0 0 612 792] /Contents [8064 0 R 8060 0 R 8061 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35378 8062 0 R >> >> /Type /Page >> endobj 8056 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 89.2941 381.3955 100.2941] /Subtype /Link /Type /Annot >> endobj 8057 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8058 0 obj [8056 0 R 8057 0 R 8059 0 R 8063 0 R] endobj 8059 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 324.925 122.505 335.925] /Subtype /Link /Type /Annot >> endobj 8060 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8061 0 obj << /Length 19 >> stream q /Iabc35378 Do Q endstream endobj 8062 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35379 20690 0 R /Gabc35380 20697 0 R >> /Font << /Fabc35381 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7J ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8064 0 obj << /Filter /FlateDecode /Length 4358 >> stream x\Io#W<*  [g!ȩ hmJ%YrO[Vz|ʥk +N}ӵtXe_9hg_G}XrH/oOƄg}pJYNֵY ޵Xwjh'N"hB fIk[f1 ή?Q9Yi?}Zd+ӳN:w>Ar0&A9~/ű{nd|FGO\3g1G<+ ogPO@!%nIpF uIyߑ6< #˿DHůrWP[c*af˲i[B4t`Ha0WcORN￾rxc{Vtᤋ\ǃە\N{@_#L~^1>ne%Ā&ArLxf~W@s/f eT:P"l]x ^| jDx GuGG0~Y8J.1ލ "wI2Oywr!6}<٠/jc[tĖ^M5 Y>SƂ;SUl !ma2%^P*[ -χ%57̀atPãG u?-w 4W|ebQ(M S(u;vF9fMދ8@2LgЬ8J5Cu΍}D@,(E@="i5̙,N2 ( z+ sȄ0w"#pJ Њ9+xӠk%;v Fls<[y&HO8EPX(׽iIZA$ H*!("A߅8ݮ"W~y_ !T=86$ oXk4 v7PījzrI`RIs5[NwBzw:pa{26\x$`#t, ㍦-z WCd2V{{$.%d%I>gI Z"͈e;%sA2sDk̥&A"WMH Wp7 T^e50g85.yj4]鑟g $7Z52 ak7; Z(ڵ.8=S/]Ym656ߩM{c#;6{]@8%W$-)C}m~9tƣh%hljcG7 XO꽅Nn9dTl(&e{xRZf`AXy0lYKBt*ETYX-!V%\`kMC,#D ׽FK i1ŁM^V KVV>`MhMMqXw*4rS3uzfs}&KG*:j_O7j^ݩӥX&TI.ctRR2MNR d/a Y |W|uz@fǡOвW]QܽJ5PǸ5xR@aݛv ڹ zTp[eàKP]ݫԏB=Y}~d^l 1uV7f[}D[}To>3؛L \RF$ L4f=Ian02r/љPI0e R+eyX+2(z:"uu>~!CBEVPqVz":, ۲i`S ޙ|ɾWw>vB]|}4LZK <ͦi/wۯursآMY}`;FjUN{C-[<5NqHmZ겣m $pk^suoq7ORβ^!k= ؜l9Pů aHq|ަyI%͝S]:4 }0, YGO{b-z}$S4 )ó.AclH( `r-57O2 Ė96*XOX:)D*Y "eç e5E'V)x~뤕3aQ#ZB%Y&X }WD%_C77} Ta =Z5kG'rDH=T)1CҿC OccdWOn {ǯqɔmtG4N؇x&"=Ԉ%cTڤ(NRZ]-"G1y$dv5TAt#UY^,iGOawR`Ux>>FmM)Fof3'0K;! ːl{] gvEepw ڶu3y5H׏Qm:QL6mQs64M% Y/݊C"cD&s5QX2)yU1LUE*, +^|rl2sZ()/C PwλfWw&3ˇL(&6 X`w&neSZ\'̧2~#O~(%+jkzI)܍ y]IFVr\T uc6FAԎ#{тU9Ǩ:,79Zpb!ODl 2J2't%g)>UÔBwjyyܗ?͋ui=\+qDּ#DS7kGq?0TVB( ҳ4\ PMKYxS}Y4;oGWT>ºg ,1YyOl>YFRPv88dzv&JKbͧhct[,7/%CbI7s*iEӮ-.뜫Kݜ'Ֆy湕xW7QgneJ (=rO3@F|3.EuXC[5x:\ʭG-xy<է"&s4||,ahf2<63?y 2!r+t0PƜ` "0]Oe?"8Vle^H ľQĠ*PW&ɛ5h?`WRn>^ʧQ>!UoE-p>|O~Oo'|oކgD)O4O@LJk w#oSE4:TnZyTtuV]_BL sS}IJXJ]gO@ 4&I-w endstream endobj 8065 0 obj << /Annots [8066 0 R 8067 0 R 8071 0 R] /BleedBox [0 0 612 792] /Contents [8072 0 R 8068 0 R 8069 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35397 8070 0 R >> >> /Type /Page >> endobj 8066 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 8067 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8068 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8069 0 obj << /Length 19 >> stream q /Iabc35397 Do Q endstream endobj 8070 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35398 20690 0 R /Gabc35399 20697 0 R >> /Font << /Fabc35400 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV5o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8072 0 obj << /Filter /FlateDecode /Length 4758 >> stream xڭ<NJ$I8/d<̪N;,= 3X.="EUMvf(ss*jcի'٬_9z&mj~?j^u~GE1Ũg/J۬2*6uM?1]+`\(XkG.υ?mdL ZMke ,(tϕE?^a 6lmH Ve[E$zCXX΁>ߩ/ߪ }mxؚqAHm>u}]x/bszPwm xj|Ub2GY.,| 7Θ^.v/0PY*^EWQf@T.kΟ mܒ95'!Cb]5 _a qAArˏ;dS@~:;^غh1Tlllkf*eSoeSo%jMar#I 2^d;Qwx ~gX#.v3B\؂NUmd,--oQwU^sOx$$M I]ʪq ^KB*O+b 8b}ڇm0r\ `5<@G0Uт֪H$Je%jfȴ*SbcG,FTR~SY0V >ƶzuM6[7dʒ%܎¾B72u􈡰drCS=[W0/L=rV'_V J[",?']$®@Ԥםmj7*Zv-RˤB>"Y_t|^RH2$K d\a/`u[v@q4` fvY; ¬_h ѼpoF 6XF᫒p|G]*f,1ӔӨ70BwWJFoߧdc.O*vh2 ЀT|fwGG͂fC^OD;BgB]_ss:2$`ʱ͹R?B/YHj&DxXUDel_U3 L5UaFjM؄'Uv#Zr_SREWSuiҕ"ZI4 Gݓ`봅4~pFo^wdx(aaIžےE^O?8XRo |2Jb`Z 3Ǭ13jiK ^/"據 o|Zѽ@7`MoJ-ԣ< ,mR[2aO ruxFe;_ۅFI[ӵn"!UavC(Ol9IwȠ.ܯ|"Xw/}\\9>a)iN2_= ^/ 5=}Y8~Mb %O%5cxWhmod~Ud$ͥy~.ŝ&{Ƴu傧R}>mɐ7/3yrCoφ,N ɠ[`[+_Η"קjy}ѭbEN\1gj<;38Knua51 ۳U{Vֲ?sWI+$ƺ6汄hz\WJ6i )/3ΰcd%ft'O>Y}qB.ZE=]ĬkiAH/wʤ=־"cer/TX݀%m*T228Mc-ܚ>+c]a6830zZ>=GJq*\(vb,~1q R7_d§X!]?PB!TBujTDβ;[IQm:٣Ѐ5hKKR}3q'4- *Ef0,Bݥ~KEu)l6>bCFB9Sze,P= s!Uz.}$"p|=Qsdsy<Op40,!/>3nu-oX #2Cߢq}O>XDBXel4;voi jLOwM@dK-X`~%ZmEJ7Òf=99l~VF7mfq5}yʼ.yTg=*>}1YT#Qg,H68SjK*{feu.UJ>* UE` fG] YB5{6uL-I 2XsEAYÐqPծ^$X{]sGᢡf_Gܒ̺Uvʫ(^F !7//dk`%ţX!,JBgN]\;hxI%ah+;/2Ԙʼ  k04F~Bz-$=QEZZpB.qc a=.RW~C^$׿ʁL6oW ߾hg:g|Y\so}˝ѾoWs,gw܅-=xG·f:Ck+!.S%jY:2׻<0ڗyʃO?h:Q4E.ɡR *xzzE^Ȣ{y1xbV t* F Buj/@"r;&u?7+|]1c9='ˤ79/.[S1 ]8#S-A^^iDBR3U̦P6~?j<XQu7|m$޿-w_XyBJ|Bcc/ձn@io5`'7,Sđ"]U:,+\vpoG $Z찐I67-C7/? 4?V t?__!B. Yb^ >-71_Oc./3绔}Ku6o&,S&vJOCtq8aȇD-bK_8A0iSA6EAf&Y%ðycoiWnڈ| "0/ 2şFLtpXMyw4D " ;GEp xS?=Y3ycxmwp(u!JGs8y[Y[6^%"X5EQ\t9M[ǩIDбUr!cG"m OܺQ,{!`]QŕvK\J3 T!Z*cq*h0rf{AIFG?ot$|RY#`# sy-Cy*G6rccFX@'og?3/\.F'_QǬL9A2~S oes8vd2O+l7{}Z8>^tb_yɘKD;~n`qqrRH]I˝Iۗ@ؖ Ԫ [θ6oaC@`n݅p9EU6Mr*5k1ϩB&Uu[G+$k<Kӆ%(uAZ$PX#c΢NM endstream endobj 8073 0 obj << /Annots 8075 0 R /BleedBox [0 0 612 792] /Contents [8081 0 R 8077 0 R 8078 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35416 8079 0 R >> >> /Type /Page >> endobj 8074 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8075 0 obj [8074 0 R 8076 0 R 8080 0 R] endobj 8076 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 508.3 180.9312 519.3] /Subtype /Link /Type /Annot >> endobj 8077 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8078 0 obj << /Length 19 >> stream q /Iabc35416 Do Q endstream endobj 8079 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35417 20690 0 R /Gabc35418 20697 0 R >> /Font << /Fabc35419 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7HT*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ1T endstream endobj 8080 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=680) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8081 0 obj << /Filter /FlateDecode /Length 1786 >> stream xڭXK#7W@@nY4EN;e~>R<((%GBMi^t:7u|?_vN?XC6_t6FO~Q㽩䢟%x mOўet拍% `:wA}dm7ch 2u.3ok'{YƆAa^ICA 3zm2$` Oꣶɱl+IH?KW8;`]Mk&A|KFw~~?C#>B:d|&ӟWL:=d>~+{+-w ₣VhDL|#Z1%>4C:Jf(&IЋ!@矺'AHߺ-yy5#Mm".,vw|A+r D_O,HhG{Ҡ|- .^`?)^AnRa9GN9%7SoN-S3IJ:~*0h6˃3 EoX }qwM磊ӑσGMqRf q]1'r2(d4T i T5*U U/h\v:ǰM/ wie55Q&-)ɇ(Gpٸ4/>ط)%_y֎-m bu9ě] NY5iEmlK%/W Օ!U YW[] f *Zd'=dm@Ŕ75W_jYYNyuetWuy,(̘q.JK-ÊE^l.Ι4C̓۫첑5\tL"[ ׫]-JݵH`qډd̏HC EMcZsSz'KrxڧFS=STXw"ۻ+g:kiOiTOiR?%bf+jr'T̅\\tJ,\xtwx_"=lzu,y,%_ޑ8 endstream endobj 8082 0 obj << /Annots 8084 0 R /BleedBox [0 0 612 792] /Contents [8091 0 R 8087 0 R 8088 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35435 8089 0 R >> >> /Type /Page >> endobj 8083 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8084 0 obj [8083 0 R 8085 0 R 8086 0 R 8090 0 R] endobj 8085 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 282.325 112.528 293.325] /Subtype /Link /Type /Annot >> endobj 8086 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 282.325 150.346 293.325] /Subtype /Link /Type /Annot >> endobj 8087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8088 0 obj << /Length 19 >> stream q /Iabc35435 Do Q endstream endobj 8089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35436 20690 0 R /Gabc35437 20697 0 R >> /Font << /Fabc35438 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 8090 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=681) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8091 0 obj << /Filter /FlateDecode /Length 4429 >> stream xˎ#ίu&,0Ҍ >9cN%zjiZ3㛱QbXE5}̤b/S3SS6Xog?Y3}9eǃtry INIIkuq_OK]3>?N_>=Qi68MA`÷0>9.q Y~Qyq⬵^9PH&;'kbkOwe>M &KA;r3@39ckRr[.8; c{/dGh>/>>?~LF9MXq_o? ݒ;  #8?i{|g(?f)$O HÌM Ynsܐ9\q0G=2 Ձ2 #כlw c͌|59 > [?}dY&y=>@D}q}L4$;8le(შM#j7;Kph+~[^{ $!yz7ȕ 1hu>LT,Fꢀ] g4 0cAX} cb.l~1_Q.fk KLίdM4ӽfem e8&?Rf,`3 4>`EbN^v[ >bWY;&X6lX|خQRcW\MH D7k Ԯ<:o+-Lbv|R[,yK'4} #42.>΅x__u>LU^ԻCF clumJ7 ,0`FRU^&N+v*X @ePNmOLf>o].$W?MHly`aTz3cNTV|;gAԾy~j{'rBcivA}Č.;' suz-qC6+kچQjי§7>O8^fy#}vٳ[aGy${).$~)hftrUV5҇h v'uiO<:{_w`Vn+HQݮT>dZ]}z`ް\ =|̗|ṣs֊DkU2pUvTQ=xv,a/xXvpӷ Mb&|J ko|etB]z3< y>m0Nٶ XȓC EF<7_}/,? [T"y0bq s6J=B4T{H?@NH:BYw0mP}78wPΥ+U^;%ڭ@BT,+jZ=^RtUheI& 5Kc*3%xȬ8.Pn1R۪!V%]i8lA'/%*py4"*8mURW+Vu.ް6`J\U$ %Hz%qIaݸXnP/}tR\.)JDr;o;6"O:UtIȘjNe)Y2k[I)D2 RF ҍ3,4z {`LJɧdlhrګ=ddj;" O 2 13s`Z0GXQ65"X{XTf!v>%Q ɠz9 F3"3pFه2J*/ԡ),+ħr'a.FHu\LQ?05%@BҢa ;@nשZY ]DCtLw V@/4Pc ݪA|"#B ^^vѢHzD?Ҿbz;>r(?l?G9~\K;܁3zQItPTo":$ Wp W1}#Vjr>Vv#)rɥ;(5IX«RQ|5*ň](" TQERBh6ΠҜewNpٵS5D0̽[S"Y@21Z!Pm)V"zYDmڮXp ѼXwv908$5"2"jXK1 ϙ6{R%Rgt$kQQ`dUEC`R@nljb6FI K۲Ƽ> Oo@{wu2/Wa;= aŠ`m]i=,8 nklr-C@ᕙCivVX޶Rt6MPsR}Ԥ{2lcr~4[MD_JSfPw9'y.G9.ϲ${I 6_֨c0ƂZ+dqcImMg)u rB6zMWڔ7أLts%bxM]_Pꌼ'i g(0t*p@K( 8Me"`%ZZ!p< D+K2A#L  ӕ7)Ds7 dd*|%J+`n @Yߋ/)$䖲#,LtQRZkJo[ՕfXR!Z^K.v-hfiLq.||jSemdGױ7ٮ7sტ!-nn-<wኾݽ!qN[£1A=pXw- Xr@n=ըbbmrÔ.oerUnpj~@3 .x"`73J'<d#|7_o+|t75 P=5%/ |plYnGnks!. T᚝_{F?q2]˹3,,x\_l>,jb endstream endobj 8092 0 obj << /Annots [8093 0 R 8094 0 R 8095 0 R 8099 0 R] /BleedBox [0 0 612 792] /Contents [8100 0 R 8096 0 R 8097 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35454 8098 0 R >> >> /Type /Page >> endobj 8093 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 615.9884 381.3955 626.9884] /Subtype /Link /Type /Annot >> endobj 8094 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 563.3192 396.03 573.3192] /Subtype /Link /Type /Annot >> endobj 8095 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8096 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8097 0 obj << /Length 19 >> stream q /Iabc35454 Do Q endstream endobj 8098 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35455 20690 0 R /Gabc35456 20697 0 R >> /Font << /Fabc35457 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7FMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>X endstream endobj 8099 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=682) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8100 0 obj << /Filter /FlateDecode /Length 4535 >> stream x<Ɋ$Ivw ?\5 tQ%QDFٳgo_kcի٬_=z&mj~MlfOJj?P#{}^kE1ŨgOJ۬2Z<IwUi_Ro0j7<+B؂ʙ!$ `x(7\!;FxAXP7"T6`w_eSos3mһ}qļnK(q+/ "!(ҲUkA-BoJ4煅f';R9rh] T[p*p̖YlL?#|/g:*fI+ RL|52| }bܒOWFg'A7z& @׫ Έ_I+ …rY2m6ݺ-q o1KI4 qM0)!"E p(Ǵ~3 ttBxF.:y53T9/9UM l(ǽGeUU;u뭝Dq(7D/""*-Bl.Oqȳ#Ѿp# "E eywL=VÛ!)֛CdMsJ*iH%1Sc$ZMFqOczNPt#h^ԂUd͆AݖoIlDC>f?͠U:<%Ey|  ߥWa $˹I+hB$$AwR1)!*Q &]Uc*^znvFyt|jmAj{D5{k1{lDa3' q&i4rENWfcc%ʦ^dSlIP-e#@܍ܪ) ,"D5d+I(}z\Ѽ|}8/_| 56&tT>Zd&if$>\oOX5nVѪ`(Rjfz;KRb͖'sv7XTųv~ .AYq2'%`,%'oK;ΙMnɞ|uj%SdJ4F̈́$*wpx5`B ĈՅO'럆)~,Ifd˗S>*v h&Yh}TQfH.nۙ:k^"UZa9ߗ4Չb,frw3|8U%]Uǥ@i]Jg`{R@{A8$e(OETjڬ bg:,; z&2af%8,~u†7[׀ǫi=7;0q͸Ӕ?v}k# f1IX8a_,>r3,⇹NŌ>TV'SK͎L0z&qMH v1od\W/2C#gdj6>{NG'x>.'||%3o˼':*ڗΐ~R6Jڅ@}dջ4ꨏVvE/mmHN}E9p+(dfs=y:IhMՆdȪi+m_NqSZsl~' ~os빟MO]$zp<[EI̷iayzs'gxeZ/씵`ysNC1 'T+3c.p4-+uc˰+Us6Z޸b>o|$ ZuP<Ӏ I׊f*.rVDgkǂ~וd/Q/<; e C4u0G7)-ge],Bv I9hz[6rkShdxz8tUs5*M}E QZ pĻR¥{w ;쎢֡{[$VP4ScX#0nR fM筋a .t ^<:L7oF{Fk,ZzEz^wF;6ID*kV_"C H^#߮efvs6't=D7XWƭ }zT`Df!Tx^gYB(WP8PuruhiL؂kmT*hZYz2̠ן%vo^4tnșvbvpqվ+7mCʶlm7Q䐳܅CGsP 7f ۃ\ ~HgI_ޱ>NRDa ʺI.aلewm?aC 7:pZOs;x(7\R,3F56 FJ_+|mK㡕%"X5Ew-4\=-3ᯉN3  \%ȅ"DZ OܺƖ s{!`>,W)䋋HC<ɕBn6fx!mY8.Kp1/K?KDk~.蘱[8l3 GA;4VW#`]0߰70Ǘ܇ʔ%9P hUヌڽu]3GcyZZBuJ@31 J yWHy'd§|'ʷMb$ ar=x>s6K㻤¿MQ"\!{U{3Us+/ɚQ\AtiCpv]r[*&чr>9OM endstream endobj 8101 0 obj << /Annots 8103 0 R /BleedBox [0 0 612 792] /Contents [8113 0 R 8109 0 R 8110 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35473 8111 0 R >> >> /Type /Page >> endobj 8102 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8103 0 obj [8102 0 R 8104 0 R 8105 0 R 8106 0 R 8107 0 R 8108 0 R 8112 0 R] endobj 8104 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 309.4385 187.5312 320.4385] /Subtype /Link /Type /Annot >> endobj 8105 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20210608081201-08'00') /Rect [104.1732 293.2385 190.9192 304.2385] /Subtype /Link /Type /Annot >> endobj 8106 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 277.0385 164.9372 288.0385] /Subtype /Link /Type /Annot >> endobj 8107 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 260.8385 154.3497 271.8385] /Subtype /Link /Type /Annot >> endobj 8108 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 244.6385 180.9312 255.6385] /Subtype /Link /Type /Annot >> endobj 8109 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8110 0 obj << /Length 19 >> stream q /Iabc35473 Do Q endstream endobj 8111 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35474 20690 0 R /Gabc35475 20697 0 R >> /Font << /Fabc35476 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7ƬЎYzrv"eYH7\Hj;|/((&c I`2(ް0j4ECIB%)WN*SBDQ@\Sٸs@61 Ej92;0o=kDN{r=C186(~S1 2RM)Gw<7!& gV#جhVwRu&[j{JLh]}IRG"erk|Z-48יq|mau3jrk"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B]>%tw%BJqJϡma#bwoD endstream endobj 8112 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=683) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8113 0 obj << /Filter /FlateDecode /Length 2966 >> stream xɊ_JǾ@PK׀o2 > s1e.~[lYUI(eFdċo!MXsF)M.uq)נz&fa>Z%Ea)ˢ,+k2N*AYAx&G~gq>yXl߇S}Zc04x2`u>dp`Rpa:i.}ĂN>N',\=aE\X=4c8<,Dt1 3y]Ę?KbFV^ ϸ0xWl_C&VZ0ֈ J qG'>Nܩ(gTI $==2|y=3I8&\;2B63ED 5@|6'hJ_mX#֏"V/.#`;RBj "QZ0Ub .}qe'R^FfY>Э2U!f0,N;`$Y2n2+gYW~rЄ919gc`ٓkp }#sUf%[:ǩcnU_t<,:g+CF ~5^%#/fj3D7i ةnNoȉj;dk ]ūᒋ@Y^J\KP2x~(kc[;ĥ! ݠKj5Qsoeknyk͵ y]0(ָ1 Wf^ ,.1֨״ӹR~̵ ʍ0GyWJ.f`I|92:$Klno٭ɒ[:[+!5&evk$`z[l z5"AN1趽52ft5M#M9_e`e`suxβUE'{#7|7G2}7zfI3[OWtCh ZHK8nkİo;ۑ}ڌi=m@KfbA)PSU%#yS=ц6s:IŋwR?V)̏+wqg M[.:<3L^025?w\Ƅ?VV\zcjjWKU|"Wg7y)H+ߑXLt*&l9\:/n L, 3 iY>V]o Wrə>4:Ϫt$M%֤$#GB5| Z ߞTB9Wdt)]uY/NF(k mļ[l}&X=@C&R<Ǎ԰ܕK1./5Β|#[BCvґ{%7Q,cOWDo G?&p/O^#InYVH-ħUYfsO%T*{pK m~Ecl}z*lpqmtDžEkN6zOU#ut>zFgLM^Z^Nn$ER]Z,fH^|'9纩i" X]^A_H Kh( 6c 5<bb 3c#;wo&Z >^Z91iH ҉,Vbf pC ߞE:l{ԸD0؜"g@*2DB_?6=;w׻ηuuV/mRP b68ցw(fZ5 `;2yb%L}Ȏ$-v Zl~8SF.¯ BOR״<+cWOxm 9A1!uz#f04 BvnҪa]̗k՛1O/[Uz}y-dwawކ!h5;x,_qϻ'?-j\'&:6Sa cm>`0P`/^f˰|}VYf&p7)R_̹>N}Y&p]}bMYzʄ4kNe+u j_ F05앀m{ay;<=,h‡q1N> QVa4 mn;2 ) &|fM%sUJhqBko "+H?y#4)kvYYö6`zNbT[vPz$ +nTr9f=q^hP`GLځZ΁'x OR}\1>:OSqiJ40 [lcw2倌=hHr#!`Wy_}iH+eaL ^7تm ecށMph?<{'a؉ GʯeQdQw'?Bw yCr>5׎RE@fA|,Y4g endstream endobj 8114 0 obj << /Annots 8116 0 R /BleedBox [0 0 612 792] /Contents [8123 0 R 8119 0 R 8120 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35492 8121 0 R >> >> /Type /Page >> endobj 8115 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8116 0 obj [8115 0 R 8117 0 R 8118 0 R 8122 0 R] endobj 8117 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 310.725 112.528 321.725] /Subtype /Link /Type /Annot >> endobj 8118 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 310.725 150.346 321.725] /Subtype /Link /Type /Annot >> endobj 8119 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8120 0 obj << /Length 19 >> stream q /Iabc35492 Do Q endstream endobj 8121 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35493 20690 0 R /Gabc35494 20697 0 R >> /Font << /Fabc35495 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛK\ endstream endobj 8122 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=684) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8123 0 obj << /Filter /FlateDecode /Length 4315 >> stream x\Ko9W乁 *s9 Z}ٿ?+3KUeɷ-e x0 jE^b6ץŻ%iR?[^>j.D|y]I)»T|仓6i|ԷmןF]N>t.zbEOF~[my"Xq|[rFU/TmQ2TVWs*Lu\A*/.>˨|P8Npy񰥑R.iH0JRgcJ pB$9ԃ2. w<C_?k?E+-e?gL ^\.s0p0Yiy~{(?R{`Ms(NHR%lvcਿvadj`*b .U Wi$ jQm-e 2yR#F!%W',i\ HFwkvՊ(??I7 e(VFzaMh`4=9 уFvg)Kg}Ȯ 50 AwBh\qCj4эWibȜI& @CFsbs]83bqFzyxA1RH Y"/0-C0z'x~ɓy{qDzg7/qtD7^> ᖴ;G@466qCf-\k"=Mlz QQB<C"/&nlu@2&973B|cs=aö8`8^*v`K@R`cƛR e{lW30R`a*YYVrO Os]֑'whɕeSLF\4%CE2]]T\0;M|g7xjĮMWcI0uz5kz͢r?荕n~diO&>"WA2k+wX5qv0 0kmgu4$ψ$yVl~|mx;=WȍW_qC`o oBGxŎۻe.K{ܯ4+.8(n%  W.Hvi'xEYXΩU]iVhS!ڠN":Z +TdRkN9tVF=Ќjzl<ǎLҸb[[ݚrɨrPjMZM\y%U$f- sw*SQK!PXa%\%J[FD2׽FK Ad&ULb]Z Mr)NNU4TUlj&,Ć޹6q=n] ҐWF}آ;uEѡIƳ;UX"ݫ꺔kS{kATdn X~[n-G6nq8'r=pw*@muMyuuoC6V5ԭb @f&CW4=Ŋ^(_G赸W{Zܯz-`֪z[|UÜP'2Z;j-Vw;lZOZbEnrsdƌ\R@Ǘ0幑Ɛ8Wn p;*;c^ust|cns;j z42SCQC(S)XC.F j 0췲]1)}Wuݰ9XX׌_ɽ*dJJOa5_PLߴ*_eS1a:Um_d-ڛT9,e_Ľ5 rnmִerZ\ 3*Sadah˔cI$D$(Dx(%JKk!WeacVrH[)ܒVGJa꽹pu$4 q"VpB9ep'|oQaΏd" ͛4$}Md[  $'1 }[. ;g>Z't;8wޭr^1 ˸4 "b.x2J|։HiEvZ3gDɥ(o96B5V> UK>6Kʴ؅[O e[ĚJHAji5~> JHt]k"xV؈'Btē}^*ELVDL+5 6H ͬu =^U3&!kQ8oϢÚޙVvPCtKN2S*k}&4=~d}mrhEgȄoRBe 絶-{qz ໢Nf \ tMN*/ab8˸^ j3qfWtNc^>ߡ&M:hfm UY|,= Ô9G.2(^MEꬖW׍w$@Z\Z)ۡ8Z8<:mz(,OK+3#ǏM* /̊=mN02}slʹ=l4#cޑw!M zZvKz0Gx<ֈۣbel0n|:f"0A9]ɖA.xj2ҙ^MvU TLXhW o biw(G:ѺN|BQϹrH|b^_~J 7Q/f= {t^3-9s2pו>(| Rʭdą%(?vC}p mZw( ZL]Fa ߖ4ȭ@3,xH:LqNL,fw%̈H벃ˤ1HL׉U➼X9~.f>`WRn>TPGx-hHG0R%~)__Q]4WirsuįG4tt@SX`˭h4t-iOgx/XjOŎCtY ~FJ.Fa8ſXʷZƥ2: =S+!՟(^/qG x2}T)"˅K62\6fJGG[G^D3p^ Y+ Wzů%{QslerPkA5Hp^e[;unkY ~x _6彘<rv]|:f q?39|`@ ?9k@'NYoI5> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35511 8130 0 R >> >> /Type /Page >> endobj 8125 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 578.4615 381.3955 589.4615] /Subtype /Link /Type /Annot >> endobj 8126 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 525.7923 396.03 535.7923] /Subtype /Link /Type /Annot >> endobj 8127 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8128 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8129 0 obj << /Length 19 >> stream q /Iabc35511 Do Q endstream endobj 8130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35512 20690 0 R /Gabc35513 20697 0 R >> /Font << /Fabc35514 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nI|{@ VBqǮ@{̴RS;߼q77 fڿc}ЂexAo}WS 橷൫ЎYzrv"eYHUC.^;wr~AXId$.X"j4ECqB%)Wau%)^c剄\Slǀ؀0d_~QF䴫3^Zd(~S-(@F Y3Wuœ}"juZG)rJc8knT-=%CO4yrCod)בH5rʱK,\gy4~oC21>S~3$%<aK񜉊:&xØSZ8` &5*W 1 ^_O%_>E;!%Yvhw%)Ρ(h#bwo` endstream endobj 8131 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=685) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8132 0 obj << /Filter /FlateDecode /Length 4600 >> stream xɊPr 4 ]U]|0>={Ƙ7?8\B) fddKf=+׹ӳwsxK2??ܬsjâZRyQ8=78OSpG :uժ,珿(`;;_7>Wv6popq ?R J!#Q x=nMDV׹  9hsnдWA‹]+境ui+ȸaDYjo q\,S =tw1 !gup{I ~FN1&#VȒ@vACo}%BnUn|6[2҅%DxYKVe!/Ѹ$0ĂЧ!V SƴyY]F*& R03"ِ|jI-D|hS, +dDo^'w ^n?2碒aЄ8 /-xy."*rlw$ I}ȯUZ ݅օShN[|oU-Q*>­E1]Dډb)syH];5 קܧ(ia5*"U uquL=VË!ޔc$Mw8Eh A% 0@lNb 9ݫC "LpIȚ /%)^R th^Jt^f/YjMEU&MZ۠T|R%aCc?WF'hP ilڗHK9A U-[Gܚ A\eH%m*> X Uq芮fɺf/z BF0A1N\? V^)lU>K#Vm8f-ȕs^ЀL 2$ttKBy#dsbx@ɄK/e 1t|mBfcC*>6 c+җ{]N,ﴧT[']}:YDՀ@.nW,,m-ݵlt\k`f`!nZ :p/XAmb;yJH^>{^LChc&`RveUQdY!\9'SsoR*Z5P=:vf Đ-O!/0sՕ^z0p%օ'xPa 6ʒ_\r%OlkbYt˖+'r%zF˅&.Nrx5O&z.biҿ:`$)$mqvu*l*i`Qf(^KiKv3uF=U2n-j8 ux:#G tTu<{;69J tM՟ӦHg箳؞mqX/X E$vgBS!)=Y ےA[0N"^ȼe'Np1C;5WKuWk@ 5.QGߋl[.nU zJr}<.dxU{g:*{6=6t oBNY6>flCdt+㚐:CLXKc -|e3j*h,|M&'6T Vʇyy6/Fn\d*ogxS86bf{붫(&ǵ (۔Ff(1O*~5KF!70˟K7'IUOdYQa:;vK{ZV9+ERk/Zs$;Y6rb׻i1S9l/g^,#N9DsT`O@9<Ĩ\/^N=7UFšţvÏo8UB{A[ c߹coϙ 3$-=4&Js _~\.צ>MZ;b'5Cy/F39\{#rX$ܦ'='1{;i`#HɦwC +FfٺXv2=ܱeF~0X=D~6 xZr$OȴuPdV>l@2Dnv6i1φqZ-6mtUz27or&e75<ǸawnXm &F?79D""ѝ}1byv&Mf0f?cm;Gʞl泱Sւ9ty$|lnRA`dZCk{r-nuezdjEǼ-wnJϤaGUOv꾀2 8*\$YwLB"g+sj۰F{GyxNK:De ֮IZ J"7KIYG>,$DV OjϞ@RMI4vjgqiFhm_dx包8*ʱ* D QZ IVtURµi ;%ۏǦ{:l5K;CYzAg@HӃLcFYqI޺?@ ;[Wnso7.FY-*=Meю]J zaʧ0UK2x&+הO(kUVLA֖x.￀w X{:̿}OQߙ>$!Qq?nº95\[uk&ay6[~I)U".wѕؔ̎=c>`͗ό[ }zP?Ydr ZwCەg6Q#v mȸOOw3Xҏ\%mRE<7|~V=R@s ⒵v)%=*#BQQ)W0셕]"]!2 A(̶̺FsjL2δOo?.85DJ {1Om4ڂ%n?*m WQ5X|9 =[C Nĺ5}?Ryе= iLJ~ Rݕ2>7 7R[U`ت+ zE^;5Z*7 <4#,[k .u=hD]bxi4 iD~Ow؂81'=U abDh$P )߱haȗD]'_[O:ڔK(MRb'8:kd6294 QpB@ Or2,RÈ% uA2 w$8sd|R `;cW@yR}=ڵyYK67ZbքK|Cq6^eSHDG;p +\U <i) tmDR{3vSũ.{'7l~d8Woh" iZ7r N|2&:~,%[T#UkG\c1}2wPmszn TIMX*_^"|I8r]rKb1nX9oz0U endstream endobj 8133 0 obj << /Annots 8135 0 R /BleedBox [0 0 612 792] /Contents [8145 0 R 8141 0 R 8142 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35530 8143 0 R >> >> /Type /Page >> endobj 8134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8135 0 obj [8134 0 R 8136 0 R 8137 0 R 8138 0 R 8139 0 R 8140 0 R 8144 0 R] endobj 8136 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20210608081201-08'00') /Rect [104.1732 324.0346 180.0512 335.0346] /Subtype /Link /Type /Annot >> endobj 8137 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20210608081201-08'00') /Rect [104.1732 307.8346 200.8412 318.8346] /Subtype /Link /Type /Annot >> endobj 8138 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20210608081201-08'00') /Rect [104.1732 291.6346 164.9372 302.6346] /Subtype /Link /Type /Annot >> endobj 8139 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 275.4346 154.3497 286.4346] /Subtype /Link /Type /Annot >> endobj 8140 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 259.2346 180.9312 270.2346] /Subtype /Link /Type /Annot >> endobj 8141 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8142 0 obj << /Length 19 >> stream q /Iabc35530 Do Q endstream endobj 8143 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35531 20690 0 R /Gabc35532 20697 0 R >> /Font << /Fabc35533 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8145 0 obj << /Filter /FlateDecode /Length 2948 >> stream xڽˊ$yzK EAWUׂoc|0>=kLa沿x3ߵW:gu X2ot)o f_mt$x/((g1˿a<h9#.F!u^(S_pʚZ@8dL{nVė; llx2!;; JD$mbZFp^,+M=#BbP| (ZTm\D%lX|U5EhDkzU!=C^|F>/7dޗsbzQָ7;XJlZ4eƃ@’LdOX K¹ AE_3IKvFttaGڛu}Xo6F!/)Rh]WdL]}BhT0õX5Gt)GJ6Uhhl{MA~jueux|^#L\MnS 5P2_5[U0j{3PwX Ģf|{N_hkYl^Ge:h<Vcb^U:'%_g(u>ɜ :»Sw5%>` X0*Gx|bf8K%q?:%9`S#Y' @nXqvPY$Yp) ijs8L,db~)ip96j\[J)kr[PH@ih b!7jb:g$!$C U?nò He-a&ap+or]pN3;`xݷd3=~t{;HBn9qfLjW}8CWf(Nf:p(y#ov~D$78q%vj:BQB?6IOVp[uU'̱„ W0B}T)Sm Z#*~ZSRuY!Ξ\k=mJxێ>Ll޳Cpa^ΧM4—ϩx|ERfIs =,r*Tݓh硿> @RxfGp׻1c'ncl5afST l\CB/^ǰr}R3G79^oj@"&d5U<ɫ™pW!":N`RQ x!ƸǣWa#ڦDJ_IR1 V,Y)+ |$7fXY7ngkc:b݇"Q {4l'A98yub .F-RkӛKkj T5ѶC؋9RWR%W~䍔˴x[*@Sկ ,j@@0 ƞ8v?$( 4S=~ -.ޱ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35549 8153 0 R >> >> /Type /Page >> endobj 8147 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8148 0 obj [8147 0 R 8149 0 R 8150 0 R 8154 0 R] endobj 8149 0 obj << /A << /D (unique_56_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20210608081201-08'00') /Rect [90 292.325 112.528 303.325] /Subtype /Link /Type /Annot >> endobj 8150 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [117.841 292.325 150.346 303.325] /Subtype /Link /Type /Annot >> endobj 8151 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8152 0 obj << /Length 19 >> stream q /Iabc35549 Do Q endstream endobj 8153 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35550 20690 0 R /Gabc35551 20697 0 R >> /Font << /Fabc35552 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8155 0 obj << /Filter /FlateDecode /Length 4226 >> stream x\IW/07z|- '%v/[-8h4&],~.jE/1Rݒ4V)wqxvWхh/_?)QxꝏM{Dy[]ض==NЛЫ7nwߖ?/4j,ϋWrupU_~X ?/Jjjtz'aƯF.z2gⳌW(% x:S*@9,[nT 9"ue?C#Y"0|;4J3*+oWVG<` :QI/v;GM;3І^5+\tvMX쏊T"y}dFTuDkA4%@cSبɅ{ e03772(I]g ,_DKCB`7^ F}0Qhߜ+ {WI#{_MeNV5@uJP68THWCeR;Lj Gbljߌ)~ E{e酢f(V0H2Z]lhW{r@ zg)K ܺ/B FDxo(@Mժ- I D`2s"CLw3l.bjZX#"TS]*o_Y/3:26f p.SY(~k|+ vt# Χ{x3X/MHq~>}x^uāwB;ogJCO; ZHi]ط"pN(HX/lLA%*;UU'L2hfۯTRi!B?0W ]SQ4tƋnb;"*pZp0UAC| c?MFˆRkjX^\׵-1[+t(h 0`ubUX-&aeprM7b! [6Z^R%6*$ݥY>`MhT5JCk\Ŧf/;7+Y/yiHEGu ҾQFol]uJk w$XEW)e)WVN~2L\ 88ǁj>a#C\큻S)j/3 ˻[A-^>j{_xAnuŽZ=T dvC76ԕ`;Io^Z->ȁ*adZ|dӮ=z[c A^KED ^u(r9s 9v7+‚2e;<<=_y*]N]ON +WoգsVvJҪbT_ehmї/k_~=KCe63[{aI32n[YXV(Q T"$v4%^̔`BJdzC՛WaXx lqp$ HJ`eCr'd\٦1Ng  (FJ23Gj/8WKϥiv3RJƓCK)X.ecִw E)k5sG۽kgTJVl]RQ̪XE?/C1M,'1\xnr+#yч* vݰײAPC,b/[,Z`jd endstream endobj 8156 0 obj << /Annots [8157 0 R 8158 0 R 8159 0 R 8163 0 R] /BleedBox [0 0 612 792] /Contents [8164 0 R 8160 0 R 8161 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35568 8162 0 R >> >> /Type /Page >> endobj 8157 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 514.6269 381.3955 525.6269] /Subtype /Link /Type /Annot >> endobj 8158 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 461.9577 396.03 471.9577] /Subtype /Link /Type /Annot >> endobj 8159 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8160 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8161 0 obj << /Length 19 >> stream q /Iabc35568 Do Q endstream endobj 8162 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35569 20690 0 R /Gabc35570 20697 0 R >> /Font << /Fabc35571 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8164 0 obj << /Filter /FlateDecode /Length 4917 >> stream x<ɪ#ǖ\₤{蝡W嶛;C̙+Uh[ĉ3E¿,Z]K~Y%/W_*R(-?d(B%׳T&I) qt@k &$ -y`,[8?~cEC~kg#H|11Kޖă2w2pxѯ* +u RcȺ)#R4832MB{f3yo}[B~ <u #2#8LJ /RBLd 'W퓶$_(CQ 5A9, -2-B ^y7n޹W:3(+R}APQC)ZN^Fe+Os(brՊH^J(exຉ0*QI頓2S9dOVՇҲ*7vن<+ S'=& vt~\Q7E,l;UfQT)FG)/šlJYd>[mTX@ )gפ4/U0VԐ6Z4Q; &D6(aȦ M5qA)#Hڡ@Kj/]i VڳE+L飚%R \ӄ Іջ)f zaM"; B6PՙXIm\j":]EuϨfTZޕ6C'E6W.SUZUp B\i/Cc2J]{RGSqleJzqGUj oU:cNyRБ5grgl[w*2ͪڬjWi㕧Rpmڧy%i+z?4l|lj:d## |'T:uTt OawjV*xhqvjzAxLZQ vaW3![S񤛒)e-ȅ6[Aع7 )LR+Վx6I-(} )JQTK݂e[ u yԌk~Ł`g/cdiʯj})O)77m-'粥'{J R[795JH)~T`68 IixQFGy ZՔ?w L7 sWۻRSkkրlh_'f7E\ӛPPqN'2dqc63<]$QiN5Xuš;&W ? FvjwrfL 4P krΎ*{?QMu)&wP`5 [mb-EW&qzU!v$I$f[Qݟj'ݖMXJr_ϹRCd[AI17/^uƧ&-hҸ[,vetbpo754G)Yg3e}t8׸h^(րL<73C2jͫ5glBmQ95+sc҉v ]bvb0m@:e:ףj\8*>.>_)/et$}HnΥgF_+[~Z ӵ4xq vKzV}**qF͘~FMǥEc;Ieb&d-Z%/WPhlMr'xH?߆'v[ŋJɇ5Ț袴,9tFK{ݎWa#)#Є2wf%az78ZT-Q% ~ $(s"%͜:S0l9ҩYPkP[;{j}*6CM&?ClR"xAjVi$,aNz -%-0%eR/PN06Mfy#1>kIZ=mmw ܆]s\̙NM]RzkhMR;b'8}ًLgL= еv-AbAI_,چ@ҙneА,O?mMg2IɭbWbdX rBhLA!?B\(vt1Ʃ=JH ʻc@'͐VSլxg9f],V j6BV7[xէdb2bcԋOLyoů.|vPjZY[w26ҫU8rG)@eo`f\Cu˷zްď ubS^,ҕËV gO^uh 4x*ĩFH2ne,8_} 2CLifq@YEVzQ_nվV.!75گ޶ιgЩzIb%uMc V0'[\`CAnh;xj5oSyOw=٥Ozu⡽wPŗ'UЁsVq̤37j H*ڒ^8*EjYK{SNUQ-Q._UQ>qrv9:wh_/| ~Z5kHO '背H| XVR!4ںhx _>نȚtjU+L6Dd*>bi+<,A 2dU#ߖߖ!>$@TUЂ@;+R`c$9\l1_Ƽ> /f@!۴CXh<^@T0܇A@Mxh'N ;ކzWڀVWnG}y[S(M$Nr&ݻfa, P/2pG֬0vn<{JEMYV.|''^6bizc-`,EhW/QW>8k* k8:2@.pH͇JcAb;įONVdO"e,N F0Ul_p.(h[> :ݴ+T#WT#]}pU Y͇sLZ$6|^)O|k6p~"2]Ntg zZJgxg&SQkTtA4+\+SOUhSemdCӱ6ٮ`XЃ.?uvbE1<6nbUHoC29KZ-Sulbarޤ'oz`uػL:&UXg 7:}g V.=/?GZ_Be2J@=a7FBy7ʯ};VA^^/_'> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35587 8178 0 R >> >> /Type /Page >> endobj 8166 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8167 0 obj [8166 0 R 8168 0 R 8169 0 R 8170 0 R 8171 0 R 8172 0 R 8173 0 R 8174 0 R 8175 0 R 8179 0 R] endobj 8168 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20210608081201-08'00') /Rect [104.1732 196.2385 187.5312 207.2386] /Subtype /Link /Type /Annot >> endobj 8169 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20210608081201-08'00') /Rect [104.1732 180.0386 201.2592 191.0386] /Subtype /Link /Type /Annot >> endobj 8170 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20210608081201-08'00') /Rect [104.1732 163.8386 145.4837 174.8386] /Subtype /Link /Type /Annot >> endobj 8171 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20210608081201-08'00') /Rect [104.1732 147.6387 145.1812 158.6387] /Subtype /Link /Type /Annot >> endobj 8172 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20210608081201-08'00') /Rect [104.1732 131.4387 144.2737 142.4387] /Subtype /Link /Type /Annot >> endobj 8173 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20210608081201-08'00') /Rect [104.1732 115.2388 149.6802 126.2388] /Subtype /Link /Type /Annot >> endobj 8174 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20210608081201-08'00') /Rect [104.1732 99.0388 146.4572 110.0388] /Subtype /Link /Type /Annot >> endobj 8175 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20210608081201-08'00') /Rect [104.1732 82.8389 154.3497 93.8389] /Subtype /Link /Type /Annot >> endobj 8176 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8177 0 obj << /Length 19 >> stream q /Iabc35587 Do Q endstream endobj 8178 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35588 20690 0 R /Gabc35589 20697 0 R >> /Font << /Fabc35590 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nbЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fՂf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{wZ endstream endobj 8179 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=689) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8180 0 obj << /Filter /FlateDecode /Length 3500 >> stream xˊ#_ВZ iiYcz ;}#Z=Fʬ̈xGd]jb67YN&S~M^iaQJ|W:$`V:-l^rB׿aQ=wYʲDm%^=Ia>< 6Ep `'|%xsB3@0aa`&.G-`@*?q +z yjJ+P ~os}dbuO"!8qZh4ڝPe$Y 1/iLb h,D^D\M,F$qV5",vI)W),ĮіPWA91<V3vW:+0Jc*B%^>@<ؿ-`6lC]=>)Sk2ÂgMT0HLY7YGiux[Vé{6v Ɠ _7In8We\>ϹwYtdzѹ0iv؂NA9S%|ڸ( 4pnh6.&AtwN㮄N6Np7b܈Nϑ6vtx㻊W%RURъ;%6T C\j:+].TѐP7*w(G[Ko yۮzQvhkNBhhAz| FΎNkkiAHTk$sBuatz6ʟb%ӱ̕sb?UcɒA'~&8s;A y)^Jfŋa{HI|0G(7Pq~);x;8Ac[H`?q3.ҭٽFfO,p~Gߣn]/(vkfw6Dd+C^ JoGVkEr` M RSy->i- U36@tmR$HeO2FA[vQ {1r\jOIϡZ$2%mCP4~ 36!F{5 a`\J5jwe9J#?̥)5e SAAKsHgb^TOTjpErafC}G訸Ec/FUǒv٪4j=تv5^<@)Ƃr k{:J؜ҢrTJ̨dS SujmmW`k5Z4DL0jn>ƠzkoU!*x !6-=nziczV^ozя=*~Uk .￁@bvQ ?ן/xggqu yP Z3o޿,|3F V{/Ҷ*I(3;БR~8ͥdHcaH$%GZNXzn!{AwgÓw.}q> doFuVG{ m׫Bo"[,xw;*vt⊣%-:iR0@2'$j`N3s8y];nhyV f0k z8'jjLǙLgkJ.mަr$kj~^.5K6?#ppf1'l7S/$fKߐxMWwbZFղ؁i;y;Xg7v(vV%7Z,e.}LF<Wǚ=vu޸t.6~5ߋ>}z=j'8Az5H.B׻`]5Z+NfP$پ7@5, Q{MQǜM8fL v4<űzДk jw"4v@ ^c|0 toSB]e(/[8^xm+6}Eaՠn^^O.qü_.s J>oMú_Ao06xRw/F(gڸ#dZQXt@(D ^%yr".ngb0&'7j§&kW(^*m  [H"`;#ǚG&.MIlGNZC{hWO9h@ mϝwr{/;N(yi"?_ l7TS̓J)8|̓2m5 d E pIńÅߤ[;X,ި|xՄ/ɯlBlZHc2Zh v](%W)˻)Oċ5yh7%_z>@R Řhkt_pǻ0u1ВNq|_Y|}l=Pwч6X" wjqra]a||}"p'JܾD' \<mgYSb{MhD.&SgD//f[ WlI]pɒ_A?z2Mj@KY!]Ӽ5XUa禡*(Eg'?8DM8?:e_aCesb)G6NCSN E+dj^ 9΃&r\\Uɭ&;ɍ]NXg +Hr#eZgR Fx_Q=l3 eXrąAi*wKYkꛕiryzRh,K\:kZe*xs\^R|mBc}{jymҔZ3iHah &OĘgI:y!g,3|S˷)}*Ie/>?Ǘ _ľgV(s >:8?3S*T0eNmº$UWy3%(u-^T3$# }K ?b endstream endobj 8181 0 obj << /Annots 8184 0 R /BleedBox [0 0 612 792] /Contents [8190 0 R 8186 0 R 8187 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35606 8188 0 R >> >> /Type /Page >> endobj 8182 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 100.0326 381.3955 111.0326] /Subtype /Link /Type /Annot >> endobj 8183 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8184 0 obj [8182 0 R 8183 0 R 8185 0 R 8189 0 R] endobj 8185 0 obj << /A << /D (unique_56_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20210608081201-08'00') /Rect [90 315.725 147.728 326.725] /Subtype /Link /Type /Annot >> endobj 8186 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8187 0 obj << /Length 19 >> stream q /Iabc35606 Do Q endstream endobj 8188 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35607 20690 0 R /Gabc35608 20697 0 R >> /Font << /Fabc35609 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Pӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8190 0 obj << /Filter /FlateDecode /Length 4079 >> stream xڵ\K6W|{@=9,e֋=GcOb%RTՃEU[m /yvuVǠ*CC?\vV}|Շ)O]w1źᩒV<>켤 aO?O+~r&+WC/T͔dTNOhV菌v %)XA5:Tu>5`LrNY>(܈^P.bY^gi !ힰ)C,3O)9*v ‹G?)Ns/)~퓶NYc%׿?T,@hX7<\='c1)q=>|Pw76 d#Q>ܤr駐ӫ1b 13XxW}3w h cK<>[;  U"M;)C }ᓟ݄@*Q<~T(i(aKI)yndJEL)7c3xbVIFW:+7SR~#%0wnMx˄fi~ pbI93"0zMqYq2͎To+[CW 70)n(U4eipjh:T66* u}u}u}8g(Rg8XGA'0RÝ6'$z2KiW},~)8zbQDV|`k>j 4We9˲am:ȼ&/!îF *D:Fy +|V/]dDi@пgm;~#[p'p3;~=cMYUwT~ [ԔAYQ9sY:f% #]Lߍmx$pbBǓ-\if!Țe3F6U0next^LYfo陻N2˹zDC/6+_\Qi Vonv {'N/&Q0;p%@ Py`[ۈWp}hs:~y(CҖV8.:V-}]_4БTe5~Qmn@ә^E@;x=* Hvioe]낗('sp_+K &DRJTZ<2 ˁfQ~YB6To`N ]RQ4`76=cGn\ A Z}Zn9dTl(&e京ݼh-3 oU4&Al>Eea̖(J[+obi&^6Z^H)lr[%$ݕ|ԋT5JCUh\f޿zf!.9^}n?E7ިy-NLbZx)N2H*-*©[. Y؁,l!3 W|u~}À@q10աnXݩT}[5ֽwCPZAj@>_juTCkqUa~m<Ʌ*n.d[ ;]j 1."F'C!ĝ)Ōu0FEoaL`E "Av6,`ѩ;a;WR$_ &%68uLOB433t+9*`DY 7#z] o|XR^ȓ'dd6% 'Gc>tܛQVDO-iy*1T,SLկ#%sG:<%G;HިYԖ#,՝5 <`'`;y^QEW|b76Ϡҵ!d +?̜|1"(j\uPM~< }7tzb jn B!2fCPpMHH}3O-u`!@Ђm;~oO('bU+,?r5ո[Xu"S-71;Ȍ5Rq|^с %tp"~ҕ]cYtžvs pmmfPd* <.2O3`EUN*uo_N+lpF8`nUTs&E:y;Aa P5>?h}Rl|KKaEŒ%E+ҷ (E3PEz^T#S o'c~jPNâ^1# K%gR]*dX?߶ĸ<{aSQܹ]UbH/tT+pTvL(6vQT(jWˍ``2T1Q  :i ܍ƧOzZ@˵ tsDcW|hzio-t*j.aTFAԎ#ghtt\-]kSyÑZ?cNx]W38sGOBwhfj*C6neqw lZDܩ[15䝜}un0qKmIj1cLxA"j_e))[!.g4 j?2=r+)~ }eo;)br &s4a043SqLG/?*_R[tgT+$kjR7ZgMrs[ڱ[~ARvYM0|J睩XSۋDc\ыxC>d>Z!k %E~(ixdtcȻҪW4Ms2nBB.xP8|þ)u$3?+dZJ*U܇p*sNyC{Yf䠻ܥpC Sw DgP0BE ?4k endstream endobj 8191 0 obj << /Annots [8192 0 R 8193 0 R 8197 0 R] /BleedBox [0 0 612 792] /Contents [8198 0 R 8194 0 R 8195 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35625 8196 0 R >> >> /Type /Page >> endobj 8192 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 8193 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8194 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8195 0 obj << /Length 19 >> stream q /Iabc35625 Do Q endstream endobj 8196 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35626 20690 0 R /Gabc35627 20697 0 R >> /Font << /Fabc35628 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aNZ endstream endobj 8197 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=691) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8198 0 obj << /Filter /FlateDecode /Length 4709 >> stream xڭ\I#ȳA( JR@Ƨg1ˋȔJU34j)oYo^;WfZջ5i<,o_oijs8ۯ?^u~P#^{~]~xŨgۿ^Y)e^o;lUڸ5Xx/ZEiF*\=uD'|.1Wn#SRժ.Z-kXd!Y`3~ L~{]%ذYۗ#!1WfV&^eUB4/k 5S9S +ė|>+BHVO Cfk#}uuVEQIBIG%n!We\8S{zMQ6@Hr\d|%.TeA[29>xbH 0 ~XBP#${: P<@Ύ.WLw8U, ?sY[Y-t EåqSL[44C5imrDwJ0e s #@U`h#ZĨTf <+2ȴ*SbG,ETQ~SYfpOf/8\tiu&+Sp9 |}o.e)RC9@Z9TO `#gu.q07T.D!?']$]u f~;/G.o&T*Vv-ʤ$">!Y_l|R2,K 뺀f\a-7ou~}~;8<@ nEa#0>پѼpoF 1XFS᫑1~#[t‡3ĀLSNݠa^%Y-GަHImYyO)Ɂv]4صvR4rрUrfwefOOJ3)ġ'Sgf"NЅ'PcuWDK@wU0:ҜPީޜ+AH{t  Kr`o< wn.UFyTe&#,-ɓ( lTK3~bDaɖz/_jFQBc>OX@4^Bh10m{LXWjшab##/pkμ꫻Sj?ێjšb@yϿ*~u͠9%əZY@$H`Iͱڠr"]ϊ88Cڿ,g D8 ]/P+jzLi,-`@ !kaI7#ŀ{LiU&hX2&pBES"8J{Jbf^e=;_%'F͍ITe0INS0I/v]x\JM'DfZ@tFA$d$C̩[ջ2֔ =ĽN٤2A]Ԧ(iò&[)s/k^5VةL=d>Dkhr}@υ1?#& X& 5 ni:qPEe%</c=8-̸wNHwrv%sQK)$? }ʐ:o Glqh jxUBf(A%}['J2)27 O2A d:&H/>0^%2D>̴z ~6LewZm@u\J_㟟ٳI7'>~QE]v i1OlY:*Aݱ "JtE'x4#ɱĈjI+^+`c {n?rS.hzHdWAftTgdL|abα-@9}Ƒ Y,FdŻtQz)%6Xӊz,ҕ0%x eݝ.fX{/iwqe,O6*$3&;I*pOZϑ:RdAcwq|ߘ9 Le&| R#)\B/XE^EwԦ= ؂4ǖggSOX#, >hǕwQ宥jZ-)[\k:fT_G>ѨPO_E uK՞ˮ4cD뭩eU"Z:8ȾO߮fxs8qoN *z!Nv^Wp n;QAρYm} d1`7eSܜ xQE+7`ڽ|A7# / D\f_ݡfȵ]ny:; =7Xg yh;aDf('ʫU1ʷrBj%t~3Ub9dZo5}6Au K- aBFB"6xf0,IГSva.jqCfnkޗL;uҜEDt ;7Oc5qL1u =󳮹jWm8|DCOz]4?"*}fkvJ8_Zߡ*^;mm*_8L2?)Br<շ9c枉;_Gở^j*/I ;D 9z;DnYXTХZ{pS5TPlPY%u/{]0ZAC-Mݤ-vi~n=Ktc :~o7>hom['k8\T`Bty'9,Zvpo'oޟ߲k1˥XwS1aIouu[n^~c5n&"JX^:0g uz=_6.reO4re&~|/-  T)< FJOCq|0QCK܎1S!Η-viL~ڔCP(Ja;q;xnؼ ,+nZ3.Q1CO rGY F&1+YfG;@]T=e6hG|f92OL{?-G jM9W[>|}I5E T"qUY?E$k9]M՟&<@.h,H-?K״Fo^hԮLH;)T.%TGĹUT`RkAMFarL߉S@HLǴXX]R<6v?~8ꔵ6)'Q<.m~,T20Xb#G[Y /}}@ 1ۍ0XЍ>zq-|/Sp1Od̥U"M(?t̸_qf1+rI%%i*6hŠ֖4 {}15_Bq7)W0@Uy v0D|Bm~EU:/4F~+ѽ2gB981 XI`ԮWĘ7uQZoo۔Eb cRy0+8=ɘLʟ́4 f<12^9H̜ꨘʜ"?s@ƻ@RyCrT7x3n CWA|,Y~u endstream endobj 8199 0 obj << /Annots 8201 0 R /BleedBox [0 0 612 792] /Contents [8207 0 R 8203 0 R 8204 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35644 8205 0 R >> >> /Type /Page >> endobj 8200 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8201 0 obj [8200 0 R 8202 0 R 8206 0 R] endobj 8202 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (instantiate_example_design) /M (D:20210608081201-08'00') /Rect [104.1732 524.3 236.7782 535.3] /Subtype /Link /Type /Annot >> endobj 8203 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8204 0 obj << /Length 19 >> stream q /Iabc35644 Do Q endstream endobj 8205 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35645 20690 0 R /Gabc35646 20697 0 R >> /Font << /Fabc35647 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8207 0 obj << /Filter /FlateDecode /Length 1892 >> stream xڭXKo$ ׯyr@||Jn{gQ"2ߌ335yjz3)ۯs4`]j.!k}6~C`0 +~bmE4 kX|0.3_l,LE_mxǤ|Ƣ.8&_A/-^u%w<jaV̅I`tE>LCѬyTVEKgm-hޓ1e1mIm|, 'bݝYP+Z(]0AWap& +ʭUUɪԁE*(ЯBM dsUG 4W=7s a_k>BRzd Ee|zbK|3)ԛ|z/nG;[/ )"-ևG/;w D~dړ#V ύvRDc2Ot^-#@\Vi#7θe \0eXKVx:ApN{evw52z1{|v2)#f"2 }8m;vQ9R"!ۈgԾi/ȹ]`Ul]ma8]g#&8*wUَI; nv*"/ss~}a:.ЛI 8AXYX_!^ K?Uޡlb֡U9^h?D!±Ntaߦ'Uy kx٤(L0Rf+4 4CaIUiBB۞麭ƺz#yߌ;bM2K(a+ W~1T(;~fbGS1qĨ>B\WlvrXIi`8jVRIXތԅrHl NIe7:r05dUMc [ BӏTH<vw|kܛ6 nLtuq)̹ VqtIb]G͓?}Ie,y> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35663 8215 0 R >> >> /Type /Page >> endobj 8209 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8210 0 obj [8209 0 R 8211 0 R 8212 0 R 8216 0 R] endobj 8211 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 207.125 122.505 218.125] /Subtype /Link /Type /Annot >> endobj 8212 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 207.125 161.9785 218.125] /Subtype /Link /Type /Annot >> endobj 8213 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8214 0 obj << /Length 19 >> stream q /Iabc35663 Do Q endstream endobj 8215 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35664 20690 0 R /Gabc35665 20697 0 R >> /Font << /Fabc35666 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl yVf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0׫, `FcU$!īEWmG;!%=J‰pT;i z7}K^ endstream endobj 8216 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=693) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8217 0 obj << /Filter /FlateDecode /Length 3888 >> stream x\K6WޒM@AN, \/ImU{L]e"?Q-%o‹_3MrkxH$>??~<>I 7ӷTҷs]Ϻ'zfH6jR[a7bvK[bZjW%'?3xfϱ˾B%p^a;7pg)!IJt]X>p꺖|!X)NjK-zҁltl +{EUXWH2;OihςPV px:{^xqA\ 9{e(|C֝^y (O/R "8N˓paV'pdWKR%xOfbܸw/*KI\ߚ,?O8Gò*"Ss2lws`Τ0d,>~#2/If!tAy/gCo+6ۈ P.&@HT]*ssAv+6LјW1n2XshP\*4"\i:v[RY2M]DFaC(>)S-J oFuT RS?::=2ƃZmH]ūM%a3αhv8fL!ZvQI$[&AE՝*¹8h~L?k:tq1qΏNB`ZQ`4C`$3۞0m(2̋4VkUb\Ӧn86RüZiL^,$$+gS;`=HPcT^FjtS}La>JWl"~D}v"B{"Dc`o"C'"|@¼V pt4h]b&dL Fҵ2mK4Ctq0wyVls-aUdfÊq х[7*m%j +rL[dZ'sn"{J-,b38 }xDT@҃!Ud5ULӯj{ɣ- ^a 54P2 \%҂8]dK%w!9\Ǝ\9scK }?ѻi_m4ˀTN+:FC;Ӳ6bM~֐gdlGg?^5+> zsM9>(Yƞ^v&w Jd4Y0 :1Ь9΀@q^36e0|m3%Z*: pyϰZ0ZYZGPvZH&xkΰTo#-YyHT?.{QՔ@* r.The?6r"vEKJD{tX-шʺx{ڔgf6#KXo-2`C# [+f-)؊6]^Su@*)R3kWU`-2#kWjkDT+ƽ+WK[&nlXn`IeJfBkTFatv*a3crDZO"VMJl9-f厈6qRuU(O4t-:f[U5!k6oigQU7L~c$5ߋk|7A\lo[ur#>̍fF1uY |fw{ovo蛽 ޷=9ط7 #r'lG7W!&#݁qx1 n |GxpxpxpٱxpU}."D @CeeS|f}VG9^6܌o'f^jPo#B.~UdeȨrܱ4@i7'EAրMbaH&9ƌP mۘA-Zq^ôfqttMsi-uNoٰ-MwۣyxLV|@CxmZjyJˁ:3QmbҫLG;\X$vWzpփE+Ubٯ4`( rLNYGivO$* gczX\pk\~ZiGX2{ 5u ǒ-(%sq@AX*%L!(ek=(MFy%i BB}|e4Hp["<>3bUZ]fa'u2lrR*RdaG%U>oh2T,cf||mg/6Y̚k;;JG޹tYl+9-y˼>֣\8rĝc2ea8sZAކ|,R[\ /foC2Ei xD|FSI󬞃c/tT gHVUGXhIӉpw[#-08mKTZTC}X XR%\#sKެTKl@u9[38|(jX zM1|?_.2T5ZK2eLOcXWh >uȞ.gAϙPYcKRM”nh9b[(ŔĈ‘2K\pK*ŎfhlRj _HS&ԟ%n-g%ONez^"6OB5^36Ed#)UJ4!Dz-i_4V燐,&,: b5gc-u gYjleH.~ՍLvѽU6ܸ%ͺD>occ"4[gJ(FY4SZ~O.\a~["Lq3+.>`{ﴫO>uv=Ӿ$i~I hwG {Y9 0͞-$Ԧ0tUyc, |yɰ^pqZEj nOl yy)ޫ[#KS 6 clt!2R!]oD] M>/aɲ8 ' endstream endobj 8218 0 obj << /Annots [8219 0 R 8220 0 R 8221 0 R 8225 0 R] /BleedBox [0 0 612 792] /Contents [8226 0 R 8222 0 R 8223 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35682 8224 0 R >> >> /Type /Page >> endobj 8219 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 335.55 381.3955 346.55] /Subtype /Link /Type /Annot >> endobj 8220 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 282.8808 396.03 292.8808] /Subtype /Link /Type /Annot >> endobj 8221 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8222 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8223 0 obj << /Length 19 >> stream q /Iabc35682 Do Q endstream endobj 8224 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35683 20690 0 R /Gabc35684 20697 0 R >> /Font << /Fabc35685 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vKχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐى/P|}{Y#rڻ3^J$~uc.@Bl(Y|z'&DSsA̪xJ9ߵn6ZNoK5>%CO4i.dKRG"+5JVVK2 ef[X]M` //d"Xm뜡5ڟAKiܝBj2gԜΘ9cDA^zQv,Q) )ǥC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8226 0 obj << /Filter /FlateDecode /Length 5077 >> stream x<Ɏ#w~E (}JR`3xɔR FC]L&}pw?.oK'/]>?"k}UٕsW3R-;7{j}N xH&Xa3yrX}w~l"Q%e+`K[3).b /oL𼄷yJ,d#JLswfI"{RVQ&6of+,B'BB,2r,$IzɃgHj#CFʜVI׊(Hkl`  y+8'AvrYpVu\ ;%&K0bZ I@&`5!JjilѤ@pǕ?2[jWܸI V#)NG\ X)P G}]i ]'`q+rTc[6_l, D*؎ ^olSh -Te<*`Fmfd*قj.b &hiI󟉔=*Z-::l➙gS3_ [A s{̟tӖ+1֨ΦvVT b ;[#NN~aoٲuVM$B$”`qY8e9MU]-<] Pt hу(dXkl AΜ!F˚A R4N-٪-H+ZW sfVT94CWO_lB#rO]D8rFt5.|}KFdܷHOlIpɟomϨL{ɠآ؄XpJfFtpf>_TYDM^wu0U839Y,Q|MT2;92eQ 4ȃۋO͗`L8: 9 s90jZa^^^ s kB6\oE-y: %gTaV%-t;a2 ;Ξ%b(:WV)58Xi^ze 4Dhާ)v9Nb}KХu72 /`]7ҽ!-@ ;ljgVM\7+cںeJ #ڢh)%2sHc).<窜S8h,d?p%&43vڤfG6K[9%LoQ$z HȼqX\ͶF"GCBlcWҏ#@s4ˆ<+5!JpQtN>iFVȳIP, :0!94AЉ2{漴JD>RVdJKxrTYdBAE)J6cvB\ܥ+;NeK*'ڲ'xM @i}[/s%:E*.\M"Yjf4yLceFj >Ѳ 9kS %|4z=w >P2LC+^馼#:xȻe'66uG}/~Hч+wv:PƆ5^Y"coN&0}2w1[J=ou$|78m.}NZ٤Z;W;SMr*ɘc"}ā+eɡLfk+&5a)*jr@h3 Y=㢲g[H˿+dm.恧ž[vdTY@,3)t_.)ykg~C=XWゔYږ]kPۋ" Kn vLsXSYZɜqi=ϘqN^ EϝMvDض-u}&OnȚ.ʸU~9;SշdUCDDU n:S>N$1ԩ}J(#"6K&XurzծڴYuInŔF6G+nW@2Z]L%*t"juKGu6KsևcoFsWhX6IӞ8AvI%/rs>AMh7UCueOd#JVg*4$}Ud lV>)> k$jۜJV:Y٤QNZUXw|q f4c^uV+B|1aԧXӧB=>ȫSb@nЎ6MٍA(ϭRqZb]D;94,Oy+KV3RD"AGy5cwz9j ➓k3y]\SgO ynU9c٧gABPh9[=헤' c}W_[)7}vCN=[;Pj,d̩rm隵\뜽fk7MG0??"XE g5=BZs}>krE`DQڦ^#4Coެ/oړ7Y{=}矶U𲲙q28Ҏ& 9(!Wu: 6H]$ riTցbj"%GuBuvݧeǟ_QPmA1%WԅRf5$0C^^| 9OG%@4 '?u2s7joE_=Bކf_}QsHOf+#ۊa6^ŊG`ep7F~]l 2`d~;eۢWЛ'̾/B~@EF24hH_!KQf4,y|?9Vp`.l rvx6|87XB;z/{fބ4p B.^*brmWg}Pot &hj 1i_s]č_n̋,U-쁽0IEvw89w? "8L4CXv zN2ŏwXC1{-*@>;bc+6p4>U^g Wn)xtfbO}Cv6њF_NHo"S<@&`LHM_[+f M*\)R.HvSjF(N A*~ 'WU(Klۚ2]|#L'ܔW@ ;, i?u?4?Jt-e? ,]+w^NoKm+%+i+2)(.#{[ΰȲuBRjȤ+od;ƙ晝_|KKp֎=ܓŎ?jrwVU Xcp[i Ɣ?,I'1Ju+m`Sq-od;|\+&o w>&]wsu{L߼|pmn. q3]F/I<+kȯҜD?2a\!d>M)iUDH /-YC} _,JC8 endstream endobj 8227 0 obj << /Annots [8228 0 R 8232 0 R] /BleedBox [0 0 612 792] /Contents [8233 0 R 8229 0 R 8230 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F11 20725 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35701 8231 0 R >> >> /Type /Page >> endobj 8228 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8229 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8230 0 obj << /Length 19 >> stream q /Iabc35701 Do Q endstream endobj 8231 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35702 20690 0 R /Gabc35703 20697 0 R >> /Font << /Fabc35704 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8233 0 obj << /Filter /FlateDecode /Length 4865 >> stream x\I$ɕۯ \/$DDfPЩfZtüvX2`D<3{Mm+$}m+ޒ˷qSrkjսG@On1%4Em(a*Ÿ~)H^AKpC<m硹mط,cle¸EzliO _`%-rSex ]GnW46(qRv7e;WRmYe歪GBa#8q?}g~ԫmLb$wR>DDAݫ` m6(?$ L(V4*^fvH?$ˬ 7ن}R&}fMրYV,$'-e.&E'"m<ψ͕9N[Ce2'k.|EA8^=ʩ1+moauC)tS:A07:ǠF8u6'nil$Heăpjr2;}RvyY7v;˹>T#J|Y{^±0T} y^EY|L+8~Jz64E@UGPg?UgSOH==a8vxaO(:.j M?[ܛIE rhv@&_5(Lj3bۆ9׆{:4.R&ʫmUޘȐ%:f$*s6s 8P޿$~y$ K{o__Sr$3rQl)oIyopG*>Bk~9_:8/r4,6ǶQ҇҇=m5ϝ~10me.osF*#=h H2@Q tIicPn ļ[ h{X*SAP+Q84:SHU֠Қv&?"UaG6瓝CH;c@EvjJ|MoTxJI/~\/:"=+j{VmN(Q6Hğ[ gYH,a)QgH7#5DhgAi:Ŭ;k:*-]U{zY9k<Qߋ2T_g}Ϸi^knc‡L `8M`hg}VϦ鐕y֩ĝhT#u9Xùs'';< tpGRS &dC32oTF^\(Qi'vu#۱jǔBk6mrF?Z]#5=Vu>ƅ1應5{bÍ \Ї;ʣ^pj,~ю-#wV$ǶhзX.xQHd[4lm%DJ9J(ar9G*k5UʮyJ+eGk24xGP5ŀQhE/VXM 9eɍcL+zJQ{.;55E<}ӏEό_%F D\[=^w xYO_.%R[K!HJs<ʵ"ur>3>:9- @/Gen'IG %Ǚ8/˒tRC!"؅6 |uMnWR:*htTo&-l ? nfڃ2yla{{И\4c,edp5z,Nɀ$_a?i1ꬃZDAXq҂l O^݅BQ%[ K ԎvqGu&hr G6aF2:`ӓ2L><$c^sV9Sj%Zgl]ZCXVzT=U5ߟ0r[a$,v3 Hޏ^a[.t_EsP$BiYSC oT~y݈nx$oĹn>G\c.㙰*\udXsӛ۰B;9B;@*v Tks)|!c V8qX *VfnZʘTkݼmiLȚ9q^E7K7qjr7A:"a-+\@{ɬ[U3`lOCMt*lhLOpk_@#;OQMaR:*C,M/a`kW]`XϷCR\2b@Kȱ/kfr ԡ t8XT^1U. UfkqM+Z}*֣_pȷK*bv|҅ ;Gmi᪕j/R,j|霝I˵ÊNi.n2Ĭ+MQž>siyD w1\8O 3K)0цJ_qq:xz[/+KݝLMxX?۝wԀEgAwY 7f,:5RLfNFIV<nqRF^G?+{gBӼ‘ O&ݑxs٩o >[YOxsD[y C#z~mDŽ>g~הa:D!ڟr:wkMfƒ xqsܰ9mH-xLqSwBp4SS-4W QZ$IIcX|D tCDHJ{feRѬC˜wwߺX(Me޷'r.UR؍+ E&TeDYS*FvA{kВbL9!Q1 _ ]# PWQ_I X4& w{0y~Rňy<vrL<.82HsEO{5zrT;;L䳁ǴL03 @,fH׷U]IޒܸGv/M~4-hi?qr 7?MCnF|C_ %otVE7ɦ]FpzS);/Dm1I0<8e [a1>?>_!L`JG[<#mi;7^ =M#Y=TW*cС+s2!@cG ]R? ,'r78w<%_gx*#\S`Rׂ~+^-ۻKyfN;՞HW`,Sy@ZO϶j+_;_k=%y"zz6q.q2ݰNtWzYmY_.E=KхL,8;8_GD[*lTFic`.?ef0/ok=R2W #`cH&"-V!~FvM`Ir|Db* tc~D!0H&C0 a{:?D3|ByMļ11qzd @.P5{rڸ3[y@|GLsϏ Py;y6=x>4n?Н>,J܊s endstream endobj 8234 0 obj << /Annots 8236 0 R /BleedBox [0 0 612 792] /Contents [8243 0 R 8239 0 R 8240 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35720 8241 0 R >> >> /Type /Page >> endobj 8235 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8236 0 obj [8235 0 R 8237 0 R 8238 0 R 8242 0 R] endobj 8237 0 obj << /A << /D (unique_546) /S /GoTo >> /Border [0 0 0] /Contents (report_compile_order) /M (D:20210608081201-08'00') /Rect [104.1732 286.0385 207.8537 297.0385] /Subtype /Link /Type /Annot >> endobj 8238 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 269.8385 180.9312 280.8385] /Subtype /Link /Type /Annot >> endobj 8239 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8240 0 obj << /Length 19 >> stream q /Iabc35720 Do Q endstream endobj 8241 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35721 20690 0 R /Gabc35722 20697 0 R >> /Font << /Fabc35723 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ffthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$f endstream endobj 8242 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=696) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8243 0 obj << /Filter /FlateDecode /Length 3117 >> stream xj$9y z?(pU6a˜<۳,CJIYiw=`ʙzER/H  >Rl}F/.M_'1#>.*4Z61kc'~IGh_# (8e鷃jY'Ɗd=͒$A5^=xХW J]\_ Gtx*hbєpUt[4\u Ȕyi^* 0-U+¹%(=Aș7jncKV&R:@GݻʠMSڊnQ:N JgT%7o*7*Ќ&Xu9׾~lccG14{lrw_v֠f *'xz`EvD` s:u*Zئ)|G8`F"/HB2gZb}'hf2٪v)Ǝ!!+]H +ˑdZ4t[Ao &%ST`Lfrf7GfhD\_B7}6[vN&rxܥkV2Nݝ#Voej)w}"%rpNȰ5BN[J~EkʷJܭ1KqWV7j5丼_/ռd Z f LZZlTCYXgT[Th x6#yZ5R\]7 6iu)ӈ]C5kv̵1կN4oZ+k{]H^\=HOJD<*WU4i-Gm $'EjU|0>!U,Jo' ֈZ1M2C]Ȣ*rZ%hWS*KKQYO`P*?.Z'@K/`-&fv0<0ha͚~N +\̷6,gkm#M: ͶmDLkeoיY⛦u !BXj| 4M-U{mI͍7?yY؈Ka~PgI o~CH=^'Ώ,q2K2 #s܈XaX%<yYmbSLdט+6f6#NZcVQoB";Whyd7LሳW4U AT#ʀJ?Tp{;{{Z+<)X~5dkps?|5B znLY5޹!ƾy)Ljcf{suD{A TXͽ|eT7_>Ostz$ipx2il5!oE>J_+"kO欓95Yes?DCL o~҃4l\u{$X;5v)nnӠtpn}/paJCK:|ۤ6܀?u*lYScxpAҷ$0d+~cNՆX'Os;a U DkZ8SF.cҽd2ܐ/2)[Ow!C_ 'W.!e4+hA^@ÔW)˻)Oċ-,=.:S 6*,УrF>L֎@C|_ 1&n:U/0 m.7+l\M?taS2lގcyGANh'1@[ $17,`9 dD3_YDWxim U@V.m¦0ݭt'5›IIiɬ~T1k34U[ gI]iȒgT/SI h*+3,Jo/ 7u]@:K<@"Ϸwk 3`fuYְ->2D9WVm1oPC1:Wv:&+zICN@e`3MY;t'-~Dc ~Tn \Z/ _a0A <|"ΕagN%az endstream endobj 8244 0 obj << /Annots 8246 0 R /BleedBox [0 0 612 792] /Contents [8253 0 R 8249 0 R 8250 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35739 8251 0 R >> >> /Type /Page >> endobj 8245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8246 0 obj [8245 0 R 8247 0 R 8248 0 R 8252 0 R] endobj 8247 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 8248 0 obj << /A << /D (unique_56_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20210608081201-08'00') /Rect [127.818 310.725 161.9785 321.725] /Subtype /Link /Type /Annot >> endobj 8249 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8250 0 obj << /Length 19 >> stream q /Iabc35739 Do Q endstream endobj 8251 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35740 20690 0 R /Gabc35741 20697 0 R >> /Font << /Fabc35742 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8253 0 obj << /Filter /FlateDecode /Length 3916 >> stream x\Ioׯ賁i׾1l99ɱ@ ]zzCRɐݵz^-b H.gl0KӿoǓ_Y>>6u~M>&|z^~_V[o!ۣ+y{ly =*'8Gvad5 EzθOkJ4/?)WhV.  WmK(kҡ¡?/xxxGȳ=}ZGH^S>C^rtܑ`_NJ,;JS1S0 :#w\UFT@D#WD 鱍 +bW hoH a0pW-!|D h6.CiYly-%dȮ>^ 9`Pa4'm#0[9kM tc ƑgQ.\շғeұD%F ~q\ʍGtN9˴>yp&_5y/ & @UP( /y0jQ;w]fvxë0%!fŋ=0"8@ bfA=2XikDmXά B+ B>XlT5c9&:svډR뮯5<1eN!SPpؑITJ!YW(4|a8pOT3[E6ﰗ(bڰ檜;aܿ3C@]AZiHjʪb8mp5#M`hV{Fs@= zg<&ShIt}W0疏ԫAx'V-C p%7`Rg@-Lc{_R]5NuNđɝ낡!M9¾ߟGyPi)\b+'dNQ1P&IdXֲn4k7 r@+ }oȄ "-pDe}xAIGL2}yBGjsl*ȁ\uFHooA"J;٢,>zJkte-b5?8D3x-6_( ;^Jo‡!^,i%0$h?2 !k,աAM ga>Z#/1S%9fq~3~ieB|@;xML Hvhkze/}G,ԋ~@Wꋄ5}fm` *%5;dM$[~RRC!B7Hgj\_#m5}c&M"mGp:mARlo&aXimlyP`Eٕ~+ Y@T3"y#U XtJDx3 9Mn9û$6 :J"UޓrjUW4R$w4D#}dv0fKft 8p'r?]ܱQw\Zi.×PPZ ̬?QRNJU*j_>vNb)zIADyi'ۨWSTQ9?5yE&՗j'IWoTz4LT:/",jTay7Q2^ւŠ:> 3q`s?,7A{UR=eJجDyܓQU갦6בXBU6t&,RZ$a .hH5W+GG3\mE:=\Żx`W;aVA.C3j;(w3]K']E9 ݊o ̓.kacaF2JFx.%^l&NQcRM}ŕc#M:YEZǚZjk%{_Vy&nG&2(+ah0sQ(IH5r xn֡by7d4OTk33v b6A/2sEAF:ɘnF#Cv lzڢ9'&gKJS}]%=='5/! Cvjn7\뫵FLxeNd|J T(N͉eaY<;Mͦ#]Sj^Zs9_n|$>1/o`kwuu涬"-У v}V*v$]>4CGTpcP]p=VGieY~f2ukLG/˿x 2&r t11Pa Dvaz(eNfnec%aum$fӲ@]x o6tCSjo<]MI6Az-/(z!UoF-p n:Ϩ.FIT_5HKƐC* *]- h E>#IC7+mO!>+"[^o`.9q2 *Ek(e.3G/8&/^~CEIzgBКNxV&iTVN\Fu|톽YU ) eq?YlDyO+<\R o{M*@g㜊+nQv|s#}`~9|C3.G pu vo_kV|~ean>%( B#c\YxB`0IJBy#>L_OGA>5Zr ~A  v@AQwaZkM2+*=vDCJՎ ʜwT]?u2BL3YCp}k+8"II-A endstream endobj 8254 0 obj << /Annots [8255 0 R 8256 0 R 8257 0 R 8261 0 R] /BleedBox [0 0 612 792] /Contents [8262 0 R 8258 0 R 8259 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35758 8260 0 R >> >> /Type /Page >> endobj 8255 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8256 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8257 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8258 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8259 0 obj << /Length 19 >> stream q /Iabc35758 Do Q endstream endobj 8260 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35759 20690 0 R /Gabc35760 20697 0 R >> /Font << /Fabc35761 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8262 0 obj << /Filter /FlateDecode /Length 4812 >> stream x>yoV+ 0ْ!t7?o |= ZtZ yǂiX@G5D灏gćY| = y pΈ+O~=ب-daLembu[p @! ˈ8,wYZjpn9,Nx^|^Sck{ jSI<ΜuPLdiNfUGc7c+,&yoHÖ1Hc1i`x%3")EJZ)"Ï K˩ E"EmE " y`"HjOt /yR3~Ž{&kJx'?*7ld[|[Rt 6_Di/qrH %`"DGZiԊ ǀP ^DX]lY+Nq @:2HH,uA x8ka4W8.XՉP YMZAn}BpMEG%!&#dSE9rS;KtKo>)" N t|jmAj{D5{k1{lDa3' q"i4tENWfcc%ʢ^dQlIP-e#@\5/bd6qXDk&+~/pa[@m<b׼|SrZ2S^!1*`" ;0O3K %`JP:a~{GVѪ`(Rjfz;KRb͖'sv7Tųv~ .AYq2'%`,%'oK;ΙMnɞ|uj%SdJ0 HTgW8fs Uk(-}=CD]8}i/7ȂdFH|9/SbGqPaU`8XMf!Z2#}G>puΔ4Yɨҗ.,NpWufd6븛1rL骪?.= eOtvW:ۓmbUN3׫ihYʯ"eCa]04u"N)IeDXЭǖ̽SWr@#=Wzx* qiʻ DK{ #g۹ZaIU+6a4ʀ!t[JDb=nd5Շŕ ͚ r>q<偉z zc#Q_JǕvCLjLqlqER/lF nR_k*EkEH/Ś$A57}mrt 9G,5QSK.S Җ7*o|>Nh dÜLVb-jjnׇ>=|PYJf"i,<yKLRmX;=#c%*sMI{{\)ol`ICp#?ڂvL"s>(ΟHSף4rԴ[erZvnײrҲ[ǖ%U u0!9 j0ЭAbzHb6a"[wѺ7ҖӮ.q⡴nen |ܪ<Yv02ݏ:tZmHzo:0ORzeo,5{[hMcݬ-lLZd͸yi4σщGv %ѝ,oKgg̣n?.~CnOuy={#i] o{'.SͰ=[y#ig-:,Xi4CMXKdrH6Z䬶[RKj`J_#f}Eyw'1˺X& mO#:]U#כqòNRoR]ǧh itJ;~aT#4Ƨ=p5d!]\MJS]CC+\:*TDpiNm:GUtkޗMdMBh;3E#uBAEhYӽy"X.@fhٙRuWh&H%}0Ϯ1eKds5q_!n&;'t2wTA^\zT1NO Ғ^x EJY\K[SNQQ)]↦BdB]{?jU c-CuO*֥En&m`sݡ.йYR !$Yl#m9a]bQ}5G妦Gv_فf}t {{vG.<$I [m.Oz:x-mΰ}pNr;"*u=գ|]"Fa JH *$Hdd0v>󿷁"Mh[3>wﵓKn]ݫvzo]ut~dke7oS^OweYB~٠tvx cu0)*~q;yv~AfЅVy 7d|,9rcZm)x @qMέجe7^{=b_8)pno8t?`lA71@ b$zj8] Uzp#d-9Yó 1C'l2ځMA7i௫p<"u:Y ~??Cs1S]A/o(Q`sV /|[>+|mI%"X5Ew-(s;\5-#ΈKcdQH+Fy7' K,C O'g=Ksuw % 3^B[vJ23|'J;!`#6 Ӻ[=Klr˹1#,iAď6Fet;Ee2ҝ5i"xg6ӥNRLA4 c+f>RO~Tq~iKemdC۱5ٮ`rЃ>^8>.Sp1OK1V<6\1 )fl uH:,6Y.8ryQxF1Tbo4ʔdÿE7<{:?{`nOh>y}mCH_oy'7L3|_S_"G4-|0O:?,B> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35777 8270 0 R >> >> /Type /Page >> endobj 8264 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8265 0 obj [8264 0 R 8266 0 R 8267 0 R 8271 0 R] endobj 8266 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20210608081201-08'00') /Rect [104.1732 205.9693 144.0317 216.9693] /Subtype /Link /Type /Annot >> endobj 8267 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 189.7693 180.9312 200.7693] /Subtype /Link /Type /Annot >> endobj 8268 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8269 0 obj << /Length 19 >> stream q /Iabc35777 Do Q endstream endobj 8270 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35778 20690 0 R /Gabc35779 20697 0 R >> /Font << /Fabc35780 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nTC;fũc8TRQ e!Wm EI&7]NhAb2Y@Qi, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?a( endstream endobj 8271 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=699) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8272 0 obj << /Filter /FlateDecode /Length 3385 >> stream xڵ[KW hmO/ 4lعO=(ɲ-Q"zG6\]gVǠGE^_F~C}'ӯߏm7nӓ~ P1LE9 t^y4Wc{ү-6^F9ag$ 3D=igh^j(S)]jtm}Jbj菝X# @x~O퓲}t査لڳ.;ӈ=`.qя3/r6'EcͤCR4<2n`<%Q,]QU)h5-Ϻp}zba#ƱxkّQ-sf~Q86;MжϤ6Q] ol4MCGF4mz۴:%-\8u]5mӸBhP Vp>Vq:7u%It[;۠A?1A:i]b!&k$5٤߈#@}@`t~5Uv7PbbQ+8L4kYlDل4Eˠ5Y~1NM?sEmOK}cw_^`ܞsa7W'xwIDZ’>`-+~`T"O>KK[ :`dT? LmWJ ՜aIbht 9z;ϫ(CKĶϻւsKt֌Ob Zsz[J)Llmj8= xuo@WymX͂.L%z)f>. ZVh=0Y2ھ[H {f L>a#o ӓIF MTIUzLZbw$@2eywiaw1^TRcʀ}Tf{ &=m%88[ԭ,}~7=SQh`!pGSܥZ:+I"->)tI!9ld9n%Y0ui`{zK\Bu3 &xx2C@< ?X>O!Gu ySLC"\,`8'"#|L8P4yyı4& HP#6Qv/о':Sa\ ԍ"8r#;\QǖJ%^l~4hB`)PJ3o?cl ,j}bD9;pGXcvƒoGd+إ8H h6*":9ޜITAtݓ֨Drm:\WP$زf9o%' P;RD`1Pxt ,)%$F=P;Q%dee {̿6a~2ݻqOAGk܍5ʊ( (9QU*SNֶlӢ5# 3k4W 7!TNZ"o3 OәwW+9s sQJBVD1:"gy|Z偷J{ZgCuYܖJk[>׺2Y9cl7S,7bhjיjn<_KW tX(6rM"=N˸/헻;\J%RE)(3QO8 n+q))]!n|w,юٔ~ r QCnwj{,;̒n12+&+\@t:UZgnfHq d(J[ .X=aZv@=F|),vݦ@?w`i7rg#,⌏;"yHiu>W~" * Y2@O,Ўel{f#Ȥy|dt[2$P*?04\}~q4xow>^oolh]~אͨ_ZnI{aZ=Wn|>w큙SD+f׵K:rҵm$*}8 \i֮fJN\ϡphQI!@*##. LYJj0vD&*dyvL[X֏  =!$q* V~w](?BtK5BV A*>dH͒mg N!k 5:N &0 [<3w՛幡4~@AK6ɚxH4 4l Nnb͹\?̹$b^mן`{+u.&-v}!.Iᢾhg~37=h#"3,~#EG㧓ls qx0K_XǴy9+,dnHozl9M%\g-E>ˊiג?8Z1"WAJw[IG635 ;V*LV׏x擹7.e<Wi4dmA>ٳTT{X][,Ӻ!.a9ڒ'_E_Ѽl{Lf@] ͡?<@MM6%[`nQv1D/{"VZ 3 <^8W5&Cs݉ f8`-dZ,ETR_IRz1\*zS\ьLg!!8ex"Dzc̜M$'! ?BQsL{kB-WMׇɌ"CklZ X(qn F뭛$Ha%x mˋ9wi*3=IyHϪJW6bv:D$d1Se^#t׏T+ByQHoL s> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35796 8280 0 R >> >> /Type /Page >> endobj 8274 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8275 0 obj [8274 0 R 8276 0 R 8277 0 R 8281 0 R] endobj 8276 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [90 277.325 112.8525 288.325] /Subtype /Link /Type /Annot >> endobj 8277 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [118.1655 277.325 150.6705 288.325] /Subtype /Link /Type /Annot >> endobj 8278 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8279 0 obj << /Length 19 >> stream q /Iabc35796 Do Q endstream endobj 8280 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35797 20690 0 R /Gabc35798 20697 0 R >> /Font << /Fabc35799 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7f+ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'fFP}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}x endstream endobj 8281 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=700) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8282 0 obj << /Filter /FlateDecode /Length 4260 >> stream x\KoW@k&99f73ԋ/go-ů,%O&3iwKZu*uf ~4:~~>ɚ/Oj.$;[>=Yf|77OB(cw6bTB?/>}Q `)hn\=\5Oᑞ3.՚%闿(;WhNY7Ye K2' Xpu5g䵎PN=GeǍtx~2|MP:1qwqF^̝P=v32E0sǏSF# gnC@cPur!-hSjo`}jL.NF9MXNTb@PzHd1vG` sDϩ='/ Nhi-l87١q&p©}*OSL1 ^8aw簏60MM~);vv'd;`HL ?4>,Kpɏ C'ffp* Well ;?Yf̸{d 7Ō^vj!SN]M5bԍp ʷdCpjI.I:%6^ F9Dl<09SLDz;2{wٗMDS%'[ ՊsN& ~9ZW?O?lA3t\[>u;uϡW7FE5*QXgDYä.ndİ*TO{j5-̦wLw^έ^]c}?ƾ5ٱƹ%~u;'A xrp%5fry /˄'t Mz3+! mO/ ɗnlw@ u'[`9o}߯7Lۧ7xܜ2 +$0#+P RvLE9tƣh۠fVcn\ ^ R[gfݚʨpYQMj KuxRZcA*X9t& GX|*3%(8.Tn Rۦ!V%[i8l&/%+}wy-*4*Ū8mURW+Wu+޸6pop_" %Hz5qЉnRVCG 7$XDUu)֞4B_CV:([ Uudpz( QZʮ+3bwRuԶ1 ^הWPomNR;7VP*oTx &]W{~Ce*{>߳sj^[m=} lu]``znKDr"J0'҃~-NdeV["?Ћ6 ]~D{ɰ@IÒ9J [1L .z>W ƑǥO W dDD) 2bc׸[mPo_VdKJm`k+ 0N]Y"*qaP+94ZQ^j?L6ҒvJ&:q4buuAjcD<oaIL>zD%KxV-{sGGa՘dq6ԑq,FI:5*'BVlqm-iOGG*GKûȳZ]ȵֹ\[gښ+2ԙKTVNRYMfEׁ1mM$lG]A@h<%%7}т86'V8/kDv= nE *% ݃!%Jvhz?Po[pJ%m~)'WS]s &ܤ8i}fYRY?JSEYC798|D/KP,*XE?GF~l +!9K7Gc3C ES %bCF>%62mKbBt5^ m0ZG#we>g~̼֔[nwշ%,RZ-&@:qKco#̼a{71p`q DzK] [@u(X>3-\*Z fF1ю NQ&dvLcuXb.Sya'+g`p 8OQ&7P?ˬ30ȗ8MogHACoNcNLxn"ø')#vƸggÅeJM;mP|䅴"ҁB7 :i7=yf~zJw3˼\z`P8ܦlmOӪ}CuXCL\tʵѾCy뱼mYDDsi`&ݻnΖi(2R-3h"B fƠ rO@\>(s0 @AaZfH# #ϖu$fS@]x o4CKjkxZE#:{[U7|^kC*>`&Z2wr(wWT#$kjR7RgM<-C ]-/<>r$θMC73)9 įwɅ<,PľA`SgQ"%bCAIzWB=ѡ^8Q,0)$pKڑ Ik)-^;u,o"/Q+5jveBNޗ\jEU;ke( %+8^dp$PYeArubM+f.rP0=ۅbl+&>Gd~vW.M;pI 8-݁Qܘ>`0Z6A^Ŧ_@j6gS_OoiC-Ƨp#;3 !:@0qF JG)W' Iw~dX/ԳE@IC96eC?$*,Z$߫ endstream endobj 8283 0 obj << /Annots [8284 0 R 8285 0 R 8286 0 R 8290 0 R] /BleedBox [0 0 612 792] /Contents [8291 0 R 8287 0 R 8288 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35815 8289 0 R >> >> /Type /Page >> endobj 8284 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8285 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8286 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8287 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8288 0 obj << /Length 19 >> stream q /Iabc35815 Do Q endstream endobj 8289 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35816 20690 0 R /Gabc35817 20697 0 R >> /Font << /Fabc35818 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;7o77 fڿc}Lhj[ߕ9pZ [nTHӡ~1OEҤYȵ7-$G5UJx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] эc7uc.@Bl(Y|zǓ|"j@͠zfQJ@lIHd%Wj)\Όl iVGۏFx|1$ɾ d_ EA2T^2 'LsBV9P|sLj$x2X.s(RKvP(y ?`_Ћm߽?D endstream endobj 8290 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=701) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8291 0 obj << /Filter /FlateDecode /Length 4539 >> stream xɊy 5/TVV|kh1Ն?m($eèMNmۗPͿzV?1ӳwsxKѿN77k= aQZ-)Dk翝2N)f WOZ \i̒l q܌R< |=_ Cta :MJkA^K4nƷOl4 З'XD*W \:m\:0!F{yΤ1u&Gsg:,fI+ݠU|"=:! |N8#L䓬F_M(Y_.Oӊ 숨L>݉ZBV)TMӭ[MY?e/YXF9eA D>N$TvA@g"tӜ8iƞ&E%8s s@1MUl9U]lEP/ XA?wuM)@cԫ5}BLwDASMSE$DMCA H&,P3w/X$Ei@ is( xn$5(ޣeW^ڟX4%VI4kG/JvIRf\D%à 8 _Vd#_!*h*;[$I-*+ZP([ki px|(p]&E7@DI{\Rcgoh. ڤkD(#ʤzCB{S~ڛC 2A3!̋gI]p8ވ3 Ax ^na+ZR$K[=ѐٯ>jt /yRŊ*MR۟$,߰mϷ$bmtK]^ ._0JW%`E7o华hyZX],Y+·z @:Yꂲ; Ƹ8kaIaqX}]}V[@GDnI&CLDGȦ.sbvI@ ^}R4D8xnmAj{Dƽkb,Da3' q&a4tINfcpKhE=ˢؒNZ]+F@E0j%&*m UL +~pa[@mb׼|CrZ4C^!1*`$ ;0O3K %Ε}:%&`U*Q0 :{&=Jq!7[@ّ_`R۵5zg@؂-;g"%{թLI?)l6j&T<#Qq]D+1XOZ@l"'Ux^g@$3Bө s&s#"1,E̢ʶok *(ex$Y&ђA6ٶԒ\&J-nS;R`7uSvQ.r.3׫&7L\UP]^oJJe<: Ȕ*UJ(`u]g@/OĽ\_+Għ+9WբjEM\VpwoP;@$(6- Ake% jP")C:7OhzL %=- *Fh5ʶ ՚kT.ЩeS{FdhśЇt@s'08 O*u#QcB ӱKK7['x>fl ʴ6qS(Йu-| 0zަ:iQEiGvIyЭ &p\JA:PUE5ʦT{!,HaM4( l*KN]x m>>eaۯ)Bff+]A]Y 8#Cp7;QĺAKHPzw8}r~b'͉TZFP-FT%F%ƤrJRگ|PdCf\ lqEX{6IZLHX M5(/0 vGUwZ#ʧٝWV(>e^QJݸ^*պ{-{Li7ڻ*1T=((@RnNz;n1ZrE2aB[7lҒq<s PJcRnX l>NLo`*V Ao#2 j?[%4C$Sn;~oc?]$EI̷Yo&ZN@#.e }m_)k}dwy<'mSAܱHP'{iܫMB_mn9zn8gjEǼ4nتI>Zh ֶrO4Co;#ݕ{XBh@~m{y kܥ/3N"<;e];k4e`tU=rs\oRZǑ:I1Y.u ڳ'Лٯ 46+.S6dїx?8tUc5* o QZ pīR¥Ǽ ;즇3x?zIMwIpN:s!z/\+R fM筋|]y˵o9MEy=Zɾ5-;El*SvlTX7ͦa1-Kdcˎ=ܯe>ޤ$ƭ4ݧAN+TEPB1Uڙs~1랣thjLXk>*hZ]z׿?#5h=6)h %15 ZwCۣ.vXmFmCȸ!n;&t2wTA^\zT1Njo<)CJK {a+)eq-EFnM;EE\/T%":^n4SGmre(7O$naؤb-n4@ɵ1]铻5{]ݚc K{pNw|:4=hEw)^;IzJ?r0ejI}ǝ#QƂ1)MV xMS-e.jVOAT_^ͣl2n%!Pؘ0gdK |]osC_62ه QiX .u=h ru u+O[1FO o.ۼCX?`0?2N(| E$:,{cPFbؔ(B׽jҍK2 Waox!U3"pB\4e@Nfqau>1pP7H_Xv2Cwc0GƗ-%߷t\i{+ؕ:{Gѣ>*|mI㮁%"5EP\&^ӫo|r*MtBGG""pKXqN'X /N(1z2'A#L5\ J2.z+^D[vJ23]|#J'!`# +2[Glr˵1kX'cK;卷6FetDe2]5e "xW6˩N֞RLA4 %f>R/*dq8?6d2کlW09E},_|*T̏ەS6s: WS,0^*ƜJkK X:wS2 ܈g/_qWgyYBu~x" |̫x!L4#=SȠԮW4@.8^ +~/pg8YU,X|~ 8=ɘׅ9$, ]?禂^=z*ÈsSUZYs+wT$"`Iɟ,"|I8d.9Hd*FL"\mc΢_ endstream endobj 8292 0 obj << /Annots 8294 0 R /BleedBox [0 0 612 792] /Contents [8302 0 R 8298 0 R 8299 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35834 8300 0 R >> >> /Type /Page >> endobj 8293 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8294 0 obj [8293 0 R 8295 0 R 8296 0 R 8297 0 R 8301 0 R] endobj 8295 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20210608081201-08'00') /Rect [104.1732 320.3038 217.8802 331.3038] /Subtype /Link /Type /Annot >> endobj 8296 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20210608081201-08'00') /Rect [104.1732 304.1038 154.2562 315.1038] /Subtype /Link /Type /Annot >> endobj 8297 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 287.9038 180.9312 298.9038] /Subtype /Link /Type /Annot >> endobj 8298 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8299 0 obj << /Length 19 >> stream q /Iabc35834 Do Q endstream endobj 8300 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35835 20690 0 R /Gabc35836 20697 0 R >> /Font << /Fabc35837 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu$IжYzr" 6\Hn:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " /5"]zPL.8}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪEǐL| l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8302 0 obj << /Filter /FlateDecode /Length 2974 >> stream xZKo#W@w~Km9{r2vԃV{f&Ū^7 b6UwZz'W}]_Ij%6ȧWR:!˃=o@E1a3yAiR(=lW"mQ&+⾅u^CR)u:+!*u' kLQ U(Cg,]ApiU&fբ TЌ(>"p`P?!po$m1~o5 a [X6Ȫ!5\E-xl͂,vKfhr~5 FtaJP]=nbgh(sYr8e.̈Ę;1Y\/"¾1Jr>9! @ٸ]F GؾOb[]&Pg½9L*ьyO옩c^q^O?F7~jGM\\eMixoK@qBm \(p(3:&\j #sdvW?^ LC(Rͱ[a(l zOk$i8U{.~oɤpk.&8=C+l=[t5ڳh 7Iwq5p۵Fy6&x+jRܧԢ6DKI8&W{Dv5\hx?lv3 ƆZ|W똾aIi3Ag:qb[ߔ8紭wmLF۹hI|px .$ N_/ w,BQX߰ogav~DN@(bؿ*!|xb~m_.=;$Ҷ4>۶諾üû ԭ<'5\ 7P7 !L1Лrr['^j])u6.-QKp&h5FDu2zaK6)IPs~l85Mn:3VS| K[Y.)^*d;Ar+jr# <-t%U&' wR/SX#%|ZTJ~UC)f !j ňH|@9XRI ߱q@W#T"\y v*T,e~>W3|18DIiJ4hՌͮW̘:]p=+ndbHRL*c._#8'~Jg~h;#"3O5F*nzꜾۢ?mcU}`\ܚ++Q6hǚEC$t endstream endobj 8303 0 obj << /Annots 8305 0 R /BleedBox [0 0 612 792] /Contents [8311 0 R 8307 0 R 8308 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35853 8309 0 R >> >> /Type /Page >> endobj 8304 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8305 0 obj [8304 0 R 8306 0 R 8310 0 R] endobj 8306 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 306.525 145.297 317.525] /Subtype /Link /Type /Annot >> endobj 8307 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8308 0 obj << /Length 19 >> stream q /Iabc35853 Do Q endstream endobj 8309 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35854 20690 0 R /Gabc35855 20697 0 R >> /Font << /Fabc35856 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7UthǬ_{9;u S,C.$G5UJx1Ur$bE0EPoX5g$z')!^\y( ^)Al\9 " ٷǞ5" 9!Mݸ86(~S1 2RM)Gw<7!& gV#جhVwRu&[j{JLh]}IRG"erk|Z-48יq|mau3jrk"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJqJϡma#bwoQ endstream endobj 8310 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=703) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8311 0 obj << /Filter /FlateDecode /Length 3405 >> stream xڵn6ί94I ~~r뤁sr /Sɶv%ɪbm,.OVtY.AN_?.A;|0琲_??no ~|k7Jj[pijg+]a6F0c]}`FU8€ڿ_䉂~фam/i)PefvvIVrMfxmiE9Kdot?|5$(sQV7<>s,gbC†7* \v|9%@o<6P(wx_#-R/Yc%O*' 3kAyG`͡s +`8.w cΑ˷˗Dm=0xwnuLςohs{X8Q00`U2G0Pk\_sȵ5jHxc_qaj1C]usbs MHL''# n_=H_{?>3>̠Gzw(suAsy}pk?jҟTTʜ@fgpX"ov(.dh5n.>'?(Xh J ᘐ.0r@w@ٰ#!z l=LA4A- !q 0 PDF>.uL4hK8/Z!P`Xpָ97ۀ)p4=d-^&V9k҆WЕǕӻ%_jq^mB-=>&]dXȨM$8[g=&j>Q"D`@+ !Q^G"ShwԷq-6i(VGFoD͒|EevXD'w j!-&\?]طFf:5Y6|OT̕b)˕xGͯ&,/Y]a*^t,'q3k)>^c6}z^ ?B=iW; Ge:]l.Mw8@t'>σ㉚N{8F5%"[{0yfыݮNڇc߉wI&jGC1y|M3~w0yD_Y΢orqݙMjiVڝgF8UEp[]gD4x4# ;>U^Ǹu4{j% ,8wɻfAw¡E|yG rT Beܦʯ?kܔ'hPg@('~u#J&Ҏ>eDѮE,kEl'6;FDdO`#XlH t%ͪ$-)zKtG=spQp'Q7 Yzm+d9i:\6)& 2^Cz -3 ,&f- )6E X,%e%=jbyIw /\r-J/>y ׬V?MiIpXw@ L:GܬضڞDkYh{Z+"kY tX**kVAVd 6vL]5¶^ q¸O(ա>X۾[-捨Xd~:uZ]z4Ydע֯z EwLv*oZj}ԪqևZѪ_:l//GQ >,V@FoYѩ͊n5U\$7;S a0FE0!\b!UZ85oA[?l\8|!.ֿ4+zsxj6U>~ !CJEVPqTY= Ԉ9˺%`3 Mh/ )7! WDXL( %Z 4%h1]!VB(*Mߤ(b~Md:MZev|'sVPfe=uT<`ʉ%6H==F+/d+\,rFHwFo iPdI, #KZ'1e K$\xg$sp#f_=燼wIސa*ws)4C眗 Zi<,>!KV. CB,gG)xvXΙ.b xnNdr)7[8 uθupa\2or3iTQ+B .GIGnl8\]ss>"_1w0ϭzQ-Dgq7;UqL܈$"L 'ې_b5\dʭ;vۉqro'E'䗆w!G }\Qx7yf4n3 ^, X3C؀q$CfsX}~)8e?bl$c|eaú HAc@cF#E9q]R{ `bn>;Ob|ׇTc]ek|xXG|@s&]SSLf'%dTq\@S('9cCC~A!+)qV`\FSňZb69r|NE-=JXaX$@5&.a!gᄭo^KJ>aBAYIAjtһy%FPꜵb# NF%{Q5b^*Y cӛ U*lGH!AMv59ui ٷGr`u ؔ~Ddl\a$&~}SS)[7 AJOrBN{ f^tr;amz]E͘WUJ̀/lq3k]έ56ݹO}Sy˥U\O.r_+99}a(X/wAtwuid5U`ֽWT_-jk5P+^wIrpC s)2Dl =y{%ǚE?d endstream endobj 8312 0 obj << /Annots 8316 0 R /BleedBox [0 0 612 792] /Contents [8326 0 R 8322 0 R 8323 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35872 8324 0 R >> >> /Type /Page >> endobj 8313 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 640.5577 381.3955 651.5577] /Subtype /Link /Type /Annot >> endobj 8314 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 587.8884 396.03 597.8884] /Subtype /Link /Type /Annot >> endobj 8315 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8316 0 obj [8313 0 R 8314 0 R 8315 0 R 8317 0 R 8318 0 R 8319 0 R 8320 0 R 8321 0 R 8325 0 R] endobj 8317 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20210608081201-08'00') /Rect [104.1732 237.8885 246.4417 248.8885] /Subtype /Link /Type /Annot >> endobj 8318 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20210608081201-08'00') /Rect [104.1732 221.6885 266.5552 232.6885] /Subtype /Link /Type /Annot >> endobj 8319 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 205.4885 236.5197 216.4885] /Subtype /Link /Type /Annot >> endobj 8320 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 189.2884 277.3352 200.2885] /Subtype /Link /Type /Annot >> endobj 8321 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 173.0885 257.2217 184.0885] /Subtype /Link /Type /Annot >> endobj 8322 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8323 0 obj << /Length 19 >> stream q /Iabc35872 Do Q endstream endobj 8324 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35873 20690 0 R /Gabc35874 20697 0 R >> /Font << /Fabc35875 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8326 0 obj << /Filter /FlateDecode /Length 3669 >> stream xɊ$_gAb_h&3i1=EŖ]a3TFfċoEÿb_,/#MN 3˧/}n1z :,Yg 1M8qZ42-^eMӬ>X`N/$X'3\h<6_Ok0oЮy[jkN@ .wd2'1ɸ@h—M9`rsgD8CX]Ķ5U{w&كࢪވ>otZJ8& pLQFy5KTOL 'FqI :zw˛ؕ!Ooo*F*2̦LUgDxK!w2AcDۯKy /d8p1k$-6 @x#HAxlӳj5 wh[bغa@fjg"dD!0G~,ZK̑P^Xz͏cbyǛLT1=rLCZJ jA1N\Ҡ{.MQ)^{P_AF Sh+76A ǒ4ĿI~Ͷ@VDG,P9 3YWF' %Xаz2Ea t:ܶF!ksãcC)tzKuzb݄If,v VejL'oIǙؽp OBԣ~TGw}(;V #x$w_Z-p#95i,[ekeNtMe 66lݱR BfQ!L~f 9nJ*9uX59M~3*rZS,ݗ(s+㰑P^&{.g/p/oZlV6Z-T=yX_S(4 {sɅ\t7%P#ˬLu JMZՆSطm83>_D:FtQƼQjRf9=S"L2T94A㬄n,Q* B֒Vjg>;9=l8y뼛NK`Sg)Fj/1p=m:eM]>&vשT͏,Fn!bv`iI>)ŕw9! :?Q3|իCX0"-X'^|Wn]+yNA6ŨMs4ul$#y;q_sɚNK6pbYփirhQX_('Ne|q7"gU0Bl8Od_BW<6.(:\KoӾؑ>>U#;-12 r}VEmvx+mz4.y5npog!WndըbMd( 7kk K.1hXseӕPIs~#PmvXAN''O홏 'g *Xz߂E4 6]Y}bj~9FS@:hU 'J>9o{~ƬDiMB&iֹcKqj x*`o.woB\}1t 2$aihڴg=I*Ϙފ%LU"7Tl٪Ugj?ۙ1c݌I]8زhey@@Y=v=Y Qq-!彳́k4 ,=ëį%:iuܩ* f9T+YFZ4%mn7TTqux7~;Hyu?ݚR 6Ӭi0;xp`\3V!/@gw*[Hn=@P1܇Ê@Z-~W\/`t#ش&vaC & C~2uk qח_j(hKU p@/j@;XH'XSp_w{ۨ \15@OU֞._h}!e>H* bt}/?tQKJ7yR#bRRXfS?>T5k=4UF3 K g1dIϨ^FTV|s m"VU:4Բ" +:K<[@n <_ X%fx\g :C{Ո#GJ@ 6=0 s2%\i87cp!eqiX;ҼzktXV!Q 4l[YyOχq4u(R4AL f>r/MnB. ^vVBG X#/0]H Fs;) >l? ۲\Q= *|mA4{Onk=X_\q sPrJ54OTlL\cụgsDqiJt8h x,d3-|뉐?=cg W_+Db51Yg' c3?^_{txl͝0M`*U%Ru (#jyCr>5p*vԘEԘVA|,Y4{k endstream endobj 8327 0 obj << /Annots 8331 0 R /BleedBox [0 0 612 792] /Contents [8337 0 R 8333 0 R 8334 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35891 8335 0 R >> >> /Type /Page >> endobj 8328 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 167.1326 381.3955 178.1326] /Subtype /Link /Type /Annot >> endobj 8329 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 114.4632 396.03 124.4632] /Subtype /Link /Type /Annot >> endobj 8330 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8331 0 obj [8328 0 R 8329 0 R 8330 0 R 8332 0 R 8336 0 R] endobj 8332 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [90 329.925 145.297 340.925] /Subtype /Link /Type /Annot >> endobj 8333 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8334 0 obj << /Length 19 >> stream q /Iabc35891 Do Q endstream endobj 8335 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35892 20690 0 R /Gabc35893 20697 0 R >> /Font << /Fabc35894 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7H,&жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FoO5HA?hxfxwBD>.PkPݳ(E϶fU5ۏ"ÐL$Sl)lRd!ϡdy<31΁s@9'5c)-\0S4*W" ^o/jj; Jt١PϡT[z7}^ endstream endobj 8336 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=705) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8337 0 obj << /Filter /FlateDecode /Length 4211 >> stream xn$ί@Wԭi=ANA _yVKMOYM[m:U:cb?~W//砝_~䐲_^ O k_|mi]jPŷt#elwBO_72&+OC7TU%>x3<]?0~֟^ۙ>.עd+ͧG鏏3ASwzT-׾?uß{'|~G`TuIy8&'dY /aײhB?~>ik5]CC%KL@n # {b3cNODm'@Ծ2V|BOxc#b%WQvA2оc'XZ_P}"GqWCYB uA)3y|- Y`lS4b?+/^VwDƺEדPpK9%WK mA?t~yH{g; X?3 !A6c?r֍ w3U/Ĵ&`!.f AUke=,-,'|7rg_J8+&B;b̍!11HS}"7|7l^='?uW&f7I)cws%vF3Ap] d{kW8^@n%oYa d z?qu^J]~֟8^)E|0 a<0F X(ڵ!86=(},o6+mR}WHmG$!\m8RJW~JB!wH KghL3/BriGLn\ A Z5-d8NQŲq5i5,/#ϹsK 2 ҫ゚MZ)j >( [+obi%^F-J/6yY% .Q+[}7W)6T5HCUhXfuR1$ 1񾞕őWFS}{\rwt)+֡IƳ;TX"ݫT溔{ɩʓ%lYEU=>#Oa\Za(3be^5xBްu/ ;.sգʆ* ^Za(ҽ{>'yսz}7.cl!f̞aPX:1..EL3ֱ惨*2+jܛ|x%3ڠK~Bv̉5x-!f[jpo`{سWYE0Tt1we:KFW/كO#;/,owbni:eY9 9e]t=P7wwpIvvŵ,8lh>Jol;o~ M 77_q ʀ.v&F욽k{w7GGF1yԭC_ni8 ypjfC1%ټn}BC8i w]SHQ&;:3E+8m۸eY8,UJ4y9[bv(j*lԅ$56BdenK# <=7oQ5a:G"VUr}h4i8BEG]x'{}~K8 ئG_Lsx=P fch/?qr uSU(Җ6ٽF%L#5s)L݊j'uUۊ1"j()z^NY<!(c5Ǝ2|vmAҰhԀ$86y$52A5K%*+ˇLFǎL,Haלn>uFft WT6rEE82qtqrT+Hh'@B5z}j<&dsDgѸ\Un m΋7ECsfSE4 ;D RqV]]"+DL{8 M2)8#M@NurxYA틺V2[n.>[qD+qѺlz5LɃYӜՖľlű_ت0Vcfkk4²lC͓ 3&s3C8㊷62P<^X<}XaOZ91̕@M$@JKƃ!@1ty2QxqrWD؃mu).Ʌ{?Ok[lʸX%&5;&jneEuֿ':3Hw8n +-%ԘoF\80㉗L;歊|ڴQ%gM%C j2Pj 9:dJVfq)qIASawpt7kY݊J: J`rAGQe,8o^rM"qIΰk:ptļȾ\ߍ|B^m@[wuWaፖw{kŻ+o0P'UndҢut&cYd!bLgv2!2!pBXtcN@z[Bfu'r?"K C-hDz]]XԔ5H XQ2lk:1%Gh?Su!zAU+~C{T}]e;'x>+j55eCw3R0tpt)p}$nC+VV ΧCr lxq+cq49ԲAZx^Ą**+dd*|%N/&.3-DJw:=|MRY-efXxnAIk-^z޽`TJVlC9F%{Qw!U4 KG*~Sxo*E c÷_*7bk G1dkgWGٻk-tAx>¯>gU=?/JyaNƴ9=o׉! .R#tw[?k¡BŤ}T^,kյ`r ;s|F1.&:fѧ3> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35910 8348 0 R >> >> /Type /Page >> endobj 8339 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8340 0 obj [8339 0 R 8341 0 R 8342 0 R 8343 0 R 8344 0 R 8345 0 R 8349 0 R] endobj 8341 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20210608081201-08'00') /Rect [104.1732 398.3385 246.4417 409.3385] /Subtype /Link /Type /Annot >> endobj 8342 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20210608081201-08'00') /Rect [104.1732 382.1385 266.5552 393.1385] /Subtype /Link /Type /Annot >> endobj 8343 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 365.9384 256.6332 376.9384] /Subtype /Link /Type /Annot >> endobj 8344 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20210608081201-08'00') /Rect [104.1732 349.7384 277.3352 360.7384] /Subtype /Link /Type /Annot >> endobj 8345 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20210608081201-08'00') /Rect [104.1732 333.5384 257.2217 344.5384] /Subtype /Link /Type /Annot >> endobj 8346 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8347 0 obj << /Length 19 >> stream q /Iabc35910 Do Q endstream endobj 8348 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35911 20690 0 R /Gabc35912 20697 0 R >> /Font << /Fabc35913 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` &oXI*dzQUh^@wP".*x P@E ۾{w* endstream endobj 8349 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=706) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8350 0 obj << /Filter /FlateDecode /Length 2592 >> stream xZIoW< `-u` }H> Y"U,־PVߔQ3RI9WД?ӽ۝;nk]\v%Ĭ5 9h}_nU,%IgGWXʒS~o5X`N7 t)Z;\h<{OK # G`vp8О#1Y0waw>˅ݙ /"j`<1v |fb P+a{g,E,L3HH={g:Ml^p|/T%2эA> r8M)N .bR^zIDHI+7NB bۉafWXq IՓD*VO. ,<~n)%4@P+i'*ƕ],t [Ta1SS֭»t}&Bh YZ}랼]fhU80Y@/[d`shpPu'FsMgkHl~jӏwEtz)rrmYpMF %C(~qI, X_%#h.\8m[lFvSHֿ:9*ȝJf̜hmCrLv xlfq9Di\6xh_w*FO66iK]^Zm="vIwz52uyAA}2j^cG5hעh GC;0x1 7F^m . Vo׼ҹԼ!rnp7Kp4TǥUscӹV0A/)ի1On10'Q''ok9[9tFQs:J`h_hg -œc[lƓdw;c>Va}IW갥J5mAHluPKιM;㽉[jxKd*C^r^WηE_)/8BۼAU $S^)xy=w[5kZ!#`K4=+f5#ajM~Qƺ鴊8Cl|]rٌ<vk:~Nyk5k(ÞD'~| 2ùA ansFV_XT P'C]pfYdrr]G Js}mhC879\R#Q mSܓc0/v?Jn+M*\;\7!:܏}w՘w}& L\v}IUakk.U U~z9|AB"_r,(ωǛ:C}Yra endstream endobj 8351 0 obj << /Annots 8353 0 R /BleedBox [0 0 612 792] /Contents [8361 0 R 8357 0 R 8358 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35929 8359 0 R >> >> /Type /Page >> endobj 8352 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8353 0 obj [8352 0 R 8354 0 R 8355 0 R 8356 0 R 8360 0 R] endobj 8354 0 obj << /A << /D (unique_56_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20210608081201-08'00') /Rect [90 453.425 111.681 464.425] /Subtype /Link /Type /Annot >> endobj 8355 0 obj << /A << /D (unique_56_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20210608081201-08'00') /Rect [116.994 453.425 139.8465 464.425] /Subtype /Link /Type /Annot >> endobj 8356 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20210608081201-08'00') /Rect [104.1732 86.7174 219.1617 97.7174] /Subtype /Link /Type /Annot >> endobj 8357 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8358 0 obj << /Length 19 >> stream q /Iabc35929 Do Q endstream endobj 8359 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35930 20690 0 R /Gabc35931 20697 0 R >> /Font << /Fabc35932 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8361 0 obj << /Filter /FlateDecode /Length 3567 >> stream xڵɮί@w <x31m>9)ſڸEq,&ګHj|ϺY. :}eÚC^zֿ??|+-fy;[׾>(y;̈/ Yz1@f{Ì3Av8w'JtB-N?hB 4o?@Oڬi+ɬ[얬GUtti5oYm&V⛏tx39@jLr}܅5a!Lt}RT+,~"r[,RnkJ΃X'd0tF6ӿG ?5klۤSLײn[`uk(w - pOXQෝXu'wM<㵏'mf%WQvE` c{Eٯ}^tk߃mom]|̂5 ؍΀<ݜ^]MI #s.[V6B1n4 xgr Q ue"E2 ,KKl}O(洊u`)K ̢@؎ S<" 6e:@^'WqZ2B!OQ䄤343E5s1]^ Av{<:GF60<ޛYL-5P (ȬJ+qZxY[BH5ulYIP^b`UC4#m ֽvK:y% nQ+{~7gi6{=jаMԛQİ 1tIHDG})QF3n;-b:*elVu*s˕}d^rH6ك5JB]!e7(c=Hhۘ6fǍac,Q/U 4TC4aڔU( Y|UKl}in_6Ֆ4 ⃮IgUn?wSSoimo sdヘ2$^C|u;O`^lxlVkbG=Ƴ#jwKUUC^St3  L`vMyN`X,M-2\fYhŎ!ԽRU]}6oХ&[l-a.5&D1w@eKsƯnhcvdM.mpAq_hB^pg&3;3T=D`d)HFO)kkn+[D?5˜e >HG=b\FZakܶ#>lV br$fLi66HР8hj$K]w4j$NM0{ٚk"W#HΧc}브ʚ픍kN7 AEӥ[6qtᱪLþʷ9;043k_%y8u4m$_NFaš2_Q.6;O8OVP0yO={`$i uUu÷.-J;GUty)4_Ԥ5$vWu] k!Hۭ_}gPzlÍͶ; T/u̓,5=o']GgGo"d3b#1(|WHGJUo9J`8msY9j,_zO@KXsJ]  e )֜#S?êk+^;y~TCWq6eA)þJ|#ۣ x+Kwn"'m MxqWZjًݔt׽r-%bŋʂ:hM_.^ݹ T@>}X\x° Y:-x!G(7CbC;9tE/OJr [} m_(j ".ЬnA!׸9=_t=|2imD .l`dʯ9o55ƫ#aIe$+@R3ilG,J5XC[m2 ( ʻ[-7Ϋ|`OܟUЊ@5Qîmcn1o|>!@Gw"m9J@w qunZ+8eÕ8X1sӓLvZ3ﮟ}$=OuS)A 65 A_~-av3T8* ⦑ YY~į+fDp/wV to(n,8\*D\}Jaj*^̹ R[4M dej|&J40:mv ?|(nex!}zsL_Xf&QGccfE7, 0mzo<5]:2M蛙Sl,]iId;@2+l lX^q:*<tT?O#`alM?!K6p<;|bc1ͫiCfp_hl"],}3DQδCy@Qi 4U)I;/tnY9]Hȟl<>d9-WEiO0ߩ Ĵۖ@~ <3c\08T-ѷ~]R T sa&Z-4$urIfsN %q(DN 1gQ 2A endstream endobj 8362 0 obj << /Annots 8364 0 R /BleedBox [0 0 612 792] /Contents [8371 0 R 8367 0 R 8368 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F7 20728 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35948 8369 0 R >> >> /Type /Page >> endobj 8363 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8364 0 obj [8363 0 R 8365 0 R 8366 0 R 8370 0 R] endobj 8365 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [90 339.125 122.505 350.125] /Subtype /Link /Type /Annot >> endobj 8366 0 obj << /A << /D (unique_56_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20210608081201-08'00') /Rect [127.818 339.125 183.115 350.125] /Subtype /Link /Type /Annot >> endobj 8367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8368 0 obj << /Length 19 >> stream q /Iabc35948 Do Q endstream endobj 8369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35949 20690 0 R /Gabc35950 20697 0 R >> /Font << /Fabc35951 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?7 endstream endobj 8370 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=708) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8371 0 obj << /Filter /FlateDecode /Length 4124 >> stream x[Ko$W@w~fǀok/C:v`H֗ԋ~4#ۧx=&],~U*ӷLxIN__ZglJӿU/.~fK14[wޅ$wƶG%wϭixZ.ڙΔΌ}`rv/F(VC|T0}Nl)ho\=\5wI/dxKpD3fqwYRJ.a eI:T),,0~:L>w ~ߋ0PU;gM[/ѧ~h 6Nk hm c30p' q9kqO0\TL4`VYP WS= xX8: '-3CMg]ĚE84փp2(a)#cYB.fS;q5r0063*a`B24Ȣ1:朗苶jv C4< x 2Pbv.E ?i0|,DM;d'6I0N6e8Ȧ?5fsQkij=w5&/rzek>lJP8T ̙ [%nʕcCqDi(jL>KÝYP0,}3nT;enߗM2Q#oӉ{Eu4^t[9jd!BAF/彪 ߁guf^0qpF_K< \(Ѡ$18=P>r£wu/:40zВi9>DPg6ܮfdyKE54f|A R˝ z;|Pj}&𳰢D%WA6?:**קAE3kxDr~,:" {kuz,nP\̰ÀOQrkEf O^O=[L9~^c,2?) ӷݘCLߋe_--#5;s;n kN7+tsvoaַ+n*nz%5ݸQҏO@{4д+?M8^iMxd>nFw@ u'ۺ@ w"pNh2W_%+mH) nQ+hNv$=$NRJB!Bwn0'iώpbqkKa Vzo@4U.JIayikwo1Zj@K,[+L4kiHZUfVK0y(8T`ǩX{J/DX[*!ge.o MJ)NAU4TUjjnښ޹Y lʼ! %֍HF5GwՉRVC+%ܩ\bQץ\e_[B {(nU[ jѿp( QZ5܃J5P;Ƹ5xR@a=Z -~ u{jz@ s]=ŊQt/{;ZݪӭznfVw`U*1q3q3֡DJvI0<+j2{Lpg9 7$BaGHٿiz`( MjʱZe6m8H ;M+K n@vV}8b']J+L_8<``ÂD. ,ޟ"aώ>1TL^93ze)cg X/D Zbp//,yR>5U5mKZ[ JܠZ2Hv]CS-;jUe@Z:"@h na):] iAm1S¾\}`lafx)M'Kul&1a}dtF{AF۶yj AЙe$ބ؜z@X%c}KZ5Y|[kswU2TD-%Jl4ݴ3FC;h-fX]q 'ExVg#bZ-V~1Q;|<Mԙ[pWi;؝+4X;#\Sj.!&4>r+%Y&|m+EL]0_IC7+"8_i <,\)b_Sb69԰}p^UTðc(ITJH':W ' hm< _-ek״4wd,VS[wtXE$^; PꜵbJXrU?&,JXhn^dp$PYe;A2 b]frP00<Dž27{(&>S~ɖézc@ r* ]S e\E8?e'8/|x^?՗/5lu>&7# δ 0ñ YԾC#ϳ6ty'bt1r_>/ ϒ14=?/HyEx+P++~7~r]ipg4~;Ag J?GeN7T]pؿ*9/A2Dl a@[%Ig: endstream endobj 8372 0 obj << /Annots 8374 0 R /BleedBox [0 0 612 792] /Contents [8384 0 R 8380 0 R 8381 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35967 8382 0 R >> >> /Type /Page >> endobj 8373 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8374 0 obj [8373 0 R 8375 0 R 8376 0 R 8377 0 R 8378 0 R 8379 0 R 8383 0 R] endobj 8375 0 obj << /A << /D (unique_181) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20210608081201-08'00') /Rect [104.1732 323.1731 200.1812 334.1731] /Subtype /Link /Type /Annot >> endobj 8376 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20210608081201-08'00') /Rect [104.1732 306.973 204.5317 317.973] /Subtype /Link /Type /Annot >> endobj 8377 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20210608081201-08'00') /Rect [104.1732 290.773 185.6227 301.773] /Subtype /Link /Type /Annot >> endobj 8378 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20210608081201-08'00') /Rect [104.1732 274.573 168.1327 285.573] /Subtype /Link /Type /Annot >> endobj 8379 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20210608081201-08'00') /Rect [104.1732 258.373 172.1972 269.373] /Subtype /Link /Type /Annot >> endobj 8380 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8381 0 obj << /Length 19 >> stream q /Iabc35967 Do Q endstream endobj 8382 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35968 20690 0 R /Gabc35969 20697 0 R >> /Font << /Fabc35970 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ ɲC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8384 0 obj << /Filter /FlateDecode /Length 2745 >> stream xj$_LǾ@!PUI0̩c$Ce~o%KYRI=wʌȈi __^t:],Nwu|yꧯcO1^[FT!sN|t[1N= {Zm4 xӴ0ºfkuW@͝v㝲2IS139w7 uk VP#HDn\#GNE` ;Ώ_Ʃ1&̘Nϯ؉iu% @a&fF.$]G.N,qvRJ-ވ[XoR@: {Bh`(|a5w??l`j ֯U0Q@d"gsY_EQLRNPm]ǾAJ2N]m& "&R4`b`%(frd%(RPeIB5s, k6$ד8?F;0UK+eE~t<_K{.qM}a{qmڟ|0D"*_0vPƅLKm1;D 1u`GaA=`p}Zš16nNr$m+f45]] P֒ q)d`)2AaCHnZPA4ߒ86qD{)J(e]dAXzCrGbCr-c}\")P y3bGʲؾDբOG]#flKͫwy7'eDDtc]0H=*4QM'e.;}&;:?u-L"1-lЮ8D$Yd# !F2(T(z0i>KZc^DC$dnk'uW#9, ܫe xD"mPuSfa?U-|"`Xe M5Fx|̜R#|d?JˌWp.cF!f{hJ #<"A͵& ~=\w(zH{6?ƹca]VWjvHf 4`7PL&@kӺ q*NR9k^!sHT; Wt-pT\0-E0 EIֶkn6Yz}OrR'\s%rD: ";)b' Χ{BSRSeW/+(^zFuF%*ER5'?޸e=]c?̩LQz*j|xR k-Rwv1ե4AO<[gf{&X (THI.5ֿ~3Nq X5glO񣬿U5g@@s2ůt8IeEvt^3pa֘?`/-cmR>mοi >D>rW-HDV!O,g h_#05UC!_҅@"SB/z;'sKM) 5>qRE8DnU)ŕ*Vg 9`Vp=Pc߲ jLV0+bZ'6( iS3HT$mg韓u(zp_  BiږEl9?O7*m,=?@LP &`K^:%C |PB, o cwB(je 6\PfEcV׬[-ΫxtBx܅ >tvЊ@5$6fuk͔7īK'Uf])u*,rF>Wfͫ^pKEqCO6iزB:m}nP`0-8xr HH62h[CfKQsò!Q7HhD2~؂_LZdu;#g5f1^8Wee;~093XG5xxMm?! >YGkO̅tҦ5,@w)xs |ďhG,w'Lb?TD0EG705GSDX`s $;1xw+S5ب{_= M =ˆ%vC ki?x":ic͢#@U endstream endobj 8385 0 obj << /Annots 8387 0 R /BleedBox [0 0 612 792] /Contents [8394 0 R 8390 0 R 8391 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35986 8392 0 R >> >> /Type /Page >> endobj 8386 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8387 0 obj [8386 0 R 8388 0 R 8389 0 R 8393 0 R] endobj 8388 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 310.725 137.278 321.725] /Subtype /Link /Type /Annot >> endobj 8389 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 310.725 175.096 321.725] /Subtype /Link /Type /Annot >> endobj 8390 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8391 0 obj << /Length 19 >> stream q /Iabc35986 Do Q endstream endobj 8392 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35987 20690 0 R /Gabc35988 20697 0 R >> /Font << /Fabc35989 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTΛ7acX`~߇]&`j[i8-y-xvw7H2UthǬ_{9;u SJ* ,CQ*p% 4Ab2Y@Q 1BS4dLdiJU$y$SBDQ@< q-lb@Tj92;@cޅzP%iuGٝbG _;QOj3xi*J9ߵn6ZNoK5OmOMˡ|72ْԑȅ_%VNsV7Ӭ#^_ DQl˜K(sh^2 'LsB.&s.B^U#UR,We'@eݝCr\:2Fsh8JF[Xݛ^ endstream endobj 8393 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=710) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8394 0 obj << /Filter /FlateDecode /Length 4499 >> stream x\Ko9W乁T%s9 }ٿ#%lmaKd2/ 2Z-fTuiuf ~4wukϿW_-_>hx]Hblz~TS=Hʢ]0r|\kFu ڏS~?PEdxWKpD.[i6.''Wm K(kҡ_W{ YLW)g q#B ) i Sn-w7˓`0R_qmu*x/b;G2;KyAzqOGa'&h̿) .(6'Ulk%4.F5-Xq㿗~i"0 = #8g@ byԥ k$$M .mR۵Ɔr&+Ԟ-2YvĔZfMɌ5+J]fh_ou }9dϢ@sdH wrNudmYsІk oyNV7lDA|_Ijovs^#qM9\7g x ՐcN\]K16Ouٗ'rxTFCe)Փ";_y#;;6ðV\g siWc}XSը aF4ۺQ%H(0Cy\\㑫 ZHH?Q<7VZV$'@%8ϺhNHg!ѽY.rl 58: njut^UKol=v(˘g F!qхS1.²̰` HE A"z00nb] cq't/6rL,kS`0֘Mao݋#BO۟)v<=N2E2Έ*]3+u-71#K kN//uikz+81p%[76; Zȋ]w"pNh+te跾IXW&ڡRu*^fL ?SPf%5^xԂfZ=e3ܰ8vD`*pV '| .eG75,/]s-FK `հrVWSef)F-V4eB4\zhVF!:[}U%gEvBSgSUsUCLa3HjIE:OԼݩ=X&TI.DzTm)7&֦j2h9V>WG6^((N Ӕ\?=T1ƽBmPV{Ԣ]EW4=bCr(ԂȯGku^/굺_Z}P[ݭﭢ%'9Q~`DE٬f}[bc~LZ}bE[ 4&z3::C $]< 0HPRUVCZ`8ear C6q~`]#طGUnq (XD_΢'i_Lؤ.c]&,,-a!˄dR>ζRܷ9^Mem>za5H2{>1r~M_id`PLϳ&<.11I-04zr2Fcexi8D=u'T5J3USl yh3"Kf>xs`> JC8U|bϊ9֎ҳ7^mDKf!0<{ J E84" [[ܸ( uLBBZ Dk~'\3w,=[ƚ7+sN9Lݛo:g˲!QsS3km}9 et5bW<.5|[%yCĔ²M0ۙ5JK:%?񞃫έ-Vy<ZNSf>8w^$6)N*ӘMh#CY=)ͳfZ rϷfOAq|8t 1ՁAѸ)6O$l7S~D0*=1r2tO5݋ְneG^xtk: ُ~%A.pK dPi8Ft?kxنGAJxk[/ ϶ndHFR/a¤ThR5,:(fα3!?"D:~D1/<҂w&mYMZG4}XD9i4ټSˢQ"]ro߭@Jb{"~B%d3Es0[e< p~]-fVA 0cP rOD洁Q,'KrI􃕑Q7dW)(!1`Qy3Y38a.#HQ݆}T=>|;ʧ>|cHl1D \&:3 2S1bj5ɚԿͩՙ&$ҩBǪη+~G""J{ErW=+]rTe$xj/8U69԰prC+}%(g`d1Jg*|!+f8U4B_x/_jɥ w-[; U_zMkuGQD3A{WVT]_%FQ:W'0\VG j Uv$1nݱ7k!,TG1'iιӉsZ˶$)& qNcqyn>+`区z|tx3)v>N,zΥT>řK:_Yk7p)$F*gy6)`~@#ϴRFW > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36005 8401 0 R >> >> /Type /Page >> endobj 8396 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 427.9308 381.3955 438.9308] /Subtype /Link /Type /Annot >> endobj 8397 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 375.2616 396.03 385.2616] /Subtype /Link /Type /Annot >> endobj 8398 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8399 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8400 0 obj << /Length 19 >> stream q /Iabc36005 Do Q endstream endobj 8401 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36006 20690 0 R /Gabc36007 20697 0 R >> /Font << /Fabc36008 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(EW!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 8402 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=711) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8403 0 obj << /Filter /FlateDecode /Length 4541 >> stream xɊِH ** 4`|jo%RcLSR(7˪V N^kjuv /Iߗ˷7*~[{x!%\I~yYJm..H _nHOokcorLjμrp<( 綵J}y8? ?|9[tD#BG[Ao?˔>3hλPW-sA(kSjhyaFĈ1 X9 4Y'ïtx+6A|ϲY9}ۂw{>I[-V8y6 lPDt}(W#jGfu>$5)8}[ g.0ZmBZsGa%Grk\A"SH>232 ~~=ʙyYXqx嘱g A&܅}j z&@DUpoM D9l-A$dmUuNy}Llة8hRHvګ.@>u7֠1Ie?XiL7zgZ ?_*BTʩ㪤AC~E:'-97 /LO{m3ehtpJ' ]IJa)- >FTj>a}7<Ɉ$.n#:ijZKRM"(S ).o R*Řg[w[ /3Lg~Z}cIFm6?XOiɘud* tS#nW̶u"`69,*:e%mIʤjC}ikn6wKc>RVǸ}lR |dd ܭ{ ձ\?cq4-{ Ʉ$Tldw [\ 5١{٨fIgF@ x vR'\YVm%Yaԕ$ѩI 3y=[0= 9 w{l&/ hᶧP{%EL;QbpY\ztY}1&pRRLBWd^+8"g7T 7^3/HܭW>=q^$HH}f_wiX ~Č̚#=ycac4“=T爮%2kc4#4o#KV5嘂vqIyŏ.>i z?3PuB=!Jkc96ߍv4+ lfh"j,YRs{.DĹcRhDXU]ޙ (r6.=Rl--3B?6;@'<\m=l}ˎkՆ9j@Dzգug_g՘4jc: H; O296<tor_6UUH`*kZȵ;ܔn+a X_5b73F2$}^kZN e7i!<"^1!Zkc+Wմ'7E`ӓ6UuQŨClʐǕxe_XbAVJ5 B.nGU3 j9&|8bA)\bS)?-ϖgOT~R3LPao!PPnPMцZ {2QT@? FIc]^l"D$( 9,j_}"w+9S^aV 5}?y(`&֔Z֎w;փ9FO>!YP6 w\&*9,nBze+6*)Fe0q)cu3>sﱴmQn㛯w2vϩ )Q˥shjRfPa"EɂIJ,kM TO :i5@VyqLa\8f8هFeS}dO{l0Xysp<T&uQm=5OEЮmڊ=vZ|;j"!"ϏzI&3ePl[k9=^ h걐wS3[ӻRpzU*5 Cn:+vG^NmJ?Y%9jDnb2?s6CqErӇ2WV ̀PA^(TnҥHww+LwgNB5x@~Ւ`iXSMױC_rW4whdmQaߣZxf판Nt7t[d;C7&3E77znmCk^ð~[{A(_ mQ #+?gYo¹haגv*8j־s?K-wf&[wϕ9w޿{QXJ kZwӾUxc<_^R!ڣn }KRU[[WL۷u[r6 -FCfn0dgLLe(Bر@ǣJ0w-9Fu6{;)TfP '!I:E!c~eR TRs9-qFs{NWMw}jB3jR伻ktΒ8mˠOm8^هsy9_od^jo_ldyIc~_E@^7͛mR;>(RL}%E>̻N}:p'b<NGܭIU{S&L~9ecSK[H꛳w]و^[-Ɂ97ڻWܵ/K Ƞ_?njD vYà[P=`]4\̓N6 E /"~qϫ`cU\o?/9NmFD` - vkY*4L9|B> y2~x%;ؗXghHka6pO5m/JOonD_m(+ױ pq֪/O<IH pM15"j^Oa?Ad:IM[ 3,/,rf‹PǾJ?5̜mTh%at=Njd0^i;7 vb |d=ju[0*#y%XEߑ]TLLT$TրCYWȄ h -Bۻ3 # ywZ4ydBFwk=%y#.y^)3~gd8?݆xٌ.XtY֭t@y= m|]ɢ<;tˇ#¹Y-H*}5l{X,_h ߳l,܎Oπ3R2*r%*UB q ɤ__i+́~ f\oǼcj7(/yͿAaW#,jDҸu~yͽfw r%=`*@Bm  I w SC~Pt0~=!?x8_6]2 ]{^+OeUҜL?| y _8b^,K̑k$p*fdmaׇ?@>,Jؿ> endstream endobj 8404 0 obj << /Annots 8406 0 R /BleedBox [0 0 612 792] /Contents [8416 0 R 8412 0 R 8413 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36024 8414 0 R >> >> /Type /Page >> endobj 8405 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8406 0 obj [8405 0 R 8407 0 R 8408 0 R 8409 0 R 8410 0 R 8411 0 R 8415 0 R] endobj 8407 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 268.8424 193.2457 279.8424] /Subtype /Link /Type /Annot >> endobj 8408 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20210608081201-08'00') /Rect [104.1732 252.6424 193.2732 263.6424] /Subtype /Link /Type /Annot >> endobj 8409 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 236.4424 162.7152 247.4424] /Subtype /Link /Type /Annot >> endobj 8410 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 220.2423 176.4817 231.2423] /Subtype /Link /Type /Annot >> endobj 8411 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20210608081201-08'00') /Rect [104.1732 204.0423 166.6697 215.0423] /Subtype /Link /Type /Annot >> endobj 8412 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8413 0 obj << /Length 19 >> stream q /Iabc36024 Do Q endstream endobj 8414 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36025 20690 0 R /Gabc36026 20697 0 R >> /Font << /Fabc36027 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoT endstream endobj 8415 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=712) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8416 0 obj << /Filter /FlateDecode /Length 3333 >> stream xɊ@ʱ/RuSmRPdIxMR(4U:gT*])cUkkAU=7S  #Upgμ,EG\s^zv%̹3_?X1y,Zv-vzG' A&ۘ+d^|./62_Fx]%DZ+Z{ A! [y3Ē;@Oc%VT]J/"۬0h,$X^_3mÇ9Fo@mƯN0 rsN 6uj!`9֥  6_&M;ȩC!e;ć2eA ﴜ=UV*ˠ|;3%n<޲wӾ!0B-^ L~0^~)D=:1 W]uTMg[MR8=! [KFfY;MkUi\LPpfU+`r\] ڲZRSY`= ;pB0uJEB6j+b;JtLU{#W&"lT3+ 3w SWa+߽0#ʐ ޙFB0>'ؙWDTJS;Dj!t/3قSsR)% -3 ve=z[$}41ڋe8=?_{K@_SVO+x`[ (%ߓGo<~znt,z4 I9 ybѶ7Tʓbn|r!hDrⴷkι]ň:1C7`DXtǖHmKy÷ې|\S̲f ^@5k SHm6[֨{/=}24ې+~#9sUfev @AΓC 물ÀI|޴5w)汸{NwHGm4զnS!fvȷl7AE Q{jo4,)Sm>]}eKa!il&i~gaߗ ܟ(@=vlơXQgN~6p >+OㅉN O9gVfCEBa׳D>ya9)uB(?JAiLMވ𼃉7a+6wRO"!oLozȬJr?N$LO8UfוύōD S`E:7×8֍ZyV0J$Ei4<ķR4^m!;f xZ kobGg4~3I *b(WjJ1(;^0i ®M2*|\=|B~"~y^ܗ\glZZ߁b_Yh6,_qLJ'i\'&:6fca 1T)λ҇ V C_2uk qe(hK*8 p2H̏@;@1XSᾞ2! wLHO pcv ɈV@HS._`&ۙApX@x NG%`*t'5zTR2g4u5U M]FMV#{Rׄ% Y_3iRZ ouNo 覡 YY"p<̏zOP.1ã^Ń:Y>}a48a_(+ωQV0\nM\x&裪lHn%4ѸAL~mP5B ó؅TlzI>M+FJI.+K>ۢTQ= Wڶp\aQDzP(U}bZ_`a#b\Q1&--PkMrM·sQ:>y^gl-m\Rm&,a-xľ)d^.{%o؋+p=ï^OKrB$VYe/>_)`y-)5 =pj?ʃ8T' K!לD\fuA >,tiX endstream endobj 8417 0 obj << /Annots 8419 0 R /BleedBox [0 0 612 792] /Contents [8426 0 R 8422 0 R 8423 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36043 8424 0 R >> >> /Type /Page >> endobj 8418 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8419 0 obj [8418 0 R 8420 0 R 8421 0 R 8425 0 R] endobj 8420 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 310.725 137.278 321.725] /Subtype /Link /Type /Annot >> endobj 8421 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 310.725 175.096 321.725] /Subtype /Link /Type /Annot >> endobj 8422 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8423 0 obj << /Length 19 >> stream q /Iabc36043 Do Q endstream endobj 8424 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36044 20690 0 R /Gabc36045 20697 0 R >> /Font << /Fabc36046 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫ bOvջSp<)\1B2Wr IL$V-EH(ް0iD_EwJ2'ī+O˓*DƵa(Rɐى9_>سFw!g3o#F- #UG'9,B>UQ 5\fP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8426 0 obj << /Filter /FlateDecode /Length 3825 >> stream xnίyM6`Ќ4 欁 ؗ~Gg4XY$zHVj?|/A C?PO/砝՟~]}XrHO/  s};Yk>ԆaK_'EBNфb3߿j@Y>5Y8_Gv˺&%ؘ`t=< `?3`LrN.؉x9>hƔ1Ga<<$Ix?>(!Jguf? \{nѢ(Q8,OX->R퓶.Yc%7Olfnf-P =|O"WNX}=;>lr !\")tQ[3xb3F Oc"n 5B q]#1,>OOuN"7q4>DSb.*hwnIж4٪v Z5JWq s.y />W>Z OZ#:e覻PűH>_[h+ ȱ : 1LV*dd/0 `om$)72f] s$2k JrI-1B؀-i:j8PHf"ʺv,s@CM羮z54Ve^1Ԁ,Pܽ7x8e ]7] ckz23鈱9!) 3}ԯ=3.2ొ֝`ا!,ȰG|O$4qP }amaUx$vPf* ɬFqM?@\e錍g-p%X6sGLn O굓vݖd˨Qٹԛt R[FP{5^e]M$)&`a prK7ji%R=}d+S%$EWBS/gu1 PTfۛj胚I` y^\ԾHI=.; b$Y+EH\r69[,,\6Cp[a[m>GqZOh)f=eyֽ?%lYaZ]Zp_cyxj*Pˌ>VJ$E]R|Eg <ܷ?v0''L(U3T[ripG?.4mg`S5ci-qS\779~TV0 &aeY *I2"LKE>qWpTl"!Xv3-6 Y<(Imr[ƖDZ<–{Kh9 Pk#"= j2Ӄ"P$גڽ] `vJ@X st Uut//uGroѝ)R#Oa%ۦ l͑՘Ԉje Ps%8I8Y،5oR__r^+j*SXaWÇ d4b_OmaiͲ5Dx$tE"mg^b; [Auv]֫7{h v)_Gi>8 2=r/%cUH{zZģ'M Yhf"B73;DeFC61WbA`Ɯ` H` az׻@0ʒDX`uY-\ !;R.!JH X2HLB1%7~(nC>w(~E[oLx-hLx)3gT[$kjR/'fԹ5-!@cGΞhv ]Y"dטHܥxH.jUaILš ΅[K-D予iظTGgԨ1w/f"|fßG|ef\/U-c("6BT4(>zojwYyUlשF&X>3ǹ˭N՘*@H.cz!0t.ME]/|9Nb|V/uL){q!+ ոկlXϧ+;MXŠ}s'vOxA#w=˲9Y%ws]M?|Jg;B8v&-=6_"qKܨ`!ϼfx$)x>o{r=?]SM*"IkyÚx܃x%O8vK`T7bV{WT߾ k5M0aɩ %,a`S<`xNE gӉ endstream endobj 8427 0 obj << /Annots [8428 0 R 8429 0 R 8430 0 R 8434 0 R] /BleedBox [0 0 612 792] /Contents [8435 0 R 8431 0 R 8432 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36062 8433 0 R >> >> /Type /Page >> endobj 8428 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 303.2347 381.3955 314.2347] /Subtype /Link /Type /Annot >> endobj 8429 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 250.5655 396.03 260.5655] /Subtype /Link /Type /Annot >> endobj 8430 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8431 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8432 0 obj << /Length 19 >> stream q /Iabc36062 Do Q endstream endobj 8433 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36063 20690 0 R /Gabc36064 20697 0 R >> /Font << /Fabc36065 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8435 0 obj << /Filter /FlateDecode /Length 4597 >> stream xڵǎ+>_1gur<&>>=[6'\Cuϐ]СrzU l○^[GͮZ~Smؔjʯ~]zVʸ5_wҖG/ܨw+_5e&GPWAhǃp*>|[ǧ o`|[f/K<~/-pzNOg//kE@3d\gS&i%jp[8JۊmgDgЈג"F{F bDFn|Ċ/ܨFھ;_3UG ݫeE-?Bam-V]Vkg jSx ktK\!sēnY88^)sObvy,0[2>7Rf4N!;AoЈwbYF]e*<-OeXd{>a&¢@d\ @@EZ RiWz)B%kɣ94"Cc KXYF W!/zē qPj@ bTEYYNNe^ʝ;G:fRD[fl1ʪ9WڊU20Sީ`It'\lI a,՟iņ <#".h7U|%s4Z0$i=~YP t-2& lBe8鈧'at 'wV8m/ۿII߹vIVWezWAo Uhl&T$,:~[։[Ĝ iJ[k*{e4Kf&!1P9hK㏵7&9QxnuwdP5-VVHH9+ѠZQG`LkxȽg~=T,?d+\wMJ$V1;! u.suCg}hlJ^b.hT}Qj~F)P,O` ̻){}ר@7ScX< 4T3u+ 4s\l򆢣/oBI'󠻉_t淢A'Qk-q-`O_SD|J.Gc-+/":\?fxqiLD0qTC'b@4{qE怰Y*%٣S6ې{r |T#Mqv9pb0Mxthڴ&,+ijmqiToxX4fF[Ƽ餕]**vg}2mtK@KuS:dQ @{j(Y0[zŸ^xΛquo[l]#k׭4l>t8iBjX'O|S}9Nj=}`d-JP*[em"iT}88ofBizIA ˜CIb*? 0=I]F3@Vm錓iNDa,xg*#isn uQkTӝ^UcQm C?I$Z4y$u dz>Hur =YUD;pw U+YT?SpeQOz!h2T~M!s-U]lMT0ryMHıd25(*7O!LtCkŗ{O0<fx!yhQzXo\ 8Jy90{ڮwBYa8#Je'Y6(T+M}wdYrr|Y2Jker(~GQ<(˃<ʘDhkr}) hW'rlY@^d0pav(c i RjiOa/q:.e؛Ck oz.;a;uV֮t>𰇉yKoz!aWSR1!q**=V cԳ6ݕiT;!i+9wjWIWN.V'[Dvm<:]rr(֣8P7D^=d IH(L>,;-ϖgN\v ;tLw|+ï,!2֬NTqWz]LԭTk^sGhpkX<ތ!T0)-a9G~SC'ҺP܏3Ƃ1Vn[.(0I0H?rfɵ7h1>-2~y'75'0J_~3f)K L9=1*G?*S7#9KWeX X\T8n:9e{bnZ˾\|6O}CR[VI;+lY]fxR4Fi-Yh^s]=V: 8SGr8GT ~TMJ+TwSu_Vzⅾg ϴ7cUrԟFA6>Y7@uQu?0j+-7?쭜O*v:VsQv~V=ic[ULx}1R﫨;ga! |tfŲER W(ZsΣ/Fi|32zYlr~N1}/:skJr.c.$JrAU R5"(l&\ݔ;Z&^ˇG}G1S<9mV̠fPK6X ;7g'ߖ;}d,:6V>P:2E4~_gm(fonb'@JbST| '!qYalo޲hV7Mn:(-8mQu Y`"E?_֟W7x ![ݖ^hiN_Ð/{ק!/3QJ<:C Z͟T!<^\f$krʸ0% _Fo-r=i lSnxm2O;͉ &b}im>etXi-7DYo*]A"p=, ) f@zL3?dg?sqE'쑩=v=i3CxOpuX|7簅̷~|gxwbEDkTL_rkұ2!cGBm@y\/Xjof)톷SyfrS]oS#H5< r2*.Q &q+t0(ߦm y20ʝޘq-Q8IQ}2u3z":dmeB)9/lK۬/G1L,ō|ѭ~Tfپ ~i\{X\/4G/ޅE6'y#N\Ƒ}1c$X3tOds_ L?lKXez*&dRk'9`y g8)Oq}Sƀ h2\.C$hfzfjQ'O逸L]no{'/7,d{_ XrkVIx.ϗa0z`1s~2po/q;FoS]4k-b;.%ǡ1ڨ(a " endstream endobj 8436 0 obj << /Annots 8438 0 R /BleedBox [0 0 612 792] /Contents [8447 0 R 8443 0 R 8444 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36081 8445 0 R >> >> /Type /Page >> endobj 8437 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8438 0 obj [8437 0 R 8439 0 R 8440 0 R 8441 0 R 8442 0 R 8446 0 R] endobj 8439 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 134.4425 197.6017 145.4425] /Subtype /Link /Type /Annot >> endobj 8440 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 118.2426 195.1267 129.2426] /Subtype /Link /Type /Annot >> endobj 8441 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 102.0426 205.2137 113.0426] /Subtype /Link /Type /Annot >> endobj 8442 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 85.8427 165.4707 96.8427] /Subtype /Link /Type /Annot >> endobj 8443 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8444 0 obj << /Length 19 >> stream q /Iabc36081 Do Q endstream endobj 8445 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36082 20690 0 R /Gabc36083 20697 0 R >> /Font << /Fabc36084 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8447 0 obj << /Filter /FlateDecode /Length 4093 >> stream xɊ$@/TfV6S=T/~\i#ToZ$;#$|>8D]U,E˷6UJ蕫n}QJm_Owo' /ߗ/>jU |MF` EyZpuZYW+/qhC$,nّKdQ^L ;Excz.uYUɥWɞU{ A]oTmsNT/!ss0D)cH2[X+,HE=eޤr(]#F{hIJ $t"JxS{lP/(҅#6߅/r;n s9rjH,:. [SYw43ǎz y;"m ?f* bJ"!hJ 0fM5IR cV.0Sd%H1D hk) vhJ;ڍu*a855k۠"(^Y|B#ՆZ4bNt(Kx߸Ay#26uKnlXfc4f( VC{@իF4`1FĪti} |vbO>σ삔p)x1*]^+]|ynT!vj'Ls5>m"(8+. pSY'd 4E1إ[ym̦74e;nW R@)[ޓ^`st\},9Pc9 S>rW}LnBm7iCl|(1ilAOQv57^<52ĉuȀz0脞T8 ,-h0_(Xހk`vN=rK\oQZ*1˺HQJ}NΞ0/0&̢fuzm;W&N5@CPzR ¾VT)XmgkXI) 6zb媢-*XziXQì~ gNo&8qdoH8Sa/j vM c1.~5蛍&TO,?f;wa5cCtj&H%uЄyjY }k Cg"yu~ږ?ŭ5i-AYEdNО?~r|}L~s WUIZ9BWT/_N P^Xw.$ʾG{=:r_ t )볊FI?+}ҏޭfXA\z?1ne-h~UI5\2+MpɭA[]cGYj|-I& Ҙ[ŸW@⧸F{p:ƏU@zdI XBz[dA?M{n69=صFߏ6 +8%>g/2zLsb+tA%_Ur\'4+[i#UO(!5SK{bS,gq2EVM%;E`3bEֺEd|UHG?U.JC`5 h nebSJ\Sg(%OvĔ,ث%([soWbئyQMweʾ;bV&MPoƵ)T/¢tH82ec1*2:T )wK5󱷰17;RQ`tsϺA$6ٯp.mIV{M: g1]sx`7NM ڋ-Nα JD۲:9]a1x5 {@ 0nLIur&s6圓c:Qx=WP*^>^B2A9~v&n60 n;eF\&oyEPV`=A7yhwjpxCKן1kHF`老 š?ƛEä Qcbn (kɢQ2E|x"~_ =`視/aOޗo`v!Ci$~FAE-(-viH6b4=y1#|y%;]3iM7a1= a!M |>$\8X1pӐL׶+#Cl-Z3eABbІts5/1I{v Aobq3v<'2 TbH<D/B6=Wa4vl3pG]N@UvT"^Hi(ٓT1JGH%u[ Gq]E&^xMb@CY ˡ)@*0t}SS ,D +"3g;6 Q8OQgCkկE F0Ul`/$h[KL25EVHK MITL"?L(GXx=͑m<5xY:[ 3R.w"[& M]놌 Ah}lü{[.1ދ6dV\ed{;\p7ߘT4R2=Rh6Y1;~ݜǐL{WR0|{.qB0ިjcJb=%J4Q2CJ9'Vy}>&]i]19_; endstream endobj 8448 0 obj << /Annots 8450 0 R /BleedBox [0 0 612 792] /Contents [8457 0 R 8453 0 R 8454 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36100 8455 0 R >> >> /Type /Page >> endobj 8449 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8450 0 obj [8449 0 R 8451 0 R 8452 0 R 8456 0 R] endobj 8451 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 324.925 137.278 335.925] /Subtype /Link /Type /Annot >> endobj 8452 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 324.925 175.096 335.925] /Subtype /Link /Type /Annot >> endobj 8453 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8454 0 obj << /Length 19 >> stream q /Iabc36100 Do Q endstream endobj 8455 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36101 20690 0 R /Gabc36102 20697 0 R >> /Font << /Fabc36103 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻Aχv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>U]Ѿ 5Ժ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3ȚCC30y8e8bwr63pΘ)U|/B5_}t{ %BJ J‰p T[zw} endstream endobj 8456 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=716) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8457 0 obj << /Filter /FlateDecode /Length 4092 >> stream xڵ\Io6WÀ Na0$=@2M*ܶE[m2>bS3SS6?OL_?u~N>&7}yzV[.o!۳i4ַLlBoQB|T<}Qo;LAbo?L'=%C3.Ӛ%闟'4 _ό̈ %La"qt3=C'z䵎N2J:'KZ)Gq T\Ohʸ' =Aؖ9F@#-`)@`P?_#hϋѧh3 6Nk㇂D]; z?Bg'mg 뜞>vDQhJsKso(..ܸ٧RzLP0 >8Qwx8a0|}9@;c-N|EPAԡ]wϳ['@#AýJn K4r[f0%Ëu!tMl"AL&J\g`v.Ũ81M6e{aٌl=+[u3kJ>a8\gyj"'fw iZ1Aƪnj?<>x~x]LQoƒ?]@nhҐԔUbRaF4x nh@Ko(4ۍe.Cc[6@<NN|aܐK6|b*!5{;ed_̷7J]e0v1r09GHGx{Wg<4-\W-'ȜL"iUɰ.(saS@B% AnE>Zт@x.ޟ;_(Eޱ1ωF"Vaa>Ħ$W/RUB ,qBD8FБ+Aߧ# qlCDN.E,,-_Zw uvl9|L1Ef-F)Н]f'տ {;!lB'nhͅw#C5F^in]Gn yэnɾSwN>T<[&,TG 4=2-b^E֚ƣN>q^vSF4zJj  #5uG3R}5[;"0$rIxItJo?V; 5dNQᲢT{:tǂ|UrfIu¯WCff ^…-Zj42B4pҵd+-g-edo.]^%Yu#R|*U5SV7_ҽ0[R^J^׽^&e:4xpJrE[J_rqlpjJ nkj^X~WnIUlصuwn=[ӽl; zf zf[CGW3}4&QaLcoP휠 y [V32`>VB a|`ܭyCV׸q-h O* h3ENgY`8ܖ-Ob(zEled}  f֋uAJb7m/- M{B-k$|M`e~! Ԣ9b.A[ߔevbN8߹ut&{6ϱ_ٰdUsW"Ў)dbʥ83K#Kw;Y2ZimpE9|\a`- ȧ|$O@9>40)!>#aHL8烆G<8Sκ3K) bX9{\O.OM̖d7i*b mXt#Aw4h!rL@4) M>Czj⧤A'I6er[[n2 T)-Iwc0jh'):[`Tյ>JلKΒf[Dgm:&.\ϳB%N7&fq+aGҩ^+'N%5O.6,h{Eƹ |N5ľE\i@DxA=׆x TУ[ T)^nМw )?K|f{3c1kpvnvK~ZM{-92t5a`=FEgbՙ+c|1X?1D_%մƌsZ гX-B"}ގ#ltk c -5Qx$?}w TJ 8-j\ȯX3ζE,A7lO{TbkE$+ ܁"̓y:* 8M0M77K[fNڷj(@0gcEMLJ"ceT>էFqxA @ adg:LD]Јօ:yM2 f>Yy5f\Vyi`7 }WVʰm~9? c`fQ| ,C[r(+lO.dP^D&T QFkwXNV)@Xi[2vR9`dNz\SEq-yLS;}0:lmɁ)_+%~|ĩ;ؕ`B@T] ~:aWrY<clRwd.oSZTkH~uCR5rOCv4) U+u9ѽyǓbFaʠSM>FC+ۗv6^&\Y@ОepkOҊHcLɾ5m%n{j湖xW7QgnyJ (pMz|,:, nh,'rM99bh9;$d&|HhLoݜ-^8e7wZfDn}.&:*͘#AAwXY\ k!XKHk93I XRue⁼Y8aLs6AVy\_7Oݫ|KD \&K<H6ɚԿˡwPhTjQώ[}u3ӨK]\K`Rh7ɉeYOP(b[])sq69԰sIH;(nPP)j%:O '<!? m|U2~H%[֎47Ds%5xiְ|ݒH4F>.8ڔ 9M>RKX+&XJ,|D/Un9\P :1{39(Rvfފ> ?jԹ;ַMZ9?VOT rwi'_jszrE^mPONerN L[EЮx 8#`rtW'=or3-MPi@v<<?t$+Lw]$=A`] Pmӝr^8rYR> C2rQ/EF5[U;;GٷGH`[_<Ãe$Yn+, endstream endobj 8458 0 obj << /Annots [8459 0 R 8460 0 R 8461 0 R 8465 0 R] /BleedBox [0 0 612 792] /Contents [8466 0 R 8462 0 R 8463 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36119 8464 0 R >> >> /Type /Page >> endobj 8459 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8460 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8461 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8462 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8463 0 obj << /Length 19 >> stream q /Iabc36119 Do Q endstream endobj 8464 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36120 20690 0 R /Gabc36121 20697 0 R >> /Font << /Fabc36122 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶQ endstream endobj 8465 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=717) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8466 0 obj << /Filter /FlateDecode /Length 4624 >> stream x~" [*SƨW#4FLwT9Z&*i8 ?.H.5]NI-j -E@) RHΫ ӁTA橡HPS'XK(h/-~fu@ f 7o|Hᝈ4(e̵d4h N4*|^l['D8MXVW[E.G x#? Vj)TJօCG8V~(:V(!ED R{\Rgoiq}ҢE/GUD(#zCB))n*͡IN TҐJj Aa ij$7kusW5DAzEC+V5^KRb 1V4JW%OjFXZ?ɺW+n/ _C _.s`״/qrH0kߖ7iQ+N,*x X U_>"]͖*Qi>0.HG .̒>]07ƣ]K҇^4b&;lBd97i x UFDnK&CLDGȦ.sbv@tKoeM':>ȶ l31!qwZ>(llq;&i4tEWNbq7fccUh-z)bKfI(7!x)1q/VAvoYEe7&{G@q˗?5/u.S^><5+3f1C=uJ0]ZEfUT44E7c .=Jq!w[z@ّ`RU*Z:]\]Xq2'%`,%Wߞ ΙMn͞|sj5SdJ2 UHT,wpx5x.>Y4]udH2SH|9SbGqPaU`8XH&FVF>#͓ \ ngJ l{zd4is/i8 u:3G tVu{69J tM˧uv:+Iv [̀tLܬg"eCa]0t 9EAJeOV"ǖ̽SWr@#7$_ J}*f W%\HEz-%p;j3S[܄ȲV`!1* . jWB}|&O,Z}XeI tZw 贅5oЛQd8KazB^U:QA:ңn ;JM'Mu}oq {x ?7swb}ڼyA! {")l>`k8YNWщ1}~\&_8-O66Ty?kЫFQxb9 g!|#|0TYsʨ7jjēZa/RqY2͛oW=:( dମyk%ݾ3 Fȋ[;i$<2s}_zz'*cu' 3z`vXU"' K8a&F8"]}R{zm@/B(w&N3BjӞL8OQK9WBۨ!VA(NR.|va6d<zMْzN@Hu>4f5(5-ݖ䬋|=P:f_HNhl7M [h b^5fB+ٽҀpH)1a ֑Z^;˦[fy/Rld[)d= l~VbF7lzq_!n&;'uRE4t4|}PN?ߘFhVo@ӵ7!%}{D:Y=KBOQ'ƺKQل RltĆTͺF!U ijg}+E!ʰM<\M3(Ɍ^UlW|ۨ E:Yp;]ޝ5Rq&ǁʝY<+6f`R 6zϻ0令yqs'% V  7 k$4+w=mKbZl${ֺzK\X Q 5K/MG(-ݓܷ~xQ=kO[ O2w|,.r޹7Ŏŝov5rdk 'K@%gogo&nZCO+v.nS B4:/(_Oƍ7ƺ0)Q?#v1{B@fDldy{Xû1pqMm⛦91a/-{X c?_֟!rZyER7 [JT8هWN1_c./3緔}-V01LLJ#a5~dV1LҒ(El _4u) P ".jsuP1n0l^erX4+7-D +38F|l.8 R/ь%K u޹8jw1#K*.tt !D)w(z5o#|g/xܧ$X X"rUZ?EkzO..#q @ WB"Dr ).]o\9_XjOfq&.xJGy&^*DKF~dtE\ueʔD(S9/lK?/K1M, HqVGK_*lH&Z&e^ыg{͟;~^F'c.ylc S6sU@ ptXͱ\ѡK7`kK.mXoǐ]e*_M(/ w v7ns~VtyWޣwop%:s qt# 1넼)$'7!}*G,,%M _'c*NYR&xDJxMk #OQ/*~gszn OX*ʟ"|I8r]r[;U%!1gQ }gi endstream endobj 8467 0 obj << /Annots 8469 0 R /BleedBox [0 0 612 792] /Contents [8483 0 R 8479 0 R 8480 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36138 8481 0 R >> >> /Type /Page >> endobj 8468 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8469 0 obj [8468 0 R 8470 0 R 8471 0 R 8472 0 R 8473 0 R 8474 0 R 8475 0 R 8476 0 R 8477 0 R 8478 0 R 8482 0 R] endobj 8470 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 197.7282 501.1346] /Subtype /Link /Type /Annot >> endobj 8471 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 473.9346 195.1267 484.9346] /Subtype /Link /Type /Annot >> endobj 8472 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 457.7346 197.7557 468.7346] /Subtype /Link /Type /Annot >> endobj 8473 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 441.5346 180.2547 452.5346] /Subtype /Link /Type /Annot >> endobj 8474 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20210608081201-08'00') /Rect [104.1732 425.3346 148.9102 436.3346] /Subtype /Link /Type /Annot >> endobj 8475 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20210608081201-08'00') /Rect [104.1732 409.1346 166.3397 420.1346] /Subtype /Link /Type /Annot >> endobj 8476 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 392.9345 208.0022 403.9345] /Subtype /Link /Type /Annot >> endobj 8477 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 376.7345 165.4707 387.7345] /Subtype /Link /Type /Annot >> endobj 8478 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20210608081201-08'00') /Rect [104.1732 360.5345 172.9067 371.5345] /Subtype /Link /Type /Annot >> endobj 8479 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8480 0 obj << /Length 19 >> stream q /Iabc36138 Do Q endstream endobj 8481 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36139 20690 0 R /Gabc36140 20697 0 R >> /Font << /Fabc36141 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 8482 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=718) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8483 0 obj << /Filter /FlateDecode /Length 2261 >> stream xڭYIk,9Wܐ9( jC9Д/'6riL:S[D(E*C[moWN?gu X2:>5gcM65{0M3l^ M7\֓sBV<قqar*%fEg"oިmںeI2\k0:w#ئƩQ. Zg}k 3x:OڇN~0v8W+0v;̯js䊟-@\r@v<c@q}ܜlS}'T>FQWOuQآ̉1L2*y1J5ܽ7mBD`B6f3E}ۼ9҂wa@olT=R꾾L˃4Wb.ժV|nB ,B1>~@2 I-R;BI}PGIÚpRf](Jq!YWkvzkG)tZO.`yz)3z+k);:bahR p#&oW2NI6<9AJepD1d(v[67?iuHQiO뺀 (NO-J3?ЛnS-bwI(AʀWUuDDjgi8|PoTy 踅P^|bF4^+0KG 1R9dд iE#n[}6y5/z+[Jx^AxeMuO;5 qvn$ =W{*r37=azi9cV;՛B|Ր[2sqLY_Q4D+o`jE.fBmgfqY Z'٨+rA͘A)}7z~3Xu`G }{>bܴ\VAJK8 c55R߁T5"u,θfuCRv%O>^V 4S볼Lj4t}S@ `t, |@B&bEZhoYI$CʼnD("e9$bp${!lcEZ,E˔hI8S4Wx#mO:hFV!x9eZyۣ.}euo"ƌ:B[]2(qRz!-WMז."bt bxZܸ,v֥ALaĶP-ۋ9c 3Iy{)!H_67PE%W_1n<<m+ /p.˜VvHt~<(C=۬?|3D1:'hIvc!hiUZo+i8@+m'tAw0p0<$;+,o'#RYDihW 3 UcZcI<,ē(|bvB*86q/ amtˠ|I %̥]kTCpزH&1 endstream endobj 8484 0 obj << /Annots 8486 0 R /BleedBox [0 0 612 792] /Contents [8493 0 R 8489 0 R 8490 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36157 8491 0 R >> >> /Type /Page >> endobj 8485 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8486 0 obj [8485 0 R 8487 0 R 8488 0 R 8492 0 R] endobj 8487 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 8488 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 8489 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8490 0 obj << /Length 19 >> stream q /Iabc36157 Do Q endstream endobj 8491 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36158 20690 0 R /Gabc36159 20697 0 R >> /Font << /Fabc36160 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ Jӡ~1OE) eA6\H&7]N8jeAT  cʙh;QLdiNU$R9!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]h߄}j]֠zfQJxpf%ehwm-־0Z|fOr;Z:[hj)\Όl iV5xkEŐLD}%l)l,1aSi ܟBd#UO\05cDA ^Xb>!%$]@QXy Ph'-:A/}3 endstream endobj 8492 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=719) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8493 0 obj << /Filter /FlateDecode /Length 4196 >> stream xɮ#ίu/Oғ q `|6.HOoqⱦKWkc,}2?|bS3SS6X/?Y3}m9yۃtr} INƶ'%;iB{ӭ5:xNc@v6a7Tus,q ֔h~Tv0~>3Odiȳ׮G,Mw̧< <$JCڸ Inv[?p1T;POĩ/ŘѧXӄe?1C륔 c((@)80Y9Yml'] D> .@vDKD*+3t4BQ QqO z<1| -qeR81G7Dwcͬ}T8a#|pˣV|fEd<7`)#I] ̠[iIRk^B"V ؃%`?Zc}! ruM9HG^r|Ӝ 'ǐHlA 6`9+ v.Xlwv߹N[ݝL/b4o煑ȼ^?Ҕ+Nլ34zM,*S\z^:z^eHs@*[ǬX{%fqeZcPKQεTcc89B_r H%ui8Km ؜@rme! |cXwTgJ?C ߒ`츱K~pN kO~>piv(lh# XP6zx*C뫀5z@ȾS3UvH$ԊIQײ߯ԋPH  Kx4{ 7,9(pZRժ_~P/t #GԁNZXZCyާ7xޤַx //c崈Mc 1Jœ_a FQx܆˧1s }s!tswͅ~j+(w Dځ&FN 1@pvEb u͘P5l4&1E.;$*S0DCq:GnYjDPυ椡]vNOlS-5mfXC Sp'F1-D`Pz&',i_cp^^ &Iɐ.Hs*w#fN8f`INTS_ I)cL"u=~`pm'SAȕb5>8)d$ɢJĈ+18k< W,P"tU Ί$p/T\Q\[RR ɟ1NBP)0ddi'^Γ?Q:v2XQOY>6-c7X Nr@ \t:KOj8/M5YFej zp lIآ$21@dq7;TQUv#wC9Nl 09;Us#> \4?cFVgΜn+U(񨔧6Bm !,4{Mn ;n1Z֖w,5X7sv$PE R2ҳ*v*M1wD z;&jh%?&Ks*Q[yf6fz*(Lv^'bWl {яZcA= vHLT& zs (qpM%=Qch4o8GJy vHQ~Zm)9GYes;/Oسa8,h4Ց3NUPW&vCq. "EHqL:?r8 ~D8-F#]ƴ0BH '~϶l ;U*ۃsDciWR0:`]AxvdO%b^$Xk%u;EcvuV݄6~5.ߩsi!Az 6ӵKuӂN }l[-vw0)~Z tOHV'{q9 ٤0Y;'2BS*f(K:cB!9D#K5)>d{+RPp6':C1P zS NͿtƭj;.L&đh or.nΙ+hEPau&͚7)W[ʧ)/Wk7Ͻ@u*,У r}vʉIPf@H[9 7np#.~\_[)F=IOs6d2lcv~i QD_J7cPrOpɛ$KYSI+.;D}![ըAbPhҪ@]x o6tCẊFu8-nE>ނzM/(z!UoG-P&-9b +j5ueC3%r%ЩM!@#gg4t3f+"`%0V`\8HSf"Z j雔x|ΗaP$8[th S䦏CJ. lfD))m5Ƿ^DUR*+r R/Pl%,Kq.|krCeeW7wn7s!-msJZܞyHt@1pw<,B? ,fH- 0_N9 9w5}!n"S۴T#@ʜ?ܖ7uL-S ϹbW\Q!N|;Sd $H endstream endobj 8494 0 obj << /Annots [8495 0 R 8496 0 R 8497 0 R 8501 0 R] /BleedBox [0 0 612 792] /Contents [8502 0 R 8498 0 R 8499 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36176 8500 0 R >> >> /Type /Page >> endobj 8495 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 525.0231 381.3955 536.0231] /Subtype /Link /Type /Annot >> endobj 8496 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 472.3539 396.03 482.3539] /Subtype /Link /Type /Annot >> endobj 8497 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8498 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8499 0 obj << /Length 19 >> stream q /Iabc36176 Do Q endstream endobj 8500 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36177 20690 0 R /Gabc36178 20697 0 R >> /Font << /Fabc36179 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8502 0 obj << /Filter /FlateDecode /Length 4675 >> stream xˠ!HA0҇~$U&IMGH$l/t}S 0%LUCCϊ=u PǶ ڜBICr^ [4` 2Mң I3 's*N(O"\1DLqPl^CXƭ2'UI \GX(k`-9vZ]_E CS{Bt{=[s}iS8ufF5Y%Y%* M*0=dتy-ݨhFIz:_cmԸ~#5~эM21g-}Y\F]I洗 ))F#`UX%b.nߺcH+9<,F6҈S1R&HVmłJ$W)bL8uqX9ƎUЎYWT+um B$pF"FLUhB?|O8G&_ kZĩl^ _Ow&='󐂷IWNC]aeof_UE^=.&b^j0Oqȷp{3hQԁ]?g2UdHS' c k $ٳ?"əqP! Z;=xam׌U!C BR׊UdFäOXmE>5iMl<9w'jE@!3lr44eJLiK/QwqOK&``\g4ȬItpAZ;ɸV' (XJ3 NzץLS-LrnQm.::0 -a[˰Q͚ѸZb|R[Udz>pl3ƣcCPcom(lU//ψܝXiO= ]W2zNo6}mUs*9=4lt e@,UA*;0-0%;R}ƃ@X#tN"tU1n 5x8fݪns& 0Lmbu0Y5+G 2t՘f,zs`HדKRNFdF]mqJٻ깉Һp-"q]Da5lX$GF,Z 3RK7H/khX XanᵙcHȳ2MW4Cwc6l'I&;ʹVX][~*_`&= <"d:!dQ5Z% R{|lY @̜ͮyqQj d؁F{"E ʽp)z 6;k&z]&Ӏec͕ 2xF[bO;qV>' /pqScP+΃vr~2V戨V6[cb"׶lNŧx;bvA-pMq=C83nI;UϖcE ֝½+{i(/C )[~('Xup\O6>akҩ3Ź;0y PR=%>uuךN~fRYq`%ax-'l|s6mZ{!~bv7%cIbZU0po]5*% fiMy]`x"cz'VaWc7.[Ƅy42( 3-_ɰ?%M%w0 9-zR|Tn닐%ʄinxkHXC VUSspBi]x_ǀ?.41>,>(ct;@iڲY:'64}aCs3Gj xst:`5tŐuCqfw{ⰵL{r= cgN8 ԁ>1B:|ym38|7e?Y`Dگ}g9~z_N|xi̝m.kv-> v߲3Iاu ҇cV vwP!s;*[ t2o:(x AՠH!#g =:(q@a ֧;-q꽋[ l\bE+?^IDNiN`e̗=m.S#rۈhWzp6Hlv*7U'jjs$(̶  rt(!Ws1T>@t%Kr8:cu wkbXFJNoOVJdÇ>sžy9 '>Q\rLӜengƵk䬋a1n/qQnViԬWqlGϻ9uI. uj.H%?7v|6g#mYctJS7R%a#A 4r#ZmGH1 ɸKLj*CbBoNfXԡf~lCۯ/ǐp䀙 n`BVa׻sab.oc>+Vj]ܥ:c1,\>bx (Nxq85 ֒( #:ZzaP{r ŰiQxnhj22ͳLDfzE~ȠA`@.F0]OLQ@ gH| "Y~I'bĕ{f㓎8H?PBnAE6C~^O|VkKDfiBӿ(.<&^SSwg&/$pU@KXH[.ĩkItZ(iq$nxHWy6T8𖱸49T}$'*6 RL7߉R XሿQ|2Ffʝޘhg(ۓGwEu0z:f e")l췢 ߗ&h3(ߙ|LE*lH&_ځMv=+ׂw{_ w"0G7\Z%Z7c2)f[\a1Yv+Ǘ| p zEHV -{W<}aG臝v*mv7o{~VtWQ[(?ZM.CdhVz,1.I3Ot7B Wm$_/_i~ ɸ:G ! J3}plp;F oP.*WyNmF$kjERV~%(uTwbS Ya ̾ endstream endobj 8503 0 obj << /Annots 8505 0 R /BleedBox [0 0 612 792] /Contents [8514 0 R 8510 0 R 8511 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36195 8512 0 R >> >> /Type /Page >> endobj 8504 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8505 0 obj [8504 0 R 8506 0 R 8507 0 R 8508 0 R 8509 0 R 8513 0 R] endobj 8506 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 297.4423 195.1267 308.4423] /Subtype /Link /Type /Annot >> endobj 8507 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 281.2423 192.6352 292.2423] /Subtype /Link /Type /Annot >> endobj 8508 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_ddrmc) /M (D:20210608081201-08'00') /Rect [104.1732 265.0423 193.5207 276.0423] /Subtype /Link /Type /Annot >> endobj 8509 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_ddrmc) /M (D:20210608081201-08'00') /Rect [104.1732 248.8423 189.5827 259.8423] /Subtype /Link /Type /Annot >> endobj 8510 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8511 0 obj << /Length 19 >> stream q /Iabc36195 Do Q endstream endobj 8512 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36196 20690 0 R /Gabc36197 20697 0 R >> /Font << /Fabc36198 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}Q endstream endobj 8513 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=721) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8514 0 obj << /Filter /FlateDecode /Length 2944 >> stream xZK$ydPԣk5 >,{1݆}KJ)gl̐L)♭( -W>=̪Tп,GW֪R1hz|V1 ӕ9.08c9,ǿQkjڥlC50Nd-5lL-;,0Bo4]+-$nqj匰f a/mڕntJMcp{~ gQiO&rPHH4 W!i޶sl}ptfg#%dd挧Jݱnɉ/7 K'j"GB7X_߇2hB^_*tx|PR0fFuT[@ KŘ0qHc :T37|E8Lς 5{{u5Ecix/T. #MmnI;ڹ . ` ll N__ XÑq5YR 4h|LAA ڭNю:7'P F6pY|Yf3fRIP1p>y9X'Q*<irX`6e;SxW.nF u;6;fߑ~S: g2"uA:=KC;D x }JYMd[df6qItAЭ(R3i07Y{y=im1vch"/@wL OճkkA˯F2 5uoa~=n":3e/í9i ^ /-F[NzMlh5kWfmw(VMvgajC>f%Htӫ =a~JN%ZP$HBqi19 UO/}b _m^j6_Moɶ-4oJFU=1ֵh[NAE.B5Ci6yC=V^SRN,d|,Ro^LtU{8+^c.c=H3Rqt 5?qau?gSjW+'e,<gzyr-IL7ȿlq|u^Ha : =8஫7†Xuh1E ؗ~E>\%Ei5ܐ(Zmm&' q!!}̪9j9=M<alNk40 j)d(PL? 71s(k8!R.sZ( ˨KIXBKEUpBM \F<8]|Ne>ɠYkj2S˱EDgRKӭv -3{sڙP.2? T@I cbYZd@ t9M {b/.2d} {ڿ }'N;E3,oʴ9:S5gWgI4nD{u6^fA|jp֫+"\Aho6!r*hŸ[F|AY9x1ĠC<`_4isHaUXspchjlZֽ=oc..Cd V-D s*% ͨX8TfW,>cV%LM ];xgv{ˏbO)kE x L~SC58}=OQ-Ɔ7:?)O1t:O`戟ϲ<78s5 bOV͂H!ς'OsW w\]] 肬oBĉ*@<)zR3O3j<9"uI4mt//9Wo|$>1lW`gU3U׻3;5on$n3zWq'0A`COᾳe>SNm[֡50L{z]x2("wDYȩD.a>BmE{f,4kfU}IhDlY "<)AYx$Osĸ: ͣ&O0&RZ24On!u~R۳`q-,YO/+ 43+ITY,X~#eY 7+2B}Oj,UqJp(^m3~GO2 Fl)4DRV!?mL穔!Y5fXVC$6!3lӰo= Ǒеnef! `bXQy~ t=@̟8p?Gܝ")_2h9Ao%X1(C ½uzyxw+\5`}TދOkP6b PY6/CJХq*6 xR[XhHq7 endstream endobj 8515 0 obj << /Annots 8517 0 R /BleedBox [0 0 612 792] /Contents [8524 0 R 8520 0 R 8521 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36214 8522 0 R >> >> /Type /Page >> endobj 8516 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8517 0 obj [8516 0 R 8518 0 R 8519 0 R 8523 0 R] endobj 8518 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 310.725 137.278 321.725] /Subtype /Link /Type /Annot >> endobj 8519 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 310.725 175.096 321.725] /Subtype /Link /Type /Annot >> endobj 8520 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8521 0 obj << /Length 19 >> stream q /Iabc36214 Do Q endstream endobj 8522 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36215 20690 0 R /Gabc36216 20697 0 R >> /Font << /Fabc36217 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [neRthǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]d߄}j]֠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8524 0 obj << /Filter /FlateDecode /Length 3863 >> stream x\Ɏ7+x6P9@hJe7|I^z2?uocI& XdFZ~Z*KF~yNKdXʟgF][ /rOrgޥz#iOO>o<Tr;.<?ɏŷ2ȯ+o4_\U'I``NGQxXiL<U:'/p9,)en("z\G ?@x G80JV ( 1 :c^bD|'iPh Nר{Ҽ3L<@\ !Vc6QcX;Ԓ' Qْ3[L7Xz#{UE.-9uDAl1 q"kJKk (Z=HTWϖx:{)pfI60akùlts6G9LGS,p*ƨŕްrzFN .Pzek˝^*A)š5khnW',)U&Z;jEk߁$ BS-U5PI]ʰE;"i5jhvHtf!],ex)X&AC cF#E5c^+4j vH˴$ CF 3ΈF֛ s8 ŴǠXW ؏"`N;Lb}$bXПg4@Sem2բ=$܇K=ݟ"3 mNPB׉rT#I NdŖiڹpeٝʏkjR}cϦ6P.2.rnGk|cm\0G-1dĤ!,)&wv)vٌ,4k{ٌ6]Yt`=,EAn"zc߷\<=suzQH8b[]un+ذ\۝k1n ?,Bs.o9酛G(STtԾZ7(7ިy9 KYM2%ܩ*ҭJ.KʸwڵQ!ssʑI:ZX?:nq8'DsDuh9fpbqk𲦼úu P5ԭsM<+[Q"Olkq^{ZܯnMz}k+=-ɉ*nNd[-NdMxboc-ަWRkX^v-)>a 50B˜P xxf04<=c`|ndxrn1m/9:19x" 6(@? pE7*`hňAlWL.+֊:;?Y׌kȭ*+mrIK*/!pTR_~RE'^圆 kCP6=طvJbbM-QQI/( ʈzGx%KxӑF{Ȝf'NId"ah9s-aXw5dHVcXo!YhaY4TTVSL9qƝ28=qQG( _Yk;W' -m0t:,kJ1duWa̱#]f0lC-0aHDyRqSY@<ҰJ #i~T`IWYVYWb €N*Y6v@0uZPGVPx,o4b+iUZp'G޲\.p#!$n-j-<4'e,NU'ҎhB`"(r8]5=Q9KI#٧+a7pr/Ut 9h< .+*؍|sYΧ/NXG V^iQC]~I3^ lǽÌ&(>ᦗℾo/H-x=!+_?yp;0ٕi".qTL~n>Y.|a bO&M_X4dY':f q9#zX`~t9|ǥ [> cs9/Z9&3L[2nv| Bu !MuǷ:ui橜`?qaï^[䑏.ՊO\hGd<~DQ[pv8?$Lܺg=.*/]v*6yy^?unɺD~th4]\xٯć"YXE/ endstream endobj 8525 0 obj << /Annots [8526 0 R 8527 0 R 8528 0 R 8532 0 R] /BleedBox [0 0 612 792] /Contents [8533 0 R 8529 0 R 8530 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36233 8531 0 R >> >> /Type /Page >> endobj 8526 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 587.1191 381.3955 598.1191] /Subtype /Link /Type /Annot >> endobj 8527 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 534.4499 396.03 544.4499] /Subtype /Link /Type /Annot >> endobj 8528 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8529 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8530 0 obj << /Length 19 >> stream q /Iabc36233 Do Q endstream endobj 8531 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36234 20690 0 R /Gabc36235 20697 0 R >> /Font << /Fabc36236 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ Eӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽?$Z endstream endobj 8532 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=723) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8533 0 obj << /Filter /FlateDecode /Length 4854 >> stream x\Iǯ@c_i:&`|=cd{fccD*oZ$;WHzc)ou5.J._mgV|EJ^ ?%0o?(GZ.8_"IRJ|a<ھ.{x Ҫ]G)?T~ Róg ~=F5|A7<"Sc^+zU^^xI(0/dnOQv-L& މWe )`3h۰H58CHfAC&C{f Qeeݪau;DRc=@^/L Do"3>Y*&UZN5ET .Gx$:%MOg4n/ LfS|.;(ɞAmmI)h f0p-F <مP+ń2U tXYlEpƆ;Vv v"垬r `*Q^{ 5U7 f !Xp~c%nJ=솆ph6ЫfeV Y9=oQ4SQm`-ANfU ",@r{5nGJCf)uP2 4Й^Q8"HsbiUf<}W;SK0}'*'M]74e+ex3/wg3{Y 0铫TnfبP_Y/~N;x;lZv-lk:El-}92Ur+&h-鴿NElՉ&5Y8NnF,v*5)h.%)JQ/[FccSΰ&"Y1겪&4X ]]Pc)"RFuU1J&9tDsZfWӃDCzԕH}(}Lttz5iL?r:=oFEJ+xД[܋swaa^Ux|q^nÙm{-'\6-kPH-hAuy\WV惻Ry MM8s6|ysO7>ՓOh|n&,h De5mɥ4t{t27߰3X2jpbv3Kn*4 v'gZuvI_ JuQ>Po. Ul|Qa,}lr2?Ȁ07|ظ|r^+];Y[lل$˼=}\#>fKJ6beVXRM{ -ƐɰMn6-G4odnEnmC~en. qԜPUm4i(ɵ0DjtZWG(/,]y16%=5]W'Y'}HvcMao*ǩ*sB$O=k7­~/e`b6mf.pxNR@8M]X)+n -:cr3mvbvM9nd%{oOm ";#VטAt^Z\U`%=W.xjSwz]!tf.(ֻ^6ԣUINcmg_p }eIѝ˦tCC:Uho; þ6A3[:ۖ?ќ.[_)"d/oCd^D?_Km{ {<P2q u~q;_ǗD>g s߃<ٓt~[cu ui+s1}|x{5NamoIߴV_1YC.6}-;qȢ)~VmNu]s_zp:/e3MZAo_ś+E8U89{o;k~}?Č&ٔ/]<zB%49덹A_5pm7գV4)`V d-Y6(2Z\!vF9a.lat |gLXZ6^1EHB`Ӆ3$e@r ;y];m[Y!߻e4pu]>%r;{Kjt@fx.w* l[Ȏw `z)]?qruֆ6 Xk PC`/˿Pem#5t hAΫ>_f]4<zpo# *Țtvq!"?&K]Yxs:X/a˯˗2zFPա V(cqA<%;M3 rO11 $%WxG-P*Ed7TOV7q*T~W*cl(1#6B'.]^m-hXLH=)/EY?ੌsuWS&#J 5#^-ۻ¥<3|#N+GD/nsLZcIs|)w|o6q~e2:aeN bYE]KхL,/}c*8mm@tbM+a[Ѝ.?mKp;1k}̥d$ɫsW6yǐLʔhB i1!5ZH1٤Z)\WHg|6|bI`(ګLDZJ<Z|Bu~,E:4~ѽVha2stcpGHzb#> >!HLbfL+|\>x>h>o (HG+Wt*0x}TFfJ%w@byCrhX^Q[1f\Oudt endstream endobj 8534 0 obj << /Annots 8536 0 R /BleedBox [0 0 612 792] /Contents [8547 0 R 8543 0 R 8544 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36252 8545 0 R >> >> /Type /Page >> endobj 8535 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8536 0 obj [8535 0 R 8537 0 R 8538 0 R 8539 0 R 8540 0 R 8541 0 R 8542 0 R 8546 0 R] endobj 8537 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 437.2346 197.6017 448.2346] /Subtype /Link /Type /Annot >> endobj 8538 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 421.0346 190.1767 432.0346] /Subtype /Link /Type /Annot >> endobj 8539 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 404.8346 195.1267 415.8346] /Subtype /Link /Type /Annot >> endobj 8540 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 388.6346 192.6352 399.6346] /Subtype /Link /Type /Annot >> endobj 8541 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 372.4345 177.7632 383.4345] /Subtype /Link /Type /Annot >> endobj 8542 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 356.2345 182.0037 367.2345] /Subtype /Link /Type /Annot >> endobj 8543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8544 0 obj << /Length 19 >> stream q /Iabc36252 Do Q endstream endobj 8545 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36253 20690 0 R /Gabc36254 20697 0 R >> /Font << /Fabc36255 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8547 0 obj << /Filter /FlateDecode /Length 2457 >> stream xڭZY$ ~_ i٧g6L߷8z3dg%)O )j b6]>-IcsZɗ} г.qPJ>3|(aG|+PQLE t^yPf9ʗ [x%+3HgD("Y>)\᭏29)0XDJ†L 3q}r~̵pJrA۔3N)DiȤͣD,#“xZ4M3BaPeL Xb^G#/ڭr 6jrU+{aƵl{QsayQ׸6~Z`p5BY !)m?s;Rޮ&/(bL(k~*l6"TOچn58="Hj)D%F ;BhApnǦٸ=ECX`>PmF#E L~$]!'9 l׽O-@ "ή9{ !P@w| PJ ]GN_w7;`h(sZu#DBGgcj[*#WUo"ePW(Xu>%v+HuDZTt_@b1ɮ@e( 8'Y:hR}>GzD mDsm"g< F1 @R 59_f%^v fPT,z6hhT̚G7q i?Lܹ\O]r:#WVmGeY7;KٲБCCt9Ŝ@lfu=~#老lNGYcAєC@)v@)%АDӘ?ibuz΄وkot2yp,C70)7G 3N R0{ T#*Jv Ց VCcvt&uhl0jPs!c@~$l7$z\=3#0P`0VA%=hN K!"~Wp?~Ǻ֨>ǿ_7,~!ġ0n@3Uj\q~P8H8Pv0e XƗƨXl3ˁR_1~?ZN"e @A4(E e-OK0ٓK7+vjT_RD1b ɔ 8|oKQ)C=Df`C8&T7C6d êOՐU.\s+ ξp1v&&Bvsc{| B,LCK% ~gA"AeVz;Fc%B]G/~/m Q&Ѭ1[d==Wȉ6D|m4 Hk6a ^AńĉҭXd&Y57䛌x& KxF DCe][/\>,H|^l?! )$y3X =7{>ߴv#GҒnC*H#R{'{VW$%lGj$+K72 ^c}W_IU]unN `t\>WlI=WX*- {gqc)C:RD^m:R`HRQ BƸǭZf-Z& gjdQr Ҧd~gj_613-ŌmxkTg\V&̨%nj6VLزqjta .U25΍f]2\kUvV|3Flbm{>a,t#U!x&;~@TߦGJ!+oKk3PS= ;`Xo,b&9x3u)5jsj##~eͽjyLzIC@U_2x*䝃0椠Q > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36271 8556 0 R >> >> /Type /Page >> endobj 8549 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=hbm;v=latest;d=pg276-axi-hbm.pdf) >> /Border [0 0 0] /Contents (PG276) /M (D:20210608081201-08'00') /Rect [92.937 220.425 126.718 231.425] /Subtype /Link /Type /Annot >> endobj 8550 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8551 0 obj [8549 0 R 8550 0 R 8552 0 R 8553 0 R 8557 0 R] endobj 8552 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 8553 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 8554 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8555 0 obj << /Length 19 >> stream q /Iabc36271 Do Q endstream endobj 8556 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36272 20690 0 R /Gabc36273 20697 0 R >> /Font << /Fabc36274 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1^ endstream endobj 8557 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=725) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8558 0 obj << /Filter /FlateDecode /Length 4334 >> stream xn$ί賁4#^ u XX_*bO4z$W,Z/fTiiuf ~4uk/ˡί/O_?i[Hv2ﶶС-_~Z/"z˷%h?^ ?=<5_PӢX24U;<),?o`|jJZBY nt@g:A>yru:1V3<=`C: 5Xq#4ZvNxΚ;ü3PpGu p f0(C@n_ɜ_ѧbYӂe?Cꙍy-%g8 #t~r= Q),O2ȥI(ڣ!VPL4Ou |)tE>*}.8Bɀbt1֬y\Z+Às_}A1 LD||`>'V.i4Y :XJhQ[fo1ւn#zMU> *A'*~e̟Ixn9̪s M5ífl#\kRz38u_}BTab!:X{bM,y `!Łw3k`SS5'7WVTP@_:=u<;ՂYwVsns9hW/)OWZ*0t%lu9ob^OK:˃of+K]~b\>݋v/Eqd#I-H5UϛI>uKz(1≻a-s>7abFy"V x&lxgȸ{gr^ 0FwT6"AgBxVUn\oQ*Wv%=KɒBBϩ{fjkݢ/mF|! Lo4{d]c- c>6}L7[ݭa9s7royO) ]2ƛBmzv_~UxB,p x>ʝ0?͢?,6jů{cE/0fıe'<1>/1S%5fqn~qb:|NDt(/Faw|&Qy}w, 2[_S՗ 3K~;U<-n}Z1ԁHkzf?ngޡVSgxޤz /|ϱrs{sdaN0Nݮ s>*/ 'nIZhw9 Ōj?1ŕ9xA+b+Dw*@bĐR$0kykd^@,u@48iJ8\tPU|5C\fU(N3q{զbp)}:Ќ'xcMğ:lI|s"50$7$S"g'(R>3t&j6&m3=|T5vY]Q)*-al@A7cRe: N4 gkT{f,x&Hث1%[mKԡv y "kƂG~!̌EX)u*#&4ֿVFkH~Bu]uįGmBLS6pbĻ wH?dD4vʟvJy"x(da-s)1:9K I[ZٕSo@2CKAGi^'5ݭϦu\hnDOI:٘@`sVȍM-dZ Y-GŹ2ԐOKLxL"@|oB6h*⳻DP CL≳o'J!'HojѬ dmm#n7"oYQɁP)a{fD|D= J Zegn\mLcΜoYUZ"So!]w1]tkZzRCHKo3oЙX λ*Ѷp#AݶbR"{ikA솽~IRB[i-B`ߊQQ(˸3y;z$Êmt5aIrl1YT4UىwN^ex=8_PuF1Vۊ;9? G2'(吧qn9vc"+bl{xt/M%L<#I!f|Ȯz穯x&[<uʸ:tb|}BNۂ5w:݁ &9V:@Jy)7i>f% yE~yg^-k /7Cphf PpB衱&JH{9 Ӻ ]CF[.Mk)Lz; +JH̠ ܆}.ahPrOU 8'ۀQnl$c%Fd ~SQBb0G Եd8D'I6Ź7(* x=hDW0Q#~__Q] WkrhuGф \h Ykzj}]nVM<+{^TBܔ$Cs֊ŁѨ~wmo\֏YCLĩѢC^ =3[%\vD).5Ƿ1Fy("6UDi`֋}*]pYriUl3) ˍ#5H*;N7k! _6޽4.,OMT9W#vs::i1ms»1(n)o7z/SvQ<ō~w:vO6,SHa(l|L{??Y/էe" ? 2&F([?7TfVpmIO *~o95[y˰Xy7g\v]UeFJ-?~O endstream endobj 8559 0 obj << /Annots [8560 0 R 8561 0 R 8562 0 R 8566 0 R] /BleedBox [0 0 612 792] /Contents [8567 0 R 8563 0 R 8564 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36290 8565 0 R >> >> /Type /Page >> endobj 8560 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 525.0231 381.3955 536.0231] /Subtype /Link /Type /Annot >> endobj 8561 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 472.3539 396.03 482.3539] /Subtype /Link /Type /Annot >> endobj 8562 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8563 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8564 0 obj << /Length 19 >> stream q /Iabc36290 Do Q endstream endobj 8565 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36291 20690 0 R /Gabc36292 20697 0 R >> /Font << /Fabc36293 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[3 Fҩ~f| fpYw.Z 5J8.y-xvw7Hbcth۬_{9wE1 g!Um nwr h&jer1"" Ƙ3Mw0)GJQx5sT@<OM CZNNk?hԬ9*{braX(P[QSqfgя'~'DS=RtriK[ZNߖj?ɞӦ+<ʡ|72ɢԑH5J\[-48י> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8567 0 obj << /Filter /FlateDecode /Length 4694 >> stream xpyMlcz@9.4H+񭬿h-|'(\I6/(ͳ_j'W1ثr|CY6961 KzUyOfqK>=D{=e5Dqh^%Ll͖N҄) TG<ʳNDr(b#celjk?-P ]N0$*5׻趄R m*Np^ |Px z,Fp Mm5̃ d,Z- [0^_y8q.6M#g.z2}Qo6MըRPFР5qSZ/UaS+p VmJ$N cʾ<.Ym,sd0V(YPveb`%E~=Yac\c7E&6@̦CKvZeHa-A"dtDeQ~,H;V@3䟆Тk~K)RxQH|"PŎ\ $n0tU@͓ Z[}fkSE,iYZ=TIW4=7vI^㋦˃ vݕh(S\Zar7q.Ud@z~؝)k}|Y%GSАv͋ذ`d"j~J[v-W}ЮXj&SU}gsWkorX/z޸neI .BhDUIuX5~#͏{T$W MtCix(5YcU[NkoM>*1oC`Zڭ 3Ǭ1mexb#=|z4T.e sIOE)ǃ0C<ֽ DL(+އcQA|a Bkݣ/r5ŵDp.M'5avKqj9}iM2%=Hԝ/2mmlyno.qK"9=bN5?b`c)۞d,asˡ /{@>x_e&_llJElY7-1x:Ԋ-Sk.[!Y 3u<*Mv=[8Z Qu.zgwTILdQxjS.wωqmvaGPvz=86ys2zt[:wA܍}:J3o'I-bĸp,b=\\Y_[x(k:0g 1dd},n< PewZn}Xur!= >_fȿ0Ɯus-nn=wsJn>%faggo 6?\;:S˨xY+b=)kty$v2" nT:&&Oq7﹧yC4={̏sGy[]s6ك+6>}.CN*;DbyRR]_K#Oڄ55뱦V6\ӝګoںX$[1 -ȭ9iRge\65M ?=GJqZʫ-د_i`+7dxE8Suv*kb*S!BDW./M'<^;}_L ?CKBY}*̕Kc>;bmIκZ)l6R(j~Nni9kÿAŧ#Uvn}"5ckʻ^5cul }g^XO߮us4q6'x"X.zfv^WpxG{vkE׿}a{dh&~;~kܽyûh>®AMjkDzt 7pq_:7̇~d+/ GGRc&SÉvqySzP;|y;cXs>Y޻VNlGo~x`&;[7 R1pnaPdF eCÑV:@"b^ ǽx)a%eF~/w-B t/c"@i$ /oDO-r;[Oo޶~m"Ѧ@1l"͉AMX%ðyc^HLܴuOְ|l.8 R(f =cIw'9D;0*-Ep v=Y3ycx-Wp(u!JGs^o%UkKDVjBӿ(.\&^ӣ|pt M_* +\U <i! tQƶrL<|r)LT8X\ aپZPpُr ]4e_TfD~G6p 'sˤUl,.ƌOD;ǖr]OcTNF_YǬL@2~S*?)'?q~KedC+l7rЃ>zq/|/Kp1/|d̥U"ᰟa3֓m q'3Y+V! m5Frn0KOkOѯ=g v7:o}VtyWgo=hh%:s Y# 豋iNB^yno_oy#Xj}O~O,ߦ,X_'nB/@ ,~eſM9^5ÈK*-Ԉd4OT #|I8r]r[|f&m_}Y԰г„ endstream endobj 8568 0 obj << /Annots 8570 0 R /BleedBox [0 0 612 792] /Contents [8585 0 R 8581 0 R 8582 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36309 8583 0 R >> >> /Type /Page >> endobj 8569 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8570 0 obj [8569 0 R 8571 0 R 8572 0 R 8573 0 R 8574 0 R 8575 0 R 8576 0 R 8577 0 R 8578 0 R 8579 0 R 8580 0 R 8584 0 R] endobj 8571 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 311.7423 184.6217 322.7423] /Subtype /Link /Type /Annot >> endobj 8572 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 295.5423 186.4367 306.5423] /Subtype /Link /Type /Annot >> endobj 8573 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 279.3423 195.1267 290.3423] /Subtype /Link /Type /Annot >> endobj 8574 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 263.1423 192.6352 274.1423] /Subtype /Link /Type /Annot >> endobj 8575 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 246.9423 210.6422 257.9423] /Subtype /Link /Type /Annot >> endobj 8576 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20210608081201-08'00') /Rect [104.1732 230.7423 184.2422 241.7423] /Subtype /Link /Type /Annot >> endobj 8577 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20210608081201-08'00') /Rect [104.1732 214.5423 202.8817 225.5423] /Subtype /Link /Type /Annot >> endobj 8578 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 198.3423 217.6437 209.3422] /Subtype /Link /Type /Annot >> endobj 8579 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 182.1423 198.5807 193.1423] /Subtype /Link /Type /Annot >> endobj 8580 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20210608081201-08'00') /Rect [104.1732 165.9424 203.3877 176.9424] /Subtype /Link /Type /Annot >> endobj 8581 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8582 0 obj << /Length 19 >> stream q /Iabc36309 Do Q endstream endobj 8583 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36310 20690 0 R /Gabc36311 20697 0 R >> /Font << /Fabc36312 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 8584 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=727) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8585 0 obj << /Filter /FlateDecode /Length 3006 >> stream xZIoW< P(U 0S'=A`H.{AfP-Q"OVߔQU_^T}fT*|O'SƨR^EzZǣpWaIk{1&z+5Z?`C1`=&"Y [%[j{haN/w)ũ)r]pޣ®۲cV:3\AX2~ gRQOG&|Tt$yFd $*ī4o82i35fc=%dXlhFQEnj?%HAQT-11@ʮs@ Wu"࡝Y]TTCG&07K$\CXϣ{/)wnC\_PY!zC<DsIDuuѯ?@1ǎ vZMN'D4`낐o./ࡥL*e 6m52Ccq\'*%@-y Dxmho(%$W?"ގ} M~\63=ORBj VEiT [' KMtd>=V?T5|"`X#0U#QR0-xi0l7xGy=imѹvh/pL5qhJӯN2 U0k]o>HkM7.ajȗ6~pK˗3n&F|MțfM dWK6̈́Uaj}> eGQj-IRCćSrZ: 2ij~?'@[X=*VױZ-AîfԽ}m]br9.dZ절ŲD7㼎!"|+YB;SRN,dyY+EWK 67G%`6kqOq''o-$g+4].0jNM]݌>߈>l8fI O8!D/i^~Uw֮{!Mglosɫ7Jx.k߰$b"@܇˶V\]XR~W,ۈ<{g F+{,5q]f~M+%'Fn8-}w@ r~)D{WT}$1µ\+/FTRՠj! `:h=1`B@!ܻ+b1ƺrѽQn"s-3/<}nUeNel5@?l`}32a˞\xL,K ː#BaöX=5zwzb &-n.{l kgU( nnCb0AIQ߁b3JgbkQ;i{؞,%?0H {v& α\hmr>Rm7p}gWe{um&̍UHtA)^IbCB<$~k~,\ E+Tfyul{ i\b+'(t\8G$!4f!; ̸tcjXkeF3#a A9gwp[54ݧ;S={[VO7ǃ2jC9*{q h\S8lDQ0 f;K4st؟ Bh@C hJ^}]i-U6lLo}>⦅ |pgtZy )wd&T*f5 :b]#%D#!Ec]`j+cP17]2^N_< .izV.Y&l\yO|`~2><ӛZgnRn`wĿǰ=zp^ps 5΃aP;_@5M6&gn:K-2mDZ^տQܮDEjȩ@.06'F]_s6@l`CNElD3fkʳi_,<ΪYx}d=MKCin@U`pԮ c䙺zP Gbtŏ '\',ɲ_d<8BpՆS UcZ%gbW:veё G: endstream endobj 8586 0 obj << /Annots 8588 0 R /BleedBox [0 0 612 792] /Contents [8595 0 R 8591 0 R 8592 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36328 8593 0 R >> >> /Type /Page >> endobj 8587 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8588 0 obj [8587 0 R 8589 0 R 8590 0 R 8594 0 R] endobj 8589 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 310.725 137.278 321.725] /Subtype /Link /Type /Annot >> endobj 8590 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 310.725 175.096 321.725] /Subtype /Link /Type /Annot >> endobj 8591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8592 0 obj << /Length 19 >> stream q /Iabc36328 Do Q endstream endobj 8593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36329 20690 0 R /Gabc36330 20697 0 R >> /Font << /Fabc36331 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ f endstream endobj 8594 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=728) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8595 0 obj << /Filter /FlateDecode /Length 3849 >> stream xIW<@  tU<|0|,6 ]}%|3EccM[mg<;E:cbgSC}rH/ Oz k'%v7oaB؊jICE qj;hB 4o?C/Li.d&tvNVgf.9dMl3t?|: 9SoK'eq;x9>ibƔ!k7»2psJ<[nR~RW )uRrt7"eR'LDG ? )k,r͒36qS(@ pD0 98c]w\~Hؗc{R@0u)$Q~^Sȵ#Ly/؀E c̎)z[/Rb[{kb}G`ۻ zv|`b٩m2NFv>:2P/~.˃}m BZ;)8aB$j 2欌 "Vg"H UjӠ"l<9H+|$Zr!*':rB-}qZ#f IԼ:KKWQ~mj=Yy?4lka~C޵C5F30ǡUg<yUD%j·j?V?k< :Ӑ_'  gґ3mAMm]CA @9a1+\l kmRF/O#m;pJخ4PH;q%43Ovc7iJ5`(u(t"w渊xfBF7e; 5P=D laPqnT*ЫZsٮbWuw~Ho%VF>fZ+4q[?ǜ]s"ŜJLȉPܰo휁{嚏bq̒?Ns-ɣh|8ۖV!^儳O{dmw0 ?o\)[؂ "u Жwb;#GSGWh94"@XE;5t/GyMuVf-ݬSm7!}Ϥ)W}:2*q0Q6Q\eeztjr%|n3T9*4l~ҜV*2xϳu'ת@٢x!H^kt YJL3ss&OVƊ6=i"m͊ oWUWk1.uFE]:TI=!-.mFdi Y. F\c!Lv#h1!jt;>r 9ON`ʼn"8ʠC 9jlevSstz- ^9̓)(f`DNQ u^Eءc֠Cm ,l7 2vd{{5tխYt]1Z 6r}7#jM~ \gji (=@R 3Db#PN!lDTӔ1B('>BБ`7| d63;DeFCV1baҍQBfuX/-0eo&qV 9$ce!uAu$8fԫ@]x$o6tc\@yZGw+QJB S*_R{t O99xX&YSW~:+#>w7ůnyhv24.Ȼ"`#& ;i*,BD\C+S28Բu@-}O,0+3zmxw39tP),얲sKXQ0_^DUR*'rcdXUCe* e;/q.|mrɑSeeW?)tnS1ǡ-mm;oL8kfD \K3 ~:QR2'R^!ɰqס(*xPHˏ|T~7%ܚ6綞#ݮBgW[ZS{8UςldՏ.n(a*TbX|I$YjRe endstream endobj 8596 0 obj << /Annots [8597 0 R 8598 0 R 8599 0 R 8603 0 R] /BleedBox [0 0 612 792] /Contents [8604 0 R 8600 0 R 8601 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36347 8602 0 R >> >> /Type /Page >> endobj 8597 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 510.1307 381.3955 521.1307] /Subtype /Link /Type /Annot >> endobj 8598 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 457.4616 396.03 467.4616] /Subtype /Link /Type /Annot >> endobj 8599 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8600 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8601 0 obj << /Length 19 >> stream q /Iabc36347 Do Q endstream endobj 8602 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36348 20690 0 R /Gabc36349 20697 0 R >> /Font << /Fabc36350 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n$ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7}:$ endstream endobj 8603 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=729) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8604 0 obj << /Filter /FlateDecode /Length 4705 >> stream xj$_JǾ$̜ڞ1Fm{F֢RUm\?ᗏjmj$oY\ZonBRly/ֿ? >~#7~Kx_ .Tj ;~Ǔnx`W!o72h!+ yoBg̥ޔ+a.pQy+]2n^j $x^y$b|jPox5-OlJ`fBa*~{@>bex3nkChVoO%!F8pϋe9:2M{%T#qH \0zb\Yu84oIN:-XxfI_Y/ yED^5d$߃M7fN:nHPg6mm#A#Kjw38 Ks+ttgP9RKPIRWxeo7eA+aDtcᤃT` d[@>oo1aG>i.i WQ"\ fR%Ay@A 5'@"Rh%ulUPTe(#  #U s7浚UF}F<Ң J`<{3,.>P7Y`n:1n`}tߩxUbD<;iޫbSngpcr,^Z m,ں-d餋 "nfb'OadF35l TnY}򎚹2LLQ pc =oɥ3ӶvhIɈ2;dHi3}T2~zԅEPVBi'#&<jIbTg&x ";y_0y~a!B= X7$R@^Px2lg_hi[ˣ r5LfcR0:p="ym&Ԧ~5vuIS:5l=5&Lsm(Bqei;lR✴h\: &?zUm`z9Nl"#>ֶ]+%1jtR,ٙ)X\B 8P| ۂE"Yq]@) _,,HNU YȾ$FIP}s7C _jwg*VHR Nw%RȞɸdMHmOqgF7gt[_&wVqF[k! F[%fX)RN C) l0'B+!Lt,LpgZ If<8\,J^d %S/c<:v;|* ($D^[vL&wL,/ktb,;%S."O ϧ-JNydԗkF}j%Rz:mZb`CX =ּӴ"SEay+U3c1D˘A5K'$f5tc)ِ6څ3&\VnfRΙ-Ƹ5~|kTmm]qo'W͝&ee4<uyg!>-XD3{7*esTs:5l߄i=28cYM(+1a(ruq0=-]Aδ F3,[  ʵ1RMl\vi f˕!`AY489} ژ]]<%}d!\V13V׼BndHL016ƽ$ƌとY A]&EmsZGm3]ߕ[|L%uaIۧη.2%LDzw(g S9YYQV` I܍Q=NKR7=,EZ,jcvhP Tyy&-TM A{ 6d,kZ5vC|Ρ4 V*fRR~bHL jo*iGIP2$`(ټ{\zO[)a%,  [wKUhxDq%e^2( =%cN%$>uPvf*υNbjT7Miqeo-[q]NAFrWDRmSQ2GqYj,qĸzԭ1:vQ_S[Y*eB)4G0crz%1x1$U&BmIPVCHNDZ7tţtg 2Uj;hɕ[4]u=4&G;iZGW!aSL9M〩+Yōj n>lS+Q?$;醮Fy2Nŏm6r9'T]FRsc%m6l.h!BI"$yUb ,5%F"}=Kj-&3WX9 QBYNem%mc70ymgu}J9?Sb~rzPVaǭMջ$Ƿ;I u%Q3eDP^g_>uq6{\͓QRR>7@ >Q:o~|YҰwّX&krRsMR_3c_l"fu[;ϔB-.t51Ίf7w1:Amhx2g[?LG]ljȩVW37FCw+-l5CO]nK*mB>RH =s3/ ˼#o FX6Τ=*R)M|MDka/[ՈU{Z}ʤE?=U{vKb7{!wK̼kX^cJQ̛+Ս{Y2b,w?pGnfzhM[\MO(.q|I\dQ|ݶZ'tQV'y[*mIqwa74dy埮l_ykeU66A=5n& U_ÉJr޸V+?_ZV&A0`A/n>Ow>3}z|K*O *HW:c%>>NǰK1,3ny.7̇鼓d ' =y~|@3bpxei)*Uݠv2qA[UN9`ؠ- rqwmEn^Egl/-ZX*8^`7Ƀc?!:=bCY@/ڂb ;c\r|x2"~yJ4/W- tO9L|X@NI+"N!<5rcJn6֖* A6";44-(ͫLΏ_Va!.(UayImƳ8t;  ‘gH z"^COGc0@!WnɚG*e~^TNQ5q[o%e/ZUТ+Lt)s+8c2 ՟2 |4B$DsirfrFlg[ʀ) ̳)CĹ) % >҈04v6S)LDzFr Xa9CWiCYJ#XlIH#:Dy2:`Ҍ*feXRJ%xƶ#p)i)* %yLq|R"[ɨ?u&Se ]hmιk͟7~^+`c;lGoXYe؍: \W.civ+X][Na/pk2\cNcG7m۶ m۶5~W<3pxp|͗k_kDQLsG"B@5b2@徂睐?_0 UZ[zxg`Oj6>^!ĦmS/sS@˄tΙ0bxy&唡bY}t^'QhD)*66—#-bЌyM41gQ  endstream endobj 8605 0 obj << /Annots 8607 0 R /BleedBox [0 0 612 792] /Contents [8621 0 R 8617 0 R 8618 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36366 8619 0 R >> >> /Type /Page >> endobj 8606 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8607 0 obj [8606 0 R 8608 0 R 8609 0 R 8610 0 R 8611 0 R 8612 0 R 8613 0 R 8614 0 R 8615 0 R 8616 0 R 8620 0 R] endobj 8608 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 333.4423 195.1267 344.4423] /Subtype /Link /Type /Annot >> endobj 8609 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 317.2423 174.7052 328.2423] /Subtype /Link /Type /Annot >> endobj 8610 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 301.0423 200.7917 312.0423] /Subtype /Link /Type /Annot >> endobj 8611 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 284.8423 199.2737 295.8423] /Subtype /Link /Type /Annot >> endobj 8612 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 268.6423 180.2547 279.6423] /Subtype /Link /Type /Annot >> endobj 8613 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 252.4423 159.8332 263.4423] /Subtype /Link /Type /Annot >> endobj 8614 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 236.2422 187.0252 247.2422] /Subtype /Link /Type /Annot >> endobj 8615 0 obj << /A << /D (unique_320) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 220.0422 198.5697 231.0422] /Subtype /Link /Type /Annot >> endobj 8616 0 obj << /A << /D (unique_325) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 203.8422 190.8202 214.8422] /Subtype /Link /Type /Annot >> endobj 8617 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8618 0 obj << /Length 19 >> stream q /Iabc36366 Do Q endstream endobj 8619 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36367 20690 0 R /Gabc36368 20697 0 R >> /Font << /Fabc36369 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8621 0 obj << /Filter /FlateDecode /Length 2933 >> stream xZI$W<a $ )aS=T/6)\ni#!==5JV%W?tпoÓkkӗmO1ԬDc^3B0`;~^ D!.E Xy ;ŧ ddȚ|4V-LЛ85ǽvFXnk3{{`@Jkv{;x؀+'_DT 5I|>F!*$vNۙ+UH kglbvk⌇NCɉ͙91.t= K'j"GG!x5WI}f!Z?څ5V< >˷/O jğQ]sxzb8FD1g`>d"&cgAB}u5Џcix/T. #MmnI_^\\ . ` ?]& Xjf;4РAjs22kkkv4LԹ9 Ѐ 0Ռ2xh#e6i&a7^ 7%qx!,)-i8#Hdȼ˸I{]fyl/ MIy6v b<(So$YYwq ?_ё-;qn&8L& nbmQφVJqݬݦ., \mhgqnh(ԔFC  CTxw5wB.qB ! =F<19 UO׾Zx͵olۢNd[sm]jKE{Hb12f]9>Dߓ`kJ[)>噌ԛ-?$n|n{8b.c=H3Rqp#j~]X{ tMժtO@-綖=`w!M6Z8Ɯ|3 6cn i"d\'Rjp!xxZ'"TC||'+޿<7$W1\U/EHcy;=-deB6#-6و[@ qUHѹ+~lq<)b<5(FJ3Ěƹ(p|&`oZ_5<OsG)”:G(GI#tMB+zoϟkorz..:|ku~|`t௱_posďqE tE_s5 bKPW|>5&+_z!gi@܍f*VWKkjK2,qkp5gmjy5TgbMʠy!*[3NZ&DFۼrsu͹~3#yuɼ< JkK ?꾃ݥqx&~w#f|L^pKŝ ΃3=a Rφ_C)0q^6`8\ ta_c|r}R!r7O_&r j 4kFMLO.'{IG4cѦC3d=H2ݥ#~w/oa!qj2s8Hxp=]X)L (p:V{s(;BWXz8jT>szEjqh2HCeCfqC k)rDz R fѐ . endstream endobj 8622 0 obj << /Annots 8624 0 R /BleedBox [0 0 612 792] /Contents [8631 0 R 8627 0 R 8628 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36385 8629 0 R >> >> /Type /Page >> endobj 8623 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8624 0 obj [8623 0 R 8625 0 R 8626 0 R 8630 0 R] endobj 8625 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 310.725 137.278 321.725] /Subtype /Link /Type /Annot >> endobj 8626 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 310.725 175.096 321.725] /Subtype /Link /Type /Annot >> endobj 8627 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8628 0 obj << /Length 19 >> stream q /Iabc36385 Do Q endstream endobj 8629 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36386 20690 0 R /Gabc36387 20697 0 R >> /Font << /Fabc36388 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?XX endstream endobj 8630 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=731) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8631 0 obj << /Filter /FlateDecode /Length 3984 >> stream xn$7s-`pw6{X<3%)J=-&*H/Q+L;==OLO`Rӏ?Y3}Su~N>&7}~yV[o!zWzjo|3x?6}yzHN_}1?L'=%C3.Ӛ%闟0+_Oen2]%Oa ~t3gz䵎PN˽28OW:p)R̂ Iȵ8e1 qVF-sց~q>`PFaxɟ͜ >O/dӄeoLPd{zdS%- 0opY鞬66;L .@%IE{8@aEeYM 9_zT"ajvx9O?@#2xDJCT7H9ƚS[ m|0\^Bmgd|<tcv$CҦ46EvX }b*lg`uu>OTe ƒȟL!9dfcl]j1Ԁy2NK1ϿNԄ0s՞?L"e(+7ճ'ocaScRz^:šduJ@c_is:$I]KjBZ[kGV@;8D@iFK :hn!^0Nx ht_g4zУ^F`q~ 5?1p;qxEjt1Vpy )zN1߼`W?YsaP[9xA!1n'/$@l>@=DL  %x}H@Rx0Qv>^RGy-F7>^zPZzosl\y=,UJK½PpNƵ lV2l oso mY8lHÉPurδ~lc"`2<γHOdX`=5 #]b,k29R+u5Ld/v!UEJr%۔. . ‚ y"S&ʒFyA|/ >{5SM3#5haWIsAn n`(l8n7x+gE޷ S+PŶZ)ݖ/'F5ÐK[6(^,üe+'d}@i׽^n֢~[ [`L*M glpj/22eX~Wn-ە^Q@֓SrU#ssw*y yֽ>ںٯY^cڲZp_c<@ܲzz-ϲXW9ȯ[oku^O굺]ZQ_eY_5KfDznND2[}W'"-NdV bZ}L_zbы:FiMc `3rJPFaJ!qx'py g!tswA]\S]2ƹw!$Ї R"ݩT,ѫZ lWDΡ+ :\~A_Ҟp`t"Y\^~*UN茡n&<-PNڂ* v^`5۔k%3!a4 xb,x;Nj-6bZ6ENXs?9stQ1{ faM^ 4']N6s@^YNVnR2Ī&ɂzeQLR]g" 8~J"N]SⴼKp.SIC`@vZIXXS|8^!m"VlLJdA4%8(0|^삎2o0We$yZѬHeЯ)=%%b}ꙢԗuнZgsMF5x\1l,u*ޟ}ڄ5չՕW:Rӵ<޸2 jύa}+ la}E^j8zż'2rw"Ԑе]jt0C$nt°kk=N,EA_/G#ȱ1P& !Rq%=\V&o4 _6Q-vrRnmMw{N߷sWk{=`=v!ǍPc*ДZcП vb 6:9%LHZX'C4}m!jwk^1m` 1<-E2r3YpT?tV9JV:̑ ZܤY}Ֆf%x&~z^*, *0Y+@Af@Jё*r f!іx"Ir1f"ƺ9v~U&,3h J7sPorOE8_Qa>s-3?6[bBz]ĵP5JH p, A*P<75ƒڛhy(nE>+*_R{t G ;xP] WkSy9:#4?N%x,KS7q˭E1!PPrxh-TBQjZ¥2rŴc\bTx"N /  gC>Lyp%f7a邈>:U\~j5Ǘ>Fw<xEF>(QꔵbCeo~4%zQ5db+`Y|T8^ _xr$Tv2b]\堨cHAf؋>OYdr7Ȱ9@紸E\Y)iZ F#M(fSѡ^U2gBu  b`wg ~~Y(O˓3 endstream endobj 8632 0 obj << /Annots [8633 0 R 8637 0 R] /BleedBox [0 0 612 792] /Contents [8638 0 R 8634 0 R 8635 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36404 8636 0 R >> >> /Type /Page >> endobj 8633 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8635 0 obj << /Length 19 >> stream q /Iabc36404 Do Q endstream endobj 8636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36405 20690 0 R /Gabc36406 20697 0 R >> /Font << /Fabc36407 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy<~m~oC25>S~Y2Țz/ ̧9?ɒ F0t)QXI*dzQUh>ΡDH,P/v҂bwo$\ endstream endobj 8637 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=732) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8638 0 obj << /Filter /FlateDecode /Length 4086 >> stream xڵ\IdW!e |0>=cLaBoɥ! ",/zQ lߗvO/-I-E8Yz˗_ǫ6Hஒ^/J+S-\JA-]5~|Pw[sD輊\iG:Qup?.g]xbB`\BsAB.s7H16IC /q*]u!?t!HIfs0qQcĨ(W |ҙKhiHM7IP_"Nx@̂r2>J`N" d]BrAfrn}KJ]3|2yw>7hއw>\{Up-Vcg%4=L{4Qh6,Zѫ4ZhLu+ʝ#/3ʈΓIU' ȧ7e)T5mμ߬fL{q&VՕL2%mUH9'^vND vj\).d]FFjJ'{gB]:eYet?U^&.$œ}]a+]`m~:l!IcNAq S!|!sѱy ^8Mn 0|n+s l }D>x9) 7]p\pX߸m0%t5+W(AM\i5 20Dқx\bh.wRz0Mq$>e?lم9vl`,,d6M- >Zeླ-7 @Tt`'*˳^ eBXoiDdܕgz73 % mHPNvnSef{3Y XZн2" w,mE%Ra.dT ū%2Fo"mECaodIEh 2kV8YgMz y/6M&tXv[d=TTBLZJ5fp~5[hmLK&iبKj- Wioˡʋبh6ڥSzt}S&4}[3rJ9kH.^m.d9.>j9\t}ƚ%TЎ#Q깃\}L`ۃ7lޗD\ʇ4aAP=zQB}Ieu+8K ZjRBY.{\j'*~2fu޶d'5<5Z*WR3@&֣kk!Xޭ.%_=~ 5&lf )JBNOd4j{}X(Z38+s@v} T0<niZ%3gc~0 bJYplʰS"􁒸;a=G͊Zw30O0dyy(鿅p URзS6زt3mY>[F9R5Y.:n8X*Bʣ)~ZSN}5^Ta/*3#/~l}$/Ep/n tOk4KL|qU(XG12=ӛɒVDhnjvd@]M^s͸ e׬Ҭ].^A n%&kr㘱6Ѷx=\2qˎ*w{noh"8*[9db%D4]l܆y>]ь޺cF*ڲ[T&ywW<tMhW@ӄu!)5IYm!bxBM]3VlԺ!v?ͤwAic&,l{ Yg2,$Ogjۼh Lub.A۸״ 0#qwgS!kض!,u \(A\j{..d\KgJ㋗~Swob,@FV{vo&))A~83{q:o`Ȣpp5uAFt˥L`Jȣf3uWK҆r,C0 Ò}I`: Bf!@dcH% <\o"߬3Hy#VN=8N)N֝,]&UaLIun־<{SR +Yxlm{2Qط_g[A9kJoYmd (ڝ=}~ȋp<Dzq*t5,>c\n˼}D6N(pp:shئI}\8όy_?sxLRKM!='!uS-=_b綑o3,[Gxy4$ԯڮ^ZҳJt!hc6tvj7x׋oUS'^Mwl4 2*zvʤeC #Tgj_@zB=dδVx.m= fNX fr>Z2cQgne8N ʬ;+SJ'W:gVNŶv`8ܧp&d~1nhKKܯnA$}{l=Յm%TMGc'%n޵2Bq~[S=+"Z:pkO9b1D\)0D᰸X Jkz,_|ˈp;9/5:si(b1hb*sZ>-R֮]L[mMͨi0g)5V i^Urd/J_Q_׽ughoO+Gq4'M8˔3`ȪZ=pj7DXVhu烘?_1 >5uڸִ.>+=팲ȢTֳݿέ ^=zH#V5guNm՟Aִ'bM}◌.%?Q/KġXSKO0fu endstream endobj 8639 0 obj << /Annots [8640 0 R 8641 0 R 8642 0 R 8646 0 R] /BleedBox [0 0 612 792] /Contents [8647 0 R 8643 0 R 8644 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36423 8645 0 R >> >> /Type /Page >> endobj 8640 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 640.5577 381.3955 651.5577] /Subtype /Link /Type /Annot >> endobj 8641 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 587.8884 396.03 597.8884] /Subtype /Link /Type /Annot >> endobj 8642 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8643 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8644 0 obj << /Length 19 >> stream q /Iabc36423 Do Q endstream endobj 8645 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36424 20690 0 R /Gabc36425 20697 0 R >> /Font << /Fabc36426 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 8646 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=733) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8647 0 obj << /Filter /FlateDecode /Length 4712 >> stream xmPB4@DPضz!k31!qwZ0Qȕ =.O'wMr`LIh倭>RS<^Wll=ltkD-X) doXr7;xƣ\ e>)32C BIٕRA~`L4kU"ըuJ{]ZEfUR447c .AJq!w[zB`,+0q%օ'xRa 6˒\r%Olkbt˖+r%fB? Gl.cEieEsE GO? EWxy0-_NTQkEdR,QfH$nۙR:[^*MZd0΂b,frwsz8M%]Sǥdpή]gw3=)N Vt =s[Dۻ|2( >!22(XZUݖ ؒw@h-/ wؙOh73 p' 4^$rWhU; Z&vڈ_ͽqɩj*hPmA5cozXȹBye'ڃ7/"s?wzvd6΃޼ 2|bгC޼\v։JzcUt=qM1kٸs3w)r2zyOH)vmD ԗ[")`OgҦE&iZsrVԹy8*}?X.k֝yN^CĒ#@>oVG )f3@ # =_h$UT|AP !ۈPǩ-oӂX(?Jq{%B+tzCNhS?s7ݦ˜eS$jH =L?/\zJ66Ri_ U)},QB=B) ^]Jt#UV6cfV^I0#8 klv.ZhQtж]Po`eCB/9z^`hePg)CݗdqKvQysk2tUyѪE/A}ZP>Dp2ދgfbTnmtTqQv߄tCA$Bq=Ja߹io 3%V%ydGlצ1 Z>ck8Q.fr9ɭܑs9 =9}On9ɭcOn #;8R cݓ(n?f;LV^NHvZ&L2|soFx=ssP;uhp&fW%[%rҤ#mj~s9 %Z:U+'HW)Ц ";r׫iFhO{2k<=rBD\M v(-$KF:.Krڴ>Htvï.܏׼/Ț Ww:cK% Z]JG*"Xk[qmgOoR{FY-GO*S%tTQȾ"yMv=4㉳5i?,AVUXm ƯbxX%=gOO%5եW :zin}m7nQ❿ϛ9l~< +*2{{J߅!o>6t=7XWƭ }zֺXzb<'0M[!PLuLh店XkI45&ljgo\e]zןo-l]K~ư$&Nvǁ.jq6S}gܗ*IeTANn:Up̡(8Dr=%*#BQQ)]h (0N32MvjN2δWKWZW҄:^w{E+ Gpٹ${%]ME_G !7/B9^C7k8)qrXYH+Qf`Q6T>쩹N޾7RyX!0lՋyA RpBcrA(vYQi^&EM_Z9W/u?{Rj 1^웪 [(zBvmx,n51 Wns~VtyW׃gB98x_+pDeUiu睐0}O~O,ߦlT\|~/gpz濙,ǍKT'UkG\c1q}P/dszn O=\X*^6/ GٮKnK$&+>9/ endstream endobj 8648 0 obj << /Annots 8650 0 R /BleedBox [0 0 612 792] /Contents [8669 0 R 8665 0 R 8666 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36442 8667 0 R >> >> /Type /Page >> endobj 8649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8650 0 obj [8649 0 R 8651 0 R 8652 0 R 8653 0 R 8654 0 R 8655 0 R 8656 0 R 8657 0 R 8658 0 R 8659 0 R 8660 0 R 8661 0 R 8662 0 R 8663 0 R 8664 0 R 8668 0 R] endobj 8651 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 197.6017 501.1346] /Subtype /Link /Type /Annot >> endobj 8652 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 473.9346 195.2587 484.9346] /Subtype /Link /Type /Annot >> endobj 8653 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 457.7346 195.1267 468.7346] /Subtype /Link /Type /Annot >> endobj 8654 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 441.5346 174.7052 452.5346] /Subtype /Link /Type /Annot >> endobj 8655 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20210608081201-08'00') /Rect [104.1732 425.3346 199.2737 436.3346] /Subtype /Link /Type /Annot >> endobj 8656 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20210608081201-08'00') /Rect [104.1732 409.1346 162.7152 420.1346] /Subtype /Link /Type /Annot >> endobj 8657 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 392.9345 180.2547 403.9345] /Subtype /Link /Type /Annot >> endobj 8658 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20210608081201-08'00') /Rect [104.1732 376.7345 185.9197 387.7345] /Subtype /Link /Type /Annot >> endobj 8659 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 360.5345 163.6832 371.5345] /Subtype /Link /Type /Annot >> endobj 8660 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 344.3345 182.0037 355.3345] /Subtype /Link /Type /Annot >> endobj 8661 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 328.1345 205.2137 339.1345] /Subtype /Link /Type /Annot >> endobj 8662 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 311.9345 155.9997 322.9345] /Subtype /Link /Type /Annot >> endobj 8663 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 295.7345 165.4707 306.7345] /Subtype /Link /Type /Annot >> endobj 8664 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (write_debug_probes) /M (D:20210608081201-08'00') /Rect [104.1732 279.5344 202.1722 290.5344] /Subtype /Link /Type /Annot >> endobj 8665 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8666 0 obj << /Length 19 >> stream q /Iabc36442 Do Q endstream endobj 8667 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36443 20690 0 R /Gabc36444 20697 0 R >> /Font << /Fabc36445 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1` endstream endobj 8668 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=734) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8669 0 obj << /Filter /FlateDecode /Length 2424 >> stream xYI$W<\|SS=T/6QYRHoޢ/g/ysN)K_PgϜ~8l Yl/j֞;k`q_bB)_Ez/}Yj\i ؁:} gߦ!Ո([3[J`ࣣ3)tpB4Ed|W . M)ې{z@_qR\ Z"$1|6Mz p'4N>n$f"cg G-L7YϦ@BM* Uw2 -k\#!^eA~6xFojik@:jd[iӋi19X${(r UD_?,粫nPQ 1;,7{ xN)~VWNk&s6hvGK x? brr, [29^H9NCu *EBp!Uِ1<蘎 [_ I%F|`@'֎2>be b0?qx2CK/ڛ̂vp7Dڈ6q ֎q)d'MװdGd)ӑ=qW%w%Wo%K>WIv.,m3.- .]4c2D{y wx4 aiqp,< 8YgRUj 6% keLL j,$[8 rڐ˨P[=EMFhZ!m{FbbMSþxdU`ֶSL+]> LL% !xe5)~F=v0c;3i ,FO<'Ћia9 !]/6>"΃n.nv)4a2S}[4bzYFBJymtU|N֛+ (^K >|[ J44%B`ݕec[59sk>Sܩ4qMEYo;]aXxu"3s!)40= 8 dAa=gz_WEI|WL~IGX~Uc1Ch:?vajoH*xwڜ_l׎>~ǎAZ'Ɔ%ucOX ?}R|lY  endstream endobj 8670 0 obj << /Annots 8672 0 R /BleedBox [0 0 612 792] /Contents [8679 0 R 8675 0 R 8676 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36461 8677 0 R >> >> /Type /Page >> endobj 8671 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8672 0 obj [8671 0 R 8673 0 R 8674 0 R 8678 0 R] endobj 8673 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 8674 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 8675 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8676 0 obj << /Length 19 >> stream q /Iabc36461 Do Q endstream endobj 8677 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36462 20690 0 R /Gabc36463 20697 0 R >> /Font << /Fabc36464 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8679 0 obj << /Filter /FlateDecode /Length 4318 >> stream xڽnίp M99M^\n[b,ř~Lfsǒe*uf ~J4]NɚoϋL}?=N-z|Tm ;շ 9o?M_=o/FPI1'hҳv-&G3NƯMfYk)yѡ0A??N8yx.'/^hkGe v>sEޗ8 )3`#ag<*/qOD+un> Io~ecVWuB`#/֦YykwZ+1[nL >o3şUAweTxR^7woD~ Z>,%;;Ƒfuɶr4`2snwʫZE7dwX@3\j%oSQEU 8UGw;)[]dxJߴ5 QX7}@%&j·Ea\}K?qb>uB{'/Fat|&Niu^5Pi~ Wk@+~PIXe88 M~R%M>j KGhLus BiG \ ^ R_kGĻ5~*eRRkX^Zc+긥;+n`1QYJ|y5T&VŐK@%K훆XF ֭\%[i8d&UbJ?\Z MH*NuB|jj:vCo ,Y@TӾZ"u{rwD7)+֡Aƃ;b^ץ\\dlpj df,uh!d+fa>a=WHba^vxYC^uoOvmsj^emuv^eu7Qٽ魬ܿl~V{zWZ=ݭZ*Z}{ܳZ}iUHj:rZvjk1k.у18#a(u(sv^4Frn8H,ᶟs!̙P̸n.S\`\\w(E@l;x?`;JDjbĐa+shd5}F@9Mٚ\,7팔S6xvxSIHW)8bL$7IfDjNIԁF4G]9U]"IsȦ_ȞOvorš9I6\ 8ǓْX. 2&9Gk:!KWlk"l-z!R9/%ޣN-.9c^Z"ł˩dg!2@ "A<:?>{L3إ%bEA8 c758G@'+A``x̗,!H*=FטS̒o2Ğ((JF!]ǵ3pD|ܙ u)д50. \5YvsFJgNz+#`ľ-L`JZ wK,c2KKfBBo.vf9ŠAԎܔd+|c?՟5 `LZedi{M[+6 !vVY'P{\&d0!ج|IΤjې%=r g-f6JCvڹyڞlIBd̈=_2@]M:&KјI]p uQT̃IjbLp "xMcsJvAu3='z_WDX[U0Iܶzz$nZ+#d_/̍-.j[$f";,1sY[.h,lS|T**KJysό"%1W1X\)k ~zt`gnuaLX2m%P7|u¼âճ>YZPз;K:g=&OAg&%~^:<&qocXV:2*v)]nY>jt7=yF~zKw\glxo@P0܇ .k{q@ܮ -\tʵ ~CQXfh1\`Ӝ|gu3udLcv~ɄT WbҌ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36480 8686 0 R >> >> /Type /Page >> endobj 8681 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 318.4232 381.3955 329.4232] /Subtype /Link /Type /Annot >> endobj 8682 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 265.754 396.03 275.754] /Subtype /Link /Type /Annot >> endobj 8683 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8684 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8685 0 obj << /Length 19 >> stream q /Iabc36480 Do Q endstream endobj 8686 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36481 20690 0 R /Gabc36482 20697 0 R >> /Font << /Fabc36483 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNd endstream endobj 8687 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=736) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8688 0 obj << /Filter /FlateDecode /Length 4437 >> stream xڭ<ˎ#9rw~E 7P@T:6@>>k,zwRq7Rf`#=Wӛw[xK?!.?m R)W~g7c TJ ?Mgo[ǼmF}NuIZs7KA)_\x<΃g&i6c!# M3@=qDN i^~g?m?~7q 7ϿmLh[a0 bқЈlL ⅊4ٟjzpTW`0QWÏLBZ0Re"K1j?L"No[_.]1WK1HH*n81u bq>YX,D80\˭vW\y0M1C;.t{ϴfr~aL0J"ZWavύZ %:!=\.,F'6cߕG v̸6IS;'kVqmǫI\cy"P mveFhHo,@}`VP)BfzE9:zej`W6#T@'*P+Sb[*ܧyÿ`?w<kя.SAX ̚pu f Kc0 j QRdjL1XqEh:!]+dTu( K6HA7! %A^\hl6t}5YKk:=3 V^Y֊ `M6U -,]`kba縠otEhBm:PF&CP_`7 opq dVta 7xv ]N[inhdMadԶ*حL=}a }дlT,Bcfg`ee%+y}qUx>@R+\)ƧT75ҞFw.pQDvw$+K~>*cDڬbK]NVB?ʼWOJ!FMd ר8g]c%(adhwm:^g]*e:NK Q质Z״Hf6WS<)I$?Cm!eJPc:ᑒ`$ݗ[iBg%eF_Ul!H/r`j0g=%@iK̎$ci}ṈJU0b(DdKʟLe9=Y\%$Mx} 9Hwҡ͚VѰw>Ӯ,#o0P2=t"Qϕ9VX@v3鴪Zm\sq]+*VOzVŖYvfծ5 "dF[tZ; &0%yˣXV?8YSSJ}yg!cWTG^.&*9\'!AyI)1U5}ޚ$^|[> =|"qz[X~.ɤ}o|mg 5@ƒW+ڹ^g$L>H2y\@x(ʰ+Oi)˰u2ڴ\.,%2͵\8kN4sZ%'k)%</Z(*d˴>sDRfϚeQtVJ{I`CFN,5d S9PX?pM޲~5^#\ɱf9-bGfš&Ma;8}OC=hCxKG6{f&"xbX-_- QPˢOlժbMVD:b5 ٹ%[IL/эYn-9#unS͔  67,"{vprXT}=WC.7+<MeJD2ԿOŹB1K0}]X7}9Jxѧ%N˳e9xjbyl˓QoZ; xНntrgvUċ 1f`vR4{WR-;m&J“V{}c"8YoD_NGVSw8@C5s2O_DK O-aNږ"_ko(X*<{aZ&ٞIjpGZP*;GI:kxf Qq!/aU^x#F^Ӫ}}Ct8xmf>_w0-Fԙֈ<-|V1/(K} ,]cntV~I1;mJG0L^ U EP0] K `vC\T9S׉m?!+鸩oV+}m|GWUS,^^y~աX<8-B[j}xs0A-!{'i)xAhloۿEq&ѨvRd! YΊ󅨃 1/#$ ʎRә%;8Ve j/_}m Y .u-~.WcCb.o/c37Q]<:c ~.,zutO5LH2=P タk^PKK\_[O6a[SII 5Z ]X&y#U3"pFxAi ۋ/9"{.8(eHuK?$O .sksҸo#:;[*W;}:wޏWb~RBnBEa_ׅo{"|׶Tl%"4E??Q\tMT<5w*0t,pU@.pH?[R< %-S/(1z2#Gj/d4\|+_F]n*'Q=QN+o0@u?4W1;_3Re"_wʈ_mj j˺7P&s]X{b Y'S/~Uh[emdck]ioA}ݳu1o{t} yl?D|9+ [?~IWX~^)h`1X'xnj oU z]^c nvt]ׇ,­|1>_0O*l^w~pm/p3WF WK<6E0=wyX>/ GޮKNR1D/:adg endstream endobj 8689 0 obj << /Annots 8691 0 R /BleedBox [0 0 612 792] /Contents [8700 0 R 8696 0 R 8697 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36499 8698 0 R >> >> /Type /Page >> endobj 8690 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8691 0 obj [8690 0 R 8692 0 R 8693 0 R 8694 0 R 8695 0 R 8699 0 R] endobj 8692 0 obj << /A << /D (unique_204) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 134.4425 182.4987 145.4425] /Subtype /Link /Type /Annot >> endobj 8693 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 118.2426 197.6017 129.2426] /Subtype /Link /Type /Annot >> endobj 8694 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 102.0426 195.1267 113.0426] /Subtype /Link /Type /Annot >> endobj 8695 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20210608081201-08'00') /Rect [104.1732 85.8427 192.6352 96.8427] /Subtype /Link /Type /Annot >> endobj 8696 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8697 0 obj << /Length 19 >> stream q /Iabc36499 Do Q endstream endobj 8698 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36500 20690 0 R /Gabc36501 20697 0 R >> /Font << /Fabc36502 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H@ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} h endstream endobj 8699 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=737) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8700 0 obj << /Filter /FlateDecode /Length 4086 >> stream xɊ$@/TfV6S=T/~\<`)'ۢ I/_/O-.Qa?~/˟fQjM5~z[|_vRۗ%]IB˟ZB._AS6X)eG\VzK\>Oz/4Ih#8 M"00huYVJyY-,[޲_ Ȳug;cMc}&ˢl Ey{xw @# 1´ZHxwk"<ơw>82;J58(Bٞrؠ±vZPv.Ӝ2g*Y۸ڇǼxs"֙K1KLU↔#i%MSz~eN8F :x„ʼ8]Fŵ[uPצ>8 4K~[v+:YT`w媽3Nޘ^?6KpVi/AmUrUq՞BP$}U܁S( D\n/|5&An3V#V (RFO7JuH,0^-`0, .Ԟ)@//ˆy.tzwm$..w\=ή\a{ ;N0Td3̱r4CΆHudip,Y)g{ƱaLYSrMTKf'L/TqqI)R&q QDe7Ҫ"NvcE"fcp6H82WֳHn!. 07n-x|mވ̷MRV 'U?^}*P(M8X @>tZ_j'S 85 +rec_[Uݭ$a&\MmvzO+ Nwt:J+c㔣i(1MQ vue ͯ>F%aPʖ8{$he,1=;*WwmԘ@bΩlUy>[j|M-sڄۯw;Ji(mxS| !W5OM!ey#qb2 L%g:(`:9U9NB+K )Lcs7`Zd09h9z4<S#[qL.Rob`R;郺'K/̦ Y^NS А#B 6hJ&VsY|*VR"tsC9XhD ^r}Z8Vhq0|B[92d[<T>KaƢ]S9kXKꨢ_ fI.Փ< cϨY(]*v APd缩9ݷڡ!RI@94a^5pxafC_ ЙH^efos@qkMv g=eV}A2S6_=lb8\•gUVzㅨD0˗@,T7 _=IoƞwOsx߱_:]B"6DZQҏJr~w~wb%O[Y {mR J!\rkVQz"_Dc k4f+Vk1y)ܭΥ#gcMhŠ.Nϙa ,* F{sPɗg++JDHJx mzM@8˪EYLkSNeQ=ja.qߩh(QOUG- F.p͹CvAG!A*"0R*qTmXS:k ~F?Ɠ%*1,K=j Մ`F^yTpu],to%h.⸄Iӽ>ԛqmg * (=x"{LٮX̧9BRMC|-lb 1Gh|X"11ݜn}Mk t0[^!BY O"m*vSVSEZZplEEu&SS\47;x_k^4<. >0h8*o Fx Hs ZNwhos1\upߕŞ%SJsiƍ }KhDN[nꮙo23z8>cz;k$yk.Q"O-~jkwZUGs!JNw>t7oCEu_-?}ew\F骥n- .OOnId@_[\9D">ll sWL/7qF(eBdK'kG亠bu9@Ʃ.3AMeP7⸃Rw*0䝻7w-*(,ݸPW}W95Ze;1>)3r!v0oO>[`>9 .Lt(y;7m*FpZj&7yi=z}~h\8it$r`^o/ޯToz.У?s1r<1v}}TOQ9y\ o25 NԀ1cT1[jYƋǃ[ușb$nB81"?{=a Z6}\,o܁ vh!,f !9i,؈h <HqOZu0sC؆[ɚthgE""R~_ ?`y/|<z_ۅ >peb Btإu ۘ-ӘŌ ypwئ5݄gT@P0܇'Z YM$sOUX,] ܑ{ùPݭ4'1R2ʩ{$U*zIV<{\%lgQIׯ(^*wPr(}g: J7e@2K4ί" 4#x[o~i ōCuƨ<VZ`SV Bv[u蚱hT+S+Qj_d:۴Dtt -ÄBz%y! K#γ[Ũú0Â(5R:'B Ϻeen&]<;nWj뽈lHfU֘M n}I JKs;!/#|Jٟ 6z $T1)E÷(0t+LJޤKKOfx12%Κ([ QXL`3>|..xsVJ!#q Ptn8Ef*!~o5~!?u$ 1& ?0Nb1k@Z]W|wks vu݉ʰїt@?cП9}7tɎdPsCe`%~e$y65ƼEU$?<1}YT endstream endobj 8701 0 obj << /Annots 8703 0 R /BleedBox [0 0 612 792] /Contents [8712 0 R 8708 0 R 8709 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36518 8710 0 R >> >> /Type /Page >> endobj 8702 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8703 0 obj [8702 0 R 8704 0 R 8705 0 R 8706 0 R 8707 0 R 8711 0 R] endobj 8704 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 208.5357 709.9] /Subtype /Link /Type /Annot >> endobj 8705 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 180.3042 693.7] /Subtype /Link /Type /Annot >> endobj 8706 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 176.3662 677.5] /Subtype /Link /Type /Annot >> endobj 8707 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 165.4707 661.3] /Subtype /Link /Type /Annot >> endobj 8708 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8709 0 obj << /Length 19 >> stream q /Iabc36518 Do Q endstream endobj 8710 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36519 20690 0 R /Gabc36520 20697 0 R >> /Font << /Fabc36521 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HRЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8712 0 obj << /Filter /FlateDecode /Length 1229 >> stream xڭWMo6W̹I 0z(z6[,p(Q!S3yAdꐊg:K1PUeMOZ:=KTtN7Ɔ 7A9C:}?o;c3!,7N%ؤ;CQG9syG._dg ;u<.N9sdK?%lIkvO?}$s˂QIl0'+z'Mh\Ώ CKSMEz~:]f0Mh}t4%lvsٷJ,@Wښ0k3l:u6ΔC$wOp9[fho*3vV Lt.n60yz˜~!.'7+3pWOwGNus#hu=Srcj^YcձO{ l00c kήap|QۈaQ;ѓ5ϠMN:E ˆ4kNt67pY wFl1TStjoLo5CUlUwVf# Z$*WCY/+Gb),/v|mTX9_8TJk!`N_N`C8s7bM ?ci'bpcy6u! ֱ?cۻzs^9Z_q&%]&0z> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36537 8720 0 R >> >> /Type /Page >> endobj 8714 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8715 0 obj [8714 0 R 8716 0 R 8717 0 R 8721 0 R] endobj 8716 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 8717 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 8718 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8719 0 obj << /Length 19 >> stream q /Iabc36537 Do Q endstream endobj 8720 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36538 20690 0 R /Gabc36539 20697 0 R >> /Font << /Fabc36540 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7Ӭjl?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8722 0 obj << /Filter /FlateDecode /Length 4178 >> stream xڽˎ$.-0`{ƀok/C:vX_!EJ{z =UzI%J<}̤iӷ֙))_'?Y3}C~|Ln2Nkp?Ʒ\Bⷓxa\i26}yzʑ䃝}1~ ?OzK&=kg\5K4/?);aVN_n2#'\vK2'*;g:A>yrlu:>+c+xd?>Eļ,:~ _Mpl_4W?b1L4F *;~* F4\ :wPcqyFw/8mu#3(;P'r7/>|D?ӄe1CQ y^ >  =@3:+ 󁝳K1V5pW6~J7F+_4o"!k ̋~CaSnxTz^:z7ua29l 1-^f(*`Cn(ˢVk9dOᤄ9x(]4RnT0oWsiA[x̫d@z"udyK_Ᲊ j$G1pTER|qxPkt1Vpy |ޒ|2xlA# ~ٿ: ̚m{=]5.O(# 됕:د#{}aw* 'D{}`p }:"aiЯƎ^iQ|m'rܲx\=.seO\ޱ)}γTOŶ[(S݃paAZ%4 Vcp/Ðݝ֪r4 Su%fBehIW|f?*^qݿTjSgsIĸ Os~3W-H`S o1;w+~F{ktntw#O.S-mދ\46ZطbWofe-K3mf‘KZBhc[T]wnHl2FUӐL%6,y}{m郛'/1&|K k&5-~i-]ɯ K*-;`ZW4g#(LA'PкwD`фPW_ )mR^*^&7gDm1!06J=B2T@tF0mPn Y;r`(PZ\j~PDޭ@FJ*3e2EXqP R1սF[l⬳V1-)]^ Mp)NNU$|*55S7nwj TM;&{(**ISEwŖݥHP[*ҽJ/KԮ(f̮YJfWn-ەfqO)̧*J/{J@q𲆼bug޺hN]V-ʺ* [VOZ*vTvrz+_՗ojuVOj]ZQ_eY/c%'9^>́HVOu Қ>@VXZ~Y> ջG+!:7q57#cu(s}wv;'E^ s78O2}R~a(fE7f)..n\A;A" &E SXW#[ٮCWurp98s71lriJA9̌bؾqA eLjDn]O"a2} RиAq^W;1?X{6jʈi k|f> 6Hx N|`<`簘o<۽Ή;?ݕ;,c=֜ q)p~흐*LLx>{ʂ@~z]G@,"U`] @X90Nv4>ʦI nY6j/#$_P '@* $K@3 >d=<`K轟I-էX3f2 +WC!fj#*%Y3gsC=bv5p{ؕ*#b'wZQkθtG Jъ:ʡ!u#eӳ^z5YPbQ>r^'qwM[kyDM7.boJSU&Mz=/#!CuM J9xwGވhK0?8LZ^{d# K킘X ]QV(lɵvriyFS6NLƓQvXK?gC D_s0(_5`8&ak3B=Yif{XL6`mJvc42RSyeׂ u 2,] ,|BoUurAė&A^]MǬa]:8O8Q(2]l5vO;cazKs3\~CLiKXٛcŪ`6²^o3\ivo9qVTmT}},^`ji]k]DJvu2ᣫ(?L_HTdmz"ٯ,az_+ -S !NSr#t2rҲ]IyS`gI3k>!dWO"%LN5"(㴝VluKjKċU>8=u 5~}S*̥B3g9pf=(|NRk`%IPcꐊ8p.)@1'nSZͥP%  5Գ>dG\PW̩Kx~[M)^}t]W;*LrPe7jrf# Za|`e*wSO7S.ī5;^ZЮr]",Уr}>JcqaA%*ʭ焓Cmvl-"9 5%Vً`&[7gKi<,wn/łҍ9>0ʜ>L]SY 𖘐^ec%$8ԫ@] x(LtCKjox|QFuQ<݊|T=^Q>VC>`.Z2K<JBo.KW7z9:_yM* *\)hd}9zq۽ %.* Z} n$3 P!".JT "PjȿX4D#,Cc.oSE xaLXƳC>wE.沵#,Mʽhox莘E^ (uZ\g{?%zQ5db+`Y1#SɍWT*lX\FuBLv㽙TRmqmAD_ԗUpPgMZ9-nGRG>rc~zvi+vO&;Lۖk4zIf:\ntv!IN\3 !:El^10F; ieKN{OVCO˃, endstream endobj 8723 0 obj << /Annots [8724 0 R 8728 0 R] /BleedBox [0 0 612 792] /Contents [8729 0 R 8725 0 R 8726 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36556 8727 0 R >> >> /Type /Page >> endobj 8724 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8725 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8726 0 obj << /Length 19 >> stream q /Iabc36556 Do Q endstream endobj 8727 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36557 20690 0 R /Gabc36558 20697 0 R >> /Font << /Fabc36559 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HR-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}XZ endstream endobj 8728 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=740) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8729 0 obj << /Filter /FlateDecode /Length 4242 >> stream xɎί9 hm ^2 ~fV$oM*k_(/-j ?zM-.Q%I/qfoG_4kۏo/Rj+s GH||/V`jF|XE*E*w+;ko0R0R"P 1LeɴSyru Q%ߠ_)K髴dMІ;R4c``& )#\ќXzԫP0!72qQaD2,'+ wlQ*+Peuࣇ֔q,N&O pL! pJي4nw ' ڕ."mghX.+lN߼B&tcorBGNx+Ɣy+3;UD| ?U־HWxc# "U\|u:* #gSD}V(1"X"I*S:ec*!#m@Usf)2$-AD IÌ[)/#˴jiVTiEYm\YiD3rݸeW;n9h̸YFY Ͳ 4eB&^`:)Oʵ(dWGQ܁f*>+Ẉ1`ߨVIK损Yn,&(8>0K&79b EZSk]D Z72u$nƠ_ve {$f``&N@]KEb#*ѕ>z@H@ vˬMI?g Į)QiK[ iR=U``1+1YɊb.DW&ꟳȢ{#\{0ܫu獓PjD[K(pMwA@&6ah & !ӊj6QnNcpaCB>k`Dl2XY?A6arMq S*g 8A`h$\'C_hAuA.wuo Ds!I;5k[CTQIj4ZvX rL9O!65kY4x 0`mQ+ylzA&~kwdUyPWՂL4hcɄfTC&%dL3՛r7sҤ )yj̡Gs3`Wb![&b_镐b,"c.:A vU\UB;DfO{>{Ix%s9km)N.enϙ9L,w ž{fC, v*jw=AcE{ٕ$?+E'uaʝG1̀ղǢlhK^q'1۫QJ6OcK Xg=ʇjA2wVSt|js%"c`r'b{xܝy"Fl%k!w^8/K̐^|V[n9vp9.=ƪf3I藹c?oB+cq^x7sG{sShn |RRP/Y ּnK&U\ aoc1Wkl3MuZ,l&qWjxZdzbA _ˢ(5bӎnݘV)N˰&尵ǩsWV/TX5̹4/aq7,=˶H5)㍎ڳ' hZ0VN}iFH|ْ >}b@M Jk\gJbcQ"V*ٽ k}?;^z+^-MQtFu1w[6Om _MrXq\F['_n{Lmu3OQƨtBlNMEynQ]~xLZK)cG:Nq5"6̈́;~#,AZDb{K_-} f磪s4|rR dZ5 y ~b5rӠ^2SOJ/3I(T>NNxMsFg^ytm?#FFU%kih"{:*PTM\nJttˑn.œM*gIfY9딗˗n)Fc'tcvmd {i*d&kpY?m+sD:]ԥ)e%I ‡;-#En&UUYKE1\0,knVǣ=mG ULbmbCLZZДw>Cj6=U{Ц5RO$}@ x,.A af=>ijyjGlێؠ&Gz\uCo4$#eJ5*A2PSZ\FgV o ;!*ySȢJVvee#RyCg>rx<#.m7Gw/ ün$TRMӜnyi*&[, 1M5aϚ5 ;dr~,i$6"A rҫ YpR(& gHBA_뼃ђ-1X#~ 5wdsHm?`W,Br7gy[-UkKDv(BrxMS3׿/zM'Ox(һ+%]Y 8y$Җ|ŭՖvGWʏA^2NV<O"f,Na}$ʣ24lU*i(H+0Y(i?ޟi.)# X ы.+uGF}bt:F6lKr <Ȅ>m~lEW4K3yV6Gso5lH&5Mv=KMt{~/D>hy̥d$#A/THx|q ɤ &Z ~•.16%6Z,*pC9E7(:Ϳa4dm}YEU<+8WK x\ !Cg:̝ {P!a9 wB|òMgԿo .Q,޿ǕdLYռ nWo;U+Flc1 Z?U돭Xc!|I8v-dGp*&*aj&}aa endstream endobj 8730 0 obj << /Annots 8734 0 R /BleedBox [0 0 612 792] /Contents [8742 0 R 8738 0 R 8739 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36575 8740 0 R >> >> /Type /Page >> endobj 8731 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 640.5577 381.3955 651.5577] /Subtype /Link /Type /Annot >> endobj 8732 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 587.8884 396.03 597.8884] /Subtype /Link /Type /Annot >> endobj 8733 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8734 0 obj [8731 0 R 8732 0 R 8733 0 R 8735 0 R 8736 0 R 8737 0 R 8741 0 R] endobj 8735 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 367.4461 172.9507 378.4461] /Subtype /Link /Type /Annot >> endobj 8736 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 351.2461 178.8247 362.2461] /Subtype /Link /Type /Annot >> endobj 8737 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_pcie) /M (D:20210608081201-08'00') /Rect [104.1732 335.0461 182.7627 346.0461] /Subtype /Link /Type /Annot >> endobj 8738 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8739 0 obj << /Length 19 >> stream q /Iabc36575 Do Q endstream endobj 8740 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36576 20690 0 R /Gabc36577 20697 0 R >> /Font << /Fabc36578 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ^ endstream endobj 8741 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=741) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8742 0 obj << /Filter /FlateDecode /Length 2948 >> stream xI^ԯ@An90/Z%L'Ħ}6\ۜ1bqoӳj_^cf&׽suƜݺ1O׃~@ D$[_XxeIp%@|4,l ;dLHń`=? :%X=o(qcfOpY8[,n;&W"9Dϴ&C̈!Rb=L]Y(ٞN5kAGZ[Bf䧻YR5P+d VaɾEmD6RhEȈS]mBHx!! wvܝ K& G97tQ~O5,ZǕoqf3dmvt~57k@_<g@rD/1Zmt&rfGj#%kRj6PMCCҏ1D68E{6,fH ͐wI| jx)e4mxu&Q}a{EX$Al!.v$@~eNi ⁈hE/JP(D8KT]ķ 7{'v%uMͼ|Pߚ&$qM ؘps⼹%C']V$fIIyqWgo> YTTt͵1͜Q Czg>Z aKo!ѕx7$-bTH|ҮL @t#Atl\p`{[QٻaĒaZgTD1׸Y6&dnU>O-j![JT$'5Qcz- RT&v+/,D6,H1Jl%+j~/+&b<._Gi*,(;{'<Kn=oo"Uя$) U ׹%8&ogsXPQ*tN"L(ō %S 574l^vmѦ8@m[r=0<=?2ݠ[ `iʇifg<50:P-SCi,jx]pOQ8Rwc(z 8JU7ВD&,AeO]z^ rỎO7ƥɞͶ;^JAh*J*UkUC{yY7ۀH))z`7a37 RKNu-? `8zHoаp(ЭOQC`[[K(A:O|kCpM:?|{%^sPNJx\' k>p {{""'UqhQb.5ȧ1x0 GPSFMP;gN|O58#(f#EPI/D$%rtDqQPdX-6H-7Tu$)EO+u5DC TM5٤MEs%9T5Cub.U;HهjN?ﻁE"??]9IF ~SC"ݝIc|O,&jAp i? J LK†EOx =!>/ŁZ_)PIg֗ݒbrS9E(# GB\. &wx ݱc?;R}F] DVW+Oz'Rb:\*>|Nm#+PPp OBTv]Fw{i/\zsg7(ٴ89BMt 5QA*]*xqPQꁛ3RFԻnv1xA&+O6G_]OBK5BV S|9d`)Yb2P}j 2}3\XC .d2.|r#dMby_4/ DƬ OT<4H mt\Hn%)WS>_ WvԙZ(r?NQx&@Mnw1/\3=t;k^H,N6D,aZ2Kq ,w(w#*V2#vn -EM ˊhגM4jE \)Q#PYHsj7,~X3VY]O9c{Qcfftp '33ANmwE&daGM%K3Jl¡wi(+I?yZ> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36594 8750 0 R >> >> /Type /Page >> endobj 8744 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8745 0 obj [8744 0 R 8746 0 R 8747 0 R 8751 0 R] endobj 8746 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 301.525 137.278 312.525] /Subtype /Link /Type /Annot >> endobj 8747 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 301.525 175.096 312.525] /Subtype /Link /Type /Annot >> endobj 8748 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8749 0 obj << /Length 19 >> stream q /Iabc36594 Do Q endstream endobj 8750 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36595 20690 0 R /Gabc36596 20697 0 R >> /Font << /Fabc36597 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8752 0 obj << /Filter /FlateDecode /Length 3651 >> stream xڽnc7ίxz  [' a ݗ[$KݶdU6JӷL~ 3SS6/u:x5?ǩ䦯??i旅SHt2o{kO=aF~S_>Uh NOSо??~'=ǒKӚONǯR&.̥䒦PC|7Etǻg:kb;e NA:yB в]:Ƽ8dh"Lw;qw e`8$Vl"`#?58m4aӟ?T^> A`;bڠNV330ud\ąj&RE EZjGTfSEǓ qF+pˉy92)%^5.qBXm+؃}AeބAVe\n ԧ4"K4dw&#Z%]T*n0lBn j9s\kgܖ*~b\,TՁmw[{8T{f8btarj|)j5.I5I?F#$ghEI#D TqO40cF{3=9 ҃^*:\f%-$F-' >cb(V| 5/8jx@D17e=i&՘o޶khٰ݈ͬ\`炭鞽o}<2Bb qjI-M8<{ț~rё 22NL((8sH xl9/] 9mllŬ-CUc%܊yK]$uR]`#MvY ;oD;h@dbAͯ|VCӼXTѯ"?@=SӿS=LEZ+ms<(\3ȴF2x&@(C<,V@FozgY`aV3+Vo2k^FߖrZd&αhdz4',̿9*2 ma |m?0"0q\퇄8ᶇr>`J laPaT`Ո)V+& kykƪBs]X C5:3%WU|E4L_C0pD~uᄒ‰vvpmʵ'jAi g@MK_ ܏4CHs(`E+^5wsRemxčFN\VHN\{VTWE%\k>!uu/r1yP1]Vݔe.pGX:?Ҿi~ڇ}&Q:vX yOΧ.pdMf2nHê/Ij?/MԨzxʼ_0ݔh][D0GO1UaT!~pa[Zh3dkOP25 rՀФ0 %wJF-c/=GH)4T;\/A_R#z5xkL ~A9 Άfbcx3mEu#2ںܤYks|yf~zN;"}& Pc7Jtdʭ焑̡vi8y& 7EA|ieRf-?o~ ; FʢD \֣/ҦV_kڝ(,*V״o9oRmmWlrbhe\Qq(gպǚE? endstream endobj 8753 0 obj << /Annots [8754 0 R 8755 0 R 8756 0 R 8760 0 R] /BleedBox [0 0 612 792] /Contents [8761 0 R 8757 0 R 8758 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36613 8759 0 R >> >> /Type /Page >> endobj 8754 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 302.6424 381.3955 313.6424] /Subtype /Link /Type /Annot >> endobj 8755 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 249.9732 396.03 259.9732] /Subtype /Link /Type /Annot >> endobj 8756 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8757 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8758 0 obj << /Length 19 >> stream q /Iabc36613 Do Q endstream endobj 8759 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36614 20690 0 R /Gabc36615 20697 0 R >> /Font << /Fabc36616 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [nUJЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@%tw)!i\vhw)Fa94C-Zݛ1b endstream endobj 8760 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=743) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8761 0 obj << /Filter /FlateDecode /Length 4650 >> stream xڭɊ+^_Qgҹ/ Z>=cKUghԪ-3#cJoZ%+$~u-^/כYZ܆/R8o8Kk)ᣯ+eN^` l?S4W;m\{[o&N &# >'cF^oRv19>P mk1b࠘V7VQty[iycU6׷5Z۷=l-\iY'9 ߟ4aRX1mM2LoKXD$ZHT G_1Y#;*C-0x&w8G4>Ѣ,xo+pSR[3=o%r`M(TLάecDdSx+C{$)0;Nn*}HTM[Ҫlb ={+^'u6_UADYa%ɳK ަ `NYBgŤ2"MmR{"9wOZ_2E a&t&)ܞ<gꕏFXlYw:z7a!ӏ@G5<-5LȉkxeF)yG37rFIsbcUlv}F⭱U8 N^?β3`!gJKS; cEN 2 *pR>rRs /`)$5\`^;5Y(')!.h+t:WŽEUBƛToTLZ`) Sj,FqGl <1sU;Dbm|G|d%m|T[`9e$vJxe_/+m؅ t4 U.E~5-o'(S:HC2B?3Ɯw}1/B4~]AS8u Yơ{ ߻49N^yzdbyBHrx0l5 }$m5f hAtkcaˎ,w rC״6MSiM)Q>gJ.{pwY`*ZDlO; LKq2@]X{MzjAm5;(mJ=s_NOK̺h}#a.ϯT:=]=RcL#&)n=uVD%҅4tu=1 9qQ&2Z1՝xݰ?RMNT`z$- ި!,! po fQR(2$kNcӺ 523c5ؔcPsHs^UEavA[0+IjWr3dWh:lTn.ZP&u0!e,;w;N91Ҧy5dH2zH_ QJgbŰMwv u ִ*c}~jsB[ƨX>cUQEV*pz$,Cp[8^HE,PJcԂDeme^'ׁѐ%zzw*>{H9W,yaeRɬ2KqCgPT),MDHC>AZU7{ͿҫE$|M3M+ <<bj ӵ";w2ͻ7[ojih*Cݦ$o(~ 4);)ʋ;5^w/8 E`-xFsf;U5YtJ6!b7IuTI:Ȩ >{U҄@Ny6vR6JZ(@8/6қ*4Sm~0!`Ke:9nZv8X&|L.IijU'R 7:=עYGi:/k|É tJb7"5rXPCʐ7kL^XF4RY` 9|2 N &JzĒG<`}yb>[[Y $u8j{xl A^Ծ\:+^D9.I',-):VQ4WM1PɱS5G?>FݻTrLjNћj`nZ(2?ta5.zav|ݱi$o'RbK/e7zŝ{!9c@Vb"6abqW`Q 7(>I$6i54ܻ-Yv5F_/3kv6e(Ed$ݲj5H~$kM N :u٩ 997l;t\lfN1}Xka~TJ%{5wTnr/`Fw7}zw3+LdV ht7joFkxbl英 pʺtCcϪAFfm9/sd<(}PnaUxE HoX,76S]ȔðAw:j9U]؅]N!4]׹YK@BS_]3Iù_T ܟt?)AFp$ޖZbz|Xr8jeΧP<7)>I &X#ycoJ4ݴy0/t4a&9iapOrêA |;|ByXo`&c_/m^?txE螭#թ̊uIU}}k;ʛn4Y̓7^b]bv!>ǻ>)4hi endstream endobj 8762 0 obj << /Annots 8764 0 R /BleedBox [0 0 612 792] /Contents [8773 0 R 8769 0 R 8770 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36632 8771 0 R >> >> /Type /Page >> endobj 8763 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8764 0 obj [8763 0 R 8765 0 R 8766 0 R 8767 0 R 8768 0 R 8772 0 R] endobj 8765 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 134.4425 203.2722 145.4425] /Subtype /Link /Type /Annot >> endobj 8766 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20210608081201-08'00') /Rect [104.1732 118.2426 195.2587 129.2426] /Subtype /Link /Type /Annot >> endobj 8767 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20210608081201-08'00') /Rect [104.1732 102.0426 194.6317 113.0426] /Subtype /Link /Type /Annot >> endobj 8768 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 85.8427 195.1267 96.8427] /Subtype /Link /Type /Annot >> endobj 8769 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8770 0 obj << /Length 19 >> stream q /Iabc36632 Do Q endstream endobj 8771 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36633 20690 0 R /Gabc36634 20697 0 R >> /Font << /Fabc36635 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [nUЎYzrv" UC.$G7]Nx41?-"#(j!`aL9 }',M $WJ2%ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)G+QO TϬ>JGܱYIZ)6{ik_KiR'Sb:tD'W9FF-I U4sm.\gy4 EŐLD}%l)l1<a%xp4!l2g̪ 7LAMF|B毗bj;9q١ph#bwo7 endstream endobj 8772 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=744) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8773 0 obj << /Filter /FlateDecode /Length 4042 >> stream xˊ$B~@YսۚOccع;zgVuu3 5RJP#^~_" !뷥%*ob,J-_~mS_^:/ߖiItm}g3V子˗k VpyZWu^'+MZ",HG gF]_/n Vu,=o3lY,GXC}WnBiQ6wz<=kD ڑgr}tomyaUm-k<;ό5ͻpd̎Rn *%/NʮP6p$*4["U%kWw$w]w[:Sp~civ$fi*6Bܐr$ `J/L2iG(D]gOQP 3wHַu\*~G"fɯpˎ|X]rE' d\`r)ӓ&p V5%6J.J[j|M-sڅۯw;Ji(mxS| !W5OM!ey#qb2 L%g:(`'sA+K )Lcs7`Zd09h9z42S#[qLò.Rob`R_:郺s$K/̦ Ymύrֽ?3qrZd ZQlSAMjn;\XJJNyn(>g鉕F`5*קNJUt2f=s}+G57QƁ#{G™ tx)XTUk\=gmqIU@0ɥzga5 ށ+[>ޮ!h\v5V;49D* CUWff)8ů9 Ɋi[h6֤1pSf;$:oC{~ #Ȧ-3ן_JrJrz HzeizлB'T>Ki;#KRKHYU&v86J][O~O}n5TƸMOXW&pɬ4%mugUkhL5dMlܪ}-=2/W?5ڃչ4~&v՛'Kjzۺ mZXݳ`v9 Ǯ-0ql MX)9>?xדeB^h*c:yYݚHS"H^S1?gYH=)TSYT+vXdK\Dw*Z5tSQ;Q4 6\s].xHkP)6̪T5JE$j\vyV|ƀ$>s:Zpяd `JL9)mϽZ>v5!8.׮yՄ8\} ]_nno.fIa58.at/`f\ۙJB!,JAD^9.S+9ChNT+?{ CycZ#hLLw8[Db'ߖe$c}bxSH䇊UT[FQz<7N%G(WĚ 1h8*o Fx Hs ZNwhow1\upߕő%SJsmƍ }KhDN[nꮙo23z8>c%e v71ﷲVDݦB[} Q1:P¬: qޢ,V ++i\ui ig}eylvg? z˅rD go^3D*8(]-rU((<ʦt/~0@wa\Oq1t%KkkK hX5R8hVPֱٶ)wK G:!_/ I`rkаw*w)E9sC 3Vޢ^c)֚ ܢ;;;29ט$g{`{9ˈr5nGVy$*:;GpuULy>zQ0 Ј\X %l|}DQC;ܨxER c}*C2Vz5-YJɐ"$l">HiA]pa_c X}.R(gpZu~_~^@JC^8(t_@MkEo WbpL<.۴4 0O@*C|>WpiHkۯo@Y>GؗZf , Cl7k֨6o0]ߖqGN-r_nU > /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F2 20663 0 R /F3 20664 0 R /F5 20698 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36651 8783 0 R >> >> /Type /Page >> endobj 8775 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8776 0 obj [8775 0 R 8777 0 R 8778 0 R 8779 0 R 8780 0 R 8784 0 R] endobj 8777 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20210608081201-08'00') /Rect [104.1732 698.9 174.7052 709.9] /Subtype /Link /Type /Annot >> endobj 8778 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 682.7 180.2547 693.7] /Subtype /Link /Type /Annot >> endobj 8779 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20210608081201-08'00') /Rect [104.1732 666.5 159.8332 677.5] /Subtype /Link /Type /Annot >> endobj 8780 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20210608081201-08'00') /Rect [104.1732 650.3 163.6832 661.3] /Subtype /Link /Type /Annot >> endobj 8781 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8782 0 obj << /Length 19 >> stream q /Iabc36651 Do Q endstream endobj 8783 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36652 20690 0 R /Gabc36653 20697 0 R >> /Font << /Fabc36654 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ϪzkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ>f endstream endobj 8784 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=745) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8785 0 obj << /Filter /FlateDecode /Length 1205 >> stream xڭWMk$7Whm%؞CnBNx2^!JRzvY0H%^P_ՄM*N?>fu :["}SrZ}|^y]LQ_nȆ>~o0oߴ ʖ(D)SxpO4tB?(vCΥʳ_B <Yf/bf'Q`pbK+|*a6k1=bXᇣ3bZ2C 9?^Xs&WMJ%,ZaB1}tzJdl[qsר4A-ݕX4IW)e+:&*NBY?^FNdˌ3hh%̈Dup A*s3sG yuN^|բz7-^4WPGNes#hdh [>ab^٠5O{vj6* ÉLԱ_dW;Q[" E4 pYmfv3h3!JQC[ِ~fԆ JrPr$?l17 ce;ڮQ^}'~x&][+떗2 =,iG.UV?*Uz[2XָupG[Ie۰ւxٺ]Pu @'-"Vt9֐$ηO5^UMeSGd[lDSQ S[JEj{U:5i%YZe$RzMvY)Dmŀ1cE˵iXT Y7O#괮p[ ލ@2#E7rm^^Ft}[()F[ 3&#^Ӓ$nNdW@y%5~HSkybܼ&u> GǍ|^Y}7 \*l*@zNWÝ!pA3{_lp7+H6@eb8~*vtp w"/1_` 31ub݅$Fok n g|<ƂvxRk]so&<|Ó}ENcZ|Bvy6} \1 K$%bXٜ˼o |_ =FJq4wC&"B.pr#}5: h endstream endobj 8786 0 obj << /Annots 8788 0 R /BleedBox [0 0 612 792] /Contents [8795 0 R 8791 0 R 8792 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36670 8793 0 R >> >> /Type /Page >> endobj 8787 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8788 0 obj [8787 0 R 8789 0 R 8790 0 R 8794 0 R] endobj 8789 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 324.925 137.278 335.925] /Subtype /Link /Type /Annot >> endobj 8790 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 324.925 175.096 335.925] /Subtype /Link /Type /Annot >> endobj 8791 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8792 0 obj << /Length 19 >> stream q /Iabc36670 Do Q endstream endobj 8793 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36671 20690 0 R /Gabc36672 20697 0 R >> /Font << /Fabc36673 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=϶fEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMD endstream endobj 8794 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=746) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8795 0 obj << /Filter /FlateDecode /Length 4304 >> stream xڽˎܸίy)|?c9k ''A a?KY-fXb Vb//K3KK6إ←OgX|u|-eòZwޅ$wOƶ%O/x%ri|P_>yY}#j._}1rp_Z^KGzθWkJ4_~VvC`V,;n13w\J%.lI"apu5=Atkb|pMdu. y~p7ާo819WY_x % $}p~+>D͖l۸ן* pWlFTQc3 N@ ;?kx(ϰϷ{Nh Y-gqV"#*Bn>EXSp0^8\w ~{)>#(FOϑ9>o[ 箲OB~L̼4hTnL<<30!l3fb31d1nM$5D! Qv.Ũ>=4 m5K7o +ͼF6kȮM.` kZ+j?0w=rzg G!m&p='v0s@<;wi}a/0CϞ>ٳu?{٣^e+]JCSSW R h ܙx\JhqFV-}+],4ۓyn* EEO''nȭh{4Tb򄩅e5z%.d\+bP䂭as"$,.Y+I_a>  /fN"BIdgryNP@B% ܊|S bZ֧sei}iPeI(:@NtN4t6 !>G808R[iKllWGa)ẗ́lmYm-{r*W*0NUly2eVq0 *qjIsaW SaQ>q'qpZ2y(z.ӭyY;Yzw`pK!KX{0(Ln Hsh;Jo%B a|`ܭ@ԛc+*h O* 谓EAgY@8ܖ-ob A`u4a=3I˴t.ȑI5o{ʄS/~4aOSM(IfS'k Z@3DSY8^ŊBˈ82{b!0RY܇ՠ.'%\~Iޭ1˰ƐZ[;X)+ =_?+%p JKK1$\- 77GbѢQ yGʹP~m=54i.m+@?(OBl۽;_#KX=N?HuV݇k-)tF+Ej)Ҫ3ˤ'2V?+p:0YFkG.@ awW8(Ozy)IsȈF ˩JI4ocwo߾5 :j*PIP!E:`N[{1`<!n}1+LaVTc-Kkis/*־̊%Đ,d=͒su c j, AKMy1BʥFu :19/Όu8 :κWn6]q}ѬIv ս*ڶb*l zaK\a0b-xd-lQSc}b&c Қ5fI -q1OM)t%W(50ųĕ6sj Y"а/îMU%ݘ'(=nr-[ (ݵbx G3 ì]8?[ڴDiViǍvf 7m+M,l֜I`&ûn"f*/&YfnGnhPo`\ M(sn ӗ{,\E$U`)Ab30w2IbtC[~Ptw(*_'x :>*/GexX|Au1M,/|>#olB @ W \ɷt)^x˽"`=ћÙ)ʣ2"5:kGDu2A YktaǖKdb+`YQj76 q$: ~1ۍfrP400<Ǎ/b=k{}R ?}:PH&qNŕ$'n.Ħ#xn0&8/`*˗hz1+'1"~&_8QO$<_BWO9#`Q ;(`Ծ)ϴS>qLt>^3.Z!Y>^0C5>>?/H{XaQp')4 Eù離}R?E+-B;^Io&_"F>-j۵Yuׅu[$Y3 endstream endobj 8796 0 obj << /Annots [8797 0 R 8798 0 R 8799 0 R 8803 0 R] /BleedBox [0 0 612 792] /Contents [8804 0 R 8800 0 R 8801 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36689 8802 0 R >> >> /Type /Page >> endobj 8797 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8798 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8799 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8801 0 obj << /Length 19 >> stream q /Iabc36689 Do Q endstream endobj 8802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36690 20690 0 R /Gabc36691 20697 0 R >> /Font << /Fabc36692 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8804 0 obj << /Filter /FlateDecode /Length 4759 >> stream xԆM)j]I)yp^8G1~kDZӘ-_OJp3J]F`-#]؂Na82P[4nŧOj4 gXDTJ|`R\tni< a5ڗLf]p,ǰl>i;X@G^ Ό3Cl|*FGnLe7;#Z&_yFm! S/DȔTO&[E erZ˂?da,!$ "U pbLB5httB'< >5=s^gκc(ʃq4'sͱJ 7GC]aKe j40")UJZ)*Ï KEMSAkD$rK,P3ȷ,*Ht Uyj(*I(V5( =G$xi>ŢY.2HYWHᝈ4(e̵d4h N4*|^lNFqz+]$IUF@~*R십 ǵi px|hU-Q*>mPB4@DP_Hr{Ru'DFZ*=Y ےC[0N^%|,}9S7X?o*EB/k)itۡV Z&FD Qqogm^KFٲ*4twe* 9ԃ'TqQmDSO ԑЛ7A/J6LkiKz~i*0= @|)dӓ<&7KoBu=f.w?XMvRA8rJCx_[ǀBk94IOQfr9έܛs9 9}on9ͭconAA9Fvr Z0]$FB1[{ i՚0X=~Ɲ+=,R&;c%Xӟ3}Dp'_rΧeo`*VA#+ cD-WC_fȿ9{|f?9D$"уabyv&-f2fܥ4AuD#O=CAlesg˛sty$6> n,TA /nGiM肬79z6ܳ zN5æc7:7{l%GҰzu|vXIeOhT@@Ԟ#^@.ЋhX6]ӌ3p5nd!r&JS[]C[#ī,"ʅk vj-@omE}iG$^!+Ub qƕ.`ִt\.%n)l6`pG{CݭÿQwE*=F;vID*+):ӦEY"C O߮gfvsNXK]}]J}&j(Zlo&rK,4$e}]< dI-A@?޹"aILʞ6ُ]hmzϸ/w3Y%(N TfA_Tpofl2Q:{&ґ?W6ޱBRVReQ(**þkvSdk DF!:tb&;Bk`c5 hg hK}e4!ΰ$&tJ3V.t^IlW|eQCNjm2GtywP%͚(ZG*wv!oijv&hC2N4RyX!0lՋyA RpBcrF(eD#{$6}!h֗G_i꾍F-4bcb/7U!tQ5;6ڣY`njxby㓆gq/^XnW{,w<8_ E9*|kfٟtkкzB_1w:(IC9J"_H0V^PP/hy Xn1fo=5`"UȈm]~AA|JG9Yó1[[6ߊ4 D C!|mR}hNkLDt Em"38 Bv=;#/{ׇ1 SJ|HuSXrK&&TF0\2Nkx&|jIym8 RQX63bA_s+ie92>/OJl9ZH?PBr7G/|^;·|V}J%"X5Ew].Qw>k&/*ȅEpø\9^Xjwfq&NxJ>[y&^*DKe,. F0l_p-(hG9.tIJT*3wT|V7&W ]X=X]΍a)^Xv>Q}2":]p~gvڦ2x3&RLE4 &f>RO~4җ"Vun`x}^8>^tbɘKD?~qx\5:t,uG|5 tm)Ę֥ .R|v|=Tn5YZ@hg vt|,­|18x>kPm gAHn_8^5ÈK}!U}[G~5_W#`+FpTrGt7_$c΢xV endstream endobj 8805 0 obj << /Annots 8807 0 R /BleedBox [0 0 612 792] /Contents [8816 0 R 8812 0 R 8813 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36708 8814 0 R >> >> /Type /Page >> endobj 8806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8807 0 obj [8806 0 R 8808 0 R 8809 0 R 8810 0 R 8811 0 R 8815 0 R] endobj 8808 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 490.1346 197.6017 501.1346] /Subtype /Link /Type /Annot >> endobj 8809 0 obj << /A << /D (unique_223) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 473.9346 193.9112 484.9346] /Subtype /Link /Type /Annot >> endobj 8810 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 457.7346 211.1647 468.7346] /Subtype /Link /Type /Annot >> endobj 8811 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20210608081201-08'00') /Rect [104.1732 441.5346 192.8057 452.5346] /Subtype /Link /Type /Annot >> endobj 8812 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8813 0 obj << /Length 19 >> stream q /Iabc36708 Do Q endstream endobj 8814 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36709 20690 0 R /Gabc36710 20697 0 R >> /Font << /Fabc36711 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=c_}ڸZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8816 0 obj << /Filter /FlateDecode /Length 2152 >> stream xYKBa[̞ۚdk}ȪVx5WDd9߁ڃَ1osӐ_3gǜϟ,Eݒ]ϟΗ|HΝs7sп;R4B(%ߏι|zVؗN6xl㣆)G +\] jL^KI~%H]Hz "*j>vdX`®egag‚(J]b1s%NE7Ѣ|=v\72ˢkYF'YCGY܊Jt]7Q&!x/mtE~Gg|%{iD~Q||:5]tU{q |Wͳ-| ( '4L`>.* ZDog3k}@t)4>c7Ǹ`6roufKrx/9h&^tLOM Θd;J[Zjݻ;o7ՐChqTjB``;c@ 1ϛ%Ӽ#J|<>%]02Z0Y9dt)gZψc.?ņ|U͆U!GX S_bix*$ɁǝSXZkm!^a1y_ Sj] 'lgO~M]|sUNhe>臔`0fXݖc@J?gqDHm،I_@yUdt#)ќf,ɏUDe]NaЋό}<J؄a( |eJI\8vgY\zkYJ݁ U\EZ-Jpֹ'R|SFww3۔oZ2tZaBԫuH%>MЋ@+#iL=SWl|x6_[zʞ~ \dYJ._a?d[0@TJ"5KL=y/?`b$ߏTwYD웄g_/^& W@Tq9ly|r*ě>H~j(˛}riY~˼-]q[}pwe½y/hޡ@] 4\Ii#*1 9GIz@MztRCMR~l2_GC{W:n=ŌkfEPҒrbbun&X^*KN\~uHM IXl^P.^:VK^'DzA TJW҆Ig\xGu⾢ȯKnܾ[Nxs/ed7oj]KpTCÐ1͔fјϴ;bЉ :pҍ_J5ʶ132vu6ٱ(Ӵ2K B[E/fϚ6e@&g?Bjr R=섆lN-%*_#6?5ulMKHߎLVxb9(3A[8M#=RfFFfKXϞDH:0qc^v 87wn ac\fafbM{dXEnY%Nm"ZgT %p6=h0u6ZRȚ[ qy:_U0,Ԛ4NBžF|#e6ƺ{R{dQ󬨠R{al0bŨƥMˆ[{/A+y5M̔fl z\4W6# !d8/[|peg 7#d5M?[[-\hUJLJDw穴ZVܝbztM()|pO,YCo-?%YLYe:^{ѷ"jiwI@kD^=m*CP|Wh=$ Mt/8уpJUZ|m,E5Oioy.7e.[HU8P6K}` $SiL58M--m<6Rz9ߨT0X?! endstream endobj 8817 0 obj << /Annots 8819 0 R /BleedBox [0 0 612 792] /Contents [8826 0 R 8822 0 R 8823 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36727 8824 0 R >> >> /Type /Page >> endobj 8818 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8819 0 obj [8818 0 R 8820 0 R 8821 0 R 8825 0 R] endobj 8820 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 283.125 137.278 294.125] /Subtype /Link /Type /Annot >> endobj 8821 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 283.125 175.096 294.125] /Subtype /Link /Type /Annot >> endobj 8822 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8823 0 obj << /Length 19 >> stream q /Iabc36727 Do Q endstream endobj 8824 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36728 20690 0 R /Gabc36729 20697 0 R >> /Font << /Fabc36730 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8826 0 obj << /Filter /FlateDecode /Length 3958 >> stream x\KWt~;C;K~WOj$4dbȮm1b/K3KK6X_Y>6vu~M>&|~^Akƻ\BA˧!vVGOL%ON7zgFqv_PӢX2<ҫv%DfQtQta-?&*uV䵎ʱx~P`'g%sa#paxZeȕ8\'X1QD;a  v0uN}; *CDkObYӂe?CzFǮ>.0p IDd)ˏӉ/viax[f8 aQVSv* [{tTUq5jXMaQ<;vzOX,\AL^N5'|0dQf0~ENF0Q|dIx`+% ej.xNo@) |_:E p8'ZOňbzpaGQ0ڈUU阥Q8 HuhN'b4d5t=v ] Vt+%BI5{xF1_2j9L kP:C 9AF + Xyˇʽ(s|,-2i !o9aL1 -֠^[]:4ulakE5(pw+YCEW#R]ar ݰ69Qt"fZwHeu:I۲q8R[l?19}7%/(Gi զ\Sr朞6~XaWA hDSx(FqfC nŴ\1̍_Dš7ن3 Cˣ\w-7g#'8&(rO.x/_%'h 39Dc Uj+0`vU/>%iH*Ǽ$#i2<]+]$;4YBVic|zXiMd:N*T`iP5T!ӜYSU h3д6i&P( ^r{awo<%X/~*jcFݳ/Ffvl{!6dֳ V MpWA@&yW'WY,/ ׬>KıؔpQ#IEhqiw1MPG&]{an՘3#Hg*>n=ỦG f1qJ SCL*%Zfï!Ujo;bx[F Al8!;3/ *ROC0G@mb1rEu8ihͳ-00P;&換,Q0= w!Oy_W`E'a֔JZM1yl+Cmw4]lB 5kobF|oc=P=_ 8'hq[a,F.7PWūJZFz´k:qV/9W/7s>W[70ϭzu涬*-x>MVkG>I:>9aEGqM5.І4Vx>Hik~AW?4i2ukLp ,HA ], Td >9Lqy2f-?>$;@MQ`z+ƚqsI ZGmGӃ*[^1>uu7آL w~As1RM/|suFz)oBNb|÷+֑fx"b=ѫÑK+\8ȣ: *Ekx\ f85_P>CRbABĎ$#S !՟кNx)IBG]j-ekgZVJ_ZMm}`݋HF>9k6 y#XrU?Qlef60\&‘ꢲv2m7ZaHax _dn뽘4m)ד٤p5Ω2d0yw#M}(&t_Ar {=9=&3u@}O_|BN\Yx^fȭ}!d3{)ax>U9$ fHLD&px@Qw`)˅cSzyUoG~֓< zpTdVݮ>YfYN'gzMqy> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36746 8833 0 R >> >> /Type /Page >> endobj 8828 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 466.827 381.3955 477.827] /Subtype /Link /Type /Annot >> endobj 8829 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 414.1578 396.03 424.1578] /Subtype /Link /Type /Annot >> endobj 8830 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8831 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8832 0 obj << /Length 19 >> stream q /Iabc36746 Do Q endstream endobj 8833 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36747 20690 0 R /Gabc36748 20697 0 R >> /Font << /Fabc36749 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H.Qӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[OVNsV7Ӭ#x#?d_l˜K(sh^2 'LsB.&s.^u#UR,Wm'@eݝCr\vhwe™ph#bwo^ endstream endobj 8834 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=750) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8835 0 obj << /Filter /FlateDecode /Length 4294 >> stream xɊ+_Qgʹ/4Zo|0>={ƘEfUIj W@\`>'{\ eq78vAAĘ1۫4F&l"tG dU% Q2]2._hW|Gݥ/ x0ҿWڛ˫0PaV)uZצ/,}@[\_س:* $%(F|P/Ryk3ϯpqE"P7|k*20p QuLkPF@  8"S<j!SJuaVtYD { ԠЭWέ6*H1ubM-01iOAKFU;t$.y+hk8b m]^8ڢm0YpIXL8:' 'd#2oEeBqW`1[5Gr_tkK.ȓY İFfkp"Q]=$¸zSwX.we?&v`8z;ΐURjnNJ R%=R||RՓH(iשPYČ)#\&*UZӢޯ(tR|G,q6܀I9-g YмfގǔJ;VB'TVjM6``06Yj [:ArվNxTDdqʃj'<OJ^_|dL-8T|lHgbP?Pa%KH L,%ї%-T+x'y{i$8pr8_b1砀"{Qq:GW)(ثjzzS쯙ql>v%vee'*a1%gY1rLı%(֦Ѭ!vT,8?p{&7u"?xiS$V7V y@Ba~[WĸVmģ.arh7̤EqLDaI+D\|d9^ K`|}h8uitrƮ|`mY4; bej*zķlIi{ rNƀ?-Fw r6xcxʤ[xgc0BƬڬB`ղ ي{ѻjHDcgR6 ! oRd$q(E=ID, W?PŴ#XUxjJJLޡ75|Aߎo_=j@ 834v3HeE% Esm{\kgo{.[֫f4<>WLbb _&^BJ*ɂvҠD=:*Bpxg?cؔe]Zbvs̖1PWn,Z5svnveUQMYEKC!`΅4T_sEן2ZC,̪5o )xN9ݫD҇(=hԊU ئdT1By\HnATxOF^Tƒ!e:T㮓ZBȋϦ)WUI+lCen*+Fe_Z{-["=U;,;פX^w(L_Ͷ}^u" {U=\1*0:e˚;67JN*5ܶj-7oU06ϥL2 LU› Qwxl|xh @&Ȧa -z|ryP3tgFCk/즰A]n j`vbЉT;|.GPl&[Ϙb`PL==CV~FG6r:{QΜ$ pܴ%?ВofG Z7|f&d+VBYPhyނ=1H2ԙ'^fUf{D%NTaW?{wVq<@u4, 1 gMHCbUJ0,'(k߳H iی-@[7R\*}5}LU k=1t-:KdBU{՜|\q7tQa6zjLluUXlX𫥌 b#zCMȻvvGBhQOg_O枯lR-eUN f%𸃮|Z21z}vk3uy-)NMGy]a:rf$g&S,KGnRcL|3G|8ϴFomn6}Pqetȍu(K u7uCCGC_Z:|=G2AζrO&ħO&)\~ ,Xw}T Q -tOh"|nz:JA+ =KCO3΢67Novr˱Md2j:; g5S`y1K@ V1plSÐCa9y1#<|%&3iMtO}@i&L2ډS?A%>B4$گ3"8zٺ\@edN$!eAM\Fa]L_y#M3$pF\`P2{؃^m0| 2ߌ"d_$|\'D;-E8k;IkȚ;c+]݄>E3u[-UkKDv(BrxMC3׿/zOSC+r!cG"m WܺZ]؞`=KSy38SkSA##Gl_p/(hgtٶT*+wT"]V8Ir퇆\P]aɼ5UE} u]ue[JD(]YǯL藢-֟&hVy{xl|+#9ѷE$ѿ&e3D?>hy̥d$Tռ s$1$o?c| q/DK00Ӕe1 ~ aNGU& c___',D}><,"*CGq4<Yx!h3E2b; #<_Aȟ${OPKIEs>\0^6$Ez2`{k\[s!\%{6S r$)ZIϑX+!|I8vmk$=02gQ H endstream endobj 8836 0 obj << /Annots 8838 0 R /BleedBox [0 0 612 792] /Contents [8850 0 R 8846 0 R 8847 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36765 8848 0 R >> >> /Type /Page >> endobj 8837 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8838 0 obj [8837 0 R 8839 0 R 8840 0 R 8841 0 R 8842 0 R 8843 0 R 8844 0 R 8845 0 R 8849 0 R] endobj 8839 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 254.5424 195.1267 265.5424] /Subtype /Link /Type /Annot >> endobj 8840 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 238.3424 180.2547 249.3424] /Subtype /Link /Type /Annot >> endobj 8841 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 222.1424 179.0062 233.1424] /Subtype /Link /Type /Annot >> endobj 8842 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 205.9424 190.3747 216.9424] /Subtype /Link /Type /Annot >> endobj 8843 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [104.1732 189.7423 179.1767 200.7423] /Subtype /Link /Type /Annot >> endobj 8844 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 173.5424 177.7632 184.5424] /Subtype /Link /Type /Annot >> endobj 8845 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 157.3424 180.9312 168.3424] /Subtype /Link /Type /Annot >> endobj 8846 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8847 0 obj << /Length 19 >> stream q /Iabc36765 Do Q endstream endobj 8848 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36766 20690 0 R /Gabc36767 20697 0 R >> /Font << /Fabc36768 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nYӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8850 0 obj << /Filter /FlateDecode /Length 3456 >> stream xڭɎy+I/r렀sIOTmd4 .RߔQvxIŲU6H?ӫ)c뗶Ykx砃z~k/=ī) 3#EpwEGŵKxN8>X0yyzc/[ CvV(LZ.cZ!S8Ly/V2F=z$a}Ӡ(,qYl4 .i(83mOcg39n ڲZTSX`= 9pB0uJEBmvKGי NG:5MD.؄%̫jxfko~{=/Vd+0#ޞ4GB0'ؙ^^oJϋ/ѧv'Dl!t|lA)A%RSsOz3 vHh _cgyi u )V04F7!,"s[A\{[ nJv"u'4cDӏua#>=#9h][=iƤ9-Ѥg-| %!ő?ed'vIYRL3U4;QKDn!vY-lU䔅9h*Du{~ǍdaqU5Ȝ)'@LBM_Mkjj:`8ص `#$%K1(:1Z,Ԍb$WZS[1n ]s  eIiI0Ay n&۹ǁ;dh30+å_>PRɞC\<޳2BWg%5kZ44uJqS:HIM[z%Kv ϓ;HQ2a/B) b`*#,%3}';q= ]iy׻I(94N[%Q3O8iGeuom \Ӑ`Ctvl3.ͯcBF pL 9|VV=rR$y?^]WebkbPj uk4lK/($( nɩZY%oM6̏GM,ǸnJפ&45%F p;%E[j>MtJU%~ZSc]ZBDL.:lT$ΚծkͭVϹYihQb?Y!/u(G/ͽ TRs]} Bn[ obL噌Y*KOV `fJe%:ә*ԜN=`dNOx" tF8)jFoz}I;'Xg0?{'(3·X13^=3Δ5E):ܹ@880ovdotTj%u-0u-j/bLZʾuSI{u;)lYu=>A& PM" 2[Td{RȰY̝Dm~RiX R}}oI tJ_8YCmZP%C8DYm5n,9S!r]H̍f{x_{ԖL2 im:вSwnguV yq}*^h'!`&WY™%cF~a?J_!`A}D1l˓R\DWե*ت33AjGvIbg[ j9tB3 @TϣʩޙM'_81]; w3qrz->6wջ kcŨSc|JckD\ũ+H 郌5Jr7ghR9I%jVIiKAp*fu &jB.QW;ʺVp)ـ>B,pPQ-f5 :`;^\9L h.pчS2anC,̉x F{锑830yzSBLzSi {o MP-;LθTPU`}&AQc>bƼ> ?W?<C3˼܅@wW0܆жZ .W\n5΃t9a̡$dyP`jjM{ֺ9[84R4ۤH}H~2 "#vP&֔/ Hm\XH2;/ҔgS/TW$'Tae|=itmQIJ3yR#{%%ɖNSkJd08cKꚰr!K~F2ԀB|]yfx%XU`tP@,XnE@*M|'`YF(oQN^e+5o F05앀m{viXeȥahrېHM01,YqU $:z+*[ U4u[(`B.6!$x& 7RO >3%ڇun1紸XiJ ҄/n̅*inǏuBGlʥVSZ1إʗ`,*5<}d=k^[4Lrrx k%?Zs endstream endobj 8851 0 obj << /Annots 8853 0 R /BleedBox [0 0 612 792] /Contents [8860 0 R 8856 0 R 8857 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36784 8858 0 R >> >> /Type /Page >> endobj 8852 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8853 0 obj [8852 0 R 8854 0 R 8855 0 R 8859 0 R] endobj 8854 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 283.125 137.278 294.125] /Subtype /Link /Type /Annot >> endobj 8855 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 283.125 175.096 294.125] /Subtype /Link /Type /Annot >> endobj 8856 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8857 0 obj << /Length 19 >> stream q /Iabc36784 Do Q endstream endobj 8858 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36785 20690 0 R /Gabc36786 20697 0 R >> /Font << /Fabc36787 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H.ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[OVNsV7Ӭa^_ DQl˜K(sh^2 'LsB.&s.^u#UR,Wm'@eݝCr\vhwe™ph#bwok endstream endobj 8859 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=752) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8860 0 obj << /Filter /FlateDecode /Length 4629 >> stream x\Io$WyJs_A2[ `SF@߱q*Mu%` LY4+|{]jY_*M_?bQcroZ`5^z\mwJ>] ;:kf*)uJų:t,_|U?H~`Kо_߿ 5 q ),˿f 3⪵+a eM:TPuV䵎ʱx~T%u\ X\:Xo6OX)Cac/C^g>{0\XOe:cXA~OZ|0}߿8-F5-Xq_@[ϰ浔Xn |9gm>s{.c|[G^vDK `jʮYfT%7\էz;'@ՈL>efvd ̘y61CmC'F-EDGtH8Pa%,8TJaA]X(ײ;nj55l?O$4wjLu,03QvsD005!Ρ Q*< Y^$D"*D:tBhfVO~#hE@eNV5 v ۺ^ekjh:Teah:Vj9vՃ=WVM.]>[9*z7{(jIc8 `14gcAϝc,ջ˾lP9TyE"xl}nxÙhLBwXZ CPݩA -lG\0u,>^>QMGL Y!(6*c|$((W[=t#Lԑ^_:qMuQ;@w::zh#xj4=]UMC*S[x owT*g #L*m">owtcCiB8F\D9'6ަ\J`ݫ=Q}h㢯ڀȃY@ܞB- j)]3OKJ>EA7fERt+ %EPsI31)JnFIr2ӝEwޕمnnxE싒 n?`m1xݍ jT[uq̦8Ǖij|*A6?ylvnDi㵑N 5:}pvmc4U X\L*)1_\ESy ֻ}^pk72w@ uۺYyY%$.Y[7W)6T5JCo\ffW蝛Ia2+=x>FSd} Ne64x@NŪҽJkYt<<6[J -dnF*] dpz(N QZӻ"ܽJ5PǸ5xR@a_C@[Vwluj DMhw"ߞ_m3ٶ9ޅ[}mpfK]x'x~g,Q_;7 pYބQg#s oo͢ynHT|݆5Kr[! f + Xyˇʽ,s|, 2i !~n8nL1=֠]:44qlakE5(pȌw+YCEW#&R]ar ݰ6YQ`3먯w7-0X'lXkۗxx6VD%}-;d8ʊW զIR j@=E1|aNv`VLDYM$y]dt76Q\/½y1*@rGPHL)q)3V6E/F"e4I]ơ[A$7AVPz aQP&=9޷羵tcSeDýs7Bs CGT55|UlYG2=! /c!nQo%ͶG˼vܜ(4n39zY(han:MYDNw؎&Ȗ됉fYB|I6Yͻۤgvpz +R5E"kVip m2L=CmOzyT$Rkf`|Q2 ) wQ%*>XPcafzBD'"Pg`pt(t tg{iq;G7ݪrU#FEC<]t޶F%yK|>##H [良P\# lww4NBcya8% cO BMkx@Ң ~sM$q vSknp+u )>#VCB.nŮ&7"8TE#M O5e]7y:x]ɣ~Dd5@ij] $yenZqk4Nc\*E$tEVI d>K؄&@^ ێgޓ]ȳc@&1n S9ͮiusS~RnR(4]ձey'_0YyzcGcviuYUM\U;X 6gNTw\,fOy8Э#ܷnLpcmxNXItg8SS𗺝kJp- Xn;l#<=GYeO{zj#n>tI+͸.x*L*v2@RF燮I(э/;vf ?b!X6wC,-ak010N?cZ B8σT/7ԽlFvGv//j#,>,5y =Ccv?'?F<}ؔ?c @{R.ܡh )pJpv!yvZêf 3 #Y|= k7ESb{#lے؋ьcD+ZWEfoiNh!d@!!&-;g;A Pj 1{a|"TJ$et ;$?^Ӂ<* Fb~w%o2&&(4. Tj*|Yu^!xYW 5 _ "ƣ0)0k+/!|_pUwJov(KRI+"H;\v-]'npsur7#ye~J3e-Wiw{ ّ( V :EGe|#v^"%L4o0Mgݚ-f)} <3h"D_k)nT3GA>9LqNOX [!x0j=֨AcGFJY3i0Ԟ`,dw<_x-jDbq-.74#դkjZZgI|PCSBGG̗+&%Jvh!^+"D H+p\8ȭ: *Ek\ f85_P~{_KߥĂƎ<CEIz7Bѡ=Q8$y (m9fKii ))k5ӽkgTJVl]YZK.j"lgi|cĹM#Ee)dK7wn؛AQÐp/o-{9eT#:4jL*@G㜊+l#pX Nu ?o̸L9tCk ;U>§~}xuWp)%f0l S!KiyF'LG(g> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36803 8867 0 R >> >> /Type /Page >> endobj 8862 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20210608081201-08'00') /Rect [218.8375 452.5269 381.3955 463.5269] /Subtype /Link /Type /Annot >> endobj 8863 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20210608081201-08'00') /Rect [178.99 399.8578 396.03 409.8578] /Subtype /Link /Type /Annot >> endobj 8864 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8865 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8866 0 obj << /Length 19 >> stream q /Iabc36803 Do Q endstream endobj 8867 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36804 20690 0 R /Gabc36805 20697 0 R >> /Font << /Fabc36806 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H*Aӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8869 0 obj << /Filter /FlateDecode /Length 4387 >> stream xڵIk^B@+/` n9L3.nT˫/^~["_!SKTxKᅧW(| 5~I|EJm_*R/&S|w |ܫ:b;@w,@E/>W'NR~ Cq]hVBph]F@@y2'Mmp |lviB /* RA d s]3" ˷jo?Yͅ3a1e^׫#uZצF$8}X7`^3)Mh0ՋT|dwxqg _qE<";|~32 Au>LkPzᖔ*GbJ9UVaNu ID7h Xxܕi3P2"Ob5B xdMؐ+#v.9V]@6tɫX1-{u"c,ͽ@q<4Nvrzcy,'fxřYg)#Ÿ$x>jK#w{ q[ɸE:QH*|-hSėikff!L)֋o-aS&@/I:N;VlBTTV6ⶉmE0Nl@ϛ5%XLRdRWfъl 79&+Ʋ/``BD{gѬ!53]t=:& )6c+[+,=[^@_}?[.qڰG&֨\|NtNjc :+1;OV a.>@FRh9 40x2F{ mIcFwMeQQX/Ma#MWWZ^1`"&IA:-6&cxN!rL1JaJpL rƆij"##w%$U#m{W`FaFe8d$uIr8 g,H}0Rrgf N֡[o- TLw|{)|Oi@S>H!9giEk[wY˼r[a7e9)jpQ{ƗIE g/ɏ>%4-B>VG9w"}q˘(!u̚+nPIn,ZDM;&GQ[Sx0fC>@\[".+g׏UFR!iDk &J 8tPQHt,XUf.wro%;`W,רY<&gN~ IS˩UMŽt= zCTZcC`cjh= a˫e{D]N$oCSY*6bSl=ޙMqM+ht(RrX*Q*Ҷl)D7үxL0PΉ`j19?~m6B.-tXV0uce{$Ŭ^U3OL]qD}}vfv۝"MX0Gsb$ x .Et 0*{Q&4A@9sqɊQL\ QQKw ٬~{qw sbu#JrA̢l BUtyAR/>EjiLiv[{Տ9i;Xct`9)\؜mJ0Sw`FlX:}-gй?r:?35+~ FJY6EWF>P,5W#Nϕ[!TėcG}qBM\ .|9 "j|fE$|'>ɍ }fpT68AxR-07(0;XY)u%r}(u8 rO(V(1+sTctW="84t8jFt؜P7a?32BL:U薩B8}Suj:|Gm$/Ii>0 Ֆ>HN\ ?lv'\\,JyVգƘI?Ku_,nC57Xrl@U{Xj<~Ok֟7@vYOe1y7~ܣӨ;oH{r`UZmro|CAA-_bӑz n2S@Mupy77D!b;X ^ :Ei𾩱|.`$#d44UZBjKxr,WCCa ๗`7Ц5΅ '=7lt?)AF;qJ'ǰP!Vm7׫+|}s&u" 0mĤ"wa˿y#U2馍ȃy҄jp=L.xr0{LP-BkXǒ0`-T4o]8cwz̷a>,-%XEwdo+S;_=Gp2Lʼ2 0f~$K.q -Zd>xHm8GiOx*3ZS`R[Ԍݶ+X+S;a=-4O`vus[&dt\Nȶpݚ8yYnX'V6R: D5:cj<*h2QjSc~glX|kN>> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F0 24772 0 R /F10 20708 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F8 20719 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36822 8887 0 R >> >> /Type /Page >> endobj 8871 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8872 0 obj [8871 0 R 8873 0 R 8874 0 R 8875 0 R 8876 0 R 8877 0 R 8878 0 R 8879 0 R 8880 0 R 8881 0 R 8882 0 R 8883 0 R 8884 0 R 8888 0 R] endobj 8873 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20210608081201-08'00') /Rect [104.1732 254.5424 195.1267 265.5424] /Subtype /Link /Type /Annot >> endobj 8874 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20210608081201-08'00') /Rect [104.1732 238.3424 180.2547 249.3424] /Subtype /Link /Type /Annot >> endobj 8875 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20210608081201-08'00') /Rect [104.1732 222.1424 179.0062 233.1424] /Subtype /Link /Type /Annot >> endobj 8876 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20210608081201-08'00') /Rect [104.1732 205.9424 209.6797 216.9424] /Subtype /Link /Type /Annot >> endobj 8877 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20210608081201-08'00') /Rect [104.1732 189.7423 177.4827 200.7423] /Subtype /Link /Type /Annot >> endobj 8878 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20210608081201-08'00') /Rect [104.1732 173.5424 190.3747 184.5424] /Subtype /Link /Type /Annot >> endobj 8879 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20210608081201-08'00') /Rect [104.1732 157.3424 184.7812 168.3424] /Subtype /Link /Type /Annot >> endobj 8880 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20210608081201-08'00') /Rect [104.1732 141.1425 179.1767 152.1425] /Subtype /Link /Type /Annot >> endobj 8881 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20210608081201-08'00') /Rect [104.1732 124.9425 177.3012 135.9425] /Subtype /Link /Type /Annot >> endobj 8882 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20210608081201-08'00') /Rect [104.1732 108.7426 177.2407 119.7426] /Subtype /Link /Type /Annot >> endobj 8883 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20210608081201-08'00') /Rect [104.1732 92.5426 177.7632 103.5426] /Subtype /Link /Type /Annot >> endobj 8884 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20210608081201-08'00') /Rect [104.1732 76.3427 180.9312 87.3427] /Subtype /Link /Type /Annot >> endobj 8885 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8886 0 obj << /Length 19 >> stream q /Iabc36822 Do Q endstream endobj 8887 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36823 20690 0 R /Gabc36824 20697 0 R >> /Font << /Fabc36825 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0yh endstream endobj 8888 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=754) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8889 0 obj << /Filter /FlateDecode /Length 3553 >> stream x[I#ׯـ 2r0rg=<kִH0T|||jB^b6QiH)*rBkA*Oʋ/RrM*=<0p ;s;@;\~gaɥ>\z_K.JXA? W0TM!E_evýf`A:fx-, }a|- lhder;eʾR)Xb, Orr\tmL]12 2,О"}:BqѕF,JVtV_Kxm×Z+`6;-Рr}ʶBxo2hYwֹ+@[L'M̉aI$eȓpeHʊ@B9[,FSݔ%w: GEir+"yێPָ}9 ynx%#:5 "_h45:20Lɏ{Rp8=!*č1XZM3yӤAYpB!o][o V;+f]uq YCA+ m4۬/Qv_KCgSBp= ձ["JI' qO4ǻ2ci RĊ5 o=M"(G) ҿ7'))LEU/oBKp_ 9Q(&?1"Ѐ 9(b9pN0 ^؎^w.{kH\cMy^yД/B.svǾIя$JG;ô0.V6]a>|N.42/vhq-k G_AY(9>yi%>ǿKX =FnLqSpSXS[,E.ru/F-EcM排{>R78F, EďT`7Nܯ#8hk :xҹ2%&cȖ\)%=q]ܲj%[N4]s\0f$M[}ߧZI=S^;-@kgi/RejQ2|imi{VWg$mGIuλz"~DsvDq6sSi0u{[̤G'۶k 1aryȡpqP2Fp^Y~IsjEdǭH(G2:f(qȕ R'q9d1_(b&Zv&ɎYs0M SjRUD㻉o\En[n]P=<=XfR3rTFk%|ZOh[FË%XpJõ p-^U!6#UΘh<(Axފ k܂` 0zmU`Pސ0pZz[W˹<+c*;KKdP3J Fe([=U[3 R}:@ǽ,sy"}wخlϥue$ 8K_] !Ua;=E!<7a <3*h2#bB)[C_<6Δmy+@װh z;D?>z%Ȧ,0rd+~k\:꫇袁k6[׃m4}ĔV>oTvb,=p,5rŊ VIA6 ,\2oEeEy4R*(4E;W Y2@2\a0%#V`$x!t[f_t(;eUqMjM2auu(|s Q3 ]pO%uAZseUxzĖ, }4VBNT е6Y:GaWxbe3&qmK5 o!9 %Lď0[PCD&J)e`v!H[^C5UD*z>Q\ՖH;l hS ^Z5zޚ) 8k5aR ƀ߁n5[~1`{ԙ,]Za7nď>H,_p׻3*3FnZ2buVK__1qm,sq{T7tZ X2+"" Ae"p13EeLL, RrGtD=v`h<[~4$'Va(dJe ( fё 2u endstream endobj 8890 0 obj << /Annots 8892 0 R /BleedBox [0 0 612 792] /Contents [8899 0 R 8895 0 R 8896 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20743 0 R /Resources << /Font << /F10 20708 0 R /F11 20725 0 R /F12 20731 0 R /F2 20663 0 R /F3 20664 0 R /F4 20665 0 R /F5 20698 0 R /F6 20699 0 R /F9 20707 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc36841 8897 0 R >> >> /Type /Page >> endobj 8891 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20210608081201-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8892 0 obj [8891 0 R 8893 0 R 8894 0 R 8898 0 R] endobj 8893 0 obj << /A << /D (unique_56_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20210608081201-08'00') /Rect [90 292.325 137.278 303.325] /Subtype /Link /Type /Annot >> endobj 8894 0 obj << /A << /D (unique_56_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20210608081201-08'00') /Rect [142.591 292.325 175.096 303.325] /Subtype /Link /Type /Annot >> endobj 8895 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8896 0 obj << /Length 19 >> stream q /Iabc36841 Do Q endstream endobj 8897 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc36842 20690 0 R /Gabc36843 20697 0 R >> /Font << /Fabc36844 20688 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}D endstream endobj 8898 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.1&docPage=755) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8899 0 obj << /Filter /FlateDecode /Length 4368 >> stream x\ɎIWĹDIRloЇBTS5(P]6߂dS*&7sg/b ],5,/`N_ŚcScr˗ףi9XO>$Ogc[IImi޹Q`[SZ۪._|hp|[ȷoM?Ed(ҫv%D/.+4+/RRIK(kҡB?=-iqΐgO^h!['epT _Bu.Lq.8q c#i^a?HHj(e1ZB  a!`+Կcqq1ڬi˟KzƯ %?v #^A>ID$jwਿzr *R;rY[f[ղxϫauw>HT<\&MޚF_"PC{ V~( lSo쫮$sts6^G#;̳ ;:WSVO 5b9OZ=U v ۼ^fkBgj:d^ma?h:VAMuF!c7qx[5a|jvl`;]!ygf7CRSV Ɖh{pEƬcG32{=: у;C|\Vϛl/ y"DDhL\r /LK^!c~d_ pf [gDl ss%^b:aĬ AɰQ#zX"ωi7DLRv>?u)LjT8V1<h+s'TC+s<* mg;2+}MI~ۊA[ Jm tM6g~y?zdtikz`cikcΉ.& ~}S:0*-kLKh{˱ $p} *]Ps+'%8sƮ!:הD43ڇ8<#|#3Wr<8U#4L-tbqLlv׌K?(ww:Edɬ$I86UT[}ko4GAr >8Sm# ``LӬ8(1`#|J]ۄ6 ~bk&2Nvqg5jz߹<1aa$oZY9ß:՛ٯ6Ц/ܛI+/1p˷Mb"|J sq˂tU 5 i2\B"M.b[S/]u6,qS Vv$,}IAlzJI l ި~?`N i6Y;"0%rMxI j?~Qb-OQ岡Ԫ9X9<2QЬA`>༚23e2$T^`MC,#n%[鉸l&o-+cwy+&4"ɦ8};YҐWzzf_|# uGRAZިoԽyhqԉRVC' Tꔬ"TECɩ2BhfWnMl`Ci>a#C|zWcj /[;[u߂^{=J`)+u1ϖSx5Jp[1 #qGen8HtDD)J4"3`X[A=2έȬqO`k+ 0ACh"21ȵphk芵͆=ZIQύ4 iX8&P+}f?v/Z\hi{|VcM/ @=O{@1%D'EO.+>?)Dp5rJQ` :ehMb\!ANq)rjsMehƢRx{.qꚟi"5j,WeRq'Uk]t$x(wGS=q:x }EdHԸ^ m3 W] f@&!$KF9m6 cd1X5ﷵ'/{s:Z?ڭҎ7Y޺2`:/Q[*@Qe`/[;\=$eG-Wko4՘o(v'AFҪ]lwhg!`I1טCcI ˆ!cظ\$rcgdĊv񌶽qyF܉YK %o\Ơi\KX֩ܚ= ߷hvz670Vds^ ]c}FWMBu? B'GBzbg/}K.1^WMXs EL'1 &Tꜵrt>Xf\[Qoţ>HɭDH !?}U6\<=]x[Mj 8 1ͰyyR=Vϛ|˜H E(STTkJ/po^C4򮭆LM$ZpN&z/w-xOM)TƭRN,ܽ..7㕴"atδk>q$sn9_H|b^m_~yի3e-wiw{#QMF v[IGqMxȬ@[M :֭2mc8-i4 DߡJ7cP r::LqFOX YʖK ) #=΃-5H (Xg2@l0@yZwQ K-OW7XL޴rtLWT#$kRg%%ҩҀQG)4tjjYJ'n%'RYf#C} A`S§|5MR