%PDF-1.5 % 1322 0 obj << /E 52738 /H [3710 626] /L 622061 /Linearized 1 /N 35 /O 1327 /T 595570 >> endobj xref 1322 118 0000000017 00000 n 0000003401 00000 n 0000003610 00000 n 0000003644 00000 n 0000003710 00000 n 0000004336 00000 n 0000004501 00000 n 0000004687 00000 n 0000004731 00000 n 0000004823 00000 n 0000005110 00000 n 0000005573 00000 n 0000005854 00000 n 0000006279 00000 n 0000006327 00000 n 0000006397 00000 n 0000008459 00000 n 0000011167 00000 n 0000025053 00000 n 0000036419 00000 n 0000039599 00000 n 0000039769 00000 n 0000039907 00000 n 0000040013 00000 n 0000040177 00000 n 0000040283 00000 n 0000040451 00000 n 0000040556 00000 n 0000040681 00000 n 0000040868 00000 n 0000041041 00000 n 0000041199 00000 n 0000041334 00000 n 0000041503 00000 n 0000041651 00000 n 0000041769 00000 n 0000041966 00000 n 0000042135 00000 n 0000042285 00000 n 0000042492 00000 n 0000042627 00000 n 0000042778 00000 n 0000042970 00000 n 0000043086 00000 n 0000043198 00000 n 0000043327 00000 n 0000043464 00000 n 0000043623 00000 n 0000043738 00000 n 0000043860 00000 n 0000044010 00000 n 0000044177 00000 n 0000044365 00000 n 0000044473 00000 n 0000044607 00000 n 0000044774 00000 n 0000044917 00000 n 0000045060 00000 n 0000045187 00000 n 0000045314 00000 n 0000045455 00000 n 0000045596 00000 n 0000045712 00000 n 0000045839 00000 n 0000045973 00000 n 0000046112 00000 n 0000046192 00000 n 0000046379 00000 n 0000046475 00000 n 0000046656 00000 n 0000046749 00000 n 0000046858 00000 n 0000047031 00000 n 0000047137 00000 n 0000047243 00000 n 0000047422 00000 n 0000047518 00000 n 0000047611 00000 n 0000047799 00000 n 0000047897 00000 n 0000047995 00000 n 0000048105 00000 n 0000048220 00000 n 0000048332 00000 n 0000048440 00000 n 0000048552 00000 n 0000048665 00000 n 0000048777 00000 n 0000048890 00000 n 0000049016 00000 n 0000049138 00000 n 0000049247 00000 n 0000049362 00000 n 0000049549 00000 n 0000049642 00000 n 0000049776 00000 n 0000049894 00000 n 0000050007 00000 n 0000050147 00000 n 0000050264 00000 n 0000050395 00000 n 0000050571 00000 n 0000050678 00000 n 0000050812 00000 n 0000050931 00000 n 0000051031 00000 n 0000051202 00000 n 0000051364 00000 n 0000051478 00000 n 0000051639 00000 n 0000051799 00000 n 0000051893 00000 n 0000051998 00000 n 0000052121 00000 n 0000052239 00000 n 0000052361 00000 n 0000052475 00000 n 0000052618 00000 n trailer << /ABCpdf 9116 /DecodeParms << /Columns 4 /Predictor 12 >> /ID [<8165C3AF3EBD338059868A4BF3B81D1D> <78C5D41E7E987364786A88749C95DBF2>] /Info 1319 0 R /Prev 595557 /Root 1323 0 R /Size 1440 /Source (WeJXFxNO4fJduyUMetTcP9+oaONfINN4+d677rTUVlkQ2VvGem3AYNMdK090xB5fB9khgm8VtCFmyd8gIrwOjQRAIjPsWhM4vgMCV\ 8KvVF/K8leRsp1Fb6bYZXmPS2AJXrRpVd941So3GEg=) >> startxref 0 %%EOF 1323 0 obj << /Names 1320 0 R /OpenAction [1327 0 R /Fit] /Outlines 1321 0 R /PageLabels 1312 0 R /PageLayout /SinglePage /PageMode /UseOutlines /Pages 1314 0 R /Threads 1324 0 R /Type /Catalog >> endobj 1324 0 obj [1325 0 R] endobj 1325 0 obj << /F 379 0 R /I << /Title (\000A) >> >> endobj 1326 0 obj << /Filter /FlateDecode /Length 536 /S 596 >> stream x͔oMQƿsnoW=rE4QH-r=BRԫWHUQ0GPHD L:AC14b@#@#ENΘw{׷_G$i꥙ߧ޿'!Pc`Olx*W͏FM؛RC4M}RD|xAEs(r+VϕW!Ǥ)ebq^Dvi) 3&uH7HwMg"Kү# %m\(sU\̡'꠹R]up 0 0g¼:~ B't8gat92܁#f9l:y-hvl5CNŬvCv {9Ͱn:ǷJ`?u6^nx6y_~=?,9y WH#egm%G; uAcoє!ۗGtC[Եiԕ.柬 ,w$ w<'amE;W5'A2~7>:r endstream endobj 1327 0 obj << /Contents [1337 0 R 1336 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1315 0 R /Resources 1328 0 R /Rotate 0 /Type /Page >> endobj 1328 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT2 1332 0 R /TT4 1334 0 R >> /ProcSet [/PDF /Text] /Properties << /MC1 1335 0 R >> >> endobj 1329 0 obj [/ICCBased 1338 0 R] endobj 1330 0 obj << /OP false /OPM 1 /SA false /SM 0.02 /Type /ExtGState /op false >> endobj 1331 0 obj << /Ascent 1079 /CapHeight 1000 /Descent -250 /Flags 32 /FontBBox [-479 -251 1780 1068] /FontFamily (Segoe UI) /FontFile2 1339 0 R /FontName /POFIEE+SegoeUI,Bold /FontStretch /Normal /FontWeight 700 /ItalicAngle 0 /StemV 154 /Type /FontDescriptor /XHeight 1000 >> endobj 1332 0 obj << /BaseFont /POFIEE+SegoeUI,Bold /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 1331 0 R /LastChar 120 /Subtype /TrueType /Type /Font /Widths [276 0 0 0 0 0 0 0 369 369 0 0 271 0 271 0 575 575 575 0 575 575 575 575 0 0 271 0 0 0 707 0 0 703 0 624 737 532 0 711 766 317 0 0 511 957 790 758 614 0 653 561 586 723 667 0 655 0 0 0 0 0 0 0 0 538 620 480 619 541 0 619 602 284 0 0 284 916 605 611 620 0 398 440 389 605 542 797 552] >> endobj 1333 0 obj << /Ascent 1079 /CapHeight 0 /Descent -250 /Flags 96 /FontBBox [-458 -251 1452 1070] /FontFamily (Segoe UI) /FontFile2 1340 0 R /FontName /POFIEG+SegoeUI,BoldItalic /FontStretch /Normal /FontWeight 700 /ItalicAngle -15 /StemV 153.34 /Type /FontDescriptor >> endobj 1334 0 obj << /BaseFont /POFIEG+SegoeUI,BoldItalic /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 1333 0 R /LastChar 121 /Subtype /TrueType /Type /Font /Widths [275 0 0 0 0 0 0 0 0 0 0 0 0 404 0 0 0 576 576 0 0 0 0 0 0 0 0 0 0 0 0 0 0 703 0 635 0 530 0 709 0 317 0 0 512 870 0 0 630 0 0 537 0 723 0 0 655 0 0 0 0 0 0 0 0 593 0 0 593 520 0 593 598 317 0 0 317 0 598 575 0 0 0 439 375 598 533 0 0 538] >> endobj 1335 0 obj << /Metadata 1341 0 R >> endobj 1336 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1337 0 obj << /Filter /FlateDecode /Length 1978 >> stream hXo'~߿Ga`ĉ"UC۫!\lW縹8s[I| ;0ǀUً_0x:'QYmrpfzV<`ՕZ3C\OA;5ZP+glwo>mov7jè6.BP$| Q}*V4@65V:VY<ݰ=nNxb"Z)1gfڃ h:i@:acC}:ބhk# ]rd.mZTZ"Uf?@NbԦLMYi9H8\4ՄR) &w] l퀉G/ӣK܍D$U!,r )[F) Nh1ɴmJkTDLi_Y$P F$Z$*iCt"l f H9[c<мq {)O1AAXHp2 \<҃QHeBۣB (Ay4Ldsh5xw"7R"j%ey#yJמ<'[e$&PWvkAs:s7L%;ꡪg{ld?p%:2H+ 98y:I+>ќz<)h[ 0mo"KE%yvhêQ3gq~Sk3!?}W0bq5a26BDG;QM=`- CظkUU>i. Ow&>T|gQԳDO=9e,RƤ.eBM[RhiN! IHJ&s5z@ȉeJoRߔXYhաBZV󑯀>!B*зU&#Q]LMv`I!UP}K'gz Ä)giZNAZ=ωq9@4``{7M5M 4-'s+6ǟy uM:[r{/+-!rɶ~L0T'kY=&+2dMbCЉ-c F{<؈O*pL0#lu0n[hQ+M{onGDpەx$]niX0#xyp̼ )$j*#% MoY*J䠗S6!_3iƢ5DBD/s0z(t'q^e\[`Ʒ @Ⱪ  G{[w YeYʸkE셞Ma*.!s{$wcCt=h]C!}Ęq`Cj.`rYb0wº)E_PT bTyLf^\5DlBygTyLJcXzr  @mtmCB[ i,M6@'NTr\/(eWXww[޹Os< sh+fl X1cZS\pI*Xh[3qvV=o.nۏ:sĖ'/.7N^EÈHȑF9dѴyq1lbJ sӇ٬}]Kp=ŝ^|O1N«SNQtm|`(|F endstream endobj 1338 0 obj << /Alternate /DeviceRGB /Filter /FlateDecode /Length 2597 /N 3 >> stream hޜwTTϽwz0z.0. Qf Ml@DEHb!(`HPb0dFJ|yyǽgs{.$O./ 'z8WGбx0Y驾A@$/7z HeOOҬT_lN:K"N3"$F/JPrb[䥟}Qd[Sl1x{#bG\NoX3I[ql2$ 8xtrp/8 pCfq.Knjm͠{r28?.)ɩL^6g,qm"[Z[Z~Q7%" 3R`̊j[~: w!$E}kyhyRm333: }=#vʉe tqX)I)B>== <8Xȉ9yP:8p΍Lg kk Ѐ$t!0V87`ɀ2A. @JPA#h'@8 .: ``a!2D!UH 2 dA>P ECqB**Z:]B=h~L2  5pN:|ó@ QC !H,G6 H9R ]H/r Aw( Q(OTJCm@*QGQ-(j MF+ 6h/*t:].G7Зw7 Xa<1:L1s3bXyeb~19 vGĩp+5qy^ oó|= ?'Htv`Ba3BDxHxE$Չ"XAP44077&9$An0;T2421t.54ld+s;# V]=iY9FgM֚k&=%Ō:nc1gcbcfX.}lGv{c)LŖN퉛w/p+/<j$.$%&㒣OdxTԂԑ4i3|o~C:&S@L u[Uo3C3OfIgwdO|;W-wsz 17jl8c͉̈́3+{%lKWr[ $ llGmnacOkE&EEY׾2⫅;K,KhtiN=e²{^-_V^Oo§s]?TWީrjVQ=w}`嚢zԶiו8>k׍ E  [ly邟~_Y53rW򯎼^{7so}x>|쇊z>yz endstream endobj 1339 0 obj << /Filter /FlateDecode /Length 13786 /Length1 37528 >> stream h{{|T5k&0bfk Id$Ai 7F$PJ)G[*UHRE#P ! Y^k>3#dZ@2fT~a *uf<9alу]n%0d(Ԥ'|I; 'Ϙ;雜>QS&7|cסO)(HOM(NSfr*>]D=f46~ b}'\7;|Wx^J7_Q6r3(ˉϭCDF7;ў϶F>]l(%}6ś'h%tE8fr? bXHd%,K-b)L#1=~:EM/0fl( J'kW+d^ERG4 tbv^dn+7Pz.u.|R'd~M>^ O*/Vjb!џ>?}~g!L#f,UQ7- 0j:zE#jlf*|UŠWFK\QE)U=ݻu9Sc0{ysef)IKSYbԃeكjʧ9PYГ:_40 Dk{ڭt5Sz1fEju-d.yj\W/4AZU ykGo?&R A 4(!:h6?)B!k/wbr ڭqlgMMI9tDIɛ+BVw /Rb4Yq,j|EAyôPyTHLY MU5J͑5=B&zP@6yQ 0͛X&RR7IN8:$Bej4["tsRVbB.T=ZӒ[6{i|]8!P?F`yS*GAă+/P>%Є/?Ͳz҉ hngyZ=_f@ӒbK`ie#E15SBg'‘zNwlj*,7{eT AzZ:Zn0w5ueAKh? <=# ={TVՔuiPGG+fhӔoɨrTreSG]n/FݞߎtE"hko6MIIM7g(q_XZ{린C**qT[΢4,}N,vY+Tp ׽E|kk&Qw\W>u- Xm0K1H0В(GF_0h3E]d^k85u!*rԏt[{nB6[SEVw/)/' UgEG.x&o(NHuuPVIm{ch38w ;mNDgx9 .g2f<:ۺ.>\xmh ay~/-WpiΫ{Z S?STn)l=PW&@mqq]w{`7f%sm-|,S`z;VinTwk_ʹ1):mΗne96!ޯ+PVmvp\ o*Ο"9eo*翃' beSKfĤAuk7uB]͖QTJLga͒[o-( ͌D)c4YʼN2*2+O-IAg =R𒶟j;"=&']yUtvhoSTCz HQJa"Cc3@`UpޞU70é| pEA1hzt Ѝ֩: P.-T{<ֹ6: PEbEcrmBM) a{yXoX[r 2(J PMe(UMRy2W]Ԩx;9i܁n2tr+Vy7`ij Z_ŚX <]s l}xp@RPlg# -iNcU 끞5 i4ɛi4 .wmetS>OSOѪմ^  -@U؟#Bc`.NzF8q5vYRXsܹfnҫmtvYEc'}@'-6o'9BfH4Ial E|A Xu6ۧ)n`ά}C.U WB ][h)`7-Ğ!g=rccþTM.cbS 灯ۘC^0t *Fn(ߗ|O}aӲ?أb* nG:lkkQMC4H9&RGM֣{fz}ݤi?Sڷ4[ZI)nZ&A^Ho?^V)Wgr}ؓ/A- ,_E6K/&x5^El&BGž"qNx='lja[mL jܯ|ܥn~]a6k_;5s&pysI]BH%6r. q߯s 2؏khr2Ӑ!2D9/Q0HUC>8#NW7g)xP^&z +g SweGDW\_Rvw(_;S<ݏB 䰇pd.>ZmGTumƽ$G4\5|`;JIn}ն]~fk*q}Q7 m\HqLJҐ^J!Ǿ%?[x\{J"kF~$#P|}𓵠s#)o{2#߽^(@F]XE=$i'y 5M\KGgH6XwSwd#4821;sv{AcR;Vv+1Ozt?{)"n|Yċ; Z_6Y,mD8T-h -J؇XݰM v8 g|ٟ!҆æe/bϭx t tsnS yƦM_~3GxJ< ?F,?v(%n3UWi<7&r(tSyi~ \'7> AfNĩ7ss$/t MX3m`+z <'jN+-A5<=z$p]buV?md8g0dq<5p4-;rtuvó3=%Ǐm=r}rJe)->p%pk' A;b$ m_ou2ǝe`O=kf@<8<"$2_v}7 So`!Vݢ4?AM ϟvEy[>"qը~OdN:x hvm!?,7? fĂ `,}6ynf5 OZs 9EC6y&x3PV3Z06Ys@{u(=M(~)Dٙ'QmLJ=(kVj^m*[o96R7#>!ǟ Τ}م;x ZU!{b)v1oxc^!7Yc~%Ά͔J(fR^;1h7 asl bF;@H<i]3uZ.Ƅ(ÝqNg/x>'>tymyw/S<\ggz>rt{mb٘쏱3|sUlr]lMR<&S;K?_P Dp DB.ٸ]a!l qjB6X gv l uӝrU3q3J"Gα;Why^mF_ *;E*頹Mf&0a=]7¦L )8!FQ6P;ZB𺋪Կ$'[5򱕹qy ʱvr;yֲb/YBg)J#)C?{b]ݰ!Z5l:/&bM|(_3(Wy<#b׋=iABiS~_4{QYC;~u?9w2:9C=:wmG}q7.΢}ʧY\\%kN9. 1}5di桍>䤴ќ3=:{_,O[ic}G(o#me> ``%SA$%ʂHrޅ:ʁ@17<UF]Hw.b|\s'^ndzqZgp5Yq!{񜍉@? !}ί1-<>0 v{;歳`,yj<x=گR/|gLٍxǩcp&߿o;=zj@[gz.xfj9y#+ut: ?!x _Zii@DVucE^j93n#Ϡeܦ]yƃԇrt*C r #-܄ňށ]!X'|NɢʿKw^%܏0l˦`Chl5\o'̗>JvUk=,27ˍ`no}е < 2`Ɉ bq;߉ؑw%|K,ۉ 1ÿw4 &+aR#Ab E ka.}|oو@|ͲӐhҽ4 P*ߛw;lqm :Ck ((]Φ>Ϗۑ1.v_;,y-=kKrxQX]uXѾN_{1aN&Q!'WޠMOL=;Z})t!gQcTJAkԾ4<pwyv#0nm/jkΐ_7g(c4]3= Mp^*+ -x{ ҜJ(O) mLoyG*X4ă^vjpF!rX2 $1987II'Y<kaXϭd;[48:dyV9;-dTc4yi_Μ3#>=.tx]DYm!Qtt gѮ?`%6>P爵C2M3l{\BRi[OҎM [mBdfK]>I*nGf/F~hymbpu"M=(lq4GEݽV*t _X@73JXqmڸ+^7>Iag}%Ҿ e*K?/G_Mr7SurN^v3gp*} $ p KkϙA`<P 4"{["S1zgﷱgu8:ŏ/?lnkicog6ؿ q{^oѽBTt.#r%9 wGL{ߵQ@ ԏHS|_{{=5Em*.}o/s02V-hv7'b߀G^s$qOk1?oa^ҡOIe׊n~,_8{JL +7Px;sOw?p'<}N+7h%~F+C$VkA nCjdV,h(0\.5t| (!h@{V ^44OQ3|6!e]xyW;w|[ocx̸ 7OqeNFe1i*d'Ni>gv;;3{.WmVoN(bnU~߱dCʷxϯ_K"ʮ5htE TNBŠq'\~P Gg~zK WO?W?x\ z\ ?'\~r~d^L /[!W< ]~_>*W>*a)u-((KL~"/&q"%&%|I/]ܤM3ܭvWc?>;x,|?^5x^x+73OfZHfRafBL SϤe&)_I~%KG?&ؒcS]RvIeSzS;R:R/M 䦦z}I $N5ٹr|` X|^>5 6x5H.[`ht0:pkyt('2MUooqG/mGfi&BoLbe>1Ig𑻘bV<37p0ݫ O3}ʼnINc֋]4I)=Q^j}zX)G<5bqW T+XFnV2Xy\u^MOZ nóq!wy#aA,X7۷#`sآ3y#]g~Z B}WFChp*r1>p-`*AKq@*-=R {}>՗ *}ZnirZ7Ѽc-2*)wTi*{BNNN\u%r@KHJf6?.cZ<̾/"@X&̱۷OPGϒ:c{쉻&ۗ𤱋%ilm n7Z.3*]zΩw Yh T%5䕥%sdE R+.tB>Ta![ixCHKjlgY/vM^z40{Z: EX)̓~|DdzrRHԒ)9cOGpEqv,q,YѸ~vB+qM[dny/۟i)-wxܑR6iwݯz}qRk-h~>2;8sȷ|5͒IyBUnOb]*b T* ̌3 A}x@*|EizR:ZfH-HRar)m|!QmS,1L(0e.*vTIFhV\Ђpؿ۷{VVR>O:FZg'GX.?jeROcKLNI$%ѓ$%5=$UTYa8MdR5uU,j_URǹ Ӳ)?4RwS!8 "EV|& cAYKVk&DcaV\n5c5+5^UW>>[Y:hnP'z~/u!uI$uN ef/XWb27ĂD)11;Op2qlqyZ(`Yj0`a O1`~F=u/<QWÞclm}XnC B^ +(ͽ@2KNNKR%6NG8 dCXj`f˚w>LɸYY:u/_g?1aI,M]!Ɋ[qyΆDl·+؟B6Rqh&K{ v푺$>.";۝\JLob"ݝwD}v֬iҖ,isF+54lځgvWo)3KlbKu;d| D~ ˢnMu/XydMN'Z ,VH'aii_'ugyH#U2if(uw\DYv=1%E=.nw4)ط訪sy98 If<$3@@Bx!4$Є$ "ol#Qԋ V ʒ  ˺mZV<RjvG837{ϙ3g}}LiWDN#R0iJXԞ[ЯQX8ޥhcvc6jEixZ͔֚U U.s3475qeðz'Nu>'r3IpЌ_o*>);\6Z8T7\\I6[nO yb 9lK7YG"4 vg@ }2g{5i_u']7#07'r y 1X@vgbe^\-e[&A!LXbxN1zˌi{`;ǎ})'rL8#a1m HX|Fu nuDz ylqOȹ'~*<] 9BZϝ?\󺢱EM'x'h(M$.OơLyK |⿟J#ݛ1EF@WKO>y0eIܱN46lH 1ԧh'l4<(U9PIAfd R@Pd|Eh<+ Q]RmK*Ua_CۧH ʊq kUS ފ11*l_GeyKTl83 Y;O ,˜GN(kmTdoЦR,+//U&nص0CMt8|<+-rBS +EsIG͉q*sz^I| ?ĎKtN-$̎ZArF[ Tjr@v=$^mjR*L/&fgY,1FUErY|L-{j;;e\v-L=QuuU/yG>`TȠ4cRzM",oz)3\GȪRI`LruCa d \gt]]nb돑}~6!9j& #{)7(^9XC,HP(vr}1EԮ-kWfi`VLԶ?>E,q[Kn,٤^^n-mTU4@QBP4%ի`L7oOgp9cܷCTwGk3?Gv%l`+b1eY?hϓN۵&VBajUypֲ\*iNWx~Aw0R.)6g LjUĦCл"m m#x܎ ^l,L `{EJDHfTe}"}4g/Q"})'5ojڗ"QjW)pY%qDhKOZl7]Qj#*Y,fMUFyzaI,`&a 6IϰT%w>|- 5%JQJ6%.KW= d3MU.Lƞ)=`IS< tt5/DDJ[ LE$"tIsF(SVfF '/}ٟatq@ Q0WR#͐#  F%3M%C#ūE]N#aЯTφGЌW ]r)k1fv7)?u[3 JqF=|ݼP*>U)*RyR(7*jճ C*S77qĊUެ12+'yEE44V0#[r}KAiTn.Yp̆ ^PMKңA=tf=f@*ͭoz Bi25(„2^cb5ˆR6G]N8~H̒_|@U~ X]ܡ"@l#MojyT+5)"%[g=9ɮ9Aic&^j803^xH8NrARO @C Pz2tXi%Rr~,5&dGXƐvA0VrS'uPqu0r KD8Ge.h3.7ɿ\y,8yAE*HXy2 ԤMIɰVZՑ䥝OW%\r|ۓ[RwVL^sn O)v%[}njs7*{lq Y50yI Ọ̆PJR[@z>P~$jrGR]LԷa`#<^ly HSzDu V/0(q<'ũ7P=ʉ_ Q * 1/5|U`HS'M[ε-TX#@BϾs&upAjHn{> *h- Ȏ. y>AP('"..DQ|tIhiͨY? ͙;λkk*DE(4ݎdT;Q@+кA8?rQv4 MCp^3Z:ѪԼ}waFȉgBr0p O!zKpgϡN OQ3`Vms&V-l~!0f YBѽvM*0 G:w?fgF_ݫk ^ pfj,@bF Q;z7ww?Ϻy<W X.Su7-#D9lXy6t"hf]b"%B9Z~b#,|*G k9qBW(Ũ_U> stream h޼{ |TEutNH7& YIH&tL @A@dQ@0#  l7uDuu@@aߋ~O:uΩ 1" %$Sՠ!Ό[Anة3ޞw|.ѤG=Z>#Ϙ0uHʍDUf5(Qxq h9 D!-H4N}O@O>\&*Axj=3B0ڣ:YOwАsfL5/4wq_WFC>k2o!Hk0/- ݜ+)8>k-bȵxm*{f{hUK1AB_2I[ie7;X:QrW3T,6c+BsS=Қ4o@ x%W.wTKH7" W0 J6١Z9捡N_53a;)ڬŊ|-5LV屖7y:f;gԏg4N{b_ {5R`6^Fغ0-˺2ab5ެk]×@[]֋`, kWJ.cSU:?neM6eƥW8|xҷ9,m}aZ /!Q.;[9xW1[9d%35JJjwb#W"S$"A aǶ02|yƶH t"Qz0^]ν:'W)H^.j"L;b-|T@_[|0t ϧQ]eH* i:+F觡#!O- t\gߐ[h<L/*S3-4}LSb|%G =HcH:{bst. z+tB.BĞG[=jP% }>O+fP>^HW)`ʠJoHR_Y6B?hSi)cZ*ݠ3[/+xOT+C^jؗ:n®I%m}1s_|[CI/O*a%o믌1:=*_}ھf6} \L(R8vB<_DLN'?AD˨nCrRwq/nC9~yY^euPcirQy!W =F' {6*3ðo'Kh[5.by͞2gؒaSiX+K@0xni~UӘwz箥ɂFFԹ  9\; 9$yW?2}L 9܇ߢwLGPq#iI\1Pu > ,A ?ş0Q)~:4v#jUӵET5$474=`4ULI@ / t7] Tʣ LWޥJI?@b#o;B/¸a\ d 7݅8Md1 _k*MYY,]}r7o{:6(?bc1XE`#._̻'Dh/hU}O1a O^s|hg4s7 P_A'"pܛd b Q ^pLS0|^؛?<Z>a$G̫ܵt4th<X/A5u%A3@S@9 h{'U8<X l,cW{.}8^*x.C8%ݽu AA];_j*#Ӽq oj:2ywN3JWe.bZ/~]ƴQi!j:b qdzTe:%`O&Pqs Kr8wi &s+:5E:yÎ\ߊw>~QC%eI^sy2e`]c6@|}1iPq@sq^_I81L~wR>sqm˳]'8s|[ŸI*/)ژtRDOa8\2Rܘ8g/l0M.c߼ R^{p-6%iӡ9@#bz`ۭ!4k#a1Gt=@Y̟6MPa@ ؿt7~&H]Hd~Rɤ>J&|Nuj3뵥8O)OxOXs vo{kTfb>E[uq6UʇT]q!)1ߧOC/Vé;c[.btqz"1uؘK4 RL/@~x%~o4}"|ڙ793dq_-ɟОPz}N3t4`̣B1Ƹ/{S<#SgF*2ll Wmq tu6'ek3!?/N2=(CK9c4^]J>* j>fŚ@;{j=d^ǻ2%wFl~CAcoQqob]LfY\DBJ] RKĥ/*T`;Mq|{4ECF]033A<|!H;Au ~+2@?ʝ𙣀Tݽ^,DvO @o):HGi mS#_dR5}\w; N+h$< zeRf7wiG6`EY0X,P\Ir,-b Nʧ Y6ӻrH6Q_"\aaba,fEAOOt?gz?/@ _m Fz|3h d@O;A'!P6XoA_} q^ Nl9b5K>5$@!,'dvy>ـcrwx)[;8Yļ"_Gީ-_QR $ Eȋ?81qߪ߮$ fF2v݇c#m9.m@GՏ? c(#-_,BBkȻ&v*ȵ b/*Y)Cl>1\R@5aj7dz֔QQp,=f|K; g%vzy]si +r_qV|#?_əI:śc=׌cO6$t|t/q^>eoo1n&n|G.3yq+qws ƿ`FHYt2HEGVHb XO>l߂w;ihO@⟣~_Lb~<m|Wx^ 0ª ?^P<9cXiZ##=,>9̚n L!B49>9\._ʓIz Ksq%ƛڅƘB#Pu-RЩ C} @+*ʬazd%U֔Q tHlzRõե-jteeY:|%喗1+?Tp$ ◦Kj HR-Uu7x].z2ll~|b~^/D~)'ux˼l#mԺ?Gf`fAD-w YUUT@wF"^" OzT PL~YhJ")INYXCVخP~]=B$+'=FJ;(ETwC MdNEȌE"lϯ pzզG„e{ [fTzf˱I׏9%;{ :b HY+R^fLT<y/F2c@Kɹ*/,aǾWw1R#p6=diY,6y.Ùk $ɶ([]w@NTo93.gº-RkU9t~۳3Vdj* -ެiQul;v įLûH5 8ᤳwu1u׮H̋:d_Љ$~Z(^HpDg) 6ɖ%=J N@!l QɕCGg ӭ_ϲӢ]NIvyU1=6{JQF&F&wϊdfY cƎpD$DDK脮6[Vzàl]4 461\l$Lר`.LPSaȭ:ʦĩx9d+x*8^44=oX[jJlجIw|;,ycf9 :᠊!ho[%DGUHؼŲ*3U dwyҟb''FNpgJ'ݷ$['Bw [@!I#bǒByESaer߼ҰJ(rhSh}A$}Ta圪.19c?.onq z&[y²Xupgܱo-{Q[OݪڽԢJ&Wo5D= ߚ CzcRIlfߒ >clWU$Nc6wnNiۜnV= w+7b讏{@s``Hؐ j:L=Risbc=R%{TrrӉ^ݖ[a9Cs#"v+G 3Sj_{Ivilg/KR$%(R4u\ҢHYuYiKd% Mdٲ-11 6H-j7@b@$h+&ퟴ:\FTz$A ?ow۷̼7CA/yqU.ڦ ]oMWg}a_Ȕ7z :m|̈́yu<-%ܟI3ބ9sS#sX [N5B+p8XXZBA*j즊ײٷ.B;1/:}ϱ̒qad.. xV}3?VFG&w Big n٬bi.p^*8'"hU*z)$y:NVe{Km2沬[R։#6/Ϯ>v&Nïmq'2ݵc݇2]?<<kzkޚ }kzOawœD=BWcjа~]m/2MvŚ/nMM>4djo<-GWd,,DD\MŜ3rTtGщig t}`DtLc(jp[yzfЫ?%~hov9Y' a^ɡ(WCn6״C7'~Z?Pg=@ @ @ @ R`$Iށ|1 iseZ@wA8žx 0;xl .HB/Q㐆9XeXu+j@cO9ib{{kv?# E٦*RD> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-lrg.svg endstream endobj 1342 0 obj << /Count 3 /Dest [1327 0 R /Fit] /First 1344 0 R /Last 1345 0 R /Parent 1321 0 R /Title (UltraScale Architecture Migration: Methodology Guide) >> endobj 1343 0 obj << /Dest [10 0 R /XYZ null null null] /Next 1345 0 R /Parent 1342 0 R /Prev 1344 0 R /Title (Table of Contents) >> endobj 1344 0 obj << /Dest (G1.1053775) /Next 1343 0 R /Parent 1342 0 R /Title (Revision History) >> endobj 1345 0 obj << /Count -3 /Dest (G1.1021357) /First 1346 0 R /Last 1347 0 R /Parent 1342 0 R /Prev 1343 0 R /Title (UltraScale Architecture Migration) >> endobj 1346 0 obj << /Dest (G2.990009) /Next 1350 0 R /Parent 1345 0 R /Title (Table of Contents) >> endobj 1347 0 obj << /Count -6 /Dest (G4.358540) /First 1348 0 R /Last 1349 0 R /Parent 1345 0 R /Prev 1350 0 R /Title (Additional Resources and Legal Notices) >> endobj 1348 0 obj << /Dest (G4.366749) /Next 1439 0 R /Parent 1347 0 R /Title (Xilinx Resources) >> endobj 1349 0 obj << /Dest (G4.381005) /Parent 1347 0 R /Prev 1436 0 R /Title (Please Read: Important Legal Notices) >> endobj 1350 0 obj << /Count -6 /Dest (G3.340698) /First 1351 0 R /Last 1352 0 R /Next 1347 0 R /Parent 1345 0 R /Prev 1346 0 R /Title (Migrating a Design to an UltraScale Device) >> endobj 1351 0 obj << /Count -1 /Dest (G3.421357) /First 1435 0 R /Last 1435 0 R /Next 1363 0 R /Parent 1350 0 R /Title (Introduction to the UltraScale Architecture) >> endobj 1352 0 obj << /Count -1 /Dest (G3.402882) /First 1353 0 R /Last 1353 0 R /Parent 1350 0 R /Prev 1354 0 R /Title (New Primitive Considerations) >> endobj 1353 0 obj << /Count -3 /Dest (G3.379865) /First 1432 0 R /Last 1433 0 R /Parent 1352 0 R /Title (Slice/CLB Primitives) >> endobj 1354 0 obj << /Count -11 /Dest (G3.402895) /First 1355 0 R /Last 1356 0 R /Next 1352 0 R /Parent 1350 0 R /Prev 1357 0 R /Title (SelectIO Considerations) >> endobj 1355 0 obj << /Count -2 /Dest (G3.402900) /First 1426 0 R /Last 1427 0 R /Next 1425 0 R /Parent 1354 0 R /Title (DCI Considerations) >> endobj 1356 0 obj << /Dest (G3.403074) /Parent 1354 0 R /Prev 1416 0 R /Title (New Features and Enhancements) >> endobj 1357 0 obj << /Count -7 /Dest (G3.379785) /First 1358 0 R /Last 1359 0 R /Next 1354 0 R /Parent 1350 0 R /Prev 1360 0 R /Title (7 Series Device Primitive Retargeting Considerations) >> endobj 1358 0 obj << /Count -2 /Dest (G3.379791) /First 1414 0 R /Last 1415 0 R /Next 1399 0 R /Parent 1357 0 R /Title (Advanced 7 Series Component Retargeting) >> endobj 1359 0 obj << /Count -1 /Dest (G3.379854) /First 1387 0 R /Last 1387 0 R /Parent 1357 0 R /Prev 1388 0 R /Title (Slice/CLB Primitives) >> endobj 1360 0 obj << /Count -4 /Dest (G3.404712) /First 1361 0 R /Last 1362 0 R /Next 1357 0 R /Parent 1350 0 R /Prev 1363 0 R /Title (Retargeting Considerations from 7 Series to UltraScale Devices) >> endobj 1361 0 obj << /Dest (G3.379771) /Next 1385 0 R /Parent 1360 0 R /Title (Use of Existing Soft IP, EDIF, or NGC Netlists) >> endobj 1362 0 obj << /Count -3 /Dest (G3.379775) /First 1382 0 R /Last 1383 0 R /Parent 1360 0 R /Prev 1384 0 R /Title (Baselining the Design) >> endobj 1363 0 obj << /Count -9 /Dest (G3.364274) /First 1364 0 R /Last 1365 0 R /Next 1360 0 R /Parent 1350 0 R /Prev 1351 0 R /Title (Targeting Considerations for UltraScale Devices) >> endobj 1364 0 obj << /Dest (G3.379655) /Next 1372 0 R /Parent 1363 0 R /Title (UltraScale Device Selection) >> endobj 1365 0 obj << /Dest (G3.379762) /Parent 1363 0 R /Prev 1366 0 R /Title (Clocking Considerations) >> endobj 1366 0 obj << /Dest (G3.384919) /Next 1365 0 R /Parent 1363 0 R /Prev 1367 0 R /Title (Use of LUTs as Pack-Thrus) >> endobj 1367 0 obj << /Dest (G3.379758) /Next 1366 0 R /Parent 1363 0 R /Prev 1368 0 R /Title (Asynchronous Data Synchronization) >> endobj 1368 0 obj << /Dest (G3.379754) /Next 1367 0 R /Parent 1363 0 R /Prev 1369 0 R /Title (Use of XOR Functions \(CRC/Error Detection Correction\)) >> endobj 1369 0 obj << /Dest (G3.379751) /Next 1368 0 R /Parent 1363 0 R /Prev 1370 0 R /Title (Using FIFOs) >> endobj 1370 0 obj << /Dest (G3.379747) /Next 1369 0 R /Parent 1363 0 R /Prev 1371 0 R /Title (RAM Considerations) >> endobj 1371 0 obj << /Dest (G3.379741) /Next 1370 0 R /Parent 1363 0 R /Prev 1372 0 R /Title (Use of DSP and Other Arithmetic-Intensive Code) >> endobj 1372 0 obj << /Count -8 /Dest (G3.379666) /First 1373 0 R /Last 1374 0 R /Next 1371 0 R /Parent 1363 0 R /Prev 1364 0 R /Title (Use of Control Signals) >> endobj 1373 0 obj << /Count -1 /Dest (G3.379669) /First 1381 0 R /Last 1381 0 R /Next 1380 0 R /Parent 1372 0 R /Title (Avoid Use of Both a Set and a Reset on a Register or Latch) >> endobj 1374 0 obj << /Dest (G3.379737) /Parent 1372 0 R /Prev 1375 0 R /Title (High Fanout Signals) >> endobj 1375 0 obj << /Dest (G3.379733) /Next 1374 0 R /Parent 1372 0 R /Prev 1376 0 R /Title (Use of Synchronous Sets/Resets) >> endobj 1376 0 obj << /Dest (G3.379729) /Next 1375 0 R /Parent 1372 0 R /Prev 1377 0 R /Title (Sets for Multipliers or Adders/Subtractors in DSP48E2 Registers) >> endobj 1377 0 obj << /Dest (G3.379722) /Next 1376 0 R /Parent 1372 0 R /Prev 1378 0 R /Title (Avoid Unnecessary Use of Sets or Resets) >> endobj 1378 0 obj << /Dest (G3.405549) /Next 1377 0 R /Parent 1372 0 R /Prev 1379 0 R /Title (Limit Use of Low Fanout Control Signals) >> endobj 1379 0 obj << /Dest (G3.379713) /Next 1378 0 R /Parent 1372 0 R /Prev 1380 0 R /Title (Control Signal Polarity) >> endobj 1380 0 obj << /Dest (G3.379694) /Next 1379 0 R /Parent 1372 0 R /Prev 1373 0 R /Title (Register Initialization) >> endobj 1381 0 obj << /Dest (G3.391943) /Parent 1373 0 R /Title (Examples Showing Coding Styles to Avoid and Appropriate Corrections) >> endobj 1382 0 obj << /Dest (G3.379777) /Next 1386 0 R /Parent 1362 0 R /Title (Use of Synthesis Attributes and Physical Constraints) >> endobj 1383 0 obj << /Dest (G3.379782) /Parent 1362 0 R /Prev 1386 0 R /Title (Simplifying the Design Flow) >> endobj 1384 0 obj << /Dest (G3.396753) /Next 1362 0 R /Parent 1360 0 R /Prev 1385 0 R /Title (Software Considerations) >> endobj 1385 0 obj << /Dest (G3.414917) /Next 1384 0 R /Parent 1360 0 R /Prev 1361 0 R /Title (UltraScale Device IP Migration) >> endobj 1386 0 obj << /Dest (G3.379779) /Next 1383 0 R /Parent 1362 0 R /Prev 1382 0 R /Title (Specification of Timing Constraints) >> endobj 1387 0 obj << /Dest (G3.379855) /Parent 1359 0 R /Title (CARRY4) >> endobj 1388 0 obj << /Count -1 /Dest (G3.379844) /First 1389 0 R /Last 1389 0 R /Next 1359 0 R /Parent 1357 0 R /Prev 1390 0 R /Title (Retargeting 7 Series Registers and Latches) >> endobj 1389 0 obj << /Dest (G3.379845) /Parent 1388 0 R /Title (FDCE, FDPE, FDRE, FDSE) >> endobj 1390 0 obj << /Count -6 /Dest (G3.379829) /First 1391 0 R /Last 1392 0 R /Next 1388 0 R /Parent 1357 0 R /Prev 1393 0 R /Title (7 Series Clock Component Retargeting) >> endobj 1391 0 obj << /Dest (G3.379830) /Next 1413 0 R /Parent 1390 0 R /Title (BUFG) >> endobj 1392 0 obj << /Dest (G3.379841) /Parent 1390 0 R /Prev 1410 0 R /Title (PLLE2_ADV/PLLE2_BASE) >> endobj 1393 0 obj << /Count -2 /Dest (G3.404211) /First 1394 0 R /Last 1395 0 R /Next 1390 0 R /Parent 1357 0 R /Prev 1396 0 R /Title (7 Series RAM/ROM Retargeting) >> endobj 1394 0 obj << /Dest (G3.404212) /Next 1395 0 R /Parent 1393 0 R /Title (FIFO18E1/FIFO36E1) >> endobj 1395 0 obj << /Dest (G3.388717) /Parent 1393 0 R /Prev 1394 0 R /Title (RAMB18E1/RAMB36E1) >> endobj 1396 0 obj << /Count -7 /Dest (G3.402067) /First 1397 0 R /Last 1398 0 R /Next 1393 0 R /Parent 1357 0 R /Prev 1399 0 R /Title (7 Series I/O Component Retargeting) >> endobj 1397 0 obj << /Dest (G3.379813) /Next 1409 0 R /Parent 1396 0 R /Title (IN_FIFO) >> endobj 1398 0 obj << /Dest (G3.379820) /Parent 1396 0 R /Prev 1405 0 R /Title (ODDR) >> endobj 1399 0 obj << /Count -5 /Dest (G3.379797) /First 1400 0 R /Last 1401 0 R /Next 1396 0 R /Parent 1357 0 R /Prev 1358 0 R /Title (7 Series Config/BSCAN Component Retargeting) >> endobj 1400 0 obj << /Dest (G3.413461) /Next 1404 0 R /Parent 1399 0 R /Title (CAPTUREE2) >> endobj 1401 0 obj << /Dest (G3.379806) /Parent 1399 0 R /Prev 1402 0 R /Title (STARTUPE2) >> endobj 1402 0 obj << /Dest (G3.379804) /Next 1401 0 R /Parent 1399 0 R /Prev 1403 0 R /Title (ICAPE2) >> endobj 1403 0 obj << /Dest (G3.379802) /Next 1402 0 R /Parent 1399 0 R /Prev 1404 0 R /Title (FRAME_ECCE2) >> endobj 1404 0 obj << /Dest (G3.413563) /Next 1403 0 R /Parent 1399 0 R /Prev 1400 0 R /Title (DNA_PORT) >> endobj 1405 0 obj << /Dest (G3.379819) /Next 1398 0 R /Parent 1396 0 R /Prev 1406 0 R /Title (IDDR) >> endobj 1406 0 obj << /Dest (G3.379817) /Next 1405 0 R /Parent 1396 0 R /Prev 1407 0 R /Title (OUT_FIFO) >> endobj 1407 0 obj << /Dest (G3.379816) /Next 1406 0 R /Parent 1396 0 R /Prev 1408 0 R /Title (OSERDESE2) >> endobj 1408 0 obj << /Dest (G3.379815) /Next 1407 0 R /Parent 1396 0 R /Prev 1409 0 R /Title (ODELAYE2) >> endobj 1409 0 obj << /Dest (G3.379814) /Next 1408 0 R /Parent 1396 0 R /Prev 1397 0 R /Title (ISERDESE2) >> endobj 1410 0 obj << /Dest (G3.379839) /Next 1392 0 R /Parent 1390 0 R /Prev 1411 0 R /Title (MMCME2_ADV/MMCME2_BASE) >> endobj 1411 0 obj << /Dest (G3.379837) /Next 1410 0 R /Parent 1390 0 R /Prev 1412 0 R /Title (BUFR/BUFMR/BUFMRCE) >> endobj 1412 0 obj << /Dest (G3.379835) /Next 1411 0 R /Parent 1390 0 R /Prev 1413 0 R /Title (BUFIO) >> endobj 1413 0 obj << /Dest (G3.379833) /Next 1412 0 R /Parent 1390 0 R /Prev 1391 0 R /Title (BUFH/BUFHCE) >> endobj 1414 0 obj << /Dest (G3.403956) /Next 1415 0 R /Parent 1358 0 R /Title (GTPE2_CHANNEL, GTPE2_COMMON, GTHE2_CHANNEL, GTHE2_COMMON, GTXE2_CHANNEL, GTXE2_COMMON, IBUFDS_GTE2) >> endobj 1415 0 obj << /Dest (G3.379794) /Parent 1358 0 R /Prev 1414 0 R /Title (XADC) >> endobj 1416 0 obj << /Dest (G3.403067) /Next 1356 0 R /Parent 1354 0 R /Prev 1417 0 R /Title (Differential Input Termination) >> endobj 1417 0 obj << /Dest (G3.403064) /Next 1416 0 R /Parent 1354 0 R /Prev 1418 0 R /Title (SLEW Attribute) >> endobj 1418 0 obj << /Dest (G3.403062) /Next 1417 0 R /Parent 1354 0 R /Prev 1419 0 R /Title (VCCAUX_IO) >> endobj 1419 0 obj << /Dest (G3.403054) /Next 1418 0 R /Parent 1354 0 R /Prev 1420 0 R /Title (SelectIO Interface UNISIM Primitives) >> endobj 1420 0 obj << /Dest (G3.423464) /Next 1419 0 R /Parent 1354 0 R /Prev 1421 0 R /Title (External VREF) >> endobj 1421 0 obj << /Dest (G3.416107) /Next 1420 0 R /Parent 1354 0 R /Prev 1422 0 R /Title (VREF-based Inputs Standards) >> endobj 1422 0 obj << /Count -1 /Dest (G3.403022) /First 1423 0 R /Last 1423 0 R /Next 1421 0 R /Parent 1354 0 R /Prev 1424 0 R /Title (Uncalibrated Source Termination) >> endobj 1423 0 obj << /Dest (G3.423274) /Parent 1422 0 R /Title (I/O Standards No Longer Supported) >> endobj 1424 0 obj << /Dest (G3.403020) /Next 1422 0 R /Parent 1354 0 R /Prev 1425 0 R /Title (Uncalibrated Input Termination) >> endobj 1425 0 obj << /Dest (G3.402956) /Next 1424 0 R /Parent 1354 0 R /Prev 1355 0 R /Title (T_DCI Standards) >> endobj 1426 0 obj << /Dest (G3.402901) /Next 1427 0 R /Parent 1355 0 R /Title (DCI Support) >> endobj 1427 0 obj << /Count -4 /Dest (G3.402904) /First 1428 0 R /Last 1429 0 R /Parent 1355 0 R /Prev 1426 0 R /Title (Termination Circuit Resistor Requirements) >> endobj 1428 0 obj << /Dest (G3.402907) /Next 1431 0 R /Parent 1427 0 R /Title (Possible ODT values for split-termination DCI standards \(HSTL and SSTL\)) >> endobj 1429 0 obj << /Dest (G3.402944) /Parent 1427 0 R /Prev 1430 0 R /Title (Source Driver Termination) >> endobj 1430 0 obj << /Dest (G3.402932) /Next 1429 0 R /Parent 1427 0 R /Prev 1431 0 R /Title (Possible ODT values for single-termination HSUL standards) >> endobj 1431 0 obj << /Dest (G3.402921) /Next 1430 0 R /Parent 1427 0 R /Prev 1428 0 R /Title (Possible ODT values for single-termination POD standards) >> endobj 1432 0 obj << /Dest (G3.404423) /Next 1434 0 R /Parent 1353 0 R /Title (MUXF9) >> endobj 1433 0 obj << /Dest (G3.379870) /Parent 1353 0 R /Prev 1434 0 R /Title (RAM32M16/RAM64M8) >> endobj 1434 0 obj << /Dest (G3.379868) /Next 1433 0 R /Parent 1353 0 R /Prev 1432 0 R /Title (RAM512X1S/RAM256X1D) >> endobj 1435 0 obj << /Dest (G3.422574) /Parent 1351 0 R /Title (Differences Between UltraScale FPGA Families) >> endobj 1436 0 obj << /Dest (G4.376673) /Next 1349 0 R /Parent 1347 0 R /Prev 1437 0 R /Title (Training Resources) >> endobj 1437 0 obj << /Dest (G4.377742) /Next 1436 0 R /Parent 1347 0 R /Prev 1438 0 R /Title (References) >> endobj 1438 0 obj << /Dest (G4.382450) /Next 1437 0 R /Parent 1347 0 R /Prev 1439 0 R /Title (Documentation Navigator and Design Hubs) >> endobj 1439 0 obj << /Dest (G4.366757) /Next 1438 0 R /Parent 1347 0 R /Prev 1348 0 R /Title (Solution Centers) >> endobj 1 0 obj << /Annots [2 0 R 3 0 R 4 0 R 8 0 R] /Contents [364 0 R 9 0 R 1336 0 R 365 0 R 6 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1315 0 R /Resources 5 0 R /Rotate 0 /Type /Page >> endobj 2 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 3 0 obj << /Border [0 0 0] /Dest (M3.9.36829.Heading1.Introduction.to.the.UltraScale.Architecture) /Rect [422.4 595.2 539.7 607.74] /Subtype /Link /Type /Annot >> endobj 4 0 obj << /Border [0 0 0] /Dest (M3.9.36829.Heading1.Introduction.to.the.UltraScale.Architecture) /Rect [202.74 583.74 304.5 595.2] /Subtype /Link /Type /Annot >> endobj 5 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT10 414 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC2 380 0 R >> /XObject << /Iabc1559 7 0 R >> >> endobj 6 0 obj << /Length 18 >> stream q /Iabc1559 Do Q endstream endobj 7 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1560 375 0 R /Gabc1561 372 0 R >> /Font << /Fabc1562 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡPpS;i z7} endstream endobj 8 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=2) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 9 0 obj << /Filter /FlateDecode /Length 3331 >> stream hެr_1ț,l-҉<@KHDL2JƧ'cfwiNI힙{zvĻWJϜKQ8ib}q3B3%q 9Z꣸Y$Ђ7lI8k\,Z~)^]|߭q͡U Ͳ?(Z}u hDдĶ@7 4T _^l)7_ɘ+v4uz?;4U {4y'U@$+K%0e+>0ڴvv]-Mg)čŘLluHFxp` )xKȆzmgЮ39..z9Z|qXқ!7ë ~qX}Xow[b,ϺdG ^tJ;N\HHygb΃!8q#;  39bP0UTj] AY I iRR|y)YY 2 ܊ 8NbY|pU Yp$=Bk+}89'YƠ ~1nz4vS8̲jSfhaV8I N\$1)pV&#.@nr7!xԅjdԄLTS'L$i5L̒eF9)ana`˶7TE,Z@JX%Yf{,d6Q-z|#nF03c$,4 %U{IA'ȱ1 rkLƥX{ QnG2C XʥquTB1OuQҿ )%X(- %QY=AL3Tuebs 2Fꌀ Z$q?1,xu6`:P1 T9C3w׀e zA\q *fv 4 Fqؼ.a0&$5Ut:Re27y%shIj=R k^KlQ%7SdZ `4%D8_5a,+7lDY>00VeF] P"?sa|=5hNS;jiU>/@|Hn/W02?`Y:%GHQ@>^8y|';lj8${-n7yמ 6a]s岅<7Ci ָf܁pGoѮccs~^y(K-.͡+^h6*Pjq\$@ތ@PM-4m YBt39hfsķRD-3eHBj-.W?jy L@Kh2/nob _]3cobs+~jKW%3Sؘզam]sKfzKZ ​ $ƀ5 3xؔ1p{;@[\ qw+G7-&& w0Vrsӵ7kNOٌÝ:zJsa$z k^9j2ZFD9>[_S&߃RRMjsE'01a`7]6q֎-aO݈śCN?=9:{xjB|[>Hiu 6&0Ϟ1A(A\Sh]r8큖 ux4W߈bwu>&Ab+#Jow zf܊ؽ8dvR,wՆl͑\7'2kUN?JPef+|o^H/ft~__f뾢mhoěSi )m,6/o0S(fq욪oBr.V^樿=_mK ca~u%V[&91ȲߣXJKj(+mEεӻyhvy@.6s&3}W~n;p[xp`Q Y31'(uZ }YE{>$'/<ݘ1;8@f 븁9aT,5Q-7 endstream endobj 10 0 obj << /Annots [11 0 R 12 0 R 13 0 R 14 0 R 15 0 R 16 0 R 17 0 R 18 0 R 19 0 R 20 0 R 21 0 R 22 0 R 23 0 R 24 0 R 25 0 R 26 0 R 27 0 R 28 0 R 29 0 R 30 0 R 31 0 R 32 0 R 33 0 R 34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R 41 0 R 42 0 R 43 0 R 44 0 R 45 0 R 46 0 R 47 0 R 48 0 R 49 0 R 50 0 R 51 0 R 56 0 R] /B [379 0 R] /Contents [364 0 R 57 0 R 1336 0 R 365 0 R 54 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1315 0 R /Resources 52 0 R /Rotate 0 /Type /Page >> endobj 11 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 12 0 obj << /Border [0 0 0] /Dest (G1.1053775) /Rect [91.98 589.68 558 603.06] /Subtype /Link /Type /Annot >> endobj 13 0 obj << /Border [0 0 0] /Dest (G3.340698) /Rect [91.98 558.84 558 575.94] /Subtype /Link /Type /Annot >> endobj 14 0 obj << /Border [0 0 0] /Dest (G3.421357) /Rect [91.98 541.68 558 555.06] /Subtype /Link /Type /Annot >> endobj 15 0 obj << /Border [0 0 0] /Dest (G3.422574) /Rect [91.98 529.56 558 541.74] /Subtype /Link /Type /Annot >> endobj 16 0 obj << /Border [0 0 0] /Dest (G3.364274) /Rect [91.98 513.66 558 527.04] /Subtype /Link /Type /Annot >> endobj 17 0 obj << /Border [0 0 0] /Dest (G3.379655) /Rect [91.98 501.6 558 513.78] /Subtype /Link /Type /Annot >> endobj 18 0 obj << /Border [0 0 0] /Dest (G3.379666) /Rect [91.98 489.6 558 501.78] /Subtype /Link /Type /Annot >> endobj 19 0 obj << /Border [0 0 0] /Dest (G3.379741) /Rect [91.98 477.6 558 489.78] /Subtype /Link /Type /Annot >> endobj 20 0 obj << /Border [0 0 0] /Dest (G3.379747) /Rect [91.98 465.6 558 477.78] /Subtype /Link /Type /Annot >> endobj 21 0 obj << /Border [0 0 0] /Dest (G3.379751) /Rect [91.98 453.6 558 465.78] /Subtype /Link /Type /Annot >> endobj 22 0 obj << /Border [0 0 0] /Dest (G3.379754) /Rect [91.98 441.6 558 453.78] /Subtype /Link /Type /Annot >> endobj 23 0 obj << /Border [0 0 0] /Dest (G3.379758) /Rect [91.98 429.6 558 441.78] /Subtype /Link /Type /Annot >> endobj 24 0 obj << /Border [0 0 0] /Dest (G3.384919) /Rect [91.98 417.6 558 429.78] /Subtype /Link /Type /Annot >> endobj 25 0 obj << /Border [0 0 0] /Dest (G3.379762) /Rect [91.98 405.6 558 417.78] /Subtype /Link /Type /Annot >> endobj 26 0 obj << /Border [0 0 0] /Dest (G3.404712) /Rect [91.98 389.64 558 403.02] /Subtype /Link /Type /Annot >> endobj 27 0 obj << /Border [0 0 0] /Dest (G3.379771) /Rect [91.98 377.58 558 389.76] /Subtype /Link /Type /Annot >> endobj 28 0 obj << /Border [0 0 0] /Dest (G3.414917) /Rect [91.98 365.58 558 377.76] /Subtype /Link /Type /Annot >> endobj 29 0 obj << /Border [0 0 0] /Dest (G3.396753) /Rect [91.98 353.58 558 365.76] /Subtype /Link /Type /Annot >> endobj 30 0 obj << /Border [0 0 0] /Dest (G3.379775) /Rect [91.98 341.58 558 353.76] /Subtype /Link /Type /Annot >> endobj 31 0 obj << /Border [0 0 0] /Dest (G3.379785) /Rect [91.98 325.68 558 339.06] /Subtype /Link /Type /Annot >> endobj 32 0 obj << /Border [0 0 0] /Dest (G3.379791) /Rect [91.98 313.56 558 325.74] /Subtype /Link /Type /Annot >> endobj 33 0 obj << /Border [0 0 0] /Dest (G3.379797) /Rect [91.98 301.56 558 313.74] /Subtype /Link /Type /Annot >> endobj 34 0 obj << /Border [0 0 0] /Dest (G3.402067) /Rect [91.98 289.56 558 301.74] /Subtype /Link /Type /Annot >> endobj 35 0 obj << /Border [0 0 0] /Dest (G3.404211) /Rect [91.98 277.56 558 289.74] /Subtype /Link /Type /Annot >> endobj 36 0 obj << /Border [0 0 0] /Dest (G3.379829) /Rect [91.98 265.56 558 277.74] /Subtype /Link /Type /Annot >> endobj 37 0 obj << /Border [0 0 0] /Dest (G3.379844) /Rect [91.98 253.56 558 265.74] /Subtype /Link /Type /Annot >> endobj 38 0 obj << /Border [0 0 0] /Dest (G3.379854) /Rect [91.98 241.56 558 253.74] /Subtype /Link /Type /Annot >> endobj 39 0 obj << /Border [0 0 0] /Dest (G3.402895) /Rect [91.98 225.66 558 239.04] /Subtype /Link /Type /Annot >> endobj 40 0 obj << /Border [0 0 0] /Dest (G3.402900) /Rect [91.98 213.54 558 225.72] /Subtype /Link /Type /Annot >> endobj 41 0 obj << /Border [0 0 0] /Dest (G3.402956) /Rect [91.98 201.54 558 213.72] /Subtype /Link /Type /Annot >> endobj 42 0 obj << /Border [0 0 0] /Dest (G3.403020) /Rect [91.98 189.54 558 201.72] /Subtype /Link /Type /Annot >> endobj 43 0 obj << /Border [0 0 0] /Dest (G3.403022) /Rect [91.98 177.54 558 189.72] /Subtype /Link /Type /Annot >> endobj 44 0 obj << /Border [0 0 0] /Dest (G3.416107) /Rect [91.98 165.54 558 177.72] /Subtype /Link /Type /Annot >> endobj 45 0 obj << /Border [0 0 0] /Dest (G3.423464) /Rect [91.98 153.54 558 165.72] /Subtype /Link /Type /Annot >> endobj 46 0 obj << /Border [0 0 0] /Dest (G3.403054) /Rect [91.98 141.54 558 153.72] /Subtype /Link /Type /Annot >> endobj 47 0 obj << /Border [0 0 0] /Dest (G3.403062) /Rect [91.98 129.54 558 141.72] /Subtype /Link /Type /Annot >> endobj 48 0 obj << /Border [0 0 0] /Dest (G3.403064) /Rect [91.98 117.54 558 129.72] /Subtype /Link /Type /Annot >> endobj 49 0 obj << /Border [0 0 0] /Dest (G3.403067) /Rect [91.98 105.54 558 117.72] /Subtype /Link /Type /Annot >> endobj 50 0 obj << /Border [0 0 0] /Dest (G3.403074) /Rect [91.98 93.54 558 105.72] /Subtype /Link /Type /Annot >> endobj 51 0 obj << /Border [0 0 0] /Dest (G3.402882) /Rect [108 77.64 558 91.02] /Subtype /Link /Type /Annot >> endobj 52 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC3 53 0 R >> /XObject << /Iabc1580 55 0 R >> >> endobj 53 0 obj << /Metadata 58 0 R >> endobj 54 0 obj << /Length 18 >> stream q /Iabc1580 Do Q endstream endobj 55 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1581 375 0 R /Gabc1582 372 0 R >> /Font << /Fabc1583 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n - w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{wh endstream endobj 56 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=3) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 57 0 obj << /Filter /FlateDecode /Length 4498 >> stream h[YsG~ǯƄѬ)r:Vf&Bޘd< @Aj ++ˣJ\ ~Pv(TΥzept8zsbīӓJLur6:n(*M-чz6^M'b9WD,aУ[/&"LUe _ZBNPB?%*mf0ʝWBj1tiXkm9"H\JǬn*zL5; ySR +w^Bc@?]Il{]Y^o;3V r/]X;%}(JsU\Z1Vb=25-l4tujŭYxb[;\jͥTMaDhJ{ LC*@NFUaaÞtlj3Ɠtuw9}%PJÔL%΂0$ik3MDќD5ID~TjjѴS6x o4 #QVAVUkc_C#$Έ&aAڂF^ ̄:f]( 0U1*H P%+ZW.aY20ܛdCR8jKRGdo_!kHǁc@@״ -xzĐ'h:&Y8YEU،&aA=MfjN #Y3> B&} چv(J.m^xDOLhEJi3xYvJǐ3F\aqHKv;! "qVi4ZLGvcAI*~Y"Y}.'kgd4 >8fݴ66L) ſV2 ҷ6M"wnI'{k @BF=)?0x 0]7`nrS,r75MѮjzѮ> D O:C(.E"Hv*jt φuFPȤ_sHu-cQL:LñЩEp;[ ^9tlC'1%5Ka5NwF-qj3&Q-6/M 45VÀո"L8l"~(dMq!hqDĖڄ'$ !AÃבœ՜\`gK#ᐋTo=)Gb֡|&q0X4Krq(6ͲE>gJkh6(բc֍$A| [BkwItTb "D 2QK4l}Adb\}mUMR iQ:wT&N`dn9ua }ۈ.5+\ (Ö8YLaΊ!РO "F50(>NN7c Le)WegeɰCc6,6[&S`\~2o*(|4/b>[@XrK^.i-8bX)ͧam?+9lȴ/kU:ǿ}Ά+.>IhC4e=6i_+“rȖ=ō]:89"L)pG7 W5_Q1Mɦ󡇯?&.4h ͱX"޳ iJykP1F0񃨤@$>%lIySk21i" N>~Y|'p%9wUU: SȤd[+b 2!0DN:`\6!~nkxlޏ\I@|>e!AU)B>3gȲ7ӫ%8vz5BTNuR/gJX F*a Vj <- ѢVS Q &eݭkPLQ&3D mFKnK򳀈}2C*%^ݫGPC׈OXqh_ٸo"4_@HUQ*tKVW꺣a| z|3M[pF3hR|}Ol`S+) L@-N$#_A=A5rHok4Y±~c]җrrQTMN'SRe_!tU<1dE.c ` q_3 ď@N+]ڷU{ 2Nad &,+3K[y8O-7D8. &eFw5ݢ!ٳā kgt |MV-) )O/Cwz)NdtNcG '&? j'piVE7dP]jB7(2 Jp=x ؞](5Du^;nI }ݔI:B%!s+w NTY|If?7 Mcjfx˶|46ɇePE&W!F ?/)_{ľgHVFUfn#u{ܕ(5JK0bj=AI&4 1~rqj,.b mC%KɓAε3Rz7=qĨǧxck8[mP"2ϭ_>^ߊ?G; &$POP- HBq$' 2ُ5){LHF 80wgk6)+^q" bLF)0pUoC*DeEL24k1<8suACEW}”2Jw;3%Rŗ6G.럨RDW3xàݼ<@xXݽjX7=>/BUy8 ܡaۋȎ n2S߁.nz1Toe+q֧_~;߇@5m 2%}5g95 Ffc W}%M>#UF,rz3K'gE=SviQ3|WC'5Ua'Ņs3K`H^.21] }㽲=zk?`aF>Ģ|_W2CjSh6CeraYf2v b'qEmUd:?z.zm)^hv/jQ%^R؀U܋tO,A|骬 h#',O,S w8`$&b)'kz-&?)lO 7~k Q| /uw6]Ee+GlK}tJFbq/p[Eŗl?h_ ~^N΋̺񍇡aYU㙀3x 2{C":@+{Mq=f"Z|B2;o/4+*PNo8 r˘JPACw''.NpVyӭ(zAZ_&7Vow+|z ^aUJ#3xV;Uz 6dtuGux]Ww:">2JٻWvkޣ*QZH gs'x-㡇Ӿ, Uѿ`w}' endstream endobj 58 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-lrg.svg endstream endobj 59 0 obj << /Annots [60 0 R 61 0 R 62 0 R 63 0 R 64 0 R 65 0 R 66 0 R 67 0 R 68 0 R 72 0 R] /B [390 0 R] /Contents [364 0 R 73 0 R 1336 0 R 365 0 R 70 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1315 0 R /Resources 69 0 R /Rotate 0 /Type /Page >> endobj 60 0 obj << /Border [0 0 0] /Dest (G3.379865) /Rect [91.98 710.58 558 722.76] /Subtype /Link /Type /Annot >> endobj 61 0 obj << /Border [0 0 0] /Dest (G4.358540) /Rect [91.98 679.86 558 696.96] /Subtype /Link /Type /Annot >> endobj 62 0 obj << /Border [0 0 0] /Dest (G4.366749) /Rect [91.98 662.7 558 676.08] /Subtype /Link /Type /Annot >> endobj 63 0 obj << /Border [0 0 0] /Dest (G4.366757) /Rect [91.98 646.68 558 660.06] /Subtype /Link /Type /Annot >> endobj 64 0 obj << /Border [0 0 0] /Dest (G4.382450) /Rect [91.98 630.66 558 644.04] /Subtype /Link /Type /Annot >> endobj 65 0 obj << /Border [0 0 0] /Dest (G4.377742) /Rect [91.98 614.7 558 628.08] /Subtype /Link /Type /Annot >> endobj 66 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 67 0 obj << /Border [0 0 0] /Dest (G4.376673) /Rect [91.98 598.68 558 612.06] /Subtype /Link /Type /Annot >> endobj 68 0 obj << /Border [0 0 0] /Dest (G4.381005) /Rect [108 582.66 558 596.04] /Subtype /Link /Type /Annot >> endobj 69 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC4 380 0 R >> /XObject << /Iabc1601 71 0 R >> >> endobj 70 0 obj << /Length 18 >> stream q /Iabc1601 Do Q endstream endobj 71 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1602 375 0 R /Gabc1603 372 0 R >> /Font << /Fabc1604 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ_ endstream endobj 72 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=4) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 73 0 obj << /Filter /FlateDecode /Length 2754 >> stream hZ[o~ׯ㨨h/bb&.Z UQ*d9o﹐3Yvl[,€|Cxxȱhqq=ND 2b=?VCS$/g x(mjJi'=FK-߬[ε4v- حWO~=Wxm Uns/JN+7_P*Ƀ|/I0Ȗli5zMÏi.}n=+ ">tyH {q-%WGx22!K0h%Iv lnj@7/C@K#jX_P<>|S nY.o }qFPd7yca"bSl"@S 4@L@A/mWOAz/@aJ ROziiAHmG.ӤXftBp"G~Fcɽ@Fz+#)/l?co02GA938iyDm_QKjvS9Y n3 8N/bࡳH}]:kܔL `rlZ*Aދլ H6XGxLi[PC+6EGckV%@*XwP+>V(S;[=M-5Ys fS+D&zӣRK0Ѳ$FgD*Ȉ"ۗ >EՑr`SYO˙IIpt`+`k ҃~ ўbl7,Y3f?Fh "R8:1i(0;;r1> X}TU5wD(cdѫPl 6 g!{,IUpp2ε ҘRRpSe68p .6P)! n 'ZIqpvL4Ù6Ù6;ヅ'7?-ܴ|'7Ӗo!]GoMp's \C{8wΕ +k8C1/7:2wCtڬNcʂÆ%G/dq0y* 4&ԃ } hZMc@S rf2wFP [Cc04 h@7}Dye@ǠЮ#.uMQqq(Ӷy:Ž:rT?d ;+p|l)*7 YAa]bTM dҹa} /ӱ3L&q$U\hT8}icR=\R+d+(B( AkACXR Oa!wORA|\jCjQKW)yB݊d}i'\~p9Z['ٻa#hVo`=E痎0굛oP'F,F,e,'I RSEf:#^|Xm>{ ])w WV^__Lu> endobj 75 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 76 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT10 414 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC5 77 0 R >> /XObject << /Iabc1622 79 0 R >> >> endobj 77 0 obj << /Metadata 82 0 R >> endobj 78 0 obj << /Length 18 >> stream q /Iabc1622 Do Q endstream endobj 79 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1623 375 0 R /Gabc1624 372 0 R >> /Font << /Fabc1625 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w endstream endobj 80 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=5) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 81 0 obj << /Filter /FlateDecode /Length 4450 >> stream hZYsF~_Qo p?j([ڻy 5MhR2bU,=13Q2mv>ju7Xe: Tic0VQdFvwTu7W۫;E8sw;B>R6ʇ1ϻrlS{c=Mz8jHU52@)\hL lVZVEOTuǝ$V:Hbڝo4f2 a ;A@ fAiQв|ky+(P IG-׶Zϫ6rHr;i :KO͢hZSZ+rAjO ? fPmR!DcnDDG&F ipƒhWTl ӉDb CX+׎5]̱\18.d}֛KIwJs+u GGӚNA'J LrB:(rdH s3}4AՌ1w|<<4²k{T݊xNs_`-eYE/ǬGEaKEv1kwO|0 J9%H䀎9K#A4~Bp 2 n4!W\o?{%ɞ]Ye_'WreD/XH&g/9^`~<7_ lYC9_D>|*iJfu ص݌;3 .*" ]158+bUO-Dsq[ӎ?Q;F-_cǸ[Dig%46$i/w$_dr}&bh/>a ~%߸~NKog p%}nk)scuvW~WA^[7{Wԫ oc~j!N`;8#%92yTd&]v\$Ņl`[E1p\쵧y!h=y0zt%G}(117:H\#}RW 8Kű,K!X .n2 j/&usnZ2 r숋ZfkS>;@'UV LN @ F?C3-a Jn#nZJkǺ/j>A<qέ10eԍN;IARNU9li`TJʗJ,pjh2yb7SP~3I&̪9prOa7&6XC~u0%.*Ii#kᄑV#YKR%fӰlbP뾱;ICςl䢚n} zVy@؛#3Xےdѱ>ld~{i]*awD\ϛxM 6 "r0d#Gm흱;"*GNnWN֊Ih)>$|j0 8 C I ](B3cGd6oo.b?^0GKXajH |^@=H51<,G-L={ &qKmߵt| eޤlٚ<3tu [P/o' K: nSQ˷Ǯ`F,X&Pîbqo0eVBaF a^]b7%mH 0bϖQz\A'$mr@bֱZT<79 KkGmlS"=:UJ6| 8Wa;YqF]B%mIEo<^CFw2s̙?С^~6&_wg,SdhwECnA&OMƘeeSTDe 5'Q_.GuSHk[$b(Il ܭ\DC<֖9 ܻ[ y4󡛄& Ԟ][J'v…0PJ%l ˬr>޵ M(Np U2a򆬌ߖͶj8 `#'bظ)–A  U%% i5@ ^lgJYIs#~pYv!! 8o$Éa(UUfꡬ~Gv|$OI*|> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-lrg.svg endstream endobj 83 0 obj << /Annots [84 0 R 85 0 R 86 0 R 87 0 R 91 0 R] /B [386 0 R] /Contents [364 0 R 92 0 R 1336 0 R 365 0 R 89 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1315 0 R /Resources 88 0 R /Rotate 0 /Type /Page >> endobj 84 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 85 0 obj << /Border [0 0 0] /Dest (M4.9.63214.ReferenceCont.9.UltraScale.Architecture.SelectIO.Resources.Advance) /Rect [293.16 139.86 326.76 154.44] /Subtype /Link /Type /Annot >> endobj 86 0 obj << /Border [0 0 0] /Dest (M4.9.51216.ReferenceCont.12.Vivado.Design.Suite.User.Guide.SystemLevel.Desig) /Rect [91.98 469.86 131.88 484.44] /Subtype /Link /Type /Annot >> endobj 87 0 obj << /Border [0 0 0] /Dest (M4.9.20259.ReferenceCont.5.Xilinx.UltraScale.Architecture.Libraries.Guide.UG974) /Rect [280.98 430.86 314.64 445.44] /Subtype /Link /Type /Annot >> endobj 88 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC6 418 0 R /MC7 435 0 R >> /XObject << /Iabc1643 90 0 R >> >> endobj 89 0 obj << /Length 18 >> stream q /Iabc1643 Do Q endstream endobj 90 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1644 375 0 R /Gabc1645 372 0 R >> /Font << /Fabc1646 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n>)W^.P/ Jcȅd2n~A1H[&!#((`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R̃sV7Ө&#x?$_cxy /K"r!/dy%J]@ p%4Cݛd endstream endobj 91 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=6) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 92 0 obj << /Filter /FlateDecode /Length 5512 >> stream hޤ;vFw~E B|%[VwӒEjgl Hª.cK&*jq?@ngDdR볧//ϬEVF2˕صgWg]=tB˫3qPWYk,es\qpKn5R%3MZ&u*3Z,5إ%MMTHfm'*8FЬL&]4>˿"%|.-ps#nS (G?^R+K?k*ݦ.u"]X@A.y"! {މgNٜ )Webo͚2|]CvT9dy)ʙD~l> MclѰ _gJ"/ =d :& *%2lwRu"OV0e&jP_@I@\(LN;bmi*ElRY%]@z&f8Wq4vBL{+xbܭ ?wAB4Ӂ~bJ)8{]Cw>߮n;2WV )) '2ԭ2[:"jREB :E.@)IY䖛2V Z#z# ѹBeUq?0`+ljⱬeFS{9h33`nd.:.z|.U`Zr~Ł<9l~LUE6ە9X H,o4^e]~e 7\Y^9p!% h$ath{b<` C8P{b`wC9>x?0ʡXmDܦ*BW }{4$P 7o1! ߆3$2H4y$=sbgT~yNjcBo@Y"3y} 9)58[R$F}2 ݌pEÒ{GtOAȑD{_Q$,C0zZ!(;//ƿWDH@D` V$ǥ9` =LL?(YR,nTBxa}.B@u}D*{h BV@Ih@^ҳmD%VQ6@ S˫V XAznF@KrFbp>T?Q@˳hZX:\Lpq&8.\\28I>ΐ>]ܡ+"xB7*rqzTũũx8 ny2~7y2~Sq8M=I2W'} 2DSa}3 2D3`};̍}6jP7`-,Nmqg{y?JH*c2P( m4g j!2@K߃,^6^EVe|%3`mȦ2$6k 2yA"@᠊1krOE15-d*j0~ wsx@Al8ZC=("`hF\K*nHɉg΀2i5粏o<8]uyyR9ć8F\4s 9| H/ %dn"HǂZtB a`"JaG,|V \}]yv*IHvN4XH8H&7_KqPqB95W! C>QځE%@|Rh7B#ATOyMwR`"GE(z\]"r@AzP|R _2"`2V<Pa`(n\=M{O{Pbl?SbzJGU:fâ&[QsFZʓACxBLM35ck%翎='lșfq9>ܩUs_Q%n+qTߺՕM>` ]MjŲǮ2A4|YԦYv-],o>tM֯`|78 gI2U9fh~f)M[W+)JT~5ۗ\)W7 /侻+Di\Cn`/QuGk/| 䵕gr7%%@i?kvj}v~,6DX# 'VۇEEN͇Q&z#Hwk~nu@C)oݪ7MUؔEX3݊"zZ-^dG4C,͐y2HS8yTe=ԢiI >RݱE%].]C‚$qF\;vI/.,О6=o]ҶBeLe2ҽiT2mҴu:VkR'`>4IiAr8}Fuivťwxv H ovu?͂1X#K?1t䠨%׆ɿqԡ.`x~LyPxO^qbDGg}7m<_w IE;3IA_RnQ4nC \ fvwkv3+`5~{51R :`H;.T%݀@*E.zWx%oz gHijM,~ByP8Cy㽁(YF6L2רؓEgox_ޭ/EvM,%^hK@^퇮3]}kpW3|r1q!ڠy.в ܮ@?ROK;j7PRV)|nsǩWcU׋%;~R<^CBS je909XEV2^=$|uu(~6?b{ O(eoy ]fꓹwqm8$nT,"u5UV((L2e;)Bt 7 R+*TJܣSa]{̄qXĉϞZiLYIctģSzXUXrSloA] UENe^8)$UaLz]RIJΝ,$? FF5\B/O[z^[ɷ }pT*+|fZp$bbSh~naPI{%&D< ! kU1x1lנgp04kH11vنƧ_u =ol7x>uSb)$w%#otڦTcxG97Ҿvk>nWGpO}w7n7nViJ ~l];<)Wx!UDz6e' x$9A2$w=FE;^9%OGuUpe` |\䓨O=JKT.*1Vovݭ/z 3PZW)wA45e=栾[i6~nP/A衂bm3V&z_'B`~6=hЦrJ5V7e=[< wCiXO sT_Cdu *?zG}v}Iey %~ĺxwt@j06_׾/ 2ԋ1T ̳ǕU$ʠon9Kt%kx24w;tx9MdVpEnXu~Ifıs6.IV{:D=UhmrNWo^`\ ě;Šo ki'6MTS&ڲcpJ2߀$_ w60vNXsHB+\i# pоdJuW')x=9if2RMFft1COqDOt-jgzoﳗ(WŃ/xnxà0(|[c{mp׏/|5?>%^՛fȗU8Ucu|yu>^oۙA}!䅜`XQTl0)$ >Qh: 0:h\(ƛ [cU+Y endstream endobj 93 0 obj << /Annots [94 0 R 95 0 R 96 0 R 97 0 R 101 0 R] /B [385 0 R] /Contents [364 0 R 102 0 R 1336 0 R 365 0 R 99 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1315 0 R /Resources 98 0 R /Rotate 0 /Type /Page >> endobj 94 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 95 0 obj << /Border [0 0 0] /Dest (M4.9.58850.Reference.1.UltraFast.Design.Methodology.Guide.for.the.Vivado) /Rect [91.98 578.76 121.92 591.96] /Subtype /Link /Type /Annot >> endobj 96 0 obj << /Border [0 0 0] /Dest (M4.9.21982.ReferenceCont.2.UltraScale.Architecture.and.Product.Overview.DS890) /Rect [344.34 279.72 374.28 292.92] /Subtype /Link /Type /Annot >> endobj 97 0 obj << /Border [0 0 0] /Dest (M4.9.75332.ReferenceCont.7.UltraScale.Architecture.Memory.Resources.User.Guide.UG5) /Rect [430.2 137.82 463.8 152.4] /Subtype /Link /Type /Annot >> endobj 98 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /TT10 414 0 R /TT12 419 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC10 429 0 R /MC8 418 0 R /MC9 429 0 R >> /XObject << /Iabc1664 100 0 R >> >> endobj 99 0 obj << /Length 18 >> stream q /Iabc1664 Do Q endstream endobj 100 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1665 375 0 R /Gabc1666 372 0 R >> /Font << /Fabc1667 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [n|:]֯޽\l:H9oRƐ d柫=0DI`2R(.Xc4GCIH焟D+E̕'RSƵa(Rɐى9:Y#rUM3 Ģ@oEM2R"^G?+QO T>JщwlVbVa֦b/mk: s[({L̛h*$RG"eSRj)\Όl iT԰? D?l˒!E_@Os#%p!g%`_݂` hT:VE9 _^|v+Os(R.*x PߟI NЋm߽?, endstream endobj 101 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=7) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 102 0 obj << /Filter /FlateDecode /Length 5769 >> stream h[rܶSHd;vI6Rv/\JhF!GǾ>Hp4eV햪D4I4F QWgߜu5BY]) eL[5tgg_=ʨ3 r͙^Xuў2M.>5K6&5M6zR%جLvGT'? \fU*UaVvbqZʁ|H6?VloNsc=]P+K{O~:V+"XzHO)< hU;n+S䨷ޜeusٿՍ]-eޣ3mJ|DjPe D_pߦ.vw 3@P> 4XB "-}2,,b{XH` mr^"U%;x1َ@$;ClN%ڂ/f}Fnu0\ԫ^l;'cPgF_0òΕ+_A@1þy^UE{e]6zjt۽zexzzJz47 Mﻗnoзj!fua`/d֘ҫXWGZ֙ږW`FRqy_[1DȲ-bm>id`=Rр`:'^V邫`j`eF#$B r@+mP:=xITEѸ}1Y&pBU "#NtF~"Q`0x^źr6:yf3 TJ@I?jՈ8qCq1 34q8_G&*&ncnm,57PI#++{+UbWgȸ&.ÕzĄd#CWG.._88tq6vq6vq#y%~+͜o6o{W7~3gV'} *Sf W2AfL@ bzF̥=ޮk 8{u=M5? ٰ#Wq-wba1y$:5}MСtDn֠5hm`U׬An½IK3!c K H>֠4cM}!֏$B>H d7 ż Fr7?y#韲'/ I{kKB *P@& cBR01$~5%b @H& Xm*$67@(p"PSp5y'"h15e/d*j|;ʇ9 @9 (e}"2N ,z`?&'m9.\e&9}|1e5Iel> r~6qCfCv҆< w2K6` Pa`*n'Z@r w _WkhXi,#*¿@1t<#hLhϒw!& Mi0%_tN8Lѩ]<grIth1Wn;A-C w)Īd?<^K'ptUz|߷h>}(cesDŽGBhHƮ݃$wè۾G 3+*πalGb6oZ}xx'vF Nd!K 6٦x\sl҂TK/ݠٓ+leAB J0$yE'I7C'^zk˾ͦSnQzK^rMg>9fmN?^w[7;lԏə)  <:uVnP=(o6|wlZ"v 21SXxہhd#$5<6` fىBx:aG(ة~Kp49fOxcd p/t7a_@ܭ2HD 2cRkH5GU|2~T:_ lclG7X5~b31P5Ò-,PXB=0 +۬cn{GebUkF H $Uag] VHi4aM3&QK\ďVqՂ*i)DF Ƌa~TqZX$p,U|.SaVM)f|zUf >,4dP ןK| # d5Fdh'^!f 4īd!`<\D`z^;ȁuSI)7A cx7V) ߲9)<˶ gcOZa5* Mw! ^55p!̂/ ;@-pܲua;I᧝v*"u4qxBi@64@>},5p;C{:f,x+_7l( l;@\n0R~˾IL(N@f!77xvm٬nNn< &:>dDX>_Qi*N_nl%{2 5@2{}1:D=S f'#W]+[55|℣E_r:pSU kΓ0ln>3X 6(UODDv)պ=gnEAu6 rv-ofn/sB܏:%l!$Blϐ*`=J;'csyPU`Q(; ͆h JpB&Yyk9"r B`TKK8|3&l=>lQ&\ 6 6 nҎAs:h%f nJBG?+kRl$ǑGF6T0I;|YQOcb̚@x]c}6 iD' }q&EG }-hDH86 )UVC/)r?!T1'QX~tII9[abM(r=ˁ>cuo:k @?Ȫ?^ XI}J&J ^Yf&B 00:[Ú ]-&N]\w`%~9Y8Ʌs3,fXwz"W]Ih; Fm%\a%CSu\XWqT%n%8}Xb·`d&? ~5V endstream endobj 103 0 obj << /Annots [104 0 R 108 0 R] /B [384 0 R] /Contents [364 0 R 109 0 R 1336 0 R 365 0 R 106 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1315 0 R /Resources 105 0 R /Rotate 0 /Type /Page >> endobj 104 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 105 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT10 414 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC11 418 0 R >> /XObject << /Iabc1685 107 0 R >> >> endobj 106 0 obj << /Length 18 >> stream q /Iabc1685 Do Q endstream endobj 107 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1686 375 0 R /Gabc1687 372 0 R >> /Font << /Fabc1688 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 109 0 obj << /Filter /FlateDecode /Length 4889 >> stream hޤ[_s6OGز$@%3ln%ٌwnLcnpOB=lMAB:HZ|AyWLJiLFMn͍UZt#RǭJw)Oinu:W7ϻE?,SltRD͇6&։*Ρ1FCD-6Iur]FwC*!*p濐݊M5ܮ f=oN 1.ccM!.Y_!y JC{٪vfMv)cT3[{]^T7>j@"UDRKQ*_nClkҎGǨ1Kr0z~,G')rJn&hkMT`Ĩ[z0^G`CݫHUa"A 41J:u;ybR?t{CȭVz=ɚmhveU( ,٦*)Q@²6I) ^~ m x0g2ɭ$I&a؞ݰ7?Z}-دIZpJ_vo~?uo8nVMФ5Cb.J)a:)*KY]:ɪR$-,,ضʊ41934˴N"S[ Tx^NmLRX!jz( rTiRK p|Kp9Y `,3oVɶ]%uakN][ Lg兙4)RXe, *Lv] К%,jW-[{Y-E%h (ߛ*8k,JbjGGy"E HI^ګ,$ʖ:o4'Eäri:3+$|_|㌁nfᆿ' d5HWv0EQ Y0@h ֤IiIQvڭDk +^n,DU!͉k-u qv}ʆ8oya5tlQV&S?宍 nBشv1j<ɤv+YyV8} W)PpØ[ *q<@\WWW9\q9ř8K+ząVw2 e[3!ę-ęLq'NkjVl|[W7o ef~3ߴIW1JGAE0L]ɺ@|RAys@ʩ=d,Mu"`e'*N()GϱUƜQTOdamic#sJrr8rCՆjHVHv#d (k)9,"(ؘn3[v?=qAP\!Bt"["y R?'7ħv:x/eXjV]zKqҗZ|K\lJrpQneXxVd ,/*ӺBTu+q,u~-Oȕ&HqR1JB$D2x"q[aH:(XRQmgwp;_URr ʳB8})r5 &4JwD{cGt:@#:ܤ.r`F{3=.qiA/ti (ՠ?u}AmiOॺ` ([5I HN)lv*%5JYOyt $>Uǂl*@Z{ DgwkD2o:&lL"|u' Lmד*w=SD{O;o%مoTe \RV*GsJʰ ֶ"hwp8H8ɕ'4ڔ3 #g%m*ܞ7]#}ӗ>">o.|37y>/%}-ݛ'@zχnPLK?_F ݳ1|7Wze|N72j0fP{: faR㤆qQqih,ZqhrVm3[nhk7/V% ^waK BS#|;5IUX7 x=;ojn3L҅r9SI7F ,s ҳ1sM7A[vz@|+ȉyDyСnP#6lޣ=a0W򓋜~O#JJDgIk\0?RH38n}A.ThM{a^>Ǻ4OHGղ[Tduk'DGaTYswڅ:I <@gǙ_FC3a  8";zڀX}ѕb6fx*;:r2Ұoa>`n:<;@TG)-1LZ p1ݻ17=yq{eA}7>u>P\Ww[U&:Fc = a&y*0%c-xB#NV9pac+ [,4Z iӬ$j>OB@^ژel",Y8"M(!w⨹uw?keJ9A4fH W`V/s 4IVpfHP504SBX8xsY ɂ#Ae"/S\5ɉMEBOu3+͓CHɋ\9D$M0CY4sQ#ɜ[[WnfW8%;~ba#{,ث3s3D*T}ѴwkQO ytνZg!Ej\GqZW/-Â]djO).kJXL\az0\湙Ď&pi27晫 :f _3B '| YU#k)%paQ VC5CJK C_6X\{P"q688 էy8CzhJ"Q:"pcOcR_; qŀ]ZrIi}Sa/9? nc@v049ىFI`#.-~_&S3QBW@UFU}_WCF@lV+U/xq EQ߶NFηa~[$1J:crJZ;:*/eA90~/u}&4_@+1slB;{WX@ J't ŤtQ^x, v[Q|{SdPFR#\4`/A?^OP7wwl tʡ W(xf""Q5MKp2U~#Eo-!'ysz1?M)yGaAb0uZ qଯn~LpJ`P^bkQWf1!D>ѷ-wA[xZ(bv&"qc6*dVnQqcZ$`# izf(h-1 Ǟ4$ ܄ Z}6^G0 k $ Dɛ\HY/uˍJSλl;ϫuu|ZZoS>؟.ԋsF(9DBYS;N"=ij~qCy>q,4ib q+]njB944S w?l^秛B*0r?\ǾY`o޽RG̮&_Tz @}"H}M)1 y5skDY3g*‡]ژ=؞ƸVx0{! ga'hK,.;q? m1ZQp;E8?Ơl`F~=V;*GV d1 o@۸`X$j,By,'3h "FID_y endstream endobj 110 0 obj << /Annots [111 0 R 115 0 R] /B [383 0 R] /Contents [364 0 R 116 0 R 1336 0 R 365 0 R 113 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1315 0 R /Resources 112 0 R /Rotate 0 /Type /Page >> endobj 111 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 112 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT4 1334 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC12 418 0 R >> /XObject << /Iabc1706 114 0 R >> >> endobj 113 0 obj << /Length 18 >> stream q /Iabc1706 Do Q endstream endobj 114 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1707 375 0 R /Gabc1708 372 0 R >> /Font << /Fabc1709 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N tweBC (cμs_|۾{w` endstream endobj 115 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=9) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 116 0 obj << /Filter /FlateDecode /Length 3492 >> stream h޴Zmoܸ"H4@sIzZR,ꭵډ}煔nbߵ0`͐pf )g߽rXB* ,Wb׮.VߞY!J8"? 8^ ޒJ5ugV?'?nT$&-:J6x@Ri$T%m6.#qhV&ݶO:/ MUﳿ%54{MIS rxIxydmRʊtm@ECP,C: x`E3_ɜU.\S*+ЯUN/VG_U`ɬEgthQ|Ba*peT_^ED:S<`.$X@eprp:ắ%w Ku /+XC\Wȕ&Lҝ ^Sf-0-. qwMZu{lZP&Cpů+):Aa`imJʡ3BW,@St@]VXQBL0zz^^<{ +XiNzKzzfMZzvSﻻvu~5bSfU!!2%"`]ҒeΨLN,7 k[j3*SJtaRfh\lV:9q<έ* 3f.4[PYYŒ ٌ hU~ed:KVHc+NYY -;X*LC&T2LNtehVԪAzA*cƩM[r`ވzs1 ,,vXeUIj: ^ѳ-3%<˒.UdOaRY  4YU芙/1b  xYڼocu(!C$d@ O2#OXa"~2fJ` E I[ $ f }<˂[5`M(1T8YTnF:C?1<S,M\}L95s%<, g9)X Y0~6PD>h^2ms$ex@n!m 4g4< 48b1U{̠јCW"Mh@^19O)ʸydP|l^i̠[%D6s2@<_ՈpInN\.qC0,q5şq]$1S{K ;7pgg_+B+(Oq8ŕ!I縂lq3@C3 '*JqzT2ũ8ũ8ŹNJhlݿXo74M=bNߤʫ9JKͯ,`X1AmE7L ;3pO`&6sfOr+1ȝF1x?ƣSVGPɇƻT҃* ,=&/͌`{ZzFmA{=X,s<AƁۄ)s HX/@$PR 9 ?$,x}cʵߔ4+ρcesED?~W߳tri<^b tIAZp`FnC7`sM-X6N&08k8(7,OlDpqG1~xW Xޭ bAEfIb:hST~tNI췝qs$-i4.h fbο plJ8 M ? :a8˃\^UÌ91SQأ=®<;bHkՑv~H7y)ǚ_ p)tU/Ow w\N1 % ,(3K1{*oh8ɜvU:w~$׹ 4T؎ }Й^sit@7uy<<` LUpK=dn&& 5G4Y؝.rϡ6\T(Nۉ 1i $VO@(P5ǁqྈ|ׄLio 6~/ tExiDз`fWZڠEтʬ~XDVqE(#rjAwa{Brf~fFd}Da5st2㵏NM;wWO^}٤Ox=Kn?} lHpeN3We %w\94:٥fiPI[tx{6{5BZ|6oN6KCRej )hCcs[> C8]j?)Eoc~ j1tf4_& T(Dw!'4.ABKBcF}Cв$4xjSBC}IŇ;h`M~ZQ0,^|>G#yY__ޟprɡyP` zM. o n[n<'d)e<@y9;p-t4~aPPcH}akTӺln[aDuJ3ץ{%%ɭiek"fa\btOq]x,v4Gx"p,AOՉļwXly~lB.}{?>&LL95yNe tG4^؏Jy?be߳ZSq]Ҋ%pJ8BO?XLYD +~7t&L'DfhNЄu;5]X[_G9؏_@22C.e0e F{\8+p֓ `e1P=P1OnR> endobj 118 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 119 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC13 418 0 R >> /XObject << /Iabc1727 121 0 R >> >> endobj 120 0 obj << /Length 18 >> stream q /Iabc1727 Do Q endstream endobj 121 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1728 375 0 R /Gabc1729 372 0 R >> /Font << /Fabc1730 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n eOvջCp<I e!Wi ZHf;|/(E1qdbE0EH(.X*Mw1)7JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fgѯ'$DS3RwlVB+p|֦b/mk: S[${JLh*dKRG"+yk|\[-48יq|mau3#x?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dx)UD]@wP&]@p94CZ-z^lM endstream endobj 122 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=10) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 123 0 obj << /Filter /FlateDecode /Length 4890 >> stream hޤ[[6~ׯ[ȭM$H&g[=Q8V> McXFWjV2eluktJrT~߻?P:G V$֢ޓT(Q|DA: 1{tϨ_1Kr,1 |dYRK/U啜\ǥMkMF[d,D[ *`bt[ףm5<ҌB/{^nϼk6rug ^VZbmM/ILYp5܀(|6+ܪ*O2LdK/G+} lk0_^O0_́ TPT 9r?'%|${Y)VIQxҬr}e@[_H6/uKZ!F@U 98=V́ ғ,u'𔵔L9uM 6&ĢoyG "#OJU-<2(NK!b,2L =U8>/z?YJ z\|rA)QN$ħP>Xr&G ;;B#H]#rO|ƹ&\I4(֡`$¿phS~yl%41a*KZa)j+ӂ![Z`x^pA2AOFƿ%xao ^XGvubz؎f'q3Dy}ӧ_yʧoiͻѹ~PqT{ܹӾ67X2K,;%et=+Amjʴ?kV% Ug٤p ~չw7_yREzX snΪ=ۦg=fX5mJt;x y-yڿeURwqg[aaV^MdЄeKpT;`8ݨC;nN0v K ~^Z4PtmjZԎ ok;.+ mħظ$ESק{ѩ;(4(QD\nRwm}43ԝvWI+ttΠOmoVgo6ssbtyп|ܺLbp֗G~߀.f"2<+!k; A˅Sۑ_[Cu :llt%nOG7+pڻx9@Fw܇J^ vjB"B?O;͠^O '.6uCӷ`d5v| .j$rMH1(9`Ou#89oN3m"ۛf#n!Y >0![@K384Z5\`{@iG. > ku׏)Wh OnRY5 ZFgv(J nT<ðX2Q\xo>"ΰX7@p{~js>=]y`i$#&o>,ZOJJJs! *ia5!>\i`p/Z.C Kىև2syn$@t.&M '23723]T(@D p9a*԰JpR~nOt 4NS?G:?H2IlՖBL"1e6sBfl{T ҫr/ЅЗG(m :i uL/??w뉡=iHUTሏI^ARNK0W9C>5~<va BdI%I;apj{٦ E>V3%BPC;n;qrfx<2e)߮>[נ N#$kfOeG;E,:<[yCrG߁Ud#6=\P?Ġ{PfޘR EKI*`ȱ~s8P=;N`Ifn/a m6Q֞.z?V]F<}p럀M}i_u VC`BQ3Z,Ĭpwp+s~"зH.|tl}9uЪumWn`Ͻ#Z;vs>"-(ev^# k<DAX@KеB_A̚:JeeR#fn)HPAB-C;G{q'9I/;fÇ-jd>ۓ h j=9-Xa-|q 1+u Y'\?Z_O3GC~ٍ\Z♃ 垯=#UeТ 9=ҭt|l=$@pN&>ixwo*W,2 'x4ӿ$HjW VH*%t]HO]Hyݒvs_i:lݜ(YfDaZo{МeOhuDp'L2CTSng!diVSut[E`!i$kDTm ёt$|7m e02C0Q'@]!lsvqiRkYd b0#;N3{%SUTX0OF|a DbO 6(θ`gzHYcޗ>2_Xy/7xMj^) endstream endobj 124 0 obj << /Annots [125 0 R 131 0 R] /B [381 0 R] /Contents [364 0 R 132 0 R 1336 0 R 365 0 R 129 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1316 0 R /Resources 126 0 R /Rotate 0 /Type /Page >> endobj 125 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 126 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT10 414 0 R /TT14 424 0 R /TT16 128 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC14 418 0 R >> /XObject << /Iabc1748 130 0 R >> >> endobj 127 0 obj << /Ascent 1000 /CapHeight 0 /Descent -206 /Flags 32 /FontBBox [-600 -216 1516 1034] /FontFamily (Tahoma) /FontName /Tahoma /FontStretch /Normal /FontWeight 400 /ItalicAngle 0 /StemV 0 /Type /FontDescriptor /XHeight 1000 >> endobj 128 0 obj << /BaseFont /Tahoma /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 127 0 R /LastChar 121 /Subtype /TrueType /Type /Font /Widths [313 0 0 0 0 0 0 0 0 0 0 0 0 0 303 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 525 553 461 553 526 0 553 558 229 0 0 0 840 558 543 553 0 360 446 334 558 0 0 495 498] >> endobj 129 0 obj << /Length 18 >> stream q /Iabc1748 Do Q endstream endobj 130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1749 375 0 R /Gabc1750 372 0 R >> /Font << /Fabc1751 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVaz֦b/mk: s[({L̇h*$RG"e*)sm.\gy43ۏ}bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwo endstream endobj 131 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=11) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 132 0 obj << /Filter /FlateDecode /Length 5269 >> stream hޤ;rJ"H`޼=aGt[r܇<`(ucwΖ v8Bξ\~ݸRGe8Ubfۼ\Xnc\?e氉,إ7QT&JMLw"y[ ЖE"ߣXHQѨ7-M 5cy={8q$|(sGٳ An8wقC'Sn ꔀ<FOz1wJ΁&$_(Vm~Rp<:6z)?20Pc9]5; rO/) gԱdxBle4(Є=(j0rځ@ECAwTO3Ӽ _z:x[>V Era ^ #)pnէk0g 1۩D&?@Ac=Ht.1]8@(,ఝK48hUahDmW1lYi-yG v;ih1dڡSSdy8Z*lx! (ې?l`'>C4æft*43s^M@P=HԂ(qlUP}s)!È9".0 4][%Y99,t B6 4- nRO15`'TĪ~7‹v ƛ 7|BK Y omV}_fҏ,q`cF  G>r6tV|92ӎS :ҖG",!Ap1Ec+ȶ?):$1 T+LXCt8vIU{06 J_PaLkqr!$H蓿̳ݓ}QH+%BX uRҰ /ӕ` Mcpfy_ArK1lȼxӜWn[Cs _%-g\"6SвH$? ";Ƈ;pYjœP*9]"w~ 'j99dV39E6)||f`"{= [Ѯf1 ^ἡ>/$^HS)0bO? [=ʊ q_&!N&wfL#uY?!g L$l 89D2Cɒdc|U>)c ݢ> endobj 134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 135 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC15 418 0 R >> /XObject << /Iabc1769 137 0 R >> >> endobj 136 0 obj << /Length 18 >> stream q /Iabc1769 Do Q endstream endobj 137 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1770 375 0 R /Gabc1771 372 0 R >> /Font << /Fabc1772 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7}n endstream endobj 138 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=12) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 139 0 obj << /Filter /FlateDecode /Length 5959 >> stream hޤ;ےF(&JݾLoر;e@խ=WHTնQ!o'D5.KMɢEfhw<}5;PW]k,nFMǻ_6ibf]*auBcl36MSOz}]X?$J&?qֆo A7#X?(Hpy }O md Ӑ4ļiloFge|+<]mīOtH.!oiSc8[:t#">GD\Y ?^SX'ETWLd cy$Ik)EDNE ܅%prL-p0L7xs0o*6= ܜG6fi`S٣@ȌxI缫+y06ϲg"n3*)~5 xSdHŜyQbE01ĹIi8ga"շ}}95|o}<俐y.MC{j[ejAܙYZl^Ydy/" "762" -l0fhQM&Yb8n`Xv@O8:r2Op?P4ҤY^.?h9AE XK]5Gw SӖ#I~æ2 OAܳ,2L}'΁~Ҩq^C׹7-4Ffn\a$.$4Dr,:^]>wYC5>/Ӱp&C5ɒmڬLb/2s㊊blNhnd.a,?xIj`B ب$J⡙NbGF> 3J[p5(66e3<-bBȺҮ& .+B  1 %Sm\'glD솄3(䉊eR h n"RKj8^A(f`g-Iv&DXSf%1@6\8+f/Lsgz l KF!${d5s} <`ѕ6t1Qn:CHP$yģ4 *YNhg*q/ P8tQ1d<0w1GZܒN|1\ ҇°wk{ Ԅ$D5V^5q3q3q癸M\7q8K6.%=m[ {'B S ظ3qž&.M\웸3ZxjtW؏\4--Lf | Q<+,Vܠwi*A7H2 hqiG_8`n\, @}-г8Yr),"4Jyy\_Nm Y[} 4̄Bا``Q0V eUTZ`S\Syt>GAS*](GF dg!+ "-T_,F@)#[EJ)#;/i ʴN X)EqV#cH'2{)sXژ^z%%hbd $IJX HGp>&DV 2LI!rCY#y,)ɔ׾ahAA28ZCQw" B~[u I"2b.ř+sMe? vMk \3MMr5,|pV@6%dVb BY˛,ЪXz>5nWNe)S1ꕍLٟ?S)AڷLAŗEOdYSzEN2B v|.,]Mpip"L{2Krcx9QTQzdSQ~NUO⟣6Wi,FR/AϨtՠ/a> "@LpP3v7& PHb[Vӽ*XrˁKfA(2ByAu-˽.1)/ok›"Po :lt" C~[{"+M{SȴyW@%o##%1j{Qfa^-])׼ޑd9r4q'ϧ[W,/9y;TFrJΕi<75đss}Kw?F>u|zO^?>ؘc3%4~hcc.#ߒ5;󱝎f9S۟.b(L,L}\7ݛlzـs:LПhf24ws @햼D؞ #ukBz F b9ӥ3^i]c>@bvo>|HevteZfOM= {=˻t.P#M.BAx|/oBo|QPagdAޛqPi^ ]3zP9{ P$߬Ɔ&Y#y@_Ea7#q/SKp u3jhx ^T>y HچHl[ n -ERq2SO7]{j'{3$=ˌ uAoF$7>CTY%&8O8]va!@ 9 @ _hc|Ui8"M؍LUA~oVc D44oJpϋ^7t3uHőY ƫt;]&ҀV\@ϮLN0lV t'yDZ5~6ՄL&O{߱s6"rC'塀?Jc؋iYDxf8BĤBkUMxX0#[ W~e>@A1=:jEE4I2XI.Bi9~&2J"nN:75usD8d'itKEe']dˁvDJ@*J׉Cͺ_zT~Rl=CPێ8{ jtAD3ď(C}Aӆh6d{l!!ߠGT&e+t]?'~Nq~&LAh-Ů?(rT00\ЈL5hwE`) zHm U*(# k*$~gB5~7UG:>6 DU;e{R&rne{'[5JADco yG`~p5 8 [lZ)( ދ9+$Ϯ#ذzQ ox$EWG}}%Z3@ZێF'"<Fui9fhހ(.]7`;]}%4b&tWHƖ9L M}ߍO2:<+wp:V뙊w̱"t`;sw^<"&;f13CBkDh9ڡr"E ¢y`V5vPIF ҈̄P<:KӲ,R^߼g:~gAvii&x.ϦJU9({Sїor68kt;AiM]_i ?s˵ͷjj84J׾ɥ"@Y@ٍS"0(f ҄WTzZ\PIT@֗X]QPw+X'DKl&7^TI_"0Yj.cb!RRpl WU(~EGv )@F~LVK ތ(=f>U+Y/GbrwI(Mm5-!&=Yv/#M߼d oH`d/^dNI܍54}wETOr_t<:l۷uu}lD}%r VqRY' fʈ2D e"YKT;ΓfΊ~o}j֛q3CG%%qeoD|`x9"oA l2f2YA On/ s6pe$E"pտgЉ AԀ@S6 b8M ):QFW,\ABf |ۀT-n*`-!{+Bq8вd^Dr,)T`ky-FMֵ^<)p_Q9ߔ;˅YrjQ>*/;tvIEODx2Yix;1Ce{:&~(g`v"DLi8岪S8GҨ\_ endstream endobj 140 0 obj << /Annots [141 0 R 145 0 R] /B [409 0 R] /Contents [364 0 R 146 0 R 1336 0 R 365 0 R 143 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1316 0 R /Resources 142 0 R /Rotate 0 /Type /Page >> endobj 141 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 142 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /TT10 414 0 R /TT12 419 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC16 418 0 R /MC17 429 0 R >> /XObject << /Iabc1790 144 0 R >> >> endobj 143 0 obj << /Length 18 >> stream q /Iabc1790 Do Q endstream endobj 144 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1791 375 0 R /Gabc1792 372 0 R >> /Font << /Fabc1793 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 \m.`sJ'o.)U|B5_}JC ( '^B9O_'Ŷ, endstream endobj 145 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=13) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 146 0 obj << /Filter /FlateDecode /Length 5565 >> stream hޤ;vܶ <=Z!wukM׊@s(P&9V=^Fc'ˉM_VVY'e\j)ԨWZ]\H} uHa./6Ij.~>6:qQub]-4XkpkQSGzi^qmiRDmw7YiX&ygĹ`Sщ.  ' 5}IS+{c.v70az]*slfGx2d|u̫vXd"7C%#ߓTHQ< rp%3PXxb_DÌYbA(@ e5%k-ElE '(& [dԌ-H0D "0ۦGjԌnu !#znӍaۺW $k !V"[$ߍɑ?A¼4I)6@X Lpl'֫&W%ҳ]}7Q={uz+& Lci߄Njgo]5nV=,44)cHֹW6Ζg +k42ikzsܻTMӬIZz#% h$abp9x2y=]K`WYC5>/mR83ң̪e+3ԗqBC5`pcz']nlE;Y1x~Ԅ9'iID^fJKG?ЫPmlhb yKBH{KXki̓SUVi#A#b)5@bRJ'8O Mg0> KR B;̃'GҿHYR?<F^ݣxy2~֢ f;Z@Ę` ҕ$YXpSH'^bsgzt Su?Ȝf}C_Au LۃD f@1"#^iJ~"aPxXŸ|^::3O0V"޳3]KT# E5nbp>Tp/WDMXM4cn27`ړ./\}.g.~+fW,]\\&bg&.  ,M|\pqř3kg.,]\5|2sͮ7df/ {og7}bIL%QdYAﬕ$VNqL}4S _`n»I;g2]}3 0xlN.'氨<dv Tm}u࡞x脅nYrЬ9h4EY2q &.̀p\r\s/8 %A~*y|PE)Ґ8M I?b6$vbH ? RN5dahB&A]8[Ci0D .-K^j>z?YJNո8r|YƵaCӪYqp</ W8+}Y(/ ?&NHܰ<[*OjXe ΞOkM}]iUґ@C'""ܚ&iq#E|.]ŷ淞/p=_Sx 3|;> <&4H;D Am NU<ҡSQ\4D%%}d](m<.]. 5,Ϡ5:uPd&ʻ'0؝8rO!6fv`QA: QOD,/yMbR|6)B@{Z R/Wk›D20oT DvZCODI՞ odӧRn, w.卪OW1܍}#/U51awpՏpa1(1DǘC:榭0N*c`yEgSTr+b5bV04¢exxAjTI*c}F_|cnO⌼}=Qwu 0A14S@^aAJ=j'ʈ(@HgKg&lVk8k]Cݡ U551<:S҇zҮS5V !􀇇,j 6`'A0xV:Hݴ Jթаbv5iݡx\%vXˁ IriY?Ga2Ć`>;MD#yJA6; +SHՉ24L v]mMws30@1>U dZ5h/n^D b ͥȊ:NA8(\p%V:R/ю)]B%w}3;A~k+#nqXrw{$\'~~>g 㖖ۛ^0;xɽй,elZ%Ks1<O`oV}ub5?7 pj K0H<Zx~@o?UNk3̖`K lR4LDƇN훑] ީ,+ܾݷioVR6Ib0fgSQC늮CIxI]ױ4wgf M׀FMw]]l[t nb!'O,XpLb!,/(,Q `V2 T~x )xdi F:0Pt_ m]xgdbG`ܒ »OH䦃A:j|ѣ©tOv F^c2+ wtl/ZHwݖ"#- }B|[3@FÙ(-"=Sat& ܼ ^9ڐ{t݉`#ƾ0-vA`:D_ 8ӥ@Aa`~؁!9!˜a8cpx-M#9"^oَصDMV?sm0G\낄<rbj70w+CV.ϔ B&B[Cxdr PL`2HmMBc- ܚBζWD0Ltdz3q,𷐌╞vr .s1F:]F<.Q0Pb)U]vY,[AbV 8<;6x#M.-P&Dѿb%/Q\H(bҶmMFDin)i!CpZ"L93Œ_E-RRp4U$tD5 t|p58BR:pF{F"vj$rHجi$O2>hl ٟR&Y{ G6:~-&ކ@>!G^c$y~8j71 x={W=ZRg$ vuCr<ٲ8:b*XB*f䕞ո2oS"'l>Yٓ0jog7s)#AQv<7\gn|~rTMERгӐ"LӠ ze$)'9X&zGu d1Q/W^vnY,iּlP.!Q۾WfH|xL.3iA5 Q;eᏻ-{Q S(wHDH* 8nw@$vՁU` Sc"J<KF N+fFN_t0r%ϻY?ec;]ix^85#D1)0ur?6h \vfE7`_m@̥}7J8{=Qtiեlw S^S:I=[l5w~g9';܀b8R`ķ~!`B?G!J[7q7{o_pOR$?.>o&}#E_[I۫^/<*sJO-)`́R;X> endobj 148 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 149 0 obj << /Border [0 0 0] /Dest (M4.9.70698.ReferenceCont.2.UltraScale.Architecture.Clocking.Resources.User.Guide.U) /Rect [292.14 265.86 325.74 280.44] /Subtype /Link /Type /Annot >> endobj 150 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F2 436 0 R /TT10 414 0 R /TT12 419 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC18 418 0 R /MC19 429 0 R >> /XObject << /Iabc1811 152 0 R >> >> endobj 151 0 obj << /Length 18 >> stream q /Iabc1811 Do Q endstream endobj 152 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1812 375 0 R /Gabc1813 372 0 R >> /Font << /Fabc1814 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 154 0 obj << /Filter /FlateDecode /Length 6117 >> stream hޤ;r6 <[I7GlY٬%֩8583\ϐ ɑ2O#9R&{c^x{vRGe8Ubbsŋ[\[}j u{a..VQT>^|؏}ht*,u#k2Lчծ P(j<:u}[7 ~Ө WIhP& \0αZH B|0C} 4eC>A 0V*< }0 .9tN] VUK U{ <2:AUz{R$" iC -EGqG1o+GV=_U /65C͘D)@y$EN%pDN nFx+M^n$zl44V]!T|AsWxFnpenAF7Z˫VzU?ȚU `pBF,X:"+#1Dq,  E00±IViXe(Yz񪫎Ջ+^]5i/dʏV\x_cP_uo7aIǡQj@'2ZVEdiyưye噙Xv%EtgXζH 41 1348㦎,Q l7C0*r!d&;:2Q'[j`4)ip4hAGE!+fpbi.LU~M`'kWdK{ykKzs8wXib(.-vXcq'tp$&- A_^kL;UiiT8ҢdImZL/VqBA6c'ndYm!b`% s J2(fzZAR+yϭ8ԥ +^l,@簄!M k-ipr}8-yrjjY1?5@3%S8O ڍg>Kg@Q=!KzOcL2#AGbImv侞Aa-/OJ!L1OtRPvQ{Q<OA0U72!$KsחX`]iA;>A涩YpӨBR"#n⒙k#|bnTIl\@j(v%V7IuI>).iCfX /5=:jBnsks=L?RK]+*p*NsKIμcwzPMdf&:d\ř3sg*.o>eK-]oY7[f3_ߴgu xي.MUǸ|M.X=̍{s/7"Zm\?}b KO͓ |pu +N)sm̨TL)r3ft O_@>^64_IIV$p>&ṕ܁= 2H k8GM6fMELڧ%:M8P rlAu; Q["yaKۧ%RO'IG./E:I82LsH[Z5).^Blqt΂ϡd)`;m(!&NpE<[*[߲ gZ|voW=+MCvW,DȖ{rLH:¾I-\|V7wp9_tܳL{>\ pL절 w<"A<ɫSx]x%97$}p4\&t,@(dw|i}A~ Z O tl &+(vG|`Eۑ p(yb=7Dف9[Z>X0s>xF(2;1BeF0`&FC17+&xD 3l4"tm+5aҖ̚ RѾ23sqozG;O z1K׿/9Q? _*z^ Nm:bqPb0 R& =tmkmcQ:~0X"X& nա^!, M+Cjܭ[յ]Yu RխZK Sw:9iD5L>czAGb}k]s݋:*#d0cgPJ_Iͧ}6Pr۪Kuj{xY:rK,dJWL]Yz8VHBpP#M8n|aݮ5bc;{5z10<{NZ q6KŸUӎݛ[nTnmtҭipaa;p2lШ7@JDY1J)37iK|~HRYp(j=}=9H Fv$H,N<I!+& e-jh M\/U3p ~_4r_rdaCGD؁Sob3eUse JӀVȤ%̄Ȅ,tό[u&'(s3%5|.c#ӀQ 7l8̠6JťBfํ;0HwcuT81pz^EF84@]h&EpZ ЀPBP쪔A9LvsDB{,mHuUZďUWfOZz{o*&@䩶J~qK݇92G8;cs`+4Lա=!aZuhv9Cq%{w```t~=8FN @V9>b-Qf@/'@YwWTY {GYB|߂zh~fhDH,|]3ҽ9t=eG^kpTV@ 5P`W# HݯDR=19V8_GyQkRR[\99кی5si=?F6nǽe͎䕺.l12f݊ʘM/08ȏq`z5Cx 7݃J8XwNrUw;StȎt0P +ViCw ]Zg~(|xYn*3 H94١`'.1臱uz- ;+5`ap@hZw13.f䮾[4%kwrWcU5lM "Ȓ(lMHEP*m4f#$D KdBGzmz.cK$@"2 D6/#5|SL=;OzdiV ,937 %"Fⱨ qza'EZڅ1 3&Y+1P;1C oZ$QW_yJUyY+^V*Sy.39js9z1,h t@]Τ'UVf> L8,lzx.lXnw yX aqY$:Ưt NaPt վ~ҽ=6wkt$ô,Ha/r#t @N.S]|$ag&Zdx&Yꡝ\͏o_a 3Ft#>4ſ PWOx8sas^9LSid)M/]a/t!Gu!B Xi`rzͼk:uXrk -SY yz]Fըy-6Fm5M D8'tq.vλVQ|J+4*CU 7'Ul?$ q w7$:|-oغ*34u\O =!!Bc!ѿ]:MD<(K!__K26x%Ы+u‘ņ!0\g"/zjy / ǹcEv ,N9)r{9{ {0 h5`lfm]씂y Ɵ.(+dpwV?{_XiZeM(H:/.ǦbGdͿf(>I=:A#ñ\E;=7p1LA@4wK#uʎI-/wܜ2ÓKb9x:) H#؅c? ;4k0cpOSN6xg>P E̩Nr;8hT_pz@E9%b9Z}۫חt~?)JO/Ӕe:8j4ޏ:[/Gq=ĹrUAteΧh)c *{\n׵<:عv_L y֊3(=DE [Ac45l"&{)Em I> ˲_}Kl <w<HW#J"LaS|vƽC6aNց|rcK |8B#E Չxd{,u ƆKKc! Ux6RW춀:۞;|q>I;MEz* \ޝR|pY `漥-+& *() Sqg 3u)wcs 7 ~\ǝ@dI3Y;^KaxSTo$[>Qͬ >d 5n0n 6!sVr tuWEOIfWc ѽh={%/sZ endstream endobj 155 0 obj << /Annots [156 0 R 157 0 R 161 0 R] /B [407 0 R] /Contents [364 0 R 162 0 R 1336 0 R 365 0 R 159 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1316 0 R /Resources 158 0 R /Rotate 0 /Type /Page >> endobj 156 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 157 0 obj << /Border [0 0 0] /Dest (M4.9.62444.AppendixTitle.Additional.Resources) /Rect [188.7 625.86 458.28 640.44] /Subtype /Link /Type /Annot >> endobj 158 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT12 419 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC20 418 0 R /MC21 429 0 R >> /XObject << /Iabc1832 160 0 R >> >> endobj 159 0 obj << /Length 18 >> stream q /Iabc1832 Do Q endstream endobj 160 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1833 375 0 R /Gabc1834 372 0 R >> /Font << /Fabc1835 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwoj endstream endobj 161 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=15) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 162 0 obj << /Filter /FlateDecode /Length 5616 >> stream h޴;k6+Q1H'ǯx&u{lha" [_ AL]B@0Zm?j;,lȕSe(6o?8B8"ܲ4fFTu:U7,ё]*_+VOV)4v.+mlYW{^z}[h˦kW$N^.]Hs4j#[ Fs!W L5~ԯMh]'[nebZ[АtJơ3Z9T5P?!^Epw]?9N5CGΐӏ-@G }‡]9U1&Q :DF! PtZe4"YV@0l,0]ݏvQnhnIiͦw=G۫L]3A}Z2"Y#o3H?Q;1P\h(:060d(jA Ya8Qp`bs,J(qRr~xU=^?5SPqM2u=Υ??wyvoo*Ò^"pb"uTM3Ί8ˬz%yt[z %OȘ4Yl&*v-QLrc(YnVj&MY1AX>e9lt< N0B٘DE⾰J2v(pu4$:ζ#)" ̏LZU-# ;k+ k: h 7Vc4)@;qȉ)<Ido 3 '~'^+&Nx9tsEbΎI$Ua+B`8A5mMdHnbaN1p`B@ I",O'Dc%?g;BPmRߔȳ 0Dv)Q]L<8NW9v b"1 R>x2A$nD4SҶ#'xrd7q<AƸ%hXRG~!@ n/#J!l+Bm,,Tgq ^2{Qy]*M7*!كĦTϙK8P]i8Q>Avxf@1HDGdqJv·`Px1lZ;:3 Fs$+jȸ&ӝ&daTДΉHǘ[G[C]\6q.0qԛ4M\><4q7qm\Jlql-\8@GT-ܤN&P4qE`Ⓓ33sgBgB}i A7/ovM_L" h|Cf>i ⢍AVcJYAT nZY#0ri?8`nQ;'O} ֢gq*l%,*=5O^sj]jAP2"B;IЄ4s @K0/ 7N(  ] AJtI{ST;D"ƒaxqAr 9HRJ1$H >J|=, VHPXv5iGD9PSy٣ z@R9GdcH8@Д蓩}BHƃA8ZCq)D< T#-mO%/OsOg΀Oe:I82,sH;Z5>Ce i:gk(6|!pC Y,MȠBgKeqU+b9[Z#l\k/. |4#+*W"&' F0f.Ȩ\$薊<å@4ClDa @Ȳ'| 1rI%nF:b,[7)BiE0&EtЁ |E]D(C@N}{bO4Q)L'qT[5V3%G(wYChJnV;4o iAZʓA>tz@~hV e[Z󋗿QeQ&k]yʫPG,篱Vеu LU]ۂ< vo ŃRZ]v=s : kT~4 W?2#M=ԩa+/H}/xaŸ]k#t4 {d<"?q+\,H՘[)?#Oul^H@gx`,L[2XҏS/e|K(H}Ia4#FOcM+0^l6co @מ5g"ؠUM_7G -ۢʫl>6o>֓(;@CkzDRIcw+J'y% 3` x%oaPCIQfSG =ľٴ ",GK/+o@{~lv{lr,9Y,?O-̾S΁?Ρ9ӣM'98S (#ke`Oo ‘W8Hϒg6uvl)dVG! *7o!M(h$Pض2mZ5îCOf0 £!0Gd܍Ʀ>ʧc,HDlc]E10Q4XۚbRMqNcS^5hćHdG~k27n60 mUG &B!Qzty?{bg`կ Wo^>Uo:A Uكb%E%-2_K09۱+yo[/C6:&kb^E{=VoIg>S/=SROI' S JY8kts[R>j!JXk8*Vk=>ú-;?H^*m+Us1r MgiTi"mZ05L|-zvYu/ -{//w_>@2ߺѷd Νu䗝5 5Y,3}dO>ٰc5+srr\a3jz;8tnfQ |έ9+hBB*ġ>:Щ{qzM8gĢ&R Anp)$D֘)88cg Qe{bbF3D2m[R|/d*cp>SGghH alhT0ҵܧkrNXS1 5P @z꿺C_B2!1jJD^f+Flf]Jώʢ{*reՃ/'-xVU(0,@^樚 ϋ_\퉫|JZR,KΪy`/?6{2uMԨ=۳X5P@eջ{Kr9Q.YzL3U*ru<$oO՛L5> 6AD0m^9BHq߮k@&%x;hCZ}b#_qC>p0,oA?BI8Hkei&r9Bjp@}FO#%EJ^h %h<ڄ)S5K&^ jO9*0m!~VK `Qb&f_L}ZK}-%6ܒy\PnKܖ.z@nH{@h} gT $ǓjՆ¿i?J5QY9 tH}1>3>DEШfzaWfxY-,P Nm'eVV@w )apLOi9G5Op,wP~;-@{= -3ŗy*fmUT3(+]Sq%Ĩ1'L2 L9EmŗďM0J<.U"YYmZkdUl%&e$r˶:]IQ(9e:Lck@dyN >dȞ`=ឋpZ ZR?\2mOՇ*MaU toT؏A[ru,7_W2w>BVH\-&LU^c#W9EkBP x*IvW +8 d((c%3ƗvIנ-1"86`~'Ő %:9A:r:{T*I痩HqVZ=o_">UwY<ߢ%/1:kv0Is[ѬC]5)+K:@B-gWD2e C^%~ a ZUweMxퟂRofQ DN5 –[^ §,F"Hd2ku[EWc2~/5m!q̢Eδ> endobj 164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 165 0 obj << /Border [0 0 0] /Dest (G3.405964) /Rect [497.52 484.74 531.3 497.94] /Subtype /Link /Type /Annot >> endobj 166 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /TT10 414 0 R /TT12 419 0 R /TT18 431 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC22 418 0 R /MC23 429 0 R >> /XObject << /Iabc1853 168 0 R /Im1 170 0 R >> >> endobj 167 0 obj << /Length 18 >> stream q /Iabc1853 Do Q endstream endobj 168 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1854 375 0 R /Gabc1855 372 0 R >> /Font << /Fabc1856 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w, endstream endobj 169 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=16) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 170 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 1338 0 R] /Filter /FlateDecode /Height 244 /Length 39266 /Subtype /Image /Type /XObject /Width 1206 >> stream h[1hIVB*B R RDF0 #"A0"E)JP" (E-\lb zhwfv$}Խ`C\!C,o֞_6  0"s!yY)ރ+:E%뭿\X⤘QM5P8X)5R<59S-/\g_KT xxMd m@eS̬p0Z Wغ!Yuv VyambhKمa{=)5o$VZ2Pj/1(C&S͋3DLjbW0l6Xsf0kVLE.!!S1ĩf40'6,n tO,+f#\6W킅cGU4` T65aq0",8B'whfU+ ˈa_'fQ(کj 1!(`1D$ q6*8c|) Yߐ:?o\l.}sD,8k"`bm"wKu$01MY!O4y7\SOEV_ԝ DŽ!&Z“Vf>5X~?>p^t?Xj<'l|/\`뛝kG wƫht:efT.*ǍP,T}sEX97ߛ[ާU#dr\NͩkU~{p& (!^H!:Ϭ¦UܴꯚMiw퍿7hRޚ:wt"#4#$铮uAb=aZc;s7C-31Ꮕ5l'L=)$zX;jʤf1Aq?UMF3MnnMO;H49kcJUw~NݽgH>n4f Pe4f*;5TV&E-wMBK~K?u+y ̥RP_٭ix!Q? RFZ܋LOui\ UT}2T2Voy\Y Tuf_T=9Q˶m3Oׅ8};-g1hW{kC$I+n^;*ꎟ? ӯ6d:DlKQ~+2W̿}հtҥj]e֧sp|43 )I )x<i*yi'V>{f(.ڥɤ2s/XM\ygՙ|Eɒ{bTdRS۩Z{^®:[B+* MHBH3b>#bCE<][QS^_n)g:s/C塣oE9Db}{!C i~nҵD@Չ3OW!K<=.>-$xtWa;-g1(!ZSfӝ2e^TVMN*X9UfFRvTNMO߱l7"Xzۣ{^ZO^/WuoUu G԰ENLI&2-lQD~ӫʛ^a>,#8/Bvi2eN›k-GM# 3a۶ZOUG")TS;qI| >Ƨﬗ'kD1ҕ7:KBS*/2!VG׊;)S&1)U:QGŤQ_,)|K[ =3j(MGT-gbeHfx|LSi_ey1&=4u)r yDz\f ǜM(s;e젩G&J>Uja.ڥ)rC5>A1޻@CߖQFDL> ~ *JETuwj^gqDI\tcqЌ!JOfe ~b&0!Vs6geWUr˪4"i-_UBx?kr(jࢡ?Tz]e"N·xt0LcK\=h5(=2q -b>B =86BE eÌN=l=Y/w&FzN W'C9QB/GXկk{TOTBBNm/l4[^yٱг]oMv~zmrx2F~˯{6TkQcJ_s9$OJOa'AӄCZ(.ڥREkOqx=D&ƫfi\کV$aw@?B%Z{~5<˹`U#vY@Q:3J%$$ O o"pT |$zfڮ`+ Uy S/> 0 p M]!T ѽt!k&̾qn@n)#| UCE @ Xa P5bĐ!Z{AzX#je #LOD"L]U#C3{5tݡsڶaI\}&e!5zëT`D2DD1 ^ _xRH&;\S&[VybP纟QN;_6+lWVjҁdq 9Mfߊ*!#{P_U%7o4 zX'za;ntx)seE6b S1Du۶Mbb/ Z\Qۙ{o"RlÔ\|5mͿдO+ԇ53gDiwKdDvhg{)ijk֥!B˽~Λ mWاqyy&Hg[#rr~*OKY- w]ٮ*$ԯTZ90L7\Bk%&F>_SB]dH/}&ki05I~B+=*w{?w;3n)uC1!"PG4ֽ>V;^ҡŜ!%Eш45lbsElg9ؿhTE{W>R{hn ]kvΌ|zeY+tSE[̸ۼlA$(Ce"=g5̙/wϿId蛉lN|C[rEmȘ?$>; qI/8T>pQ1?ZݒRԗj9~%=|^+OGLH{l5\㹥 ɥɩ~?Cu""=U{5{$ =c zYePM{h*XS<(\cK+}icUIz*w#!G뒨ULoR{EhwKd,w!C B B>[R>ٶFR !o\&ljkjj2)z%T}ܿoj|iLY;td=56džM4e;ݘ](TUAM3 be=waY=5U=ò2xInO+ Kʯ5=bw-q!qbyk!M6Teh)d3d#] [* 90gZ7-+8q\F1VԴ2%1]JWKp}8d^u[( ⎋2ȃWg۸$LF/?γr%O!BՑ3DRWuZ,^|7:R8mIU#g0Di0w M1=*~P0!3o%yRsq+9hJfUU{(TA6Ll[ZTҚ+x>ʑÜ\b-뺌$C28~kI>d۵}:܈!vŜ/LW%z1J#V62%^6 qrҐQzVboPqCy TO!am|u};vHr{@?ʳMj}wĤV=D:X@) .l;}s1qG7p"VlxT\WU˵4Qrvj]!?яBS?(!0c:Ii5IκvDBɟiXcY?ӳ2mnQ;ҚqHjk2w3Ke= N qz_1(evl}p#ۭG(=C _]z[}nx"=a+Je;0LIuJ+^ d r;޻lӖnkMFDm O ߞ>YDU4Ν,cw^!UҬweZ*,}F!%4NmXy*uWdnA0 F/<_ئtl68v-w\wftaA:l&2kzyx ͅ!vn;QEOl~P m8񱴠7[p/;zv4 ~&1Ppz֞YNSLrcP!T*q'#YN{B XaNecY|PzĂK܂Ѵ9DAPL5C G[ P5bJ Sl )+@@j!f874-4TcofUGE ĮVbPu|T} ګV_U+Uho@`zre>y"!WݫK׶HֻVt"k+4GC;s/CB0ٜz?i*`zr(VN%%W6q'mTƔyL&-zϾAFD,M;UP5 T"<^uڂl eD*dBzzG9wuPx!C։r؎[?9J=oԅztvi_0z*H\8& 1l2G6JEӉխsbnJ2 vބ!_{gt:MwC9Ze0fyT-Ǎүa#WQ8}sZՓ)/(qUP(-~(LzZ(CCt.G?iYMi_5 tW'H']n2|cI QUbh>䫶ލVrtk T-E}GlYS^uݫq:L))QI}W i[ OmQxP9^o&,J_#0٧.Xi96lPTZ[Zi"^z*-ZEy Bcg ̥RP*_?SȨx!nh)|oiL躴Ss.g *ʾre.賲l"_ _ʮE qs0C^'%j3{N;~pfov'Nڼr=a- G]xCK _Mj0C?fXWImtK7&5Oc4jsEilg9"ReJ.O0DJ-vZɧ^>A>#Jvi W4 ы bܿ*tڳG^j7[e1MRg%h*u{K1Ih50@{~ T='T|'~'ulUCf?s_^zv1T塂]zP32T:fC$طG!:9PHd`2Ʒ}G8c QB5! qSv\ڋJ̺ժ)QA/<䕩55(c5iL?ny[EG6>V;럼^ުzw=E~55lbsElg9 q&;:&^}V ay]KSByQB'N<'r:(oU5U'WjIgm+K?zL.AE#&U?R,קR<(|OoY/O>H?2tAnJG/j9~Zq::e${oZ 5xNP 3H[Ji>eX O9pyܴ2iY9LW젩G&J>Uja.ڥ)\!S's6Ӽ&X$ֆBR`~+ff ~ 鐉 T +5#eLJ ӘTH_m4mD7ކ {6|BG/GQ+C³{Rwk{deUq.?k/e1Xީx$rzą3[Qh)d3d#] [ҡbjs>Q8.#+jZ LYytXU꽢]3H!"hpLӢSRp.(xZ@[:f(0*_m\ذAsRaeRKZ./1xLDҗzV (%In fE*i,1^ 7lG~9~sfmD5OjӼu?<:ff {hM_'@SoDF$Q<`I!=h?YVbZ(f5uhZ̉Sc{UmC,J#V62%~u}Zxb3ĕ!RH }zzDص>V/\MQj7T=ס5L{t;J4P![aTJ;IάذAsRIeZE"Y|Z|Bkע%B]cܓQhȶ6m3u!2WuC$ECaQ >5J@4tAGaKu"varkΓu7Oى :"&Ll]1bTJ)p7&WC?=tNo{Ո4 J'Mm2m"|Ė=j^ס]I}ڇ>ϏPW־`eG3Q&1Wv`3ʯ7?C}؜!Jvi W4 ^@+g O ֩&ҳXݯRɹP}uM]0I/?LJ0D`~+P}@ZzJذA(4+KK: &G@w-$hp<0Q⪮ {?|Dj ):u۔BS?(D݆2D;;3N:A g3 \,`u9DS%d {<]!zIvGy>M%$RֈH =;\6d{&'cN7a+Je;&A58Cd/4M9z*: RZdI^!BCd|lj6˥jeNb6z竑O| T"'^ók`k( \1b˚}T>STN"G8!szS5]i6je*D+ F, gUjCҵm&=$kK]+s/CBy Rj!"A` 7{?K޷ƃ>vp>*Wőo$\C{q58jsh m+L"OgA5WVry}¤~(\3HV֝=lYMiՏtcZZ6htګÞ?m~y˥#%WsmZ#bTlޥBAî;:/֎ǠY!O')դ> Gy>YݶSIpTo\=,sc@%Suڈ“Z*RLa1ѺtgEblCs*\TjQ[9LBgT4ף['O6 jDOtEh}2D&Aݾ1N;p۟<(?3to: .: n0 zX=-B US>yr #f`&SGX+3K%%gE=;t2>?۩m"VGc@]]3 /bq́zXeٳMɁ-FmO*mC1nBG_TO:;mG7VnzC}G;#N:(2,ƆkubPuRՒErdHĝQ&ٹ"l.gs ;O Akeq"vGi:7}otU ΤQ6cTSğ !!c|gXÇѹc6$|+YX1*]ݜSRp!dwKAk7w|D_5Hnso5U'V IUb*6`I|?8Bx C~죬 ?eHw@RT-buCCpʼDJ~}:eʳ~hLcͮʼmLtIem *WZFM.%BZ)aG vP:AK1zlJm)r+ED;텅}G|rqܤrپrk$} YH\.|_cװ}_w^AM iFz8^98;)BK&Jd+AVX*?!|Ė;ז*觔' %xP&fХ30)|x>ͷMS t rOr.w7Q=2ƱarsVK Dw-+hH 3]"H~z"*"o!U*L Nc Wk~Y1w]8ce9&"*;em!f_ąC-9rc3D xm“cװ;B1Dvod&V~V5AX;%IYb9RIK@jgLk;5|_+&z /E cҥh3j q .Dž+^GYMkN~A[U뱒"zQ 1TMeb`S0-m2@ʷLeC` 4 ,z~k8Hz]!N z̭{Q%x& eń' TA@0ۂQX1>4cA;BTG7 qso-B'9z8?{9|%?Zl;@Qm92]$($heƿdM!jNHyx &>P6Qg&(d [EXy׫zU.VZl9)8g=o"IF1Dt4BJ^A7Titʹ\j3ɉDbsq׿Vc: (kbٶ7XF)Sy2lR "m=Rw$k$J (S& CXM!Ryw;Dt E9)Q]zqXD ![)h(BQW@R>JasނEn_.Tri\)nʹ=o)(FZ۔(GX8,"~[q^ð }?~-ݮ'^Y;u~.iS]3 rglmBԽ2 $q5 ~Ҁɚri-&NǚsҡgSZ_]]X32OmbF޷1X='ͶKu(ևV\\QM#W*MsYAѶ°b x(գSGAJ#=ɩ`Ȋ?&7vh9u.ܶg"pA+ Q`yk6X1P"d߃ꢭeH?f6ns^+I(Mʇ`JKaD"|U5b zN,mc5:-rn{ޅ1Ug%i\u¥Ed n[^^?[uG=U,u/SwSV lq- S$i aeQ|l?\~ܙqvu5% &gQsk^|i@۳ׯ*Z'`'/ʢP !st7v}XjMLC'°jUIsr=oLi4 p&]!ܡ1z^,ro ]u7ȷZT M3H$bb DR2jqJrPಉ~տ [g&{V!B" Z6<_a`[${k8Bʒ<,x@C?FO6Wዿv'@}Ѹ-HF0K6(O!"-aE ;BXqD$Fr";,s_9m"0wr&"VŶ+v [vPDfkmMY~A?7U54vԴ?pBP/{^%k\;7ڱ(im*ij9oLi4 {s7w'sS/*G)gˢ> 'ʠr*3.f(GE\IETxSQ)%JPKEl{CBC!8[j95UoG>LOsk(L}6y" B)/A_T#FU{W^|/)]E:$t(߾-?Þ&!Ԗ\zolU<,G.}uz[ȑ9"wFdrhq|l#T߳WšfO#/m q'HShx \f7`hL&EQ{eѳ[ >n9`JKal23Bi] K"z^,1?[{ta3R=>\/aKK'OV2ZbSdEP KVc W| <ܮ*٧[<[u!3O͚,Hne.T1K!j!V^T exR;h/E6&!brK1zlJm)r+ED;Ŕ#> 98nRFl_9m9ES/Ǹ]&Ǯanɱqػ@ Xʱ*:&iև=yEI[/oN{zV,1!jLJ̏DJqVUk\r.LL6USR4"o!U*LMBc Wkp*rϊq5<aĉ"l̀# *"/SC!Fŭ(![t1D0AWS+C*7Z]Srhu>V#$gFM=)DYz|xUze;ȾcEpUMMgC9!i!å[#n)-qshDfHM:M #XsArJԃ}{-TXOcŽZΊQbOM{_I-S#A{.Q<#fe)-ɭߩD bCoq@_p=' 7@ǹǞi[Te<ҝX.oa&\T}{%+jtğ ~N!:Ga*H6/ۍgRG''CĞb# ueG,Ll3(≧} ^wM7@m"dѱػCr"L51y X~M6].O._<ȑ9"wFdg$F(#5>?ⱡ*Xqh+V5]1%JhCo$n$?' IvX/ [n;uΗ#Bɾb r5"ydM"Q.;eQv%b&3HYYc5$\~&!RU[oy<ʚ{c !DJ~D^.?-BCJ$JPPzqXD L1Raw;L| E9)S& C&?-BHݑ)(+L4 eb5ʎ- W.K;eMzX'Y"$J (S& CXM6"!~o>@oʂwt[kfo!!DDIg9ͭF:g']mpBwVRwS7 QrAbݯÌ\#d2M&k0}?7-1HBi/>[t|״GKr;ض0pDFiACi'uL?: - ׉M{P+~n\;WɿWlDDޮ#TLUC Etg~%w3^t8ğQݎ;c kYs> ʭ-e^|K T!\U_V>zQLLJg!gi21[| N rPAϱ `$PeC iVO\%>u7ĮQ1}g%Բ6cyu¥}, .VCx>r^~ݫabG0y}66Lz;Om{ek,!eсdЬ{wiefr?!)"'qv[ XQÍ'0=ѸO7G0KN/ط4!e!z i;DM(L߸pF%CCEe$'X3";C,c?B0m(k-;("Dp ;Шa_V-[닇f:B$? {4чOјM9 okO㼊dJSR;D%8VgYNONU^bjOAuBTĀANݽ]2-S D~XE`p).=`brQPX! lod%2 EL#e+T|d#v=Yu })GY,i[[2WR#J)ee,\"6GpD<5r !w.fzY(j^WWm' ߺLd\ԃԽfzCG))"3 ~ b2Z|Q Z?k7Ykr#Ƚ,Si"C!^Z wDMYqY<k磒fv<^*rgLe`yuk=Qh,o(. lov{h?6ʜX=s[P\/VWdĤ=;! X}>C7־kjgeUi/bS u^MT8K{U%nW[-ScW3F]vT$pG+¤q76қZ+cM̤+W^T ex&A{!-;H(=6to9ʕ"V #> 98nRFl_992-ߙ,q Ml]};܂ 8Z#X|÷UӉ(k/kǣ pxp0V̓rƛFA2IōbucRFcA]SD<-o忷EZOpJe)Xz(0JhWZd&ځXpv-Lc?ԝ2'Vt>wX"1Ѳ_UUk?tns~z",-zL,R޴,T8K{yjxT K/<(ˆ0CᎠLVԪ&`߆F& YRUmoê<(g[% QCl)b1>9)dsDjؕE!F \\dX N/ӥ#$gFM=)D >vrQw} 싸!NgwFôV-˗@cIʇzFlwfxcj#1!o  dbL58+[11-ݱ1DGT1h{uƒ3rq} WCDBo41-H(1lYc`qh- h]B9<^v*cŽZCvJv\ fq:x0!D60j;AYY_f/7U 3tG|5J% #Dmo 3A̼2B Ð<GCzYyQcN1vQc"2\ؖbEK\8Ԓ#7v;!J&-hnSɩpT`P"pc5  Cllge e+0#B.ˠ~\׆c#?BT'cg HddLq&E8.2; /oн1DC/iNaѸl}hh k.ɷL]ֲp-md^1T!N z̭{Q%x& (MB᰷PNq5}/ $uFٴj:y hm >G㾁XX?'_ 1$jG$Gs/P ~q(2G6=݉GI!!ʘU37]R#D=e!G氋De!)D QO-Wcװ;B qjt6 nwj@c&kPRͲ<ч(',Dɴ!!5rO8a&`XM,MM/`%qF|_,ɽX7f?Gnu!٩ov1Hߴ} Br![E\;Dcxi>9♰Ꟈ'hb"k 2!Lv*,e:)BTlxj0$Wx. HAOY'36s !Eƿ3Gs?Ll1(= aHo3_;;(wpS֗b"Df5>m92]$($heƿdM!j-Dpr~n(k3H 2le俖GX FZw<׏z~X*w~s6xJ"SSyڂc r3_D9V:H#^5۝٭kU"2d~'V$[p-ˤ1Dj: (kbٶ7XFx 3e7P&VB\jABGdMDI^eʤa(P#DJ7/q.rH4n('6%JkQ"V/!Dz!% [(J (QZGX8,"~[- W.K;eMzX͐5%Hkߵ(Ey "!~o>@cD g ~Ҁɚri4nkW+'54WVɿ޷j}hOfdl+ユdKrP\,yg%0ܞՂ}b>U"V/5W$-\[(ƛLU.R笓yQ i,m{wFx0at X@Әn1@jp ĕ(H5_Ez(P2[BMuV΂qz3_g~r9A %LLSi?pYemt縊 q+_3VWvYk[eȱzIY}1W_έ󮖙2C,Y a*lwvpiK[ BCB ~?i~fyu/UIjsF& ܓ\(,Z9|-۟ک)ԛCɾV(?z>^I~par9[V7]]SB*+*gYzV΍%07wؾu^ΗƉÈ>jjAdd$ZSe?P.a8neG:W$^ ̧y;HKOsJU5$Ҹ/mH$xA22sˣ!N33"4?Y=- kxc UՋOKED0[ r7H :]*6~տ [g&{f 1JÚvyj`g>uXq [(;{z?7O{_+KBg!C?YW?\jۣ/A ~E t.qs/V>?bD-ʻa] m4[o?eucm#9JĝQٹڶ`ۻ9Gc[bb[E-;("DpUMvDXfY עL*bdZ~8o+b w"?<"PG 4̯;uڃ.S'XT=:l|(:Qx{ ƕ;!V/ ׷ V㩪^^Ypfj#Pd*TL)W2u/v*bۻUml  n4eS y oJF[TC`hDN8B䐰9 dLyB](pP3TtmQ"G:oaMjKusՉA76 *tE#>d:=-v;Ls_9m 8Sgs_uO[z+n PEpUM 1M$VCkT$-hX)vrxH'VNhgKw_K'O6X[fsƊb]~/N}{OwedUnG&M?PZ"bQ4 1!j MQAZ*,]*66Xګv nW[-SL/P'L6nLt[O+/2rӂM{q݅!Z DA;y Raj]v*cZkG5!9!H!<b ֤}H7<*1)B#YjKQB(4\ؖb¡DEqV4-(m;D9X,lQk"~R&vXC3a^Fln_%1 <1p _dF'd(j7W}dzdzt|CG>/RՎ,rl U5|i^0DUH`zҎM`hdebI"Ng,Z+(lTXڻUm,B,TܺU'n2WVL12C0yTө)@Yɿtt[o/QxS2u? s8W_HME-!ʅv ѕw]׎K!HX0e!G氋De!)D ޿2a dQ|v٪&ƍ  4#}Z,T_2Lv+c2Rrꜱ[O<>nQ港zV81HporrOr=09mJW0yf5ڟlEFK0:zIYmzf-TzA{NDT}T,w0" kaIPQ&P *]2och1lxj0$Wxk3Yȑ-]!bO1H_J?fYdfPQO?A$jo*hExɢcwGcEkb@m\\YGfxzO:&rdHĝQ&9I"HM&xl~&VJpUMWo| afMb}ԙ'Km fĠ8C~R V[EjyS"ptI_45g=Q kbri\t wD]fZDbodM2fP&!RZ4$: (kbٶ7XFtnLo0"AtHDCJbQ"V/ !?C7,s.rI4o('6eʤa"A;5e%y)L&[@!z~|PAʥriLܻI[i{%L$2e0d n ^9a?~$j+++IGliQdz 6I27zyY3¸y9ug% |לR:MQbcve9"kWp$nJj?Qߙf-1UQS,F z0&ysQj,LޮH#T AP$?G³Dp?|̣YnvfW6f#l| mѦ:[.btDDY{?ݙhS/Ӝ3 !}/ +K9;i&31'$^xb(>&yC]G׋| n~P9"ck41"J%euea gߊAH.P* EW9ARRˆA0M)pa`\"]PR@)Jb […o=h;3;kK,Ygg޼73}3&5w*k6Uҗ z{R>CK!=lUNֻVvn"ƶC~Apۈ>%jɴQRԌWog΋?sꛟyz]z:'3`Dt~Lr(.tglMYߟ^Xw(ZwgB{%ojieY&Pg eRy(* UT%kmBך*O+!qNdO2? ϵ{vDMj$!]82DSa f"9 \8ږƕ6܋Eiߥ L74\}ɲH.AAҍ˳iه^^$7ZRZ(Ru F; !Q|TzYg@fcogƋ_ݦ`u#G8b}˲'d'VmA?ʕ-| /:_<䷇ͩ"$^B0w6*{$>40vAdg-L!.M v^vPm?!^&aL[ihM}Piw!&*9xbD pcuΨOie;dI;C,=,]j$Nxxň#Kn?Cl4HC{ÃC [imjU$6dF`&"  pV+P%rWQ-QaR=^T @k]z¾@;KeX,Uf-FئY샖gn紗a"H,M^82DqkC,o6Gq>2r0Nq.f2 2w;CDW˻僞wG^UϞHlNmxo~]Q Bh@Caf?}L`z q!unV0<0f2 M3EkJ_;oGhS] Czտ/&o9.ntHr%oɄ^3_x#Ή '.2;苵u :2D'<(Ds$a3'Љ醘c*%t_UZN^hEy&w󟪞/__e,_/? qi6-T(.R&S/+[0:=|Z/m o4͟?H} !/#ם!"!D쭽fbm|FB~fc ?yD"C6HL,LS\!b | Y3%u6{O` _<˷A1NrwrTԷP2wMtXr_zj((6-ժMz-"9sq#=JvD<ɰ峥& ahZ^+s!G,MV?3d2&m 8 q邬sڣX@Y,4GkPϧlg`y>3!XM* ztt+uG P|ddжxݯ>g. U_ؿ,{Vf8;''y&KZX~-fIP (ouFku!rG-wynWnhPxA&!@~3+߻6Z%j;^vgKghlWD,cȁ2?3Ɇ¹3ŒkU;S=ȥ0LBF4`ȹ^&+t}[5^`"xI}0^͆9N8s!juE^@ p+5Q܇Gci vpnjE530xeÕ 'r\ޭp,gCpLoE) iesjCշƇ0Bn~A(`^[ ĜB>& pI[[HBY`7=<;?V$VrH5%EB}EhSMviJVR,[-&w`wOP h^Q=hl%$7~aP|s[[Ņ%툋&DmG9u #aFdR|Xp*!LӤo?uOL"rFOt3i#7/2]rQqΟ=U==_psiuyqGͻMyqzǽ_8?kЩPӰw텥^8!V~HK?["%jx>w)r~*<5+\>A5}iS?%r8"BJ i&! VZ1l$g_SagY[Mu.lE-3둎 qu(zTX[I&3dJq4$c(9xddەQOfG<$ "Np0zTֶRIX.-˲ڱYvhHhۤD'v6x\sY|PCYn;Gf^N4y B=B]&m@(& F0\۪a>T|95 gz|dE {xHq FOW;Ĉ| #XzxXjv*FWvkN5x^6#`u"Lox ״\k #z9CtW^`189sc+> [Q@"t2gFŴ^NzXtד{^Z]Dr7eMǎZؕ|g[Yr K5cI@<:h/F skHdhN7]a6xVi.k֟!"~h[sg#nZ]C;CDx~)%i.џE|֚cڣv>W_M\4PK#OYsaZ6xzq{xXjv=čpOz7e.Q~i݋(?1˥t\u-Uuj9mIU149<;(zLrhSgmGP/jw(;~кyڶ `gxi ji TY` F~o:w"L\Ȩ̔xp$EOmnK Od`Fz 5 4LU}*_0Yljw"7w6jRyepI2 hraQ?!m8@0ɪh㼱gugRyӜ$faxLɨ 8k"sچ Cԛ dT@bT!L 10-X hhT}fb CUSE Aȩ厶f)01qa buVۮ՞ב[fF-k'=Mɨ+ UM_p jY?_=I4 [o[;9$<ةg"8=鉘h+ b_tK)y<r|MW|P\^^yMۨI3KSm:'0.joGC}r Gڭ?Va.T< ,Α%ڢLvqY~_f͊Ϧ؍0R-k4/`w8ysUBwiUSo" qIK>il>n/?h\yu:κ7vDLw7?'A{g7:`ڣHfN^ʝ3˨{&i"~h4hT$X6,6wf/gB,p`49(GU_Uzva&EbD?`db+M_^w] ^/6Cz/<WK&6UԶjBc*" U k 2+j6?ؗ%;vAY2Ĥ"^ϝSo q8ו,|1sZ\L3ct%:^ eDL3Zv3&OGpp=q,M0\*W#~D:m֛|iET Pm㥍nW۵vFzVCss_veQ"d]ofEE7*E!G(s!bL&yAʡCĪy}`^2FKĻV|WvDƨPdi&#MlEbyzt F; ³⣊-Prs/fMl/2ŪgZ Vևm) v %`5#SoVnYe ڟdd"[c/M3 <eZZWftKl)gz9riRЖ,)\of]0EJ7:q l!Ģ81Q`Jՠ}T3Dԋe+g|2σCh]Ԉ3!4(|Qݮ^o̘!E"] |]-D>ʯz|<߅'#9^}X/{wE%& <1Q1ąԹZcQ$Vr;C4)!|].JN%^|x$6w% 1cw#7Znsl FG ML!*+FV^9,Mb6~n4.XFQwF@mO?|1xqb2 "U=? 1tIu2㪝Fx8kg.g!N?&ބN"a",U KT #çFHWwꪪB|i.~cGVX$–/L?4vh qb  !%bo4㐅efnSY73öTX#Y'Fbbf^;MJn>SL\}5 U9(9xܕ0bUNSL&ǡ-?]3|z]ەx7'֛Vb'QK7[ Wq|'v-û;Y ¬nV]/}}lf!>0[#w(Y߮*76a]JIET^{xXjvrՃZS MLj.Xϑb0x/_ M˻M}yߎ/%2,ÈiG;[I/oY؊z23gFV8qxstn_O]*{i%wݔ`/^Pk/ =3ޒvTa9@O L@[x޵ԍߴ ^O:H[qHdiױD7)6>f$(p4YE cKDC} !;00ݪBCqKG#ey=iob"zax/<&;W#<(nW>nW•1,:F#*O+=0ݬmܕ^Mx@!gB,p`1c^.!bF nX$zerr> O|v]RoǴjy+[X;^Xʧ] qY$]|\ 7gfb;y0hx:ɋT,[ӞbϜ],p@?A1T/scތ"b7ŝ C}}ez?ŗVU] ~n^/<+=C wmd..ikss@Y`x@pY~7ieF+d EOmn 1͎vMut)ĭ%xCAHj׬UCmae*HV4V#'_1joױD7j&54dW&Xz^drQݏ]rw!׃&$X4_"C{cLjƺKM8ԮWZ/> IFZ+ 81uJ˛Ēe@]i37:Grd2T{{ ^.~_>oݏ+OL\!"osα"w_<l,@򽓠𢳼*x'z:cQ/E a !j@BbWO5 D-vA/x:tV+X%zg:w"L\rwϴ\(bi3M *H$&zUH嵍ZyTn{љL, ,~$4 114qK^Ԝ` VX"y_  5$C RGS<ûHHZ8RFb]maxW+]`w   9F G*GsȌt-/+HXbvN_  5~2D?U:'>.—0^XG +L%   1!DM0^8lZ &C$  b1dA~Oi+tUBh&UGHAAĬ!9ALOq͏0U}WkR]N}2D   f!C'^ڤ^T32ꃶNX]i+O쑶esI"AAA3ƈ!zDRH&Q憧"AAAƐ!}0gʗT[ zdAAA#m4?   C0D< 'u  9C|3U|h׷kdAAA!^z/}yHEs~"C$  b ~}V~4 8<= 8@  !C \%N   f        C$      2D   B@0{  endstream endobj 171 0 obj << /Filter /FlateDecode /Length 4892 >> stream h޴;ے۸ TĔEd6z˩cO.U<gFIC5ujFhht7 Z-^âtʛZS ~q͍WZ.t# VIC}nvp,mMXE}6ӹYn{]1f/ iW˾;yKqh^/7>[jԙnsf ҹK %#.2 SQS+_S{rl(V٪: qg0]߃^,.u_!- "w(/eS VޣBWQ|Dl@@>k @hhs:`s(:wFUR F$]Ƃ-2m$~O2A -_ f{@e=vDrةJgl;ʈd݁Pvb*'D|ɘ7]4րJB"uWBjbVy:`bsm;j[ ,%НvyaqMHjsiow=nv78n:5’Aih]ykSB&/kOUzۺR:/Jz RrMn%fUԹ-n`^W:B61{]xLTմ M.fұle5lO9(ҵ0d7f;t7`~65E^3hxB|ptl7e@-@~cFPCˬ!`yx#' h$lh 3;N jOpW L*sR溋Ź\,qq]\=:uqupq}#;>]ܥ $&>I\883wq&uq&uq'<tjV77ͤo҄|'7I\1(TjzfLՊ9I2AjU1΀Gȥ]G|4qϢvNY]O} [bd*mFI y9_NΕ_!Cd Ka9IФ4s D&Hn 7YT\>O% TRn?/|JPj(|jH&DCO!a RIq N(C"!Sβ.aVcn!`r9^̘#"ʁ }y{rX^ t1E∪:rp>͎*UeL&OUISl1SI<'#ߑ>M% ,Ǘl PU}$2EZJہJ^*mD=[g΀OUZe? ҞV8s R9pQ tP^@ .kĐ%tB2S͋ѫ8kZ!*}_E~+MHՕrSMsE EVуk\] /PF;/9Ahg t @TG(2D)[^_U,\zmT"4VkR@w] Dok“\Y0o*l "NJۡPLI՞ȴQu?i9ahǵS4,UҵD?e#~qAG%/qzݔ2(nZ˄D{-2oIw /q z.cNXa;xx6)aHe N6jMhL':&ۭJ3]3ő:rۓAw]?݉` w&~ fG+"q"̟ٚX(>'zr8;[s1:ANmRaD K"zGabBu 6 [2%Cs:/~/ @Q" E]n%eQ/Cq^Pvm?l\Cl&a1^ I{+J =r@kaCkmn,iHTND?w+^ kQ%%`,5V_* P /seaꉽx3v$xFIU7ٷO^WW/~yϘ#|`gf7ۦ  u<+!RM}Bm񡃵n!…g4Y׿>JVy ْ@_@8<&UE@=dtl%`K<:( +2>]]tjo 3OTKcƻGUz~Sc.UfBq57BG'ŀh+g%uVօ2&NsoAFzi:(j@0,{Ȟ"sE>dBpjA]1&y(i|7o# |)?7.u@cCW%lPq Ƴm]a}+P5 - C.Ul$eu^5}PZWff.kj6ܪ,dNNf2Cn b1O}*ú  ,Na|B4":num_|w'xD% "+ :11GpmP~'z(zљdء[yCG? oCV{V_{)s'>ʠO;bۏF eb1 1?o\Z[Q5%G5@X_W!<ѝ‰˂W#bOwZ(m?# endstream endobj 172 0 obj << /Annots [173 0 R 174 0 R 178 0 R] /B [405 0 R] /Contents [364 0 R 179 0 R 1336 0 R 365 0 R 176 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1316 0 R /Resources 175 0 R /Rotate 0 /Type /Page >> endobj 173 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 174 0 obj << /Border [0 0 0] /Dest (M4.9.58850.Reference.1.UltraFast.Design.Methodology.Guide.for.the.Vivado) /Rect [91.98 190.26 121.92 203.46] /Subtype /Link /Type /Annot >> endobj 175 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /TT10 414 0 R /TT12 419 0 R /TT18 431 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC24 418 0 R /MC25 429 0 R >> /XObject << /Iabc1874 177 0 R >> >> endobj 176 0 obj << /Length 18 >> stream q /Iabc1874 Do Q endstream endobj 177 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1875 375 0 R /Gabc1876 372 0 R >> /Font << /Fabc1877 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n ->)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 179 0 obj << /Filter /FlateDecode /Length 4827 >> stream hެ;r8 <[#8ogVy6;잪<(2pKGhcwo<} ʊ'ɜJƥ+hu7{J~*UQiҨ];x={q}V׷3]qTߨ\Ju͋ԕ~r -y-r]lժy]}}MQgr6/3ucw 8hn綴Zg:z" \.tWDl7-,5~AS{v*w@04~Pqh'Eղgq~}_Y[rMYQpW?9.5+GyDȳ +6rF@la 0^QuuQH#K-2$~ =wF]A]eMݴpP,!&ۮUŮkA}cD%>NB YClP>ʹl%Ͽ (`sh ںjze`+1úՋ S_]" {RFv.>^ҮخnwR`˨E4XLa*qU8:Y̨6E<5KcKͺtԅuVY`!X4 POG]zLjnVj@]F(V6rAW)ew5 r-_KQiX^Ïն>9P+0P'gvpN-gJc5Gx Qfm h g4&0Zq(on }"@rk %Cd Rp I2@DY^aJ~sk<:a|V6Ulf 4u ["H^L"8qw 9ON r"q 5R>UxjA$nA4R~Ѱ wDB&>ǒ-A% >2Kj( (5edEd4lc}dcey"@]V{9WT53)L(vݨX>q<QޢrjYit (* Y4 'b X X@'ce@j`Q{ JQ{)Q+[ pP&q6_c:'"&1VO;wq>qqϹ**K\\.]\&8M>";|SW'B7IM|\H\883uq&uq&uq<y6solf͇4qO7 B1/YBGTZqJ nZ93 0riC̍cshf1Xū͚; LGT5JJ~$A(/B>5$NCƏ!a !ѐ*Ɛ$F/HKtX,e90U9^̘#"ʁ >}xrX^@"&r p1͚:U.RBD{ &OUISl1SI8%#ߑ>M% ,ǻp :RGX #U>Nz?$'}5._\~y)=jq,}8ZIqw(bk#C<dЦd0B\'[ehЫ:[#zkn |4#+,Wg6"&ܔ'L]&K'ܕPB8tAU5 \ wg(8\8r/!6I;!(40D)g 0שx;(Bˊ` t!H~V^z Gdu1oa`(mǑR#%MT{oeC(.J~0fj<%hP:ZQ^%M| ig8ix$M36%37CK^z֑. }$\fLqh;yJt/#*] `uB8<^lv>Kcm~aժi{P߫! , t F['P7: }Gv}ݨns]Ց{O f5xP؊^vXJ_ ?,+(2Qy?劀/sMfj|3}MOp#2oKz!ˣ-ӢDaתpY.p:cf^}wQWnߪv bڏs𹍸 J*iN/w-?w&kfco >X>vnpv{>cF`:X߯9yQv]B-C]7?:l9J+H!֥k0m0!wi R"|}m1eM%߯q4ҡyj>7Ow'i1i+(ѣJ(PIPQV6pY) r* ʢbz u#M]@F$[$e+Wӷ/cNYb=aU} f FI utB)( <pIa=OgywTo2&L-D()9R*NL2ٯoB ^|lgH:_iGҎpv]dd|Ɏ:.+;io Dڠ4++$gcwp(՞>\@Ea7b}G(rmcySeAGiBZ1 Ǝ٘VGNh.(S|o3aSs:F3 0r2'|A"YV-eBr9BI'd|ǣ<kE6.fiv in%rG>}DS, C<ZjEe ;XiݵB n||B| k-kހFa 0XQs "/hB )+(ՂSXz{ol5 =.CL,Pd9D8.l'P ־XldLwטcŠa@}Ư2{R]4ǿV%1\S?ai._ƃk8 HqwY@JE/o1.Jnw(Vjhu0 rZ-Y!fH k%T4n"&3}Q ޿xXTy|,H:Hk,WkvvXψ/N6rnp/|# =MJ>i%Xl6[.x~xr  ׬rO_ ;AkIb6\儢]*KDN7TB*Ol)dÉQD%,h/XGҀ)Gg} {=Fx$bɷ$۱Shs "'phKJ=m@8x WU贠f޵RIu-%Z{ulM4&M ={Hتl~@ d-rblځ7V ?_}C _1}"Bw5kϞs#6 .2hf7%"}꽸 Dk>_9x9xb/"?0ٿ;$ďS'LZfҜe2 ;.COl3buy!27<2CPvt[Pn'S/!S(i8)F'R RQ-%X:,M ^ ϫx&&zmQPnAC_!I,Vx)C C!`68ne &4q !L3z>P{yGu]jJ髮`/! OpF l!z~0!va(OEgOO endstream endobj 180 0 obj << /Annots [181 0 R 182 0 R 183 0 R 187 0 R] /B [404 0 R] /Contents [364 0 R 188 0 R 1336 0 R 365 0 R 185 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1316 0 R /Resources 184 0 R /Rotate 0 /Type /Page >> endobj 181 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 182 0 obj << /Border [0 0 0] /Dest (M4.9.80183.ReferenceCont.4.ISE.to.Vivado.Design.Suite.Migration.Guide.UG911) /Rect [438.48 414.84 472.14 429.42] /Subtype /Link /Type /Annot >> endobj 183 0 obj << /Border [0 0 0] /Dest (M4.9.20259.ReferenceCont.5.Xilinx.UltraScale.Architecture.Libraries.Guide.UG974) /Rect [216.48 347.82 250.08 362.4] /Subtype /Link /Type /Annot >> endobj 184 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC26 418 0 R >> /XObject << /Iabc1895 186 0 R >> >> endobj 185 0 obj << /Length 18 >> stream q /Iabc1895 Do Q endstream endobj 186 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1896 375 0 R /Gabc1897 372 0 R >> /Font << /Fabc1898 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n ̧C;ešc8TRQ e!Wi EI&3MFhAbu2Y@QʘJ9N2&4'&\)ɜf϶Fh^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|4ʄivP(y Ph'-:A/}S endstream endobj 187 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=18) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 188 0 obj << /Filter /FlateDecode /Length 5471 >> stream hޤ[rHS%lH*Kxtv4s6bR-_j_AAKx6*++/Vg/^ku?eʣPY*SDQ}svw͍UZܝ2zfwC_6>Xo/۱"B`ۨa >67-Y0Dyの7aw|h|4*ۇIhPpy?s:BG@KRN [ j,VŸc^>6m*@C!toseolͥz;dluƫwtuwhYL__50,:<Ϩ\9d%3_p݇~Dus(H"@0pcuk/p6FQ!( Lxi!qcrj6XߓuBL sucETm}!d܎PwRyDy==u:Е3ZEci ^-Q{0C^(Nx6݁jb&yZUQb$^\vaGkcիӘ )Ջ]OiًͶuv׌}[tnֹU6YZ:/4<3__DI+řx)lMdLB<θ$KTP\OgmLT ^ r?Q6ϠNRɥi,e,{$Qo,?:J̖~e*(a =b]fDN+kW5dK8-%w=iq K7,D ĔN$5ĵe2"d9>N~=υo<e)LB`&0ɒTmL ӽXM#/ 7kb[_@us0n",QCzTJM ,ɂK$NiEI1v_.'HM2 E6%kQqɪ'hY(19%SOڠ F3KgHOAn#<CƸ$}G`F &f,gg1.>`}ʬEze@{`/JsgU%teB1t$Y*B{ ;\W`t=xE4AOйm:, 8i?)HS/選dgpmTWb|%:g"7ЬD1ʭe%cLQFBiB8l\yMtNLM cnmO]> qփ8A\ .y~?3>49c\Jq6aCrq!Dٝhqqqf qƇ8C\ i 7oْKoo Mvw7 HIARG)e]KS! ʴc aGK;Vx,%sM9 t/|o$`3,VmܩC}d Kͣd@ifaCl'fbl-h4`QlAnݵJ ,} K Zςַ,h}KXsR;~~ 1MI;ub$  )%NG JbD"qc8RڸR=9V;f@|W_D^^6_IB&II ąp{l64S ܉<= 2'H $k)9|,Wl,xMEWLyR֏:D|G7N,fN` io,ԓ.(m%巏KN' \o.*i$\>? `-iK&J|JI_\Nª8JBy98+B,`Ц&P'ej@Tu#v uX`18zbV舛K&-9帑"qP0 .w*RYD4\WDNKeF/sِ+ 7! (I !{,o$P;1-G6*OrsLϣew:mzzF<'JTJZ |aJ$P6{B (ގ2N$r!7&3QA>$(yb'iP>XsM&G(2;;B#H]#@wO }Mi\[ +{$D+..]N U2QQ7/%T|84S!IMsFpM3zB8ÈBy ߪ<3jƾ7hxz9(wL㧏Xo[9_cwcǃ,:Pq?} xd01D|~7oxZA$4*I\7%{X9w;q㇗Ͽ͹YC7OHfm>kUL&<"(Ҍu1T]6G>B.q4|čfZqSCIw->c6!A{TzU5ovs~cR?.踌ܵ"FFU=;Y5d^ټ! Z{HofQsP NՔ[RMHm0Lw8$|ݏ%FSc|bm~ylq%$؋l$FȰ&7<:X;#3׷0s4K2—M::`䃠n)ړ@U.W;\s<>o>W 01-SCA޽ `/ /o(iO-,5Y# q"Yxw.i_t 0vRopqҀPIm~ٺAN& 9`0Djvd'q>z.X"3ρƏ gձ;ڳصF}޴|\?~G-Ǜǁ"~pi$Zы?>o!gچ99Aw`{x䥆Rׄ~CcDa pĔ3qW:KFvaF_ݫ;W3z?6$ra>! !qېX1?DU $2@~<5->} )&=H>;hM8QEIHa M35{h{2uL*yJN!CƀeHU,KA%!(_ф_-2Ϯ.rʕEM޵Y 8tEo zsmCq MZ ~&)kʨJGY`!VCaRSÀ QאP>GfW{ A8K~O{^<8GZ@DSA@M2& Q bjOdأo&dJ FxIB( x Gm+ʬP>O3V} o_CJ ҬޮFVW]So>;r _i昵oH m]{i}j{ -UlU&Nc.\?%ЬB.غE:]CH5 '&^3=G(%7\'zFjrN1}};UA64l5rOßxNB3s4x̱{zǶ; ya :\D)YSDFOh/1qmwt`E**[$n&i0gq`N$I_W_"8! #d<6A:Z]UB]։\pPЏ@K|lB#}hC|@SJ25Nd^ v;DY`4C ;To= )7"9 rxxr:1uXgA(?AZvdQ7\V<ЧnwƯo6NJxR9//3XH%&Jײ=+SHrޱXǍqS{hSϝ1tu3 E ֚, aXdq6Ztap a{1MwZ iZXo14e_o{yIS_Qfx $ي7%u SG  &oX.{XT`8CgjWā-ْjlQ ^s>딡]݈NLj=o6JGn#nB`Pˣ&NfFyF6"|YhuG Y{y!W ["YnbZ&a;%f Ye* eUu\itPғ@=OIZp]wK|!ay奄d=lתX/#YA ,, uMĄ}!(XRvo{ʹ;YQz)xJEaqj#!G>MCÿLGz":y!i? ?&˕8*%Π07`6xB|rNPNbIT Oon_ endstream endobj 189 0 obj << /Annots [190 0 R 191 0 R 195 0 R] /B [403 0 R] /Contents [364 0 R 196 0 R 1336 0 R 365 0 R 193 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1316 0 R /Resources 192 0 R /Rotate 0 /Type /Page >> endobj 190 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 191 0 obj << /Border [0 0 0] /Dest (M4.9.20259.ReferenceCont.5.Xilinx.UltraScale.Architecture.Libraries.Guide.UG974) /Rect [435.42 163.32 469.02 177.9] /Subtype /Link /Type /Annot >> endobj 192 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC27 418 0 R /MC28 429 0 R >> /XObject << /Iabc1916 194 0 R >> >> endobj 193 0 obj << /Length 18 >> stream q /Iabc1916 Do Q endstream endobj 194 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1917 375 0 R /Gabc1918 372 0 R >> /Font << /Fabc1919 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [n mw/)RT1B2h7r %er1"" Ƙ3w09'JQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]h߯}j]֠gQNW!ˋڎ>E tw%BJzڡP/S;i z7}, endstream endobj 195 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=19) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 196 0 obj << /Filter /FlateDecode /Length 5247 >> stream h޴;ms6+𑼉@v{6:t:D˼G\QϾ%9MڹKbZN^jMUeVWV*ˍ6wד^iu};9!GM}'9Ђ^ڨd并ySrmS3̮iRdը7`jZ@\Kʤ}扺o@hlUIY"/NtkxS?_eX\Mu+B<-P'MP?)UoRlU@bNaMO^)4tI߂:KuWx2f|uʛIfQINo'D˼Gg.qD9Ao ‹vzx7A@,@zFK{`y Julq"5L*tIKy:S;om{J,v> %e2ըsJfR%J PFLMچ.fݵ ȓy,mKïZE TOM_W& ΧK:fط(3Ue+BY3 Lpϓz0JNIe6jmvv2gV[ +!3Z^e8[XkYg4ҙ^YQJg` Ɍ)Ȓ,sǠ WͪRR-;lB. +`:-aUU8AcgaY]RY| +S^ãEy0Mu[u+Ι95ε%f,HW981}-aUo<$1l` 7iBa,)F01s8*qˤL\aU 0Sr{\H`<- D1■a *[$@\hפI4Ӌ\#cZ'`*4@aHU@[Z :}CWF;B7 M|\bLřřŕ/x8=͍7;o7BL^1TjgJ͊zg Y9"# _׵l û: ,X;W1̖=kXLzA؟NͺoС:Խ 4X&Ҡ 5>4 :`=֠4c H>֔4hs%4)tB"aBBa!~1,$v_ZHpXHbYHú ɗRaKTYQTa( 79EU+Jt(Ѩ}. ;I<} 1*F ">@hA{pu}й$ɃkGu Pޝd ϙ PsTT Lb=1%OP.c;Eo!/k0 w -/W5Mq X:6ܰqg00KsOA o[e+E\cH C5X)@sQK۬,nkA"p?!+ؿr)'DgeK^О (YI i\.=By߃}#H_lo/dr-=A%Xa`&=:]6L)4IBjwרkknydž:?}|es7riMfjQ~nkW| RwtڼR-N&7h`eBbϳ=%vہ/4˽4UtWCeǭ%?H)^MD9K f% v;qk͋̄AR|({Em&9H};R^ AG KWj_D&6Z1|fW٪ >ޮ-,>- 1kIST_y RrnTT)ʉ hALlM`DW3]߽qvNWg߃`o + P? \s 3dXB*~cYښ^c>TsT=LQ<ğ~i<;P}7B qm nsiY89G)$qa G=TSԋa?0U-s.z3Dik:79M{%eU\`G81U|Ya- z\E*$+ޣbnHwO{}K7\XR*G*pBBH? TQN"az3"^A%$‘-n)`L2lAO;Wv m3}jm,+p%'kJ>ng) nIwȍv3o4[qb6nf{lŜ!$P]p:u^~oYCK2 aj[:=lyi}=A#!si{;Cfe2oW)҉32hJ`RgwwpO(|0m(ɨ9a\nfq`3Gut#݌添c +>#Nl$T97OJ+wf,)S:dٵR~ɦBb1LHy^Y *@Zp_vX:_ar .FkU^uE/bx͌@R^@/C2LkWE*ǛU*¤,eTL=^i{oƺ  vlxdqX}|yWqѶL5iMW5T\.x„H}dϷPHwgVoTji:LPu;וEE RzX˔~Op{Yz]f8)4.BDsº0m`wmmLcQnA~TF|`?_svuɟ{Jmoˠ6aeY|xF, 0frG endstream endobj 197 0 obj << /Annots [198 0 R 199 0 R 200 0 R 201 0 R 205 0 R] /B [402 0 R] /Contents [364 0 R 206 0 R 1336 0 R 365 0 R 203 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1316 0 R /Resources 202 0 R /Rotate 0 /Type /Page >> endobj 198 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 199 0 obj << /Border [0 0 0] /Dest (M4.9.50480.ReferenceCont.6.SYSMON.User.Guide.UG580) /Rect [470.58 450.84 504.18 465.42] /Subtype /Link /Type /Annot >> endobj 200 0 obj << /Border [0 0 0] /Dest (M4.9.65910.ReferenceCont.7.UltraScale.Architecture.Configuration.User.Guide.UG570) /Rect [253.02 317.76 283.62 330.96] /Subtype /Link /Type /Annot >> endobj 201 0 obj << /Border [0 0 0] /Dest (M4.9.65910.ReferenceCont.7.UltraScale.Architecture.Configuration.User.Guide.UG570) /Rect [257.4 205.86 287.94 220.44] /Subtype /Link /Type /Annot >> endobj 202 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F1 427 0 R /F2 436 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC29 418 0 R /MC30 435 0 R /MC31 429 0 R /MC32 429 0 R >> /XObject << /Iabc1937 204 0 R >> >> endobj 203 0 obj << /Length 18 >> stream q /Iabc1937 Do Q endstream endobj 204 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1938 375 0 R /Gabc1939 372 0 R >> /Font << /Fabc1940 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E’|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶_ѼΡDHI]@ U8Ρ\j|=B/}/, endstream endobj 205 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=20) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 206 0 obj << /Filter /FlateDecode /Length 6156 >> stream h[r8G6b 2NfvlUfji{dq@ؙ+WYhFݠQ'Ͽ;7zsRzUMJ항 mպ;:EPF]\ohRIZ]ܞh Z.'Bkŧٻv[SY{>MaE^ ܄l~Qfآʺw]^u֯igLfs|gf%0 0ѹ6Џ)hT)W/E^*W|݇왲܇hW MP Km˓_)l o~KOoO ]huW̱E(B gy2f)g>_~~+htV# G( 2]=-ur-yƅ48d\^v S}a߂s%amnPҔā&* Fvqoz-OWMفRrĨ^F0ugBI_W5N*` AۺAվpAٲp\oV=?;),0&5 4 miדoE NWۮZÐQ= 5 0͞VMQցfVvYJBAʑ4.h W:`%E]UrupMpT>$0!IYAak%?[4;sWX Đ 6RL"9!vG ;PR>X~*IdnAn9 sFB6l'KPi5w0)X¢cq%/?ީ|(C3Ȱm*A;M$ha ra R `JJ0$ C"JʏZCB!H& 0n7Fb# ss _7'1 0Z}gYk4XډSN=yԜ`g'"ʁ ^}!yy 9e_CM"U DrB줎ņb#%G$OD  [ )>1DRTRMaCbxIq e$[C4E&/H%wSi2b_qxI>< `2FuqS}yqB O=pJ^\ր8!G MGM0U ݃if:P< &E>|k8ݤETDJ|ʅ&Dс G zR=nP "4+kTXTՃG5Iaplo*xo00cVwGNaOOPQ@$Oh{;Pu z:fMג"ۄF;!-Iqx ){BE9y6Ci OҎYE~,h^eC18|(Lw,&bxuN~!DJC˂ٯ7c-ݥSQۻղ[eQg[67JUdC&{T=S#o8=%>s|Xfq$yl񄧬EZf$Suߪ]n]aCV91pPZuNKKxf".442(t)`vny奚noWK5~ީvqK F?hYEWՕdGݭ8Xc*v- |R!";x7='j.ϼ¡Rr" us.2ZW[8ѷ568P7isԲ _\]^H"D׀F|ۯ-sow겿q+&6oopVBl^j̦'BDR FB[Kr3/EvApTC-Mtbp`N y{Gi?$M WF˚}%,ݢE;aB/qqcYWNm~\Vas]ZDٯVQz9M`\L^~hP%;]ոzs<7@Cq92EC\Ë e=nL7yXj)0)Ukw޻`i@BCdp}z~Ե*VC!4Rf(Y-Ę#tUOP\rkUUQX;T9 &b>M\uO_ ^h'8ԙz3L}YudL(F`c|([4lMP蚡86R*Vΰu&R?=#5*CmҾұ3%HT3IsFu)|ժRE隦C'H[TF_֖e'!N?w։IUۋ/8xB@#L i'}94qڭE'{'LK69՛ܑr nX--[N|T<ϙ%h"͜M-[\P{R*W&K%X^*|NjI7D =7mX] l `Vcn9:dݯ( ^xaV^_Onrκm// SQ'n-/2;\{`>Xxv||F|Pj-~|/" CF%ԋ{PFLy殛WE?S`{Ka 1!XvCJ+7\PGf#xduRW?cG(C ϱ-{maS]2^`ԅI]WxbV1<\梜xX-oɽUv m2zf9I;`R$·(>SG^_&Tw#" nΙ ={[M@` yv-?&hV~.,e`!YD-4@aBg4){?2;}$ޝ~Ewʁg &Wd-ڮr3E`Q)tJ d,#` uQ[) |o5.&ݞ6궿ٲ:w̛oc *ۛ~u\"ltZPM"bt '4^ zlhFlAKD` ǰ9xjŋ^ltV,狃a/n)/,ZP_P\XBDHáxn1ȥ99Ilnl2,27 NjW CVqve<< t*L'L`҂R"v+7UDWӪYj6o4(;^%Ҍ$$gl ^r5_I1F/c@t(ȹz>:mq7([]cz$dt鑉?6,pn Mb߾p雳3)1R_3E:.A3pomR6!9K'Sj SrɀWf-p`J?63TC!Sh}$;6 .Y/0& nIw ~t&`gEqwpL=.9y*ӫ_ &OYj ug(Fof8" 3Nُng+"k#0{جqSh^!N L W{cve;/ߊIvrn:KQX {^m1qX#jDO> Τ!ť{{yS!q#JpI!vЀ/؍*K&65a #b#Z^1{ZS s>0 ߘ߀ K­yw/H SvcW>_#*!wN%sbjjRQ}{x[o{^$LGe] kh?x&8S8*81y/?݋o1e}MEZ{D+Whnjjp]库+\5LHe߶hy]Jc~xX2ƛ(Ě~7bV_-`olЖLle)+2.YMo0ݎ*[ u:3xq3Vf?f֩2Bf&$d4dDe zeFW0 e6_b0}\n0W?/ 'L<5`f 0;]^Ŵlř2(&dJ]Y%9h#i|gH$%4 fKC.S$&'[ !&tu}_F?J ߯by`)28.rnyQ9O7FŚFwVwSF J>ئ_NEd;u `F endstream endobj 207 0 obj << /Annots [208 0 R 209 0 R 210 0 R 211 0 R 212 0 R 216 0 R] /B [401 0 R] /Contents [364 0 R 217 0 R 1336 0 R 365 0 R 214 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1317 0 R /Resources 213 0 R /Rotate 0 /Type /Page >> endobj 208 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 209 0 obj << /Border [0 0 0] /Dest (M4.9.65910.ReferenceCont.7.UltraScale.Architecture.Configuration.User.Guide.UG570) /Rect [311.82 600.78 341.76 613.98] /Subtype /Link /Type /Annot >> endobj 210 0 obj << /Border [0 0 0] /Dest (M4.9.65910.ReferenceCont.7.UltraScale.Architecture.Configuration.User.Guide.UG570) /Rect [478.62 465.78 508.56 478.98] /Subtype /Link /Type /Annot >> endobj 211 0 obj << /Border [0 0 0] /Dest (M4.9.65910.ReferenceCont.7.UltraScale.Architecture.Configuration.User.Guide.UG570) /Rect [478.62 330.78 508.56 343.98] /Subtype /Link /Type /Annot >> endobj 212 0 obj << /Border [0 0 0] /Dest (M4.9.99009.ReferenceCont.10.UltraScale.Architecture.data.sheets.available.on.t) /Rect [91.98 170.82 131.88 185.4] /Subtype /Link /Type /Annot >> endobj 213 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC33 418 0 R /MC34 429 0 R /MC35 429 0 R /MC36 429 0 R >> /XObject << /Iabc1958 215 0 R >> >> endobj 214 0 obj << /Length 18 >> stream q /Iabc1958 Do Q endstream endobj 215 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1959 375 0 R /Gabc1960 372 0 R >> /Font << /Fabc1961 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{wt endstream endobj 216 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=21) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 217 0 obj << /Filter /FlateDecode /Length 5617 >> stream h[sܸ>>Wxos*:,EL桝; AjF;*M@u#Rfֈ"Jas#TJlWWWWNHqu39~.Yԕj5ˡ/h%g,ϥW_f?%6U2NdL%F Ta.*+ID ~#ӬL:\KTVF?_y.\e&K L&]`^橆C|J%O8K]mE:OL ݻL(C4Ήzz= L)We gQxޮfA.g9?96U079rrYrdyfs/u{VoVУ ΔF@+Vz ^-u*syzc*C$9VPFkՈqL`aDf+DTyp1(]7q.2qL &"g^nĕ+\l ƱZ"2p܀LW!p:HѼ"&N&NMMM\qI A77;ofQb؇MMJ:jcP=V+.;c<1:\ ΁:-ˡn Yˬ}Q*=Aq8NP^֋TX* ,%ȅCxKi XX.%" XRfυ @aBrFra#6,6~8l$C9 "` β`ڃPtJ=dPs Ș="ʁ'yy)r^Rhb|(C\ٛ@cجhQaC@9GA}H8DT{1SQ0fѾ#ë@z(@0ZC=)"p{^ˁK*.L=[SԚcð t4.'Z)vj5^%Dn&ZTT0[9ȫj/jX=yt+ώJ b[gud ~ Lt}/{ \t*(|0{ AigV K ,"4Ai]rE^[hɜPՒ#*=_ Oϣecx3JF$σrBA}U5|BU݃ig5aG8D:d'oԣrQI:(Q zeb1*\ztu"TA;6Dţz&$.-c@N!T\5&=3]%61!ztЬ"8jJ?o*ODÌG=|lKοKJ #gC@!f}>~C0ěwx2ΖtDJc001w߽  2?~ݹj:LU85[>s“,<.n ˿)V-\eFvqY/oM_3]SwܸbioEn\vv4oT@J una8AaDw]a)Gb(ȟ t 򪾛)W]o@)vŲ\RU|,v[/v?Ve۬Ϡ`(rLEM8Lȁ1P?p,nCz/6[\SxdNJ26=\Jko׻ g:BC`0,qbdox@:-Ș{x\H'K@5{jVjc[cax f\B d 3)tBU93O,zhn=X;0e3'q21FZJ̐+<6_?30GЩ X]N3(2|@;jpؔ$k(``,OyR2s .x||4<04;}n/.@eDc@fU39%R "o=c[QnsKt2WVQxyD^2PgسOdJv\2^Uդ9| ToLhܷ(Q,1: c~UcfuUaoa{ĈoGd(HfU_bLh`>I+ж/9 y5 YP`-fS7rE "k4ן[rkxuL'6.o@O )nMlFزV Yz~ ?l84 ciN儍Nyˉ:)ޕڧsS5Vw.E4R&4j->"|҈OmJ~p]OAw\kW*RBhv ^+a O~Pd{c;@W{:VN^!8 2"s]wP;nE.5+xv- & ("y"0%D&v%;81e,8 #H<3rJ遉 ƕ=~5z;K>s>G |Pm!&L"tշC$G FKo Ìτaaױ=b%=,-h)t | J-}D4^3ů`M{*eJS|.*a3OQ.:A!郪'.]Pz+O]nV.RD@ MaЉbz7Jk=a{~2:@kd:I\x?$,[+ AamU'g(*OD2b4~B_/d3D,i,B9ss'={ʯ(|qr_%kBR/v4ߝNku!Y[II>!]/‿!KAE:Kp=?Kit`cXYE낟+X1 F, 4y!U63ö][~ Q&mY> pǁ0EˑQOD& 鿜:G.M_k kS} GE.qh<遉}0Su#CZoB5g)!~U¯b{)E<ߠjcn7`nQ:}sى~ 94_;K!%M `z@QѐCIsޣ7:OKHlT;yА ]!Gc}XBpBQ};9Gn@/T'}剫S^#V;[OGhʾ S7^ijeb8hwTy8e<[0r;N`7`BZvw'9bs#伸n׏k<+#>cCs I4yaBSnFifM }8lv;Zޮ+}{[{h GAC |2^m7 L2 WRxKB>7MwU }s)cGᴮNAW>%sݍ?3wt}Q: .rgX(R\)I0-w Man~Vd~UJO/k͢5\otY^`jpws_o8ukVlLˤ35k/]htf 7Q\-qB> endobj 219 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 220 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC37 418 0 R >> /XObject << /Iabc1979 222 0 R >> >> endobj 221 0 obj << /Length 18 >> stream q /Iabc1979 Do Q endstream endobj 222 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1980 375 0 R /Gabc1981 372 0 R >> /Font << /Fabc1982 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n SOvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"*pm(<8יq|mau3? l?k!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'Ŷ, endstream endobj 223 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=22) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 224 0 obj << /Filter /FlateDecode /Length 5411 >> stream hެ;r6 >Q[-6 ۝ng=MMh9# IsoVWY8 lն#Y R&⻻wwViup\?ŴԦn^6ꮸXA#uo_w}2ڏsJ|}Ja]iׯ,#=/W~乭h\^ԫuZ{f3xbV{s8j}ƀ!hSA{B|ҏ{4W'm>Vk*jD+z=tB_.;٥~26:D{z#d"A/y-ENeO??uzehQcGo yAH'B 1ي$s٢ 5^^U %bAb0Xs a 4+kb9tf9D h@liT?,NXc94ЈB7bpc}hKgXIfL0R1MMa.PjbLx; S#!bp"i{ N28D3? )LZ|#ә +]j@$p!k-yprs| 8y&5u58J )rc'alD3  KǙ@=!K~102@#1/q #nQ fDzZ@g ,HrR,bO|QL?(V&MnTBa P>'n.xǂDF2DcYHzF # ,1 d6n [5/DOe%f ѸF@krQpPfbDM4a ьy{&R켋g59MM\\..]\:uqsq}\Dv68vqKL@GT=ܨNfh㲉 .L]83uqf<tlho6&4|Cf^ߴ >JWOSjzEd*.cm.@=,̃{6h\qn`c,VMǬÆ9,*=Os:j%[x 㑃fA3砙p8Y=4llA;᠝rN8hFF灒8%tB!di`Hѐ3$!C)nfHp4rT .spu+%)sclNj.3戈||/ *q%Qa.F@DU8pdنlJ]aD+q GD)!d- U%}*PlSiGG74;5DP@$C֡@1t䣦g\o΀*i\˾| ` iKxJ9A_΀XGs`QGP^@ SHPH 2hf0 b Kѫ-Gqd8k t4#*UDDs?OSiA7\Ao%Y*+_2nϥ|4T~!g>w}" P?S3N^t1%TЏyG!ri/Vf/rWOƿ X)j[,R7~XB^'^ןSsTc׫}Nߩlwy䋐BlwȖ3д S#tכꡧ;RD6n@M+EFmն96誜[ox{ϵ\")b[!ӻ:(V?T}5_{+n2X֨/KC Tg?*$L:'Tqʍjf.k}՗$—pewnAxDukMUzcEc.p4)Ź|;9S[e[Xa~ / F~In}D tĝ/bGUޗxGfE 8np^&l[,< H^L`@FfYl yPy d Fe'a)ے).keC8cB!yax^.ŝԛ_F NBMpd6/g>PϜdx^S]@%ك65:$iV̯KTm;R˺8)y~eSyj:=4 'k뇯-K/)VX bUMJTVw.U}YpȀQrLꏭSnҮʺ9n nWQWA1SC}Ƶ7w k\f?/%@$ nj3+Ô:7W r5eoO\S(uݗy I@Iכ<|[q%m!؉nK;n0ht)䟦6+~wswjUYo[X1~$ "3 g&ķEĥN!|Q,A!ؾX.?k> ULxb|r{pB3q.yCR_aaA~PmS'pH $ݯ >@_ԱLNkSf$S@} ]3 yx\9Kf:?(i]<>nBdUcЁeBE0!WCurUæpv_vPH,h;ڽ1P`%| X~|`ةR(PI]M;Gou;DNyu&qB ȤQphڞT0M' ɒU44+ P8nwPыX(*Kx~5|i2S,^lK-*us7P~Bwn56YnnZiUX x4xTds(K0vC~_LJQ3 Ÿ>+}FC;3H)Xu"XV>'o` ɠb݌(G Ӄڴl OتqW]9 dӪyHx S@d%%h4e=9ם3%$z6GPQb*72:TyT1.wH*e,b+Y Rs*,,(qXs ʿA8yrp^ WcuXR !o,wl$|2څ[I)DfOtQ>΃Bo.E+es,z>1Y,'ї[.!$)%HI ޱ@s?pjWqn=>uіuG.)ƤF/rfnL:7o%ˏ{e&I޹ÛoM7>8m_dk{Z&x^,E JIlw{Em>2,1]iﭕ ҟn5ך Xe 84ҟ,\#/z9J!t"g!3h״#vP] pڻO sBNY4ag%uP^燎lR3(pI;`FdWsx%I}+=oSNG? 8s^fȻ"p@.iL5& &l߃w_=oN[+RR!4;Uw"|q,ۘ.P[Т\ {*TKB=@6ܟݱgPrX!t_uö48-t7w]S_ݰ\+9 :rKm~\$pi֩oj_J  rZ ; FGo듋hOõ.Cmd.ѷ0,eR[yZ t\NF-j>mrAYBq-o?ΚW-h^_OR|Td$wk'ip[:GhwUeCimct&@Jw_ RQRw{l}#Nh&BnRc_ #d?$x -v'GR%ct<'yr&cHtBw/7>bv}w k endstream endobj 225 0 obj << /Annots [226 0 R 230 0 R] /B [399 0 R] /Contents [364 0 R 231 0 R 1336 0 R 365 0 R 228 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1317 0 R /Resources 227 0 R /Rotate 0 /Type /Page >> endobj 226 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 227 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC38 418 0 R >> /XObject << /Iabc2000 229 0 R >> >> endobj 228 0 obj << /Length 18 >> stream q /Iabc2000 Do Q endstream endobj 229 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2001 375 0 R /Gabc2002 372 0 R >> /Font << /Fabc2003 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6o$H ~+__Xo`@wЂeA}W"8hރ׮wNYzq" 4\H&3M|t#wN^2j[&!#(H!`a9 }' #Y~eNW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hGͼOѯꊏI٧j gVă;6+1C+0=knT-(h*$RG"e*)sm.\fy4&/d"W-jgdH5gacq ܝBd#S:r|uLѨ\t$sx2W4AP"ڝA U8N\jG-6A}_y endstream endobj 230 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=23) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 231 0 obj << /Filter /FlateDecode /Length 5255 >> stream h;r8 fbwWv;XS][]2Eۚ%Gh~ EtLr.8W7Z.UEZeV2͌6w]ͼjvw3 =^dFieڪ狿'?%zR&NMj뉅v}R?,pI;&-nd*8FдLdg։ \2͙T"}$$C|J':Q&>.W ,Kex ]kۛWťv#<2JA:GՏ"(EF.ͥޣLQ|F*gHZx\O<<1VS :F! (@UHtaGZA^P77Ræd@rj@:~|!ɲ]~=0m2&9hT0 a{f- ('0Y@O ƔOM۟+*fShSt Ej*m{e\!fݪW?K7'MLƹwQՇf5i6o6 iZmePϴ,!5Z^8[RWzpii<+A419i24qSU:ӲU{3+VEҬ 6h+e8(1Y616ÒU%/ŒZ|+mS.kU܊Lv圪/v,Ӹ4jq>C{!DQۃbob)@U;ȉId<\n ;^t0!t3ʦ8TVۄM/Sq@C5mF|'Hnb^;0Y1}Ԅ5$YEDY^agJ}+=Ψt%~ qWd E( %kI!1pskkɆ6S05"vSOeDҮ# o{Af%,C0[!QW?kQDH1&O*A,#Bq^j gz. 2eu?ȝf}.B_Au Bۃq @ }mYit (,HW4 XO6 ;V1 P@'}&YVcŪy i=+( #GZ2uۼ2 EB4 7ь:R괋=ŕ}WIYō=\sp<\zNLOU=]83tqLg<tdodf ;7oLOMV1(Tjz,7蝵dp1GK;SuUI!ԗ1Xū~57.kX¢]$/T(٬ CeDK %hz4AeUq:@$X%X %{} }_RϔƋΐ !9 RNC Qr.gYg谲\B Xɢx2c('xʡkcyIUT5P$* 7cP ahAH&A]8[CY(D ԑ@%C'ȉgsseϣa! k^ǡBe:m8P^@ v%Tc(')a1T!@."J#| )^D\<\iJGW QN "&ܐ'y* E|.][Y [Oe3F4WCzO\pr W3܄i0{(3r録G:tJ6*#9΅EC$Q64tYK{C#>j=(Ԡ>AC:t*"W#B0%S`S@|"*I$9JEt<5Ił<*B|yE0:EN!A\ޤ(}Aᄁ~;d*<4Q)FAB]Ė͔<0f 4g(fa&[J^pAZʓ{?:񒗷uak/j )͘]0x3Wy髧Jo wdt'rzX 3l>IVåЬU(1NGDpS~wo~x_uclf 4UZgwB- ԸI6tAɞ50V탚˄;}ڷ=XC]l/GL+*bO1Ŭ\]}3.йϪ.$05QΣ xox-ڪ!M}cP~5fMz~M6Ykn Y$.yCtta3=D=wHIZ,F[["6lULf^@)Ϫ#ۆ6Ay傣BOtuy~F7 Xf(p>wQQxxJ1Nȯ<Z&hfݦjܓrꤙVpSm6y]=(y Lu}n^`cW"_Sc ÆtKtNwoJͷ-ۦ&@lD~~+wJLgZm>f?;pe@dN/j9qI{P3591JUa׼mz,`3\Q{MNO0sÑa']%hZ."ybD20] okZ [:5f,gjބy4:|29H)Bs A?(Ӈ%OyOH5X Nb ⭾⫎X Iiw 36Oϳ&6w Muƅd? /zmS_~YOHSUyZ?j3bj;eWTV=Y43EPRbj ^Rs7rV;,u,%eo &YSHDߑ۞8(B]|]^>VYӇo߃+ I6Ym` EP Fۢ; (w_s W8܂g>6Wn.U>@y݇-,Ê鴺[Ƨ/ή*'#_p0ic$ԩ#Z-0jI ̚h54N{j;P蹧hWPsz]T 373ҵ`OЎAͥo#"%͚#N=~s$$X.+vc$5-9T;ʶ 9u#m`ڃCT\g14䁶^df}>$fx$R4x(Yɮ"J!/a Wn%lfi$ l}:S |$Os:_+B|wXcaHzN6v+)W =\V9S/%%|g(PJFĠ9_Oo<@[0ME|mηoE*z `4J/CRoL5e>$XP7ƇQ/tZB֏v-^SP/W$C:qkPݍٵDq9\nss49M#L 1O2(T"QM Rc둶?qIEmʲ<>CXl.t qZL([e>D=/^>?LsNoDp` \n8?Ne>;7X }'^[Ŕόwd5f5#aztvwW`t~VD2FҙKa85|KON;,S9;";t˸rD!:Nr8k{P&1w?td7ꉻ׻)]cC-^|a.7dG8t-eXH*i.x;%|%8[ɛ&xY[x%x'Yy vs|; ؐ 9\Oxf/X\I5$c[UI[zs!>yMc|`dva+u< /d-wd{g汙QKAs<Y3!OW&GJub$'H0S;USO>a)~"- vF[7U58L˻pjv9nH`|) Y@lvN;>OVw{wzN,V ]r^?PFORWwBj?o:)+bNd{HPh[%x:RUꃸ.G7ᄍ>8ҤS};6ۅV].Gi? endstream endobj 232 0 obj << /Annots [233 0 R 234 0 R 238 0 R] /B [398 0 R] /Contents [364 0 R 239 0 R 1336 0 R 365 0 R 236 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1317 0 R /Resources 235 0 R /Rotate 0 /Type /Page >> endobj 233 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 234 0 obj << /Border [0 0 0] /Dest (M4.9.75332.ReferenceCont.7.UltraScale.Architecture.Memory.Resources.User.Guide.UG5) /Rect [234.54 568.74 264.48 581.94] /Subtype /Link /Type /Annot >> endobj 235 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F1 427 0 R /F2 436 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC39 418 0 R /MC40 429 0 R >> /XObject << /Iabc2021 237 0 R >> >> endobj 236 0 obj << /Length 18 >> stream q /Iabc2021 Do Q endstream endobj 237 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2022 375 0 R /Gabc2023 372 0 R >> /Font << /Fabc2024 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өwf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM endstream endobj 238 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=24) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 239 0 obj << /Filter /FlateDecode /Length 5813 >> stream hެ[Is8WHΨh@rnDcɯ>%q\Ȓ \$[p I@"˗HJ.NUyRʥV"I6''.O^\^zNqѫPQڨdӲF'..금X'&Z6el6I5uMFn,W"j7x֑u Ol忑yNB'pkb ; 5~^S+?9V/bmec/a>:U9vyUw,.?)3^Ģp')}q{{wfrq%3X_XxЮc?&f 3f3 KKd:ZA^ WRá`u Hn@:oFmW ~]>e.CIM2Sˆnbm# u^odfݵW ('0Xށ ?Nj)09J5l//Mfʃ/M=&IqI&ޭu^ez xXzPB._ꏓel6 mdPϤ,!1Z^%8[hk^&ܙQ_IVJ'`EF$dSMd.Sl!(Plbv3e2p=4k` ./Gj.[ϸ"M  mlB1#6:Y%KglN^eFg`q S&} ݄xSaiW Shy7=Ax$,ͯΒ >]ܡ'B7(rⲹ3Sg.L] 5<|9~sof|94o OLZ>cPtH^~Sm ed C'"tTf.A3 ,ʒ%ȍ}x6Hi$DT\~"A?HO%eG TS:}"SCb06~4$ hHĐo8%LC QY):4PxR3Y2戈r J8Fpg.u$Awtst> (Ԡ><|AӃkvu(dq'| 1 ҁ@ F3?PÆv`J|kG fΧzA GE(VkTq=0dS=ȿϸׄ'#T0`cpԴzR~(D ͽZ4U3&6] T 9CpR^^4aҗBLRldSIIOoi16uFQ0oJxMVqF*m :ZQjP_ku?c6jwwzhתIfRZuQWFUiBH/#[k.5X<}GMϙY_)4e&A Kg>z- 9L983___-N⊧m0zk͚+:JO@] bT "cp֖t}Ve$AQ>t9KdWeޕWp m#RD}KK^tV029K@T߇A[fG-9ĺMmkw2@ fګF}>sy9]WZfoQ>61#] );]R fTn7e/QZHް EO1n2@D??1XdsE,17 bwݲ\vM!n xe٩Z#*e R[5uzaRfxi>B4k=:zC`t*_@>ۏrцO8a|if|×g\$W), , 6U f H΄ܠό j1ˡ8p,HCsSG)JGydƒC6R;H>Q!O]s|KL3x=Bh1~퉹_޽ N6LaQ% ne =Ɓl󺄙ߵ]5G֛u_kLW-0jXK‰ mE9xf MKP) @r\7P5z /Q\'3x@8[3*0ɚmb -ǮZ5bX 쇜a>$DwMVwF&Ȩ0cƃ/}=%+'i4]OQ(EL:EjYTݮ%c%v۬,+/}0B4EK&-3@3Dl.n:J~骡&)~>sȳL?3Q/ljon{o:.>%lC{k5I"ۦ: \d ׁmā_]9;Ppaw!e[\LB}1atgIL+LC!$-bҒ?h-i 'y ʞ&4S`=+x^ DvF` [Gz`o9@./w|7 zW-/2Ӷ~sy- ,(mpwp9"p ?#dI - nj)ƌy{MwFֿCpCV>@+!J45MnfLOh$?C"./:ߣ>P g`'LZ"Qrz\^Uh|`VfjX0< miNC/+Ii0dsW'W܅Po Xy;iE.{_,`~,d_ۊԚRJ#6hcV|3%]sbǖ(K ɻ8H1 l|2Xwޤ܄ي8{w+SpW1j(ۏ9*JאHFD8QEbqxy!Ҳwqca) 9=y,@1ōQؐ]cPWa1s8x}w&8ƃsZ2@ڀ*TsLՆ6H iQ(u˜.CjZ! ¤cD!q˼(1_/ rg&?}׷+[`S^d IDs^iVȊ8{tK/QocٛYv0*)gQ?x3/5,õrPCct}MY=Vu$>Vg13ů$ڈܘ_wj9m1)!)Vwp%7hbs4 pV"bm}Ⱦnh(HM9v~}%iĔN=QՐT[P3?0KXd\XuC2p|BR'L2䐚^O语U[6X%(aeBB_5l+[,~>}':ulPWOmK}xQQN{P 0M:w]V F^ 9т0~m 8;|V6=9ڱŪD-[3LuEo+c#-S+]O"%(,]m>cЀse x wKw=A5kL5E,GjQtvG7 )қ˓`I} endstream endobj 240 0 obj << /Annots [241 0 R 242 0 R 243 0 R 244 0 R 248 0 R] /B [397 0 R] /Contents [364 0 R 249 0 R 1336 0 R 365 0 R 246 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1317 0 R /Resources 245 0 R /Rotate 0 /Type /Page >> endobj 241 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 242 0 obj << /Border [0 0 0] /Dest (M4.9.70698.ReferenceCont.2.UltraScale.Architecture.Clocking.Resources.User.Guide.U) /Rect [195.78 667.86 229.44 682.44] /Subtype /Link /Type /Annot >> endobj 243 0 obj << /Border [0 0 0] /Dest (M4.9.70698.ReferenceCont.2.UltraScale.Architecture.Clocking.Resources.User.Guide.U) /Rect [360 484.86 393.66 499.44] /Subtype /Link /Type /Annot >> endobj 244 0 obj << /Border [0 0 0] /Dest (M4.9.70698.ReferenceCont.2.UltraScale.Architecture.Clocking.Resources.User.Guide.U) /Rect [360 329.82 393.66 344.4] /Subtype /Link /Type /Annot >> endobj 245 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC41 418 0 R >> /XObject << /Iabc2042 247 0 R >> >> endobj 246 0 obj << /Length 18 >> stream q /Iabc2042 Do Q endstream endobj 247 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2043 375 0 R /Gabc2044 372 0 R >> /Font << /Fabc2045 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{wU endstream endobj 248 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=25) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 249 0 obj << /Filter /FlateDecode /Length 5720 >> stream h[[s۸~#e1c\6[909uJhY=_}/l'3 hnJ>kuݝ9,K2EϮ^]J˫3qP_۳悷Q"ISmoїuN\\Eubu^Xi>&ɣwtH}gaqhRDMYiXp/`SЉ.NqO5Jbk^>6*^8>:W9vyUu.U?=3d^uKwo]CIsQʛy@Jg'p.&U{ 3f`@Htn -HA>[qUH<LȉILy <|7Ua+L֛^pazxX^ ޥUT]޺6’AS&) MVYI/{ӱ=4)lvtw`sڼYgU3dΏX| K,?82M\ [e>1+6U<3=KTu&=0LRJBCOyk=Ƨ4UCc? @xq' H"f-pگCq/hw«{9=_ڤp&G5qU6-Vf&n Ѥ6=b0" s NrG%q7B0;Ur[Rb'*w<% %+I:Wu3rN PsгL㳱# гx5mN{氈ej!u?Cx:a9h4s M`QAnýKcG8XN9X9'S Sv䠝O\ #*ÄA"H/FEb# o>H8pT$KG "`GAB#g^hL@Yhc::dQrȘ="tx)r^|%hbdd%БM:{ylC6!%.o":BL>DM䉣R6L!sr>;҇1 ]Ar FkHtu @"wMہJ^jω:,%#b\|sy,YƱ0{ӪYqaBy uZAp|Y/?n(#0<z.lRyZhUÊ8{>5tO.JG®wwub!ڄ=Ht} ,\VSXa#+rjeϜ 8}. ,&4AdYOwFqt:%THFe$0i$}p`LFI(st>萫AypeyЛӡSAV>La!;A6S٬XT ` P8? B p] tBL R~ wGzF'אa޴*\(k# ͽ] -*afືCМ!8jj/&Mt&I)\5j:)-uۮTroJQDREoK7m~MdxvRzFnb< V.?WFɪѶ!2uyH$5嶺=驪*S7ulVoUW{WjǃU`(Ƥ.*սjn}QUUuZMQr U&-Z,ŠͰmTs0rv`Ql֝Z~kw=Wۺ a GTF7,8;g>y xx ΀=# 15Q֤MǻmhN0 qV,ro ;mH]`apzfO8ke4xt1sA|m_ZJ w;Ѐae^x+_޹|U|ʶE30+ҿ}F^'rJLZãZh|6h|6jʡ-zm>FYpWm؎AJ%T+ьzSD}V F6¶# R2/| QiumoŚW6u~|CwۺZJ}ՒhJ;ʋj5mQXRFZ:MNɡ vh(QſKT}le)g5`yٜ ]G`rӇu Fӳ4f: Pu4Yf{WM#_d]hh\^ig- vc W{bKN 'GDuH غ ѣ"Spp`LPBoսUᨘ;84 [ w{>zdO(.c#?;GE"Lj<bdAGِX!&m^]S=)UߋTk,|6,/ީ뷻׿S_ Ms}j8|rA6σC 4"L1 E"H"ۧZB٩/C]&eIdr6*ly.Ƌߋ7a'A4j;b,<8*td Wh9u.$֕=~zAh2gշrjbYB|2_A~e=$+ ]O5DpgAd1voG0Drjd%i=%iFXz%1"to[E<[F / gt+D}1̢9%l$Z!h9*0)`HvҚdF/[MC ]<5(Ϳ?A2;zJx.z(C,][̀% 5tKǗ4{]Bߴ\7>H|i^)h)͐s}("ղE)\#%|`H^e`"RWAL~/ِ ϑAu"~5:`TL) /%Gعb$D!gw|Yri4K$=$sRRSR43EиpD-L3*$b$9Fj-Sw|[p<@#/?OUY=6NDnjŸL#dLV|4+kNaol<#d#|Aw0s( f.?GYP8TƇޘ|6蟱Kr%7?39X)vt~ Dr'D õ? dR=$ɋ-W+mbc/~-~t_:NهU6 xzagJ@y3F˛();9J\H͓kcK\5 \Q+Cy N60U wb-KEW? gM#02+Ò\S'Ra|w Rko7H68&z~X,^;B=Ӎ{!# ^_|6:n"G3!yHrr9 YF)[NW)t ZgT)u3-uІ3?24e98yמzSoar=rmKiqsusU\hΫ+ #daZuhpm׫ݢo+8McEX{x-ƘR5wI4d8V D!@NEf M/73ZX멃 Pcq:9nEU <2zؗI}C:i%xM1JCX%u1q(S{8oj*P8'M1_~ax1<;/#y@^OgvB crs #@ꏩ,d;D>|Ӫu AȲoTaS5QP1-;F唟aÓ Ŝf5|́aLMN5-"; mˮݜګa S9u&IqYHH}cC"l Ws˦SY4t8yES}{K6š\9:=4<w"% äY!mZ ۴A3(`N/0g]3MEJ9VW]'bn*8Byn7Ӷ~3cTGo.r;S݆b`3џ[!^]M6N> endobj 251 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 252 0 obj << /Border [0 0 0] /Dest (M4.9.15632.ReferenceCont.10.Xilinx.Answer.62490) /Rect [456.42 359.76 492.06 372.96] /Subtype /Link /Type /Annot >> endobj 253 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F1 427 0 R /F2 436 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC42 418 0 R /MC43 429 0 R /MC44 429 0 R >> /XObject << /Iabc2063 255 0 R >> >> endobj 254 0 obj << /Length 18 >> stream q /Iabc2063 Do Q endstream endobj 255 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2064 375 0 R /Gabc2065 372 0 R >> /Font << /Fabc2066 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫A|:S֯޽\:H9,hƐ d査=0'/cX"#(j!`aL9 }', $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau3zg5ŐLD}%l)l,1aSi ܟBd#UO\05cD9 ?^Wb>!%$]@QXy Ph'-:A/} endstream endobj 256 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=26) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 257 0 obj << /Filter /FlateDecode /Length 5669 >> stream h;rF`K7G33IWb@HB"=ƅlڪR>}wӨً.r*Ue4ٷAuy53{hVN]C.ogp(ce=gZ.f?&?vԚ,OuZ&d6Y5eꡱIMH<٥fEԻ{zߤ:QuĂ} vʤigLbSS|gd̔9yFRP~J>je)J˻4$v@J9aO}HNe_NA[fodȆ*>̫o,L_<ǡ֖g! 3m \< q+g;>]guw ]A\fy8]!х?]F&B]4H C%c[7&Ik?@%f<5sJb@`MJ0#NOʆ^nm P  0XȇfF!Ɣ99TkX^QL;@Ц/Tf8 Ezqz^;V}{v IC)CXmY-iꀽnjSF*o@2kLTfli-,/ ̕2+A$ιi2;`9YYQr#:8ep8hpU48/eVP xn?`qڼ 0,.\,n O ͈fP>削eJ hb;A(GFj}.{(^FZ!\z X+Xhhߓ3{/P遻`*@eRF!${r/@\o0&JDZ{| 1@"#.bg;H0s3mDas,A,=Q{yyFB/ࡉ<4=saa>pЎ9h#w=@8X9XM9F cØS~ࠟJOqRhD"ƊaBHƆABT$"Eo~JHb(dh% 1bpFez&`(9Ș=" }y{)sژ^41Y≪2R7f-ZEyyA"B!sE5'"H5Ec25j0};҇9A<!]D H"uO BvSɏdľ.őL8ǹ0!hVWcj=K Ӛ?Pr༄l rd % *D(ϰZy0Uj_2\=xt/XΎP8W]ՑhtMׯB |&].%O sj8W= _,_GOh<9tlNT{xd.uYbSNm91"ո|vpG}.R-ϲAڷQ& X⭇F-s{ Sik\KCh-Vtd\dIa%~CبN4$et]R8!qn|-.07][0U[խ y)rwmvlZhjo֤(fE13͹rL5x.ENU>6%E\6 nX_۶,8c`p F ;4_ݵbݪj=la?CbÙnV$ 0K5{]!8cYk۴x#-Zwkbrɛ2\aNf܈I E)hXk hzVf9L-sLg(Fu"ݦ~G7dăb_3$ҭaϖnH}3J,ȉt˗:b~\?ߤ}W痯?tP~7$ yqgM&ސ^4!ź=DFl6u ˎ{@#+S"Ys K_$濰Ǹ% 9ã{.TtokH 7LV#F}q.835GyF.q|wl `~ץJgV)=NF}Q*.ܟoq j;f~tQDf{۷jIjzb|dR ( KHx8J_r ktq[+]0" yU>7Dv_c=/LؕJ<O:PG?f@; Kjn萋Kr$ю щEK:G=pGIj,D?ͧX)?|[,)GAq2<[Lupu$r{3"dlEةx_Ps QyN߃ ə@g,Fb ;6L1\_5e>yלZ nd[Kz| δᴀpO5Td4BnA Ê&oܑ?5l3FN12j(GK3+.^3:Dt^'{zCe߆>pr. I0Qp:GXG[n2ӥӷ`Z=[*Yh-{T4Ww)q0Ѯz/#X_Ĵ`/8H∟^>fch_p0Dǖ$ |M7s_D9w|?Ro8OZwUw߷JmyTi?pp\@1lw?@Q0g "\tC_+Nd9}DH3>g[AG~ :GKH]?e OY©stIGBcWR Ns,Eak7/V(@{/^?hby"1.!yjB},,Dq_jˊ`} $sԃl5Ғa4&kI?qqIcݢ2bncEsa%I+~Lx.]Qay ^l6{ bbU[A;rꭠ`CvJiH1`WCa 8i8P>.x3J_!* $+񋵊3`?ϲO*t %*+z+H!M$KvA)V,DfC:]j^j;"d`' .J3=x\UH1$QINf*2J}#9Գ2S4>1-ӯ 9 ,332P3"=93e5|Ǔ3?HBl$9 |y\b*̠ JW _G!0yF~f v~ϥfc䏃WAkhj878:”~{HvT8rGT\|RG1QD|0|-*{[@ |u4!C%J0nfB~#uf,;X\##].-;|bÕ|BEI20`7?&JNxkw gq[S5 ʁ.I ?^4> endobj 259 0 obj << /Filter /FlateDecode /Length 352 /Subtype /Type1C >> stream hbd`ab`dd rrsMa!CGjcɬnI໛﯅bsS *23J45 --Lu@9 )I % yEE%)z 99 `RSʀP#c;B8J?f> stream hTPˎ0 +|dTUB ! CHԉпߤ[@<rWk/;`֒aኝ% 0VQʃf5Rc'X֟6Ȗ:X%{Tl})zd/4ybȊ:2+@2ﳇblJ݅Mt3c9B2ϗxW*'hc endstream endobj 261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 262 0 obj << /Border [0 0 0] /Dest (M4.9.63214.ReferenceCont.9.UltraScale.Architecture.SelectIO.Resources.Advance) /Rect [169.98 603.84 203.58 618.42] /Subtype /Link /Type /Annot >> endobj 263 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F3 266 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC45 418 0 R /MC46 435 0 R >> /XObject << /Iabc2084 268 0 R >> >> endobj 264 0 obj << /Differences [2 /Omega] /Type /Encoding >> endobj 265 0 obj << /Ascent 0 /CapHeight 0 /CharSet (/space/Omega) /Descent 0 /Flags 4 /FontBBox [-180 -293 1090 1010] /FontFile3 259 0 R /FontName /POJBFB+Symbol /ItalicAngle 0 /StemH 92 /StemV 95 /Type /FontDescriptor >> endobj 266 0 obj << /BaseFont /POJBFB+Symbol /Encoding 264 0 R /FirstChar 2 /FontDescriptor 265 0 R /LastChar 2 /Subtype /Type1 /ToUnicode 260 0 R /Type /Font /Widths [768] >> endobj 267 0 obj << /Length 18 >> stream q /Iabc2084 Do Q endstream endobj 268 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2085 375 0 R /Gabc2086 372 0 R >> /Font << /Fabc2087 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 270 0 obj << /Filter /FlateDecode /Length 4697 >> stream h޴;nG}Wcٮ-#'XL4Ւ{@ I)޳U/X uVgEF]͞<7j? ^UjT^٦V쯋"*3q 446jq=Ӏ fټxcy}ؕTXʦXźU?b ت.ᖾ.kXpUStM9wS$R.<7̳VsS&/3/.L~P*T?ܔu2Ǫ_XA軧IS#b#S\]\>{]/]{]owu{u+Z fe *26tb2vbhƫLF s ݊xSZ~ѱLHqtB&>GMVHKܒ<$ʣ<YXzedCd,;hkh:QrOx(UF\mš ^s+mP:cjw2BccUEG=9N62 +PXD'}:el Z Dcd%eFF n!p18C8zը)߱2pݘ[{K@eqq|q~mC\3f 8O~8q8@GL#`Nvdh(Ĺig!ΎCg ||~ O7`f[L&ܯ7HfLNT*-5O=2&f  &W#?$ >goafm}d IcּNOС:40 c کH6kI5ȍckiDi4`i05GcMA~]+yLԏlؑL88R̎bp$H 䩦G0F{\{58ZcNӍL rɕ1gDah%`O\5Ô NPMM\SUgţDȀl!2#%[HĻr6FMżSv?B=͠APĐ֐A3cfU BvI)Si b߷řౝqaB&Uלz qҗ5?N6~@=D*ЂrS5Q7$yQAw던ghXx,LSf_  O 4nhE(p)C StugY"E ɗvYmGvzUXu/oJ&d,6&ܪ/1WC bUVe uݩֿU9}YBh+ /}_V]w;Qثn_Ucǔ.x^VxrE{ ]Ȍ o/*E=i/śQ3=jT7x(~ۻvn zШf&WN K~XZRvRnjYps^+V-3 8 ؁[-@{}< `dx&`2KDk} \` U>9|\/t<3hc엟)[gl+lh-ۅZ}^n?qU(_!=tW&N vLI1pڄaG};T:gXUфT#Z7y[`D!?_cKTQS|,E^xR@|I)*S_ŵf=e_:[ỐD:&F:/T\Oō8L"r~{s㯇`6S~)>mc]KJ`1qL,8⯪w?Fz8cq]uz}Tۮ%:tK!`nϒB$8f@mjNzv?V;Xm=I8(4ȿ9jC D%wX1D ,'6##$-d]jRE{u/߼@m1˻n*_(PMlbE7T-I9X 妄@c36#E3hN5N,{RL?&7n͌|'cw݆|tۜ 1ĥ]*ٲv@Y[vmU/ُ,# Z_+zx G6hYz0M28TXmI3w[EL˜GZ'ͺ;!s¯Dx0O FHWI̮jU_Od{N⚞g:BDe褚A'WtU@`7..7Tdum^K }v1'{n}nJ!IS}]+IJ3DZO!."-7GP(vy,R;}# DPh r}j83cIyY=}h0[O]MO]`Fʪ5U=-T˃:CM PנԢ:3IF$洪; Qþ`N: } :6@tT |1\(,?j}=,Q+ԦaM_jb yWv.P%HNO%֋HT,]iPKz3Q-Q  ʸačFKZpQ'Ĕaz%>3b8ɽ2[P>s(A-1AJ2Eh0Uk=^RI 5>w+,HD/ǯ7c~%s _[{zd?"gj'I.-z#`xp!Yl=s.DB ֛\vmT`KǀRQI؆O1 QVg?bXo}Y|Z4؅h-=fc0%2Kg$p'[p Ϩ耄vXr v~HJ7EJJO6x겥_ gs,dhQF#|\[.nWsʚ*4\:fpC> endobj 272 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 273 0 obj << /Border [0 0 0] /Dest (M4.9.63214.ReferenceCont.9.UltraScale.Architecture.SelectIO.Resources.Advance) /Rect [454.2 367.32 487.86 381.9] /Subtype /Link /Type /Annot >> endobj 274 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R /GS2 420 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT12 419 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC47 418 0 R /MC48 435 0 R >> /XObject << /Iabc2105 276 0 R >> >> endobj 275 0 obj << /Length 18 >> stream q /Iabc2105 Do Q endstream endobj 276 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2106 375 0 R /Gabc2107 372 0 R >> /Font << /Fabc2108 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ-~C25>S~Y2Ț}2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9O_'ŶQ endstream endobj 277 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=28) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 278 0 obj << /Filter /FlateDecode /Length 4525 >> stream hޤr8]_Grk7oY;$CfʥH-YHr2 AYNL&Fw/RL|)nbUhPMU+m'ד&f3']Od=jcRW׈ݤZ0J*1[LU]K#f_&TrQ6ż*Vx^tRbO۲.knITĮUStu9յP )ynZLe% Lg ,RPG^|U%nUZXS |(bJ b/@mg;';V-֓?1BzwʠrW_O?9U CW(Q|Ac XЭK?U]b4QԕЕ ` AT􎹺lAs}u!6]la=07hA֟):AvHOS呱Ca:TUkS 4E j_'Si'0fq׮3ſ@4ԁ-6qK3WNkW}=۬6o”Z`$f$*ʚpd<>Tq$j0i/dU[fh;RJakˠBѪ tfػvZ*x3hal̃M]5F?6c3#d2, }c=F:KeuE'GK폖)ԕaz.,l-X?fb1: =VH7 T.cзhcZ=Кa}k-z0@U N9DEF'8 !`w7!xrdgc1?Lp&XmDdAԔ#}[-zf@-6G]jMa0d,$#I"Qٵ?5Gbp4(lLd42 IBG!,#0bACMjDo`O&H37"v+OK1 (ncxoqI0[]@{./E4DȲm`D>Z8qeq@Y=TثG\j⁶&" g4aP:c532Bcc UaEG=P:sH02 +( YDTꐨ1j;JYCbt64rEXF0nR7Pن!e!gR3,ęq<5)I2.qq#M#`N*3B8ĩ<ĩqSySyD8);͎73M yAco;7H&TNT*-5=0%f}3& YY*ҷ3~!&`[oBmZ6CۀDYaܞ`XѤ4'S`ewP&^6TX*ӠJlB` 2pHz- H`5t]Ai2{-cgFeBHR\r$#qd)o~͑Hj81 >${Y5ZǢ,xD ('Se@~Dyyi0$z6b" T!ÔClcB'ţMHB$9J&GĻr6F20bLeaG1#W eT9&5d0C.ȢN\T9|3~tMA8rCm7)bA P*$Vxxx4CQ5͈3~=9wUW'T$Rc %oBz%7_pLT=tAHi ASipа7N5UU+ؓ\"2I.Ge 癆Gbt\m-<ΧG6C6Y',=r'| Qढl aFX/ע;iX@vtou! AA ȝEہ<\TH{0actXZMKփ 좇ZğacN3p޻khVQ9j(Je .D$Rw< 314=t%Ё3O>\6{08{Ow#}Ix7#|D[oKx!M Wv%^HuKp @:Ki+Y̡/Vԫ1Ļ) 9؊])t^᭒)Yƫ]s] pmD\X(.;]1!њs%3{G[,ۉ[*gYhD/xO%CIW3C Kjtw_zn@=Uv#>KkYOY1g4U[|#i_'X/`Ǥ=+eLK1Sx+6a4op0ƭCx0gpVrío/ʩ/͞3P FcjZZ$ѵfC!pW-pi D=-]m@S,}41$fIzk);7J>fSӘt\2~휍ljli2h9r]4 UVjjlvG9im|7NaϷ粁\*fe߸@%n 5Do"qnbIOP掳”`O8PFM \c+(ǯ}N讻v)-D 0s/%Gm7Uc2^e]|>W^//^5E%{H-9jDm>-lh,مHFQxlmnzݬVSK㱂Zc7 aŒsIGCyӉgD: fhЖ@{9_/6~Fc` _+-PNe|doK[\ qQg4M4 񧗣l[6Xuc,Z@1r1omnlJMl|4Okw0;~ [d+zHdt4ߋ?[/YῗUX3XLûD i[`ddv?Gu Pxm}e'qMxğ z9oqcoz!d/ݘv!Av}(tC( _#C!ZGI 3!h%^®j$4pP9v`B /|jɡ(H2gW[gb.Y`b(.6~K"Yeo!YJV1wx =/;4 *2ӭcfزi ,uOOSXumZ9k*1q½>m)GV *i!.Kڊb'Tt4SfTWCc^FO U;+ڎH=`APXg'NVƲ|}Ye{vch1o)u莨"l5[|$n7_ϱ'dWyDesRsLdiO]w׭[8nоTR6*@Qа}l!Wlvy914?&̒gdÁ8<:hP՚[Fw`%A@吢]Go@%< ;9T$r?TĠׯ6KX2$hBat+LtFzO!9֖oY_nmC]'}˨o,ެTؗ D^n9ݾұ&n_w{Ǎn6[p_ٜ!>#U?HUcafS4_c/ }U&p;I'$1ߧ(I>л= %wSHr=˗L_*a\~e hm'=hʹ0-c' *3Gb6ܕc7 endstream endobj 279 0 obj << /Annots [280 0 R 284 0 R] /B [393 0 R] /Contents [364 0 R 285 0 R 1336 0 R 365 0 R 282 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1317 0 R /Resources 281 0 R /Rotate 0 /Type /Page >> endobj 280 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 281 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT18 431 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC49 418 0 R >> /XObject << /Iabc2126 283 0 R >> >> endobj 282 0 obj << /Length 18 >> stream q /Iabc2126 Do Q endstream endobj 283 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2127 375 0 R /Gabc2128 372 0 R >> /Font << /Fabc2129 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R|Όl iT`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM endstream endobj 284 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=29) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 285 0 obj << /Filter /FlateDecode /Length 4252 >> stream h޼;r9 <&eǾu[imcoг)EIJT(>zwb&D"/d`%>^uYVJ-vчOы %fFJ r4G1IhJ}>m}5Zn<.87RXv 7>4Ja\}ȱx} phǫiF%hIy̳ժ+bw _l L՞~Ǐ?Sǻպqh&46@ޏi@{س~iZ2(=ޏZ]$0/q{{+UIgd.?.< Mb{_-MkL0 `O X\M 9J_ߊ~u}z`nA}a돑+AvIN߉El`t+`v:absMhѶ Ld~q] T+n6dXTqFخCAuXGKonS0hjXD]H=VecweyYml CV1m2 !򵫴V9QT ~2DMIN] {P,ԙX2It3@j >Ď]/omQoJZ3-E=H*83Ǡ@̋1C%41%U,cUqACw0%ؓ-0 ݖx2c)2S GI H*GnI0=4(#@T^&ƿle<:#?@?%R@^88w.6?jA% c)g3`{`8FQޠq{,#i (,H[O4@&6zFYcv_E9rnL#(Vml$|"qB5\c߇0@܎i :Bf·8_8B-!mUbbb qc%?b(dכ -ǸT83 qqztt3N)hb#a,^0[nG,l=x,?dz٩PAY 2TE"tu-A=$cJ,ARd Zi(A_I}-)Kۥ?IOL!kG4s$}H8#qȎܼH8w$K98T %spĀ%MN _7%UɌDD9P>(*%* 0d(K\ł) bC1RS ۣ $ɓ=qAHf_,T0D;5.hN !U21"#jpKq1ո8sy=|ȋM(]_0656&z<Ǜ2;^ 04$h>4`xӇz fM0v`1ݯ68/$]T +H+k͌W)wJ2I~IwFtS`l ӧazpXՆ{t>]׍m#nfbopKcOPS!%bfZܵR<-.}@z-9SW,6x\- oiX}hQ@ $ Ҏc4/wX[8_?,ŇN~/5.N"JWj/hLn$ _8G"-8? Cd^63,0?͹X|qbͧڇ^oW Fb.+Q:4IeY\~Uxѵ~ߐ@o@6-b!u}%Pd 2sCdަ{ۉÖ T\FkOvCv0Êη >?v_#<"S~r\miS9_˥h{z~wS-Bfr/25r@1H{{ fw EOHU-G. 1v>vK9dv[njz{IexP-]n+~̇Wg,R`D9(bbokɱwy%in&3>AD33F`|c;DF7LoqGg* :Qܶ sLg9Rfbܭ V: a</,i4'6 #Ͻ$cFs=x٢<-7A^a\|?? t}^]f7 ~_p rO}]x]߶Nd/LOw7~̥OĤ_0"3w73bdس瞰5QZ4//V1X$E 2/ͽ,7Y;lOlfy5ΆLEnW^;~o8? Sg,R/!oO$OKBϸ'0QPC1Ы}2Z K6{O{1G0_ٜ$U*)"l,!}-v)jjY43 ])%¥T:TI qA'IOs^PXT6zpx;511mAukҦ"Mx5R;;Rj%O4;,-РO`;jT); nm"%W#F>dZG۟D9c9tD ܻq ^s{ 3ωx6ȴX_ӧ]XiEBy5Si 0Z-AYB7$yj_"ZRԳ:\t{(ܓ~ ԏ~$y(~~(ټQP\$/ ,u=ڴjmdj5 %ɹj Aw[\S{*E,oRwz/Y endstream endobj 286 0 obj << /Annots [287 0 R 291 0 R] /B [392 0 R] /Contents [364 0 R 292 0 R 1336 0 R 365 0 R 289 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1317 0 R /Resources 288 0 R /Rotate 0 /Type /Page >> endobj 287 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 288 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC50 418 0 R >> /XObject << /Iabc2147 290 0 R >> >> endobj 289 0 obj << /Length 18 >> stream q /Iabc2147 Do Q endstream endobj 290 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2148 375 0 R /Gabc2149 372 0 R >> /Font << /Fabc2150 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70EOvջCp<)k.@Br!9h7r ģ1H[&!#((`aԜiJSo"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]dߟ}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>?d*u$R&o2VK2 ufg[X݌;/d"X|d_ ECC 0yW!ӘV;!JϡmтGŶ& endstream endobj 291 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=30) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 292 0 obj << /Filter /FlateDecode /Length 4092 >> stream hޤ[[s۸~ׯ#1@ľI6i6vhe&Q+K$'ѿ﹁iٱ|8 >L\T]FR)JlV]Rߨդ^6jLԕ}os ys]lժ \lq 6E o2SxCk4.-lYS[Z3w? \.t@SRw 6_t[xxwgM"?]~}]r|@CK2C$dbRz L ݽ:w5)?cls5ZT1_P_\_Ai7k\EE#G[T0 <  'Xמ:os؟V'nyB<=(7(F.iRQ^zSԨ?PkWSVyH)i& uQyTʀOO7vWO_RrzdңrGݳ$4y]f.vP[,Fڸ*k892 unE Z4jDOU#A-Hg(>U K #SG>HyM>cICGeIc^= T^fׅ$"SNV \`e1r.+{1+.iЍIHx`]%Ăs.ԕ18j15W]2F#1@EG4=Ђ2p3N1* \{H,F'seܘ@j^dQ{ Jx!% +[8s 0VPcg*.!1VB@gCO 9"UC\C\B\!NSr1UTg1čN B$&C3)ę!ęL q5܇<ڿaV 7}3iCиyDfߴ)QARs8x@J PZ9;s3A6`.;{nux9s;yv r>ԝ4Ѓ&lB`u^ `H=}AxЧzV]gyAȧmBWH|,$/BbBroWH/zobҔ1XLNfױ3@G_H/1/_B@TU yq9v+jHytp#DݩS>)d(S0T#M$ .ǻHp :jL,:]JQKCn- ľONZ>-}0'ǣM_NW!1:x{k4{,!tЦf0 B\/G24Q"x)r;YyT#*#VijGULY"#&6"E^ ]DpXS"ӱѐ= Z,gN }-/ !li`ASXv ~8RNUxt4$B3=.uir@wue*Zj0\#j.=@CgHl; O$o%v0.j("%=Jm}Guہ5uv8'B; l]}"y` <̓ׄ;Y(o&nظ5a`*ǙR끚&:{5ޑǺAzwэn {QjG+feH e)? :HslOO?F|n7:ЋaԬ}?>].alq~7Z1x C>f_l%FAR2F/)@gO_}_rܩoCU7כL+.yby`&xMg[~Zm,=gr)c-cmU'҈HWhKˡ-lzg(BKjvfOp&綨6Dz.21\r<b-V!)<f0lD&LܽŲ ?V_GF'nQz:x|6wyKz'HnDm*h%N \ֻ"wqJ<%(b޾y|||78?B A=,Y+l D\SGy(c鮅XgK$q*Qe墅;>{+Ѩ92Пy\Oak+#% i{/I,(ˍW!#ۓװ1Yn+e11YI-XܘFxJ T^S|? :B%{!|6hL=^.[v{\͚UwgvAǿwȒB,bY='| g`#'0pϋc-drO'=~0')0K( E-%sq.R# Xwao֫p2%A(].JQxλ|;5Ud@fgo&FA3xehzlw,kTA_1aGƄ_l֔u*Mwٻ\m0r3EM-e aV$5ZS f1<[TfsƏs5&.Rec_߻#h8 LRϾga(N#Tr w|z?c3"IúpUr1 ,\kc@ VQ جqǟcl<CX8]hIfjg -)͸:bk)Wr?Zn#cVE?_THP/NO"zگv3D-WZ3n`{7wAԄEKYU_`#]G|1?E:萑 C"eKQڲ6ziMmvsHوvz z;/Wzݬ'Y$u$  i_S0>^ʍp˿J+4EfAJG\z s@DYvhgH,G|/gt}y.8=aONDžk (K7/f<zz.ӳ{l% aDϙ936xvqJ?*i(.&'}˓cǸ.zͽL9!W |&Y2W`/l"+d|"JC]m4~:Ӣsv> endobj 294 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 295 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC51 418 0 R >> /XObject << /Iabc2168 297 0 R >> >> endobj 296 0 obj << /Length 18 >> stream q /Iabc2168 Do Q endstream endobj 297 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2169 375 0 R /Gabc2170 372 0 R >> /Font << /Fabc2171 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ;/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}_ endstream endobj 298 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=31) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 299 0 obj << /Filter /FlateDecode /Length 4828 >> stream h;nINUE/2uQ\rq"(.to!OZ]@ F]LFEYj.}oVх瓼ƹ.L6u&73@|ɵ)l:i^f ?;_-l\#[Z3wtGkT#]'`h_u[&᏿]l{X#|z@>d0 ]uP5K'?ʘ =kʛۃ¡r%>jsԀl^BJ;2ۋO`}lYLD|F#'8s@TUnb]| `:^.ֳ)3sc,js[h5S䗁T{;22,WESVpGÇ~kUe 'tQ/{~99}7kҧ|OGͷOqx36=^ΗtM LRD1 Qy6ŁTu *oZ.J}-k[Xr,3 뭲 G+`7v2E,sVp6Ǿ-}.] ڮp`C- ?XR8C@1hXmbYwbՉ-m8z&A@Jtz c)i6jvi`:@Qƀ ( $6M# $!uV^m ]QKI &:ՅihMzEgj-趋 Au$cŐb4 &e$MЬ LK%_D%O *t@Y` C k-uMxc5h=$5_wL4N t*QdnAS;cKJb?7=IZ[˳˰4Z1.bixp=`kB+s6d< Wot](?J `_Ae[f q 2j,5gd OkqYzkwWN#ᱭˮgdIݭ$u&J܌7I ĈH)kuD`F |@u#)LRB[:FuM~>_?||YwMb?e0pYȅxFAˎ!5ajbHA#|P+bl3[.!($9Per H!㨽*N0DD^ eNRwI%ʁBcF3*7gQBd?20-0uWl PC-v˓s1{^jq|w7MU6'/7Thvl, 8jXޑ?N 5 B}ތaGR <4LVi=h4}߬f6q-H)oQ6]x$uTu6]d|϶^ʦ?tX:ewkx)%ns:C)Nrd㣏 MG YoV/Onۓa}- y~rK*jNmorq?45es<}ߑiwikxN@ȡ ʡ*h8k鐝ѵr|0'ڴ[i%.n_osGCxr&MͶu>6Mgƥ:4pN&53]VvL W%aQ] +͇.m݉ 㲭߭f) ?קWñxO˒߽L|YryƲa=yx▬%d~z/(]Ae_ $ qC5 fipj_SInp=pOސƑ5eq?1uA,rk[ͭXĂ )ejF,qMyj^F\+N{(t>' U⮓9n!0j.AM%e]k#,IjY4sl{Ecif/+`Wƙ|s LwTL#X 0!Q \jP!3l`9w3y II- Ѹ&d*.B${=Hooe6޷1Y4T 3 ="Y_ 0> endstream endobj 300 0 obj << /Annots [301 0 R 302 0 R 303 0 R 307 0 R] /B [411 0 R] /Contents [364 0 R 308 0 R 1336 0 R 365 0 R 305 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1318 0 R /Resources 304 0 R /Rotate 0 /Type /Page >> endobj 301 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 302 0 obj << /Border [0 0 0] /Dest (M4.9.20259.ReferenceCont.5.Xilinx.UltraScale.Architecture.Libraries.Guide.UG974) /Rect [355.86 526.86 389.52 541.44] /Subtype /Link /Type /Annot >> endobj 303 0 obj << /Border [0 0 0] /Dest (M4.9.63214.ReferenceCont.9.UltraScale.Architecture.SelectIO.Resources.Advance) /Rect [248.58 667.86 282.24 682.44] /Subtype /Link /Type /Annot >> endobj 304 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT12 419 0 R /TT14 424 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC52 418 0 R >> /XObject << /Iabc2189 306 0 R >> >> endobj 305 0 obj << /Length 18 >> stream q /Iabc2189 Do Q endstream endobj 306 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2190 375 0 R /Gabc2191 372 0 R >> /Font << /Fabc2192 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ endstream endobj 307 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=32) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 308 0 obj << /Filter /FlateDecode /Length 4277 >> stream h޴Z[sF~篘Gc'vxT@S߾ 0D)n uZ,|ͰNUES+_:e4j-].\^BxG tkݢY6],N]>.~;lgm^g\&tm:dm6EuH׻GRuҖV溁+&5\.tAI0*Xt[|4Џ=hz{lor|ACćB+pۻ!v`w.~_Lh - ,J{s|Ԁm^BJ6k߀?A 6{Q-Ā-E=A<΢::]G}~ Y ܈ţvۡ_wΡplqo zEǿKS-Uq 4D:ux{Ea߷jS(7/ uTwYPUM@UV֕EkmĚKdUz&* zd#a8Ż`)| 6K ,ˢve:3`͘1TJfEcßbkg)McΖ) _`bnWB8@ +d<$D5>D~ΝQ6mhk4&8Z8MPM`Zb@DFZW6x;+rlᡔ,Yi7Ҥ &:4YcMJqT#qD0eab&MT7PBGC,tJF$O2ˆohdbѥ=s.|\݀(S׊z'L\1 ?L15;1gACW8PMo膲g4* +_M B'ce1n|#E(9cGt+U Vp1;cNÌ9-TBuX%Lb3y5t Ĺq.@v'S#i .8Gy6bC9U =BpS8$L qfq&8B\i !6sM?ۿ MͼпiycЩ%9+&sd0Aaug8F….^n)L1:'ƬXYJiQ`Byӳݩ6r|C/.MA3I2\TL5"(٘IHŸJ\֏"~76#*(.!b*2ӎ QK*Osď- 1/}y9j3qZ9.ru͙CP} 0d 65Ah" |x6UU65j_|TK^s쳓}]eUڑhsULDFMTy۾ +t郒o5%w ?2\^]QT̫P{ \Hgԅ5xޡJƑcqjC#;Ev4Qgz\d%tj}PxMsХMV5h:Sd pEhgttQM19Q*Fn4fx6@rAc >LмJ 80iTx W Kd!uXo|\JǑR5MxEɓzw1RrT3m n@ 7Ԏ:*:m rE)?u|$$3Rٚ: WGD/Q6}"|3dlqH;ѧ8$~'#|Fc!Ϩ4.!t<R+{Ts qu3dxh|hPZ]m-Mv1.?bBFl{=T_qGuS%E2)Hahgn<./ݘr{:<0I1D:UKW_OvUQjja-zzl8c-9jO{ʃImUn) w{ 8p.-$JQɩ\g.;IxpɁ0H!` .;gN֖7ėℇ#>QǚjS'IRjoRŪ!BB;/6.*M尜jTZM]k\i*5!yӷݛw?~7#~Mvmť=/ÙuHl|vjqѓKku\CJA~~e!(]G#w0nAxKŢ#PǾgXGj"`d#@ӄFd z&vmRqJ^(~ZI)b+ɼ=PsmJm !,\?;M astmV BxDZ'dcG/-C5^^LWdDP8QI)%lD@.4**7hO6tHTiþ:bJ}&H.r{na!!/KcǷNOvlVza0x#ҝ ogIB}@Hgmx_.you1ai6:>60zʷy}jXRtXlU|_5Dq`w4ۙ#H0ӷǯM'a'|$Ę$9$ȑLb" iUM=j^Vc}btB d]DaJ\)WTJ7$h.t-g5/㈆7th.CV;#*|wjB:}!b<@Cˮ}6&79#?N`wI[v/D:7(K^eFqg\Z<8{t6/Aٴ&୩$I(/ DUvC|Po## endstream endobj 309 0 obj << /Annots [310 0 R 311 0 R 312 0 R 313 0 R 314 0 R 315 0 R 320 0 R] /B [412 0 R] /Contents [364 0 R 321 0 R 1336 0 R 365 0 R 318 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1318 0 R /Resources 316 0 R /Rotate 0 /Type /Page >> endobj 310 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/solcenters.htm) >> /Border [0 0 0] /Rect [133.68 435.84 249.9 450.42] /Subtype /Link /Type /Annot >> endobj 311 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [527.52 534.84 558 549.42] /Subtype /Link /Type /Annot >> endobj 312 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [91.98 520.86 133.26 534.84] /Subtype /Link /Type /Annot >> endobj 313 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 314 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=design+hubs) >> /Border [0 0 0] /Rect [265.38 128.82 330.6 143.4] /Subtype /Link /Type /Annot >> endobj 315 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?t=docnav) >> /Border [0 0 0] /Rect [407.1 108.3 528.6 121.92] /Subtype /Link /Type /Annot >> endobj 316 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /F1 427 0 R /TT10 414 0 R /TT14 424 0 R /TT18 431 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC53 317 0 R >> /XObject << /Iabc2210 319 0 R >> >> endobj 317 0 obj << /Metadata 322 0 R >> endobj 318 0 obj << /Length 18 >> stream q /Iabc2210 Do Q endstream endobj 319 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2211 375 0 R /Gabc2212 372 0 R >> /Font << /Fabc2213 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w endstream endobj 320 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=33) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 321 0 obj << /Filter /FlateDecode /Length 4156 >> stream hZےȑ}W#! ?8BfloxfV?P$M ?dfU [V( 53Q*R?zXxj]RژtVEmԡ[_|ӯnQo^/~ZhUwEז齿F[ŎFᜳNF&t3.Бѭk$Ndhx81^'{+D%aXє_lg{bb(d}=ԯFgIiplY?'R2/4 P-[\E]pb% P<[B`ZoF^B@R Ru3ITCζ6*ϝZ~o.6Z`pZ)'i RHQ}Z+ע=O`צ]*s0az^k*q}V}*^yRVcYiI.r^(]UT8@==X$"ܺnJRShA/DB&"<+U8Rkaɛ"u|d>Y8ch̕ sZ'2rD ܔ>-sN񺍘 yZH+)} oDJ O0tI~kX Bj=R/~xyuU!Jk  S"-(Vx *_6שudvvz#_Cla gǜc֭wL}'cQ 4hmϗZg68|HFtE oX*)?ԏٽfWyCc.|fx!^(#2tsPrlqj)5ckΐ?9ѨpUR?| {P'vWx,MT4J5Al'5a S2U[ ~^v1_ɫ3\BDUWekF8 jN5GM1BGl)d(ݠVswSaCG6۩q[ͱz zT.Xb? b~mMpٰ-fڥq$}qկT @ ̤!Ff&d){ kc~_$:CAU˗,晴hEA,][}Ts{nϤWQw䗦RmT;8>B=3͜m&iI doN?tD8u[714b~gGG9"≕sH@"m*tq(P5v)JA2QH1 Bp(%[ԚC:`} پ2a ]@jT$7Z>eoI':ncn}Np8rȦ{>YI uճm}Jp))۵n"Wð"u"극8tCzlBHCn zg{Zʮq{;ɪ JF|M( [VĒL/'$-ׄ!(Q†oM8k: >ǟ'?޽|&XtNi5sMk2Ɠ3|RNJ(y:ticDq>X(L/b7T;Fbo0T=\bܮ{ rm]g{f !BNLK !<|7l|AEBiSWajR@m2²γs-o -_gE?x.X]зuM@P݅y(xL%~{6^2 }C!R:ujxt(7{ns`ɰB'"+~Kv*v!1_T$/PzRG.i;j.V.t%6/t=87+F}&]k9/bW #3Aបe "؆vO'" endstream endobj 322 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-lrg.svg endstream endobj 323 0 obj << /Annots [324 0 R 325 0 R 326 0 R 327 0 R 328 0 R 329 0 R 330 0 R 331 0 R 332 0 R 333 0 R 334 0 R 335 0 R 336 0 R 337 0 R 338 0 R 339 0 R 343 0 R] /B [388 0 R] /Contents [364 0 R 344 0 R 1336 0 R 365 0 R 341 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1318 0 R /Resources 340 0 R /Rotate 0 /Type /Page >> endobj 324 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 325 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=1.5;d=ug949-vivado-design-methodology.pdf) >> /Border [0 0 0] /Rect [443.28 639.84 477.78 654.42] /Subtype /Link /Type /Annot >> endobj 326 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?d=ug974-vivado-ultrascale-libraries.pdf) >> /Border [0 0 0] /Rect [312.36 534.84 346.86 549.42] /Subtype /Link /Type /Annot >> endobj 327 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug580-ultrascale-sysmon.pdf) >> /Border [0 0 0] /Rect [373.38 513.84 407.88 528.42] /Subtype /Link /Type /Annot >> endobj 328 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug572-ultrascale-clocking.pdf) >> /Border [0 0 0] /Rect [391.38 576.84 425.88 591.42] /Subtype /Link /Type /Annot >> endobj 329 0 obj << /A << /S /URI /URI (https://www.xilinx.com/search/support-keyword-search.html?searchKeywords=) >> /Border [0 0 0] /Rect [462.66 450.84 554.94 465.42] /Subtype /Link /Type /Annot >> endobj 330 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug911-vivado-migration.pdf) >> /Border [0 0 0] /Rect [334.74 555.84 369.24 570.42] /Subtype /Link /Type /Annot >> endobj 331 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug570-ultrascale-configuration.pdf) >> /Border [0 0 0] /Rect [364.92 492.84 399.42 507.42] /Subtype /Link /Type /Annot >> endobj 332 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug573-ultrascale-memory-resources.pdf) >> /Border [0 0 0] /Rect [388.68 597.84 423.18 612.42] /Subtype /Link /Type /Annot >> endobj 333 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=data_sheets;d=ds890-ultrascale-overview.pdf) >> /Border [0 0 0] /Rect [347.64 618.84 380.64 633.42] /Subtype /Link /Type /Annot >> endobj 334 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/answers/62490.html) >> /Border [0 0 0] /Rect [109.98 471.84 252.54 486.42] /Subtype /Link /Type /Annot >> endobj 335 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=ug571-ultrascale-selectio.pdf) >> /Border [0 0 0] /Rect [389.04 660.84 423.54 675.42] /Subtype /Link /Type /Annot >> endobj 336 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=training;d=fpga/fpga-design-methodology.htm) >> /Border [0 0 0] /Rect [91.98 291.84 558 306.42] /Subtype /Link /Type /Annot >> endobj 337 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=vivado+videos) >> /Border [0 0 0] /Rect [109.98 249.84 350.7 264.42] /Subtype /Link /Type /Annot >> endobj 338 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=training;d=fpga/essentials-of-fpga-design.htm) >> /Border [0 0 0] /Rect [91.98 270.84 558 285.42] /Subtype /Link /Type /Annot >> endobj 339 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=1.5;d=ug895-vivado-system-level-design-entry.pdf) >> /Border [0 0 0] /Rect [415.44 429.84 450 444.42] /Subtype /Link /Type /Annot >> endobj 340 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT10 414 0 R /TT12 419 0 R /TT18 431 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC54 439 0 R >> /XObject << /Iabc2231 342 0 R >> >> endobj 341 0 obj << /Length 18 >> stream q /Iabc2231 Do Q endstream endobj 342 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2232 375 0 R /Gabc2233 372 0 R >> /Font << /Fabc2234 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iT;/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/}[ endstream endobj 343 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=34) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 344 0 obj << /Filter /FlateDecode /Length 4168 >> stream h޼[Ysǵ~ǯǙ`7E]IYIlRN<h?u=K, @RrKUD^#%g/Pz7sV&E:6Rm7{?J\)=$㖣>˛`ⲝ)U[VmE]:W%J7=jŶ}vmbܬ빑F*[/sdFEL&}` ~dm`#~kvp,>4u5L? s@yX]b׮g/Sڧ *7ƢrW3I~@j5ޣJ$Yߐ4l>s ϧFˌ@`D堘1P!\v'bZ>'`<ޅbA8Eg)zc;07@ȓ]np'=r qipfpzpzpzpzp )NVonZiNVoz\4.gMFToJ0Jɲ[qYK n[9U ѿs[{|Rο8gw3*"Ÿ͞O8jX٥h>N֦JfͩС*:T]V4S u`L5ȍCyki XiA?ҠkЏ4ǚal8LIi?/b$Hc@T?'4ă-B u-5YiIJ;ds*u1gDlLyi0]<_mBLUbX|pS qXmX57ThBxpByOA~ɟxAĨclFÔ&D|Gp r+Wk`& C~_XdyAj.\Ra1*-;w>Z;˰)iUec=8);%O8 9&`^2XPAK( <?[:Fmre7K;D_oH72-/%O^}>EY4Tld EףA6$ yj`. 5KH>tEe#{LmiU-Q*OwNӷyAw:=p?4T础?tg@t,2.垮;qѭ: et;X?M]`Ļ]-za4Ղ׾-"f$ok1բ*&ͮx mߦ6XyVc%;^J5r4[-UʌSEæmcm<]jj/^wZ6Wf\Xf+xމ/Z/ro|n2!H'0 lm-5x5E2 G賶p2- ≻%WYXi0`JW%7]e[^_$j53OYDI'*X@b:c=T$颟(V-Hh[F3dlgBQd(B3}R|vZAJR>Vޤ:vO8-1ZYF+U78l3+ji?.נ]z*OUNQuqϯ.toHP;g5GG `qzs 7ռa xvy mۊ-7GTl:e *Y@M32h9\ Gij8gA'UR+*r/vj)ñ a"<`{l3pVuQU .o7(sTO"Lcl |,(lX`vaw'iŧT"knw6}^8MfZ󞜠BO_h[un*:\sZ?o~&4*M0VDm m(ZN&{,mJASJ!BzW籃`I988 2A=LOOv/CG* ~'j ,xFS#USno7۽ؕ )Y}Tm6]ԆA{mVڬ]3e R[pqOάHr/7;4ra`|^rdٞ"P:װ«K,0_.&[[S1뜬 Uc2Gˈ.wR3ڈ˻fj8b'9 0&i endstream endobj 345 0 obj << /Annots [346 0 R 347 0 R 348 0 R 352 0 R] /B [389 0 R] /Contents [364 0 R 353 0 R 1336 0 R 365 0 R 350 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1318 0 R /Resources 349 0 R /Rotate 0 /Type /Page >> endobj 346 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 12.66 342.48 26.04] /Subtype /Link /Type /Annot >> endobj 347 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Rect [312.06 558.6 447.42 569.64] /Subtype /Link /Type /Annot >> endobj 348 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Rect [413.94 530.1 549.36 541.14] /Subtype /Link /Type /Annot >> endobj 349 0 obj << /ColorSpace << /Cs6 1329 0 R >> /ExtGState << /GS1 1330 0 R >> /Font << /TT10 414 0 R /TT18 431 0 R /TT2 1332 0 R /TT6 356 0 R /TT8 359 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC55 439 0 R >> /XObject << /Iabc2252 351 0 R >> >> endobj 350 0 obj << /Length 18 >> stream q /Iabc2252 Do Q endstream endobj 351 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2253 375 0 R /Gabc2254 372 0 R >> /Font << /Fabc2255 376 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w endstream endobj 352 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=Methodology_Guides&docId=UG1026&Title=UltraScale%20\ Architecture%20Migration%3A%20Methodology%20Guide&releaseVersion=1.5&docPage=35) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 353 0 obj << /Filter /FlateDecode /Length 7471 >> stream h޴;v8 rdϓb+fl+Nh3%*~cbr^l'=s B?yw1~G*T~+ON'( q+&UXJ*_ <בʟNܬh/vM^J5t#h\mCة]xS.}/]QWNdrYpJ\K7(*|bT彫SDf%#m]ɖ ?hU)C H ?֒,Pi@`kċJ#/4*a1wa]nj,38'-5 ,4k D'f媨vUU V6,p^ub8^j$Za'J{~l@XA 0n!14?8T!l7Ʈp\ؑP^, Cf8Nם˪ j~w"h6zv7E:6ΌPɋc|/H"00)W<3ݴ3ʼn:Jc bcޱ{4^3ꫦql3Tvd)-N Di^xiwXcǟE2oiIfPK0R6u;͘O7am&>GJ &#5(;0;ψgf"׾Wd:3a>WD)ȸ&  m"ZK{<^hKs :94IȶL`dP=/3mDd YM<|4>[}<|)!;"nt.DGrl!A`'3 Z/2z^6T 7 Y0`qNX?ƀJ PCm54?!+"2#n= ~2V¶`w>1 %vX?иl<^b '1G4qM; lq9c( QDu+a 7Qzu)a3 g= Y uu,\-\ZkRk4 1ql^cxY!4p8Au,\طpA} t-\еp7 Lۛ[܏ޢ~ߌނnfn8ނ?߈޴6od*5/=2Ŋ-$Um4c\ھL/7[#mBcmG6FbT͒촏ay㛱 Yࡶ< caar0r0s0p0L9ȍp.>MAr*j9J~A07 U$EҁiXEB*Q 'QD{)Ex"Ȼ>,?̶8@Prq1{D|㥼mǩaxhb$"Rۑ:Gc!R֬)JlGCl;@؞1ə l4Em*i0};҇|`Acbh K!~cI΢{ݶQu>Q'!Ҋ _4INހB+a]p)e`nS ^<c~WQ)ZQģ2=j}IwOQ 0*v2b.jC!β>rj؀!B%({q]v>xǰ׶,b/=R4fCTvg7~S 舁 9HcAm9wrԡ+ww5ዧB`.m;+{O_dY<3EӒ65 Ļnz-( j#:ML:,4X&j6n LF6#R}}6kn6ȑM ~dm oFL-S*2/%`V꣹0Yc%+| z~ڳ/`h"&EoJM€w-+BP=ݧ]b_]Z.ެ.PvW]S^.R|}x RCh0 \%Jj{u1uvk,jMx: W#%{T~WV[d;=Хh?x~{H5D'B~(/W,]U*wٻxg7Z_߮x~vstP&ATͩy.^j_P>^+80BBRwPhʳz q8my*g24̉Pa l~2ᜢBHdAlp]ZW>}Su&U(nWZO?/_aТ Ǣ Puap[H]R  Q$11L9: raROU W3g B?`+uW \@UO| 3e0ЇrW9ljP֙]-D,a67\0HX<탱헗 gI*\: '0Y;l"soN.rT>Թ 4Os}sG/?Ԝ0H!X#L|:|*6qQ.o4AƓ M]Zs 41ڑa#r)rg"3c7vF"!Ki`$*ME ` ]|ѦLNA-MwĄ2e|(`OSA}s;|)ׄ`Hfk367+h8Sܟ]O !ab%d%|[[erq_gC@1= QoIjv벃 VI&|qva" _tdTjFO6lS*f4Ԩ;x+O :eō =G+d?*)TmfXLZ+U9cULKCm0 ׎k(ąݑ_۠ūmO-pw[>Ell$l\+s! "õ,,:})4 T9[Э&)AjRԢ"t !t9.6 1fE}ZToO2T й!ŻWn,K3"+8 zuQ7 ՊxQ!G #a>PJ6KFK acBb*0YbOJBqC#aWܴ'!nƀłEC{з{R\[ QzVl^Br)̡ۘ AɄ_yCV*Kvkv3xЍ:r.2?,o{K)о+4w9F(D]Mosˡ eR_c%%̀ sY=}Ӗt4kKl3/Qh KӭArWJ˲'vPo'ۮ2žԞA&)z2k.Q@]D ISƐȜۿ ;e5eQxpJn@*Dꅶ qW4  aHޗvE?9/+Z~R@š.x /I)Kc0?ZS ,wkq"UǕD)3s_x`TѺd d"e՚~6R9qz8>;\ijLM}y%\̈${4OBK}[#J5J/ rZHR;K<w 1 @@Q')~ qnUshWCmw3#N"K""ڂb!≍ПZsGN;(8?[U{^u@-;`JN2YyY<lvIð2~PVc9E!`~#E@^ 5kަWdc4zYv7%O[n68VUu27$f]IM] 4פ3D.OR֕_HAHѲ[tR'j){!^&=l%*G$6PPWZ"1]QQm{E B1@{#<ʚ3RIf G.G^lS0(j$ F\g{tB yTssǸvP0G"K*5CRf:T+tjk*Jι$:E;)ܑU$j:FT\Jk@!\vB sB(vA/ѡ+#*Y.oQp 7*3p oF`Ǵ&Ox@yw40 4MAN EYf9;(ÿpm'? b`xCќ ޕ->}xOǑ^__Ϙa>aJNe2Ԙi0^e ''}KE-RBys5+1ezFkzNwsFv+/@σ#1jVN9!s'ᯊ'LjuJjH7\MnXthF4ᬷ 'R,2v Axqx3'񄌠 މ;IK{Xz{fB/6Lsœ'ר~?(3bcoۆ=& { D,JȐpe<Ț0i5at&gI\T)AGkofsfP6#dΟ? GUQ̱6,O^P%q+A>~XbU<"ٲe-Ӝֆog뜐죗;9{ghnfUN$d $n)F>NSuhBaÝS1jxCaC>e Ȍ;*- );Μ1g`tGG )=!8B5;g__ޚ, >[!I:$Ǥ %OO7|:ϧW¦<#^^QܙE`cB!ELs?c-I x 61 ]gbhUL]NL0cL)qû6(ӧLK:Ϯm'{>Řt >CyNb8§dx8,r|4X:GhIg"Ny*g$"[F&n(ڻrT #zDG&d<5|LʦQrGN摖@HQ@Iޠsz>c/&򈇿7U av .,3@:"[n@ 8U}3uv ISŻ̧6>w4|9b|)GiFl.nH.9Ws.?HXMxB6>`5W䭻"$8!F!4-/M'L`LkKc5gxBu:K4rG]uPt _|Oȉ=Ӆ`Y Y9,Or=6E8ޭQO㤣 AݻCFVpWW~N;:U6u|+$9|L:t8*WL;u,KZeOKٗzѳfͻә6:lk"];jڧE/ >CC?r2ѼH|!|OA?2uTۧ&XšCȥO0 endstream endobj 354 0 obj << /Filter /FlateDecode /Length 24936 /Length1 63676 >> stream h޴[|UE>Bt DDJZ i%"`PP_"vtQQ,X UHSˣv/3̝;s̄ "KdQȌڜYs'^x"kSA❖su b+ K(ܾDI rDDEP٠~#ZdT'DQҙssM= f.b"S7;wVK?"x+u?w~ATy& J?i4@{i[yhfFCU?+YTz<Ԑ= qinEָ11=-5߁=aa7ח9{>| )H9O"A3i͖و߅MF|: ̧\+tH͓ᅴЕUtXjBR^\C\G%c4+h%]v5g ZKo݌RH&;RM[1fDFhJ&bqEnٗ5#/B WXPo].~yXEEHJQAruDO܌6(^"(_ i? ;eHHm_`~^pŶJ;v K.=o{;=L;𯚇3%wNTN(=7=RIzybyX,tk>ST*25:@[Bo:HлQmգB?EhR)'M0>;+sQi#G :tHAI$wy>/uiK^xA۴n輘ukG׊z2  ))?0ENՠiW3eRFLP)B)__{A_x#cdgOgG%.N"fEIK ZTTkG, G [ntgHbvH]nRT]؀;%%u4P xeYiδWeoɺ=1ӹ +J䒒ut':.\OJtQ'>+Py#55Z㉏M u}qq.k$PLQ^l%t0sD^ied,:[ +59I˗\*(g>I>z1,Q@x)K2ZN,e}Ŷ,1qq2{|f%AO싈.o4/ӈ%N(+~`Dց)qYq,UurQae@zR u%$UF.4E_#Gx)e˅D1R%b3_|c(!-SM|L(]#{Ps αZex )C8WO(uF{ ]۫A|0}1A%{⼒򄄒9EE!SJ}ce]Ge^T</肹'oN/O0Vgdg> ׷ztfis".IQԚB+"Q(d'e-2 bA{La t R'~1&sUVQIN ^%ߏ_azD/#^ sRIT&j(ZHFѬ8 @vfVgNP,@q~yC0l@$jb##2YC3eovUN0NwX;iSG®Dy@>ӦypA hoo@5׻&J\gi>Zړh4(/1Rm,Wh Cᴡ x[呰S7p2oAM6„aizzSeblxEStb"@N`~L#xϡvnq3d7y-.2GQ_sPjdBtf685ekr7jHIdkT U!1;OoP{jJO.칔x_X+it&i»Rcb<;QۡK<4\(JĚ)\=֗vbim`:- SH^{#^-kb zwQ ( _ڄB!ܡB! T贅$s+TPL§6[}p~DK[`Nu'!hBDdC{ZO/6 H_+}혍tSPzd'Z)k@)Mgooܻ2ɇxl8PvQxJ@yAhWO`%y%@P(M)g s3gq~ |8/ENIy]sHšMz϶{ެg{jo=9d~%0}?KrLBES+=DcksZ </ԛy}!|YڋEf@zis `y+(9 fo–:k#rTd8=Rgu]Lp- Q]#K_gHk#1oJ_zu{Ogޟ͹S®A[kd'FA d ߚ^ :^WzX’a#f^*k {r?d;"NH2^iD8Nr,G>E~cH}}h |أY(E]π=6߾ *`?.;aqbӝnoA2g7z2 řN'3aJ3M4&EwMeavxޢ2oG؋x`iN9 ;2iێxq"3[m{/){6w1 7Ys|{1!,W*YmFI;S[Yeuřz0'N臲sS#{؁wC~9tX5f1 \Fԥ04t{K'8I p>#$V1"ۭTIPD3~WjM#GɶhFI/^D]Hڗc= fn~i/?Sss893|U=>֝\ ':sL/`XtmAKNS0"9!~|*s̗#r_'<nRoz 12?,qa @+EX!c$%Z]a5gA +jv8 ?< | J5)}LqCٝ9>X Tv$_SJ}:6Xugs:X_ῈsCq.CiPҤ4RgΣb1[B}sq:J;uAlj)p?0xOߩ>@ikQ8]E}4AkWf*f򞢝JIf:,k9.\.wtg8^vs7Y<ω"a B ]#BGD!gJxzN8K~SpBZ3s[Spz<jc+O@b_HIm }=5g]za' X&m{^my{r^8w&>r]|߀8;Qe@ͽ5UęFޖHGFbսǁ,s׳ )DʁMkCe:3{?@8?tJ⼽&5a)ؗ`f{I\^"@aRBr Ϛ)E[9>H'/e  2ڰp1Yy</y %@8tk9l .JBp1r`~}F}i={g n .za3? . l4mwz߂=u$9x .pvmǬoNSԣa{kp;ƒy&- .q6Raܡ=M6r=e޷KNFF>r)Ұ@V?> X!L:,Ę݃oi LNsg&wH߅Y4@ Pvwf0Oܡ2OGwk!|Y_Ws.<}(85GANw齂 Gr}ዋ&߬5@>t¿ƹ2<2||([c>D9Y=쉐PGd8#}hyԵBcSS˳rYw7-;ht~gOFzd/U@|c,q&%5q." ̧yΥ's:g@/LK=v [,qV4Ne;P?U~{—߫?0F23@7F/ d=E߈g_}Q< vh*ŠNvWl77Mvm|khK܏ՀoWcvweyhȻ LsSzOc=Fq~ZFM~V@ϙNm~ed1zjAMĝ_w7c.$b~Az1={hw j 6|!B>yHo"ҏ^֫wL&|NVFoCUWB~PP,~/!itU@iuʛdcpX[w\WWjܤ1[cBIji~ c4NF\R~1Xì ٷgQq&1U"˱jوrU]yB5Q9]@1O8@-)޹̑{1i4VԲ|{̕jf Yd935LfreL2&,b&W0d.9Lf3d&L3ƤT&L La$I.&Lb2&d3bdL0$(&LҘd2p&Ø2d& b$@&L0I`ҟI?&3ˤL.cҋɥLz2I&3"&ݘter! taҙI'&t`ҞI;&L23i$Ik&Ϥ%X&-4gҌIS&M4f҈IC& $I}&eRIm&Lj1bea0XLL&pT1dr &2ɿ7&2L39'&?29|;&|&_3ɗL`9Ϙ|&bO&3|&39=&2yL2yɛL`:L^c*L^a2cw&/2y^&3yɳLa4&2ImL2&w1L63v&1ȤɭL60Lnbr#L1Yˤ&d뙰ccccccccccccccccccgfff֎֎֎֎֎֎֎֎֎1QA`5W6sEU躊V!UZ%hUjJeJ,Uʊ@,8 b%)P-P+*O%f$ČӕDS(hQBSW"O\%r$o MPbJd)8%*1FJd(1Jt%Ҕ%+1LT%VDJEPJ MH@%U/A*_?%.WJG*eJRR%z*q*R+qTa]P@.JtVD{Ut;%Um+FOkD+%WJh1*ZhDlD#lD%Sq1JWzJUDT\^%< R*d(ARAĨRJ%N*qBB(o%~Wⷊf!~h q%TG%*qDJVoTU+RPs%>Sq*RK*PI>R8+8{J(UxS)Pu%(J%^V%%)wEzAc(<>>G4eIe[Xر;>}@;$!-+;@b%!&vӃv t{@jhhY`v?uh4<|ȋ||I"'|n )~1&l$r=!"'^G>Țd5*rJ" "!R%D3YHdDK=Hl٤ѳȥg_4tr%U%ғ R6S6])nΔN-eWS)v))W@R )N)K3HHH};D^ե DjRvj"U)2Hq@{eDJS\>H 988q"7  8l"Arlr\E #"!&JA)\G<N'b#b%b!䠉#gjA 5%9SAdAM1B6-FM 9wc87G<C3>~xxqG5 ΂x x^7_jVXxuuk//`熘pxxa3)?1~lX.pC24|z?c`"=Zy:~pBAxgcQxKannnnpP]xxxx xxDW < ];@]+|}p{ZZowwwݮnv _.in>&Ą=LU ;7ܙܑܖ~p[R yo۲l-J䖃7ܘGbA#}=g7bĭR+(J) 2g4hiٳ=&ROQ;~JJS[tOAߦ<0)L3ߕTT5IuQ 2v;75 T/@8ДJM!(XpN+1\*8P탮"1+ѷYPͷz+\u..B\iʦ fpEiiI=]^fiXYEe=SZ[H=_#f1ݣJz{01PM6RAg9-u!sP]WŨBʭ~ݔ_8/oZj{9o0~^~FY;'ܣUӬR9sj&Y͜]pܦ9{,|,'>g+lT6x{ތ:#NxdQ2;0f;/^Ȍib. u3'}ޠր쬞қWLP["I% Kuu<>899JJRxlBvR2y 0F&WT"bg*!52!dհGZYސ Q5">nAC?dYFנKU=v63FmF=EQ,Q1f)MxcS?n^yy]>>9O'Ob;u p)X zIVKV,ԝ:wbU4S6tê~*q.!m5-فñX5ڌl0.*J`=Aet0ag^ePսhmkG4@ c ysGUR{+\]k{^vFc>"쮈߿ʢ-]ExGyVz0X=f͌:!]U Q`j8oKwwTQ+VSKv[\Yٝ{L*9nvZhGsl{ o}r]5}_^> syN%\Qa/.Yb ZW='OLĒ-8@qnZj,\\|;0Ĺv-ֲs^:\ M9`FڜeI[aoS?~~x;ug@3in!CVd+QPPڱSyԩ5J'9tH -O\M톶֫_g2w妦>GvxvxvxvxvxmOJcGPLG9s;OoSӎږķNj i,91:)<~M=m(*rB=C욾b^WWWW[zfхMSѣs: qgqRb%a2_V1qՓ⥥z4W,P%Q*/1}1Mp9V5.etl::݂ n]NU_Ԡ W®&U.bJbX茠<<0~Ѽ;sa:/ϥX<,5HdƔ$Aؘ6٘6ɘ>maa 6fӄ7pbY|Uj Mօ3|xW.^* T8 Uc ^n7nC; k Y0P[SݽވP؉ [oQؠyK"mSndnFRh``7TUcU(+ۆm`e w6'1SSEN'}q}TSO6$5ZđP]2 U n]o[M9}Ϛ5̋g}s{w?paxG?y <'V}[O.[w۞5 h?ˢ 9J!J!J9r)( 88/6kPW^% A?Tꡙ#|~BgG|ic'*̏ōOppᬒF|^c}_ײKs!WĭZQ[Ur%gƮo\l&@TAKJfQƇVOu(QKml)]wǦwY,(u*]ibZoŪ}_?^*;7諜VZU[\Z߿g@%XujIV-+)Է bԒNWwQ\Á;R\z.r#8?OqdҙT*R*gjؓsfTKwąj.j%rx2&jb;qqq^^E( +J xelllll?Aq]ve؅4p m7REDWm'tq&R[}CC=14us;'ozv#̘_gY?ct1ˆv X%Z%zspbAna7ʅQPF vpsfт.g8$:RZ@-`l0 w]FWk89IT#KnvVaz3jH]BQD b0gST ξxMx*qԌguԲ#:T22؈;.;q2KGB(ۉw;Ũ䠂 阉UP$k<&wy3/mb7kΊXMDQ ?ĤT".r*1[ȗiQZ^_Ќa#B<tU/N5:dmIŏZ-+nPD'`8U$zq-Da' ;PĎr) 8o/wq#\Ch|.nBkǛ?h?ױ7z> }H2C ۵JdG6֚"OM F(]}t1 Bڠq=zSâ4Y42=j2efe#" #& )穑9k2>_3BE!Uf.kO! Fe y##dl~aۤ;Y*kfJӱLE tsa UmTi\GX)Hga[&ac=-{ 7<|z҂bJVgҲ_?ida洆Փz=\YP҆5mioЫ6L.;'v$}+Ryxp 6P!g 9+V¯%{UOEq̏c8Ҵ5(x6i6wTSʚ`BGxf2`˔*n K>kmn-Jth }܅L}/H.=\& r(BUTIlF vk$Ku@|r,!#ݣxy/{L:7"A 9#>jmc>(08)5x3vNڌOlSIĉOO+Tw/)oX{`fܩQ)Rٸ# U$bz< "n92tӋklD@n3wψĂj|TnD-yqtV޸Ws;TDQ'VƊƊuk_ptAG\HlTrzܫpx઒_eJˁًn[yݻEM>yq[S<Yjgǚbh<~ G[+;+Z')Ip,_ ,}EgXIJY߆ۜ71FY Ny%Af8 2VG ɠ*@55*b`u5uk\ e6m4>@ W]դ׹#7 Ig\}ijԛ+dR̃j sE[4[no#3m۔3#9zKuқLyGx*@z<2vdfe#;2;I(&e`g^penƹ Vbg/D_[Ì٣" Vh5y[ɗQ -FŢuBApFb9"B/Oecgp (Pl"58 P g,Xr6GUAArT!d3[l'4){.W[\NW61'*N5m1.]5hF4z9}"xflSZZ}jKiS`Zsb^}gP^}3i7,G#`tM/jȓMXM|<}>;M imCZ֐[ ixVcZW,ΞDBZ1#e Mhhho.j-pMx'J:.u,I|Y!,3$yXնSp'XU DirؽfUmU3=-9ӯo.f`eY#FSoLv MyVH7 O/9|ȧ/ø$iYq9D,j \9~r<gfeka~yB77;|@q>㟷Bxq1+ERXjV*+#g2S_\\.x\Ʌ;659{,hGgO¯t4n4mnYF]svY0]Ʌ{3 ٔW;r:k8}oߌ[lI?&,:M.~y@6I 2a"یrC&6V}kL]E5˃&PS5rzABh4j[aG+fwESĨZQI9hq+'ڻwjWLX,D^$"O/X/[DOoEHk%8 &x#s}"-{kq'^z !=/|R7ϭ0*f 2',\ "+swuMRdײx#k*Di1қ3&ʫJMvEkf\U7IhFoɲ4eIlT+pM<۩؉'NGKmB{v ti[6NKzx]$p/]@)[Jky{54tS1J~;W3W3~e(D Gϼ1ǎRNxvP5R)*R] fO 1Xq N8 RHwuLC܌"zFg"FǷ㍱T KKa Y@wHRwz\"TPDZp/tKB^X?Gj7M_owI<8fCv;cn9R-!W%P=uuEucU1HhB"rH!%@5]YvAԂya"*8nzBBu=eJC˷ZiﺿjwTk*n=7r+׿ND==zϧ ɱ§@hS|Ҽ t/hkßDkv{w#$_ >=I.d]"'c@99?)$i9=fɆ3~lHpx9 8W5JZzrY҈Qi|  |Ln| Qn^g JhM2ՒA\<֗y yUrVn|jŝF*6K[.RA@5 Zy*\gFʫ\VvE50xixTv;d5O˲y= 'gIk+2.sd.)A.]!vP!k dld Txc|U݉rNK) f/5bjl i*gMR-J<H"Z)ZQEGNe凼Y-Nl趉NMI,QM|4+`U2}'qR&S$3i m wt H,*d,@%E^Q~PܴlLG!VTs8V!|A)VsS59)fiɋX?kB\vwiaW/:A귂p-~}іL][f Oiɤhf58`#SZ*!ȲP|܂pЁK2WgV  ox"xzS \ Ait)y8 ẉȟ#f%Wz5VxFK͏Dz2M$"&5D`2 X]_ox)v&r&8~xп|;{ T>O|i>K\ۥ[|x>٘OQxtS\.m.Е? w vw*w8422"pJ nQ.x/|{\8襋$ŊOg.7gD#gԬ~'5>=Zz =3ۏMZ! tPp|i4ߢ/w54 uo)qgi [\.f&•f Y?2ymCU+je&m뛇R͇8qUkVb=#=w[ywjݟٳDrl[vN<6c£kgoᏺD]͑ "mω1B V_%mTUi"t[gbOKö[zYNQ?4i( {Xha 悞36-Lp\(⬌Dhͭx"vM5hNzc9n9:y?E'y~KUqzn=Rdt 1zpCW^jSnn6}lY:K% ErxPD$(6…ј7X[Jk[ݴTݴ:oRkX25] ;6NNu^dlbŞ;w⽰n{wdjoY=umEtP[ۚ4l*lkڒ\3r'3kuǢK{kGoڇry9V#&/W:j3VQA-POC'C7y>ѝ'SәK#n2]_3fd9³}{͙[7X9V_`L≕XjfY&Z[,=B}ađMτ3~9xaI,d}Ö}ec;twƎ-~aq+|dNT_>"Ig.@a,xAE-nBIKuZ'hVMjZ,Gɕтz/ 5=OUV+3`.h꙽b&sau+X"Wrybn3$Ҍ1oIP#]:,$ѨY ]!U_UpDj@ f$\H퇐m@u9r3e(>>y|鹺3Ut$D,J1,XBeːĪ|:k:t8 r9fg… fU_ rM4EJE/w*hT}zi!W缞וYX`aH!V$zqx`O[vh[beԺiww_Ezӻߊse6dPD225J3][r;]uS`z},kUZ-Mr(^wimoOE+S9NgnHu?!5uD$k!x(JP凄^Hx Nq\2`UVu6| 99p&̽++*~ (԰V~$ JX >Ya,cb Z ռ(u/75uZZҭ;E  _J q=P4]q}n5wDw6k('Q8KQ ՁbR"w$w. -A/ͯVr?7(RΑldK"BI #(鏇**gf?vVY^6𬫼,(-;:&tυ5+=7 ͥr4^L]Yl& +QUQ\Iʏ7BgcD#nFF"+wsct[^aGЖ],dgtN+fn{frytX0bP‚K}K]azX6-=Q{Cϲl8رwܹ͟y䞽YrEC,:]ε6dݴcVV'Vxb~쮾*jf.7AAx^ Ӄ^B,gҺ?~ P7_pw\; 0`wEs_^5Z^5phX@C^tqd}ȥKH}e"^zG 0` 0` 0` 0` 0` 0`O`=-.BLX&vvOIg?*+FJ\$@$Ax^z>%c{!^r!^k8p!@ 6ƾIp ޏgQ8 KUxIOp p$D4RMjI,ted9U#ב d#$feIFɍ83cd $9@A2f(gFRp^6J6Eco/=V_2Os]{qs̽6&1 I9er|B^, C5p 1%*<^nw3ҳ5m`Czۄ6,Q- HtL8{zy sezۄvm"66_ s9cg0bgKC^ž(L`r04 6G.z61ݍW.&]7WLFGؘ[qyEcKًW=(>SG&gӚ7NO?->85=zdb@F[69 N{68vxl +WI/5=vgA8jGGwާMkG-=SG=01v8'!FK*+=HIF2lQt&@C}mQG-&Tę#v:-L>_u?xovg3x*W`EU endstream endobj 355 0 obj << /Filter /FlateDecode /Length 23534 /Length1 61672 >> stream h޴`TS7 BI(aI)AzB%LsP-b4(v@ڰl"*(P wv,QL=sf̼3s4"KKȠ:WyQPČD}S"c]9# ";jr٥]:cQHMT4+]!M)[0pR:g^'ŵ |e%Ewsgm UEZ$6w,*{nJC_<]6{ʍCEx٬IeێH4_E亗(pGiz!P!]OзJ'5\ZBkKgkRkl&9'za>wL=4tGl PL#a_­ e#{O(N͢iͧt%-ErҍŸnJnvZFwr7;.ZIw=t/Qa}/[!CE0Azupo@o>~ >k>> _K=|TE~zB;DzZz^юѲ[ :&Uz^7hIogCvA;B^{>}}L.Mu1>C/Uo;1#&8_Ѓ2kڧEQMJ B+e;gO-ZP<:~ )\n#nj0X:\/" ]6"Ciߑa~nk(5OqX|V~Y3\{zD}#jYQn"-׾H+ӈ!ԴeKHBTa~G'pIZNNEژ4M5NJLliQZjuzZ}->5CB[KXi5cl5՚k7[jI9Z*,Y(čZKQa 2ePsIXܶZ' QKZWCpw7:I͠<*t:cTJϹdq-=jd~ވÆ=UnrW\q8*.l;3hg!Q]QqA;_[O}Mȓ|=Yپvd;2tgyG >TӧH)qGI\G UƒׄxdQ6S1%^v8Oi |z #BCB =ɢ տS;G)pH-,."hR'+mח"U1UTCח)5dpxELIT2_Ll4ʗ%ή(<程uUWw]+r2(މ}&ND&& P}J8_ۯqdyG ;Y<+%ZpCsIhьnHh!j9H"id"%2Y)*/ƱEں'eF*ʭr.ԍ"J4`7~:^}z&y NDCK6i$~l/.dt'HM1f'湪`JEax(MO#Wv/3)WǓ! o :BT* =СqW4DM3ڛ=pA280nJx[RR$Ac"+epIm0CDF*ȑiDwD P_oIwjq>fyf4ƛxv Ql8V/l1_W:ĜBf()lnu ̞TnCcküÔ*0nE[R%+rËt[ -Ur=) Q`MAyM%ҧ:ZrQXjoS=jNG1vGS{e 5.LJJQJ͍tYӍ ji޷S3)F#Q˜(A>a׃\ A 1k*A. 5]4lЗR3{+5f掰":o?(Q0+AM\yb$Yd14]Ùm-=\P f? ,2 nzsE uq@g}z jl"qH=ᬐ: Oee?E+"|8n#,zO(ъaSWhsv#Pxcx cx7"H ChwuPw(ճ'zkQ }Ƣ4V[@#f\X0qKiڛj'iɭzcu`[}~{h}=.01oU} XVH`w}P JAqLwX`4h-ց5N{tV4~ {#dp45B_&{L^Jz1N3K (Sڱ X-4 B#{ 9ܩ/҈yJCe`Pu'S{.]y~5+[h^saC(94al [h []✑r>JyǸ. %x0p9 (uSi~1 =I#PnԜc6tϋ$`J>҇8 AK9C?1/$QVhLf?h^L9I7Khb6hcE +:@saFٖlzkZޛ8-9nyb>ڨblơzm|NS({pE1(F3>̎vvj2(JƅakVZ.Ļ: iҞKW FnF\Eb9ҋ~тʾ~FA{( my>޽!a e ?AR6.p+ Pڻ9/ GyL6"{̧ x'/Ke!fDG3quFoŸ∘Rs+1߅wQos*b^`4SCiX5W 9r9Cݯ|z_?{\A;k\B)G8v(o1AO:򵮭|FE-=r<2}VSG⺻ =ijhߤż)zu :O/B7Q>l}t4SOd* o#8H}ll*uUFQ/*qFTSW(u/ ѷA=ֈá;Ĝig`<dSws ,͵բs%-Si9hV8=g.b=~ x |P`yI嵠%&Sc/"tw+}]AAB}gn`~i!kWi \X`\NqWT~_? 0[uZ`>h/͔~M*j'ܖ"y cKKW=H s!"9G||[< ۲k T_㍃d`5\ %GM ?\KB&^( (xv b䌳Saggq~ @؞6ج! Z`E[Śm#Xo8'g^n؛ugޠ;Яzfla}Cus`/}?SO=Qs(tk>a;]ױ&,umu.ga{gs{\z̛u"_|l38߲m)Ya$Ɯ:Ż/y|9ȳ79"I ?Ͽjʵ7r*ws 3 on–7R`SnZi>Myϰ}\uoyr:bj~24KA :H~{_uQ'NK rXtlp_6|oVd;`=p8" u7=Dǝ;ͥ([<H;Ƙi~s+wMM;ePeXCͬb+`xny>Oaܾܳ1! v 6 Hg=r<3:@_@Ӏ(ʣn{$kc'9 m9{CgeDَȟy0k@uG(0=.ǽʐ.ZOqsL57:rrI6FbSٳa¾ah]1.IIȋę5[s22;ɵr:Zc&=b^c g[䉽5vXFPvFH H{nA8|sq~˜?~'zR}uS_I1"NeqV<$`6Gې}2܌bהxqV|4hߋ|9s B9 H}xgj+c^jwre1kN@!AG~<,ct  v`#'5MyTynߦX#nP8R[*ki>H0~@yOV=Iq=AY1i9NcOQ=G̕b>2y bߴưѨ[}I'4SNQbʵZljb|U6/#~˟"s<Ʊ \)W8aG߉1^VR,DB饸^/'-n>: iՆis~ ʍ1C/CoNW}gިbR1Ƽ<iڹ\6A9:\uxiY֚i\oZg58ۈ(X{uΧMQyXEM98qE/t9uW\/BzcUۯƟ\sͦHC^$1vʀ:D[w>}55Bi^؄xgdru ƻ4k Ͷa/`1/6f8[aS&1Glslfh@C;ڀ4]]0\pyn:|:Sms2t~541NE\?IAcgpXσF+{YU W/Չ?H}آUoa&L'-_;5h,x IX5JJSD}uW_/~xֽ 7 A!g>q"/WEBS"s?ZxSSgߖo@oʗ -_skM9CkF9Яb cuLj]>,koyHumɽ239&0tKqIk/Ԑ>quP1F~.v!Ύ Y Ǒp9[iAD>bO 15 k@P LǺe֎Ŝ;BY9q9E똛{S9"< Ѻs2b݆|~xlXq>ZQs.{=^ `%1.B]4:[q=z6Yn 0B @'p:\ f܂ Uؔ^N|#8=_#F2 {XqUe{({``n.@}p¢kA,}cXC߂akf>cXc9l#myngaD~wϧbo o8UwlXWO8bB]q[Dm wh. TP@|'3^x> ([I 7uuLs' t;8<-J}#ښݩ+bY6nD fܗYdgH[8xY=+Z<;D틐xs=al(q1#qOV@O~HzJWnB-{_xa߉bKF~g !7 f:n Cwwo<06G 7~Qؙ1巇iQ|_%b޷3e ,|,d.;,omolcyuX^eʲe3+,/,/<&gYay)'Y,U!>'-@gye#ˣ,-·gYay!Y`Dze ˽,YVqWr' s,w,c6[Yn+9,7TȲRr=u,ײ\O e bE, Ybe|y,WeelY,b)c߼d&K) ,XLae2$,%,,E,,L`2b,f= ^X.d2eH|<,Y ee2e@l,L ,,Y\җKo^ =Yztgҕ KgY:I14ӎpgG,YڱҖ ˹,,)&} Y<&C7 IfO79,I,-YZ$4giҔ KK<ߡ1ߡ{6diRK]X:,1,ќg=md1XthKK5I,YdCV;&H;ʞ+/,GX~f0!Y~` ~>:-^BO7,_2!_ {XdŸ ܟe7˧.O89X>d3{ӽDzevwYtosoɅuB5N*h+z gY^by98M3,O<7zRŷ<8gFGY6c@ay? ?~8A8ZQVs*y+Yw?rn&,<CgzFz @zh?wmo}o= ׁU{.@wt;WnSn t f+SIj!?@y^Wjm%eDUk3K l"\Bb@Y#Z r!PM C =z"$'#%!&ICG@IbDZ..8;@6[0Ы@z7@i/Q#@OS51HzD8F2C0H@F²Ó #O34uPd,W OFG@ t$A@Z #BOG%!&"$ `'`#`%lZ'N}tC7+@Y}@ Л@ou@/@?zzh @>=AdU.с+6XOIJ# " CXF`)%XD`!،^یfԻyf,oWl6[̥molܸq}(۹֍cТōJU.D')-гmkI]qc렙$b-[c[bpZzß['[PpIc~[0 Km^9 {ԍVH+l|8/!/+WP AdT^Y s)*hfX(zRK-h^KQI9:>L 3U¼ rT7G+zyA ߬ BUWȖel)"9pֈr.'s2e-[_ir)r6v4*a;e:5m[=q=d8K {&fD,'eFOױY/~ NA]?n UE湀l [)ASߪлyY677-:]F]b(cO#[bŐzXx ϡx:1Jρ:~ۤK@ـUg3> kAq:a57L7lxЦ UUмm\`ύ+/Ta.p$'>nTŖgXAe"'{h5E6g6 ?QxMbZT.xo P2{UȨ&Z͂Mŵ覱Z#ˆ[v|W^ݝ=/ ˩xW+n]מPqB{-AzQ_wzgڅ@,< uq[f\a<ԥDNP>0vvJIYLZ>ğl2 b`}o͡O =yv>Z%]# Vb߹6EudN}~x[goOS%upu]( ^x[U0"Sa嶒ۤq"Ҹ#8姫} 'Hhpgܒ}R tg4=N ˆNd2PB]FYa8[ _8[`c[5ʞɓZXz(1aS4\ۥ(נnUP.She|0 |lQB.qF]r0>dS1EcXjt9Idx)]).Z%ȃ=J5/[O:θU ո}]a nw/y-/Á[mQV} LB5tjFE4G{jЭ0Q QߟK4A @~p %0]L,,6xK"4'o8S\$981_8DWH;Sɶ`RݩrÑKUp n*w&IʕnUrr9h~⯥6BUWJm"Uj%R %`|\ګ* ȓ٩L`xxJ7I4 kŏt3:%6l4rbRCwC#ywid*4䗂]l~MF'dҒHpq>Fw(OPlMGPw|Cݕ)zqˢG9ZT\)䧔XyәNe5&2]~&/k N. f;%/e+R~)JI@ndߟ]4N@V= "SQ:f7U:a荩/f@.zjAF *OLO5Ry8pqƈkxktWEy/hqK1 )mk/Np./7:"=K2& #t nƒ PYvL62r[igfYv|O"h8eag<۸;Psvk2l`DB安"{+9 iw-M LyF9p(۴3V@J,d ~omJ!pe޺]x۽kkz}ޮ]1w}pp硓omݾe~涫.h+IUPNP~Q.҅QB˅T4bDS0}H{%֘}tL?3-`Ec*Q*>u`ea<]|[ kV͉Ҵr皖Qo9γT u?6/T4뤷صQag))~ĸCp@2"E8sx7S/-U#pIZet4ح/ Bǂ>qE+SUnDW?ǘ캺:pt2aJwWFX.=&< zG[ɷ1Qԑ^6`:8b86ܵjPIYŀY#3.X&بt$Ўcq) n;J$Yx~f*gnknY<γr3ۓ]5d k-/m2*d4P|uymL=m8oA]=Dg6+Sdc~kО6-.8}S#o  M!zR(ql8VOj:JvJ)ޖ­أN(G1 `C 2[8<=b"2 VR k"3gdhE.i[U|_KFkִX S2N(i[.urVV%s59)3kuid2TzJm`Y&)+U%cUVrZJ&3B 3},鳟RAWD g{9"9|E׹uӑ =^ԋ|! \22SIbb#z\zԲ/W{jsF*KS.QUxUAUU DrV/ UV]C1%/ Gq Nтd (],yܨ˂PCO &rk_>qƧx}Oܙ͖L6[;$} zo f~a.3ƢU= o[lжEdڮrٺb ۪ƅY%qZPhg _ܶ`Kg0m~xPnoNVհ+QM]y|#*DkQ6q+K6UG+'mF9(ڌpӒ+x2{"7bKABg6K!UeU T8"P%[Z޴.:7cKf5*#zg׵%@)* U\7:?g j]mmǼLՔ'y*U ݛ3CR?Se\-]x.FÂ3 \&A]"j%˾ 2.4+Y]8GORUn:ݸ,Ҩl;_PMj%u&`;Ox'~2:|܄,&D&Zc2c>lhz+CSsМueH,{Qty|h$iś0,8'>9/Ρ9H_1=0T`Rf#rfK1QRZґ_'=\[G"jxlrkvbaU^6]m 'px5/.).ڴd/sgvXs@e3Gnhj6LHv׵wgR54O p4ShU"'DH٪;bIAob\;~S u̴:ǜ_3@lftyEƧW~y&wKC>Bqq%TBٳshzyPU"j.Z+ʄ9Bȶ Z +9FK.5+F WK/fZ xq?ަ^N/Ύthjk͔}~jS-ł&j_m 3*ERhJ]Tj:>ΙT>RIJ Ǯv`k]'„)=\7uSM sl}lWlXU`# {Y \)Ip?3#sEnlN邭H8ҹ` G'usӶWUUgkxjJqAycm Sfn{o {2,Eu>()7<6^@\uuRD|BTyqZnUKZe%9,n_jiim^w;++<[/%% N *w;Gڦ k`ʮ<\cT '߂DNu5&odXcE}g09}b&hsM*05g@S]SZyK3ACrFfczvX(`"oZEܷSoMWS<6o†VĦ[K3RLӀp!e(3Ҳ~†bʡv|N+}~n-emI J>&1J~0#VXQep3O{ᦞ0rwm]XJWj{:f񢮨7i4&7*LV0z^R\d|=HSwYzBSͪU?J˲ "@KUPt-3PT9d&ҺһnU&ddK ^H:;`jy!"s?et 9/mLu\ޏ~Bb`ŅvR0AZWLW4!i.R#^|} 3RRI??^Q N^[Xǽwt$aICD-3= 7: eAzHM+KU3F_{X4r_`}. ڭY; h9MfvLiE5aSU#.U\WmM3,]Dt(?_A?>I%_:1tUuAϚJ6?niܦYb.>X\8@*NNny8rNvG7 &A^j2.uzHkQ9I3BSВ8-bc]\bα=I -m_cA$$ݒV"i!f";ۉ0FQ""J" I9IHD"Ȑv}dd sAE g#\Y35sbUwlu<4TمJ6vǶpg}^~b{XI{Fog\LRξ2pQ L)c7Mv+Ȩ̥YD%cFmRI^=#c4~Ш04Erɍ7-6"^Ak$,2"@#Z"''$J7~gRj#'I⬦]NqEQPϯn,]۵׸!"ϷsnQT;PC =%lqFĥL°Kjkoi*$ 1C ֑CoVIad(kĦbW]lcfG_pm"SIcv+j)vqgsdPvIs62wim m3HR?+z]*@9J4Zi@ʹ :YfCLNxTEJ4@<6R&JQehHYyi.2(y'yc& #}ՠ;6+(QU6+Ih Uv3jS*A d2~n}%T yt$ w o~NὬ21D IJ~Fs΃$:vQQ'Idec9_Ǧ̶_ٙ#j%En hŠ`]vesRn֠-R_ֺ-%xwRXDI2ƠOU{ߚ#'@dRi-[YjѾ]Ur{) XWbcNb JHX rAPO$%\9 ZON y}Ȑg`PP5 7㰺ϥ _Vѿ%CTn!x }^ SCB]Q4hs l MfH=vnj -R4G&ː'xyS`~g0/r^i[6Nl#vU8J%<5ORsO)SO?0J}.0veX~X؆MORKKEPTu~lv_U=| Fs5U vQw쫛~qj 7UpW8VnZBu׾ܓr-qy"V0j{ 6o|ޣC%hA1dҰSFp b1DҕA\ CÃ_x@>=?Xo1t?\ðy0"<`iְnGWDžpn]\SQ8<8<~_ͭw#=PbV/WH/@ϣ-#vӫWh{ӗoM_MWzMdE[p /:|L(5N<$NV^'L,iC ߙoty"p9[AGٲ˅ٲˑlYMl\͖)Ph)ٲˍٲˣ2CPq~;Q Ԓi bD͚Ě){kXڏD |b46[hHL'Z&'FWV-;3aؾq잱< vOObwMޟ ~)Ck@e7Dehٓx==:Ibeɻ Z\Uı&(<`'|@&=?ܡj,b$+˧qy1ɯEKIn endstream endobj 356 0 obj << /BaseFont /POFIFH+Calibri,Bold /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 357 0 R /LastChar 122 /Subtype /TrueType /Type /Font /Widths [226 0 0 0 0 0 0 0 312 312 0 0 258 306 267 430 507 507 507 507 507 507 507 507 507 507 276 0 0 0 0 0 0 606 561 529 630 488 459 637 631 267 0 0 423 874 659 676 532 0 563 473 495 653 591 906 551 520 0 0 0 0 0 498 0 494 537 418 537 503 316 474 537 246 0 480 246 813 537 538 537 537 355 399 347 537 473 745 459 474 397] >> endobj 357 0 obj << /Ascent 750 /CapHeight 0 /Descent -250 /Flags 32 /FontBBox [-519 -306 1240 971] /FontFamily (Calibri) /FontFile2 355 0 R /FontName /POFIFH+Calibri,Bold /FontStretch /Normal /FontWeight 700 /ItalicAngle 0 /StemV 133 /Type /FontDescriptor >> endobj 358 0 obj << /Ascent 750 /CapHeight 0 /Descent -250 /Flags 32 /FontBBox [-503 -307 1240 964] /FontFamily (Calibri) /FontFile2 354 0 R /FontName /POFIFJ+Calibri /FontStretch /Normal /FontWeight 400 /ItalicAngle 0 /StemV 0 /Type /FontDescriptor >> endobj 359 0 obj << /BaseFont /POFIFJ+Calibri /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 358 0 R /LastChar 122 /Subtype /TrueType /Type /Font /Widths [226 0 0 0 0 0 0 0 303 303 0 0 250 306 252 386 507 507 507 507 507 507 507 507 507 507 0 0 0 0 0 0 0 579 544 533 615 488 459 631 0 252 0 0 420 855 646 662 517 0 543 459 487 642 567 890 519 0 0 0 0 0 0 498 0 479 525 423 525 498 305 471 525 229 0 455 229 799 525 527 525 0 349 391 335 525 452 715 433 453 395] >> endobj 360 0 obj << /Filter /FlateDecode /Length 528 >> stream x=I9 D|^qt6z W$A`V ϵn_ym<lsL,\qa"ڷ>rzu^ '˨*GL#cz^WYkd9mC(>OLJ~\8n̗NGf6aqWiq-4 jρq<~&W: rZ56Ğ@7;Lt6tL'T?Q5=|?q V1 i{K{Zs1J@]'&$&c:g3Z*^MDn9P.ѯ:߄%Dt;Ey!Dz`v;qrF~fLNi)3#l\gWZd,_|^QCQ ~N+4J| |dG-S WfJfGk|Fa-yZ~E%3v?Sg endstream endobj 361 0 obj << /Filter /FlateDecode /Length 438 >> stream xESKrC1g&w}vJ■Kx`@;2Ee\Kj|22Jblq1_ 10#&0%˜-:h2#>!. E E٠COوoP)FHg>"vO0twT6SI# D:LSF- 9йjCjIpS :lrj"+Zb@!:!$(2OA3q=NL"g/sTc eMb(h5縘9U_=& pw vO~A8' ąk(86ʴp5-׫av endstream endobj 362 0 obj << /Filter /FlateDecode /Length 511 >> stream x=In%1 Cr]Kxzչ6rd֬/{6׶/ ~p~c8s5J^-R VbT[B-gYgU8~{+ sy XmǑz`Nƚm$uEBڨko%ݫ!*RXfC=kPHsDk .Y˜lw Ls*>6Tx?hw=9I 1ZuA'jmd0vlLŋ24c6HaTctA|jS5a#-\*л˱ZZpҫwRά1dU~fĂ;1uLU"bc+f3Rz%9[Vp[+b˒2ֵL7P7bp.͸PGW!,))k:6PB;o>2;*Ƴq 4wnhM8(/֪^LC}zΨ^??~ endstream endobj 363 0 obj << /Filter /FlateDecode /Length 344 >> stream x=RKC1W@M8T;0IK8(TχS_QH+0PF?ZHL`uXZR^M5)ޠ* n}6f) q# rCS\$J\ lkыAKԾ̧Aw`}wp!qIqJ\t[K&7P^9`پ,^ת$_x 7qR9U?FR͑U8GQVfBj:ڶgh{њXL8cCGL@j#mJ#?6X׏;Kh~;F endstream endobj 364 0 obj << /Length 3 >> stream q endstream endobj 365 0 obj << /Length 3 >> stream Q endstream endobj 366 0 obj << /Filter /FlateDecode /Length 574 >> stream xEK\1D- D%N E}kև=zW~ζLB--gZSdFZXR:I?,ZI0/"%dC8WY'+*\~uO=+>0!zCP+DzHqOQu1J+h-϶8:yG߅/]/ Af uqCnM[_dF6F1A;h$%+ݠK~C>J/7)CWڈ68K$@\.(d!F9O}\f5EȨ<Է,L SG=D5HTMGr]/TNjè;N4Cρ ثѯ,GӋ" yWȾk+S=6z w-\s! H*m}"5Jq ׿ޯ endstream endobj 367 0 obj << /Filter /FlateDecode /Length 493 >> stream x5In#1 Er^@qtzչߧAl{U[e?sieUnZR +?~*I J_eH:x1fGJGW3^W^э\1v&$f|,3tk ewh(;s*O3nio`"|~=֗,{A>Y | R^1ަk\O3jmv`=u~ IԢ4s̔)T#n~O~nOŰj>[iMbl1X +;,]sZ>;t&1ʮ{{Jzq4F9`C{ ama5S~Z1~v\r%M&vb2v]td2-V"_XKna[ endstream endobj 368 0 obj << /F 363 0 R /S 377 0 R /a 366 0 R /b 370 0 R /c 360 0 R /d 362 0 R /e 367 0 R /k 361 0 R /n 374 0 R /space 369 0 R >> endobj 369 0 obj << /Filter /FlateDecode /Length 21 >> stream x322S0C^4^.'t endstream endobj 370 0 obj << /Filter /FlateDecode /Length 568 >> stream x5TK%1۷w%Orij۱z+fL=j[=ǿj8K7-g[ͰJBQVPcY\n2qr,BGnldXB׶(f#[!BAT/oԎeM\A?UnD'-[פּ8rX] *<}8ע5-Ccߣg}P^Cw B/vOaD62l8bTeGԜ#c4Cud{314p-QZF6 ֺ8#"B1C g&QPx&Ӓ` AM$Dq@ F˛[" S~~uUv-=y mxv(RJ8}XPW(DM(cXuub[}pK6^'&D9ou^n)Ba¡%@FhAKf' Ң>$ˣR`M~Is։92Exye_Joؗz~ endstream endobj 371 0 obj << /Differences [32 /space 70 /F 83 /S 97 /a /b /c /d /e 107 /k 110 /n] /Type /Encoding >> endobj 372 0 obj << /SA true /Type /ExtGState >> endobj 373 0 obj [226 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 0 479 525 423 525 498 0 0 0 0 0 455 0 0 525] endobj 374 0 obj << /Filter /FlateDecode /Length 434 >> stream x=Id1D%@JfӥZU?!O2$]beȷʶ=5]#S|!6\%th,K ngDpQY,bVug$L4c*}̘&3b$HHZ!GcE"ƺhsg>et#wkC\ǯ=U}!rH 2^^l*ۢfud{*os6y8y3ThɃ߸ (kvA9캖R{FGB E4Z^Йm`BwCc%n$fzt՚JYus3I%o|na^"Q>3z킿4Jq٨JYL:G}KեrX 4G7(x꧆(9d?O:X endstream endobj 375 0 obj << /FL 1 /LC 0 /LJ 0 /ML 10 /OP false /SA false /Type /ExtGState >> endobj 376 0 obj << /CharProcs 368 0 R /Encoding 371 0 R /FirstChar 32 /FontBBox [-503 -307 1240 964] /FontMatrix [0.001 0 0 0.001 0 0] /LastChar 110 /Name /WHQDVP+Calibri1 /Subtype /Type3 /Type /Font /Widths 373 0 R >> endobj 377 0 obj << /Filter /FlateDecode /Length 736 >> stream x=A1D#|/5`cګ?s+,JmYc*mBhy YC{GJ?1NkM* 0BGbV/+NvJM0iܣVVJM4m;~9#)8D}FVRJ`kQH> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-med.svg endstream endobj 379 0 obj << /N 390 0 R /P 10 0 R /R [45 63 567 720] /T 1325 0 R /V 389 0 R >> endobj 380 0 obj << /Metadata 378 0 R >> endobj 381 0 obj << /N 410 0 R /P 124 0 R /R [45 63 567 729] /T 1325 0 R /V 382 0 R >> endobj 382 0 obj << /N 381 0 R /P 117 0 R /R [45 63 567 729] /T 1325 0 R /V 383 0 R >> endobj 383 0 obj << /N 382 0 R /P 110 0 R /R [45 63 567 729] /T 1325 0 R /V 384 0 R >> endobj 384 0 obj << /N 383 0 R /P 103 0 R /R [45 63 567 729] /T 1325 0 R /V 385 0 R >> endobj 385 0 obj << /N 384 0 R /P 93 0 R /R [45 63 567 729] /T 1325 0 R /V 386 0 R >> endobj 386 0 obj << /N 385 0 R /P 83 0 R /R [45 63 567 729] /T 1325 0 R /V 387 0 R >> endobj 387 0 obj << /N 386 0 R /P 74 0 R /R [45 63 567 720] /T 1325 0 R /V 390 0 R >> endobj 388 0 obj << /N 389 0 R /P 323 0 R /R [45 63 567 729] /T 1325 0 R /V 412 0 R >> endobj 389 0 obj << /N 379 0 R /P 345 0 R /R [45 63 567 729] /T 1325 0 R /V 388 0 R >> endobj 390 0 obj << /N 387 0 R /P 59 0 R /R [45 63 567 729] /T 1325 0 R /V 379 0 R >> endobj 391 0 obj << /N 411 0 R /P 293 0 R /R [45 63 567 729] /T 1325 0 R /V 392 0 R >> endobj 392 0 obj << /N 391 0 R /P 286 0 R /R [45 63 567 729] /T 1325 0 R /V 393 0 R >> endobj 393 0 obj << /N 392 0 R /P 279 0 R /R [45 63 567 729] /T 1325 0 R /V 394 0 R >> endobj 394 0 obj << /N 393 0 R /P 271 0 R /R [45 63 567 729] /T 1325 0 R /V 395 0 R >> endobj 395 0 obj << /N 394 0 R /P 258 0 R /R [45 63 567 729] /T 1325 0 R /V 396 0 R >> endobj 396 0 obj << /N 395 0 R /P 250 0 R /R [45 63 567 729] /T 1325 0 R /V 397 0 R >> endobj 397 0 obj << /N 396 0 R /P 240 0 R /R [45 63 567 729] /T 1325 0 R /V 398 0 R >> endobj 398 0 obj << /N 397 0 R /P 232 0 R /R [45 63 567 729] /T 1325 0 R /V 399 0 R >> endobj 399 0 obj << /N 398 0 R /P 225 0 R /R [45 63 567 729] /T 1325 0 R /V 400 0 R >> endobj 400 0 obj << /N 399 0 R /P 218 0 R /R [45 63 567 729] /T 1325 0 R /V 401 0 R >> endobj 401 0 obj << /N 400 0 R /P 207 0 R /R [45 63 567 729] /T 1325 0 R /V 402 0 R >> endobj 402 0 obj << /N 401 0 R /P 197 0 R /R [45 63 567 729] /T 1325 0 R /V 403 0 R >> endobj 403 0 obj << /N 402 0 R /P 189 0 R /R [45 63 567 729] /T 1325 0 R /V 404 0 R >> endobj 404 0 obj << /N 403 0 R /P 180 0 R /R [45 63 567 729] /T 1325 0 R /V 405 0 R >> endobj 405 0 obj << /N 404 0 R /P 172 0 R /R [45 63 567 729] /T 1325 0 R /V 406 0 R >> endobj 406 0 obj << /N 405 0 R /P 163 0 R /R [45 63 567 729] /T 1325 0 R /V 407 0 R >> endobj 407 0 obj << /N 406 0 R /P 155 0 R /R [45 63 567 729] /T 1325 0 R /V 408 0 R >> endobj 408 0 obj << /N 407 0 R /P 147 0 R /R [45 63 567 729] /T 1325 0 R /V 409 0 R >> endobj 409 0 obj << /N 408 0 R /P 140 0 R /R [45 63 567 729] /T 1325 0 R /V 410 0 R >> endobj 410 0 obj << /N 409 0 R /P 133 0 R /R [45 63 567 729] /T 1325 0 R /V 381 0 R >> endobj 411 0 obj << /N 412 0 R /P 300 0 R /R [45 63 567 729] /T 1325 0 R /V 391 0 R >> endobj 412 0 obj << /N 388 0 R /P 309 0 R /R [45 63 567 720] /T 1325 0 R /V 411 0 R >> endobj 413 0 obj << /Filter /FlateDecode /Length 20217 /Length1 48880 >> stream h{{|Tյ5d&!/28o]c!o XUSQ)e5">2,Q1|ghmS--hpjk+GQlPg`cӸ/W@G}qkc޺16jbyzf[bL0P d:e*m3h|fVG+ilK{%_*168qFWVj*# Ov_W.@cryX">[Z}q r}m;[Zkl)U}C|~BN+;so !گ 9MY_ :Oʾ&HŸy Osi߈; ' 6#S#ۦ׼%?R]Ӣj^ r"& ֢eyKe&VA2 ӪXi^'MAfEd^+" Kq"O?qڟ8V&2G/AڤVI;Ti|M|ZhN6Ejw$OU@ ΋<՗/TL\N?jMf@=Ԧ6}wQ!Q֞Ajsw 7Җuԋm(Wsyr-X@Y `U.pZ*-k/Pڂ1T299R MIjt-|rAԿ=Be)_I1Pi'h)-ǎq1I@χgZ`]=h$֪L_JʼJR h\{)T2mTR)F*Eg1 EqOQHKYW[z?*Lf?zGVܧn`C-/0X(|`!yE} ڝ mKt}Z YpEi%LW^3Rsm"u. JP?ml3Rz-= =peH_@I͇7#lsx4ԝ-'dJ=HK&qLePE3{#m8ydۖ<}]֝Bhq?ʴ\ٜ&mV9tX;bWIk'y;S'n/0lǁ"O寰ߘ^ukvnH)It5mވ^al߈&d}t|DWӺcA]hҴwQn4'wXR'ڇb׌ˆ?|tG_$aAЧl ,p<;3= jC/sc_{}+n]v} Cз}+{S5/Mb?:&y7m_)A?\Ip#gўmp.᳁CSatI>Qp|r%St8OmG^ سk1ہ}r߼!>`k6췛CFzhgد=ok6&;0vaX~ge*~c ^~]lC?~o0&zos䞐-&k8<;OlӶP$/2Nm_gpL4UW`8w} @)ׁΜ./ipoY)s([~~,tc3ТkУPlu dmS\8A= h >OТ\|߷˭ 1F.l&ufp`0 i{_m^uЯ\`7A:׭|J3ho5FMY At]a) e'8|ru~6UE)slBHW/;lqvi/[YC;Π_]ws0 A,sҊ=KfW͒b|"-|:M۳Xkπ蜺 r=`7N֥ͥ - uXO<]M8`k0r}twgл-]8oп*U1xkpVY$M8UGـ_gY؆~ \}/ =_ITvd<6? !zPMP}YX}vhS`sraocmqֹ˸@% y'@}K5{:gD^ߧ<Yc# Uۉv"`.CyN8asӊi=.-O1 Z2 S)|OQmҏ@tF1:3kmQtl6,]B${N1[>!#b}y[ξnBmT2vN~΅}YݟI,RTT*[]=Ti=l6:}o~̑k&W[Z-a]5Z[b<(-K({TJKYh@T=3y e^fŕe㕮]Q Sڐhvfxh6w㰁;!aԛp{l/ey.Ni.{%ǐwW(h{Gn9o{ @CTFp.dP`oj~wNҧʥ! Ѷ@Őm)+/!}yٮv?T_mLj ^F rɟA?{B_zB߸ow/H_r>s_7w:yY?NU|W>2{4j9=!>4Jg͹{7I,Ss|DC^9mR?ޔz2Z[7|]4% $n8ٚϩobR_,Qm*U`0 vu*;R΍v0B E࿁;FwX(s#S _KGn13+ *v直}z|08bU.C_1k.9B۰\οкc_9qycmTqZ{kh5 ~7*OFm B F ۭReQfiWVEjϠ6q\:$f@_i3`Ӹ,Csa}ožS?;)ÒS꟱c |ujA{<#ybX*,3WB~WMC<8X X gWҏ9eBCo7d|]e~;/srd"X/%8u'iڍJYNAZ@oNp-p`ܧy,fzm4`.u:Byv-,{)1|QQS6Ȣvtj`ݓk2.s ˻̿H\16q;l.IҡkBt.5)IʜC Z?"]ty{ J)ltn!P ﯺ/0Oi_chaʯ8?!a]/cc$$if`{R†}AZCK)C4_^5rER]5LP~;@⇭5g$۾m)< x#Si|>V$=c|ݾcvqΜ$ōޯ>lqcnáWC=1i+sqK f&!حo+|C;fwX_i:|֒G'_߻@={-_˿`7Ҙ\~~p> RϿk Esq^ >c8Bz+0mؿt(SB.&ſLXX׳ׂñgؑ11\ux mVAA"f}H݁C뇠@'tҬ8_)ý , /ޒy>-:ſf^3LR(q"79aN$D;ND4':@LexQo2<'CYd{VexH/e 5+2l>Z5y2l:*r.a +e8^kgjgF"_KI/>v<7G,}(׷.oݏE ;\ft|s!!wGÚot'.%?qs3#閴pn`gX$_vyǼ,JIboChHDv*h~Lh^W"}BE E2ppq/>$WQjGr#?*%TuB ci/ SB.>{^}W"R|/Đ.'u/W~%)Ox>[|)-SzpBڜ.sOqw?ջ_14q2%thj%1Z[{K^ ,CSbjM"[lٻ8 ` |L*>+gc<(sJhHc5?_np,ľd]w^Q 5N =We˵ְcE`-XZ uyEwsN9Y7t* Ic Q948gl71R|[$)C7S" 0xА2)#v@%IB-cl@4w7 nL¯> 䤢TzP銆׷ӀPP^N{d{tPt8h(&1$X4JWע^{i4r{TMP<}= 5G'uzLU)G^8O#;D|WAz7/=KN%J*Y/^+/)*TRb%TRYX-bYibui4VتbBc)4 x-Rc#c# HVkZE^{ 2ĺ5ՆBbQWw Ȇn416lj, %?~9ܯOEl@rUZEjżm!,h#9b|!GXg<63]Y cc ӫjNaVVNcAxͤU7+nfli p0 [f4(ѳ?DmpPW_F_=}%}!}>>h1.:*"<,ZfDCSWNvVgQ-du%MW4)p^ztrH|m~?E(ݼO'q/=)}+}X.*)e94tHaf w 3Фeϥ }7iS&-~KՖZKtc˕BT7bj8g3)<4l ʺ]|@+^fhׇ[Kdy~CpHqwqu랚MxRҽ=4MSND|(H#ץ\ d:GuOniVFFNnZgGgk,Q?fNahp>k&pl^:ĻVo]3+` 9 QZ=l⋟Y>Bl2*^ȩ^^}q'.5bc|!BL TŭZ-C-!^eHHɂ"E*ӆ8Mv{no*P <-τRW"P7)Fl+^,ik.O>'Dyn7:V\$ Sd*_N[tڝ&:ܫ5PΉΟ(mBW'.>7^ǥOITΠ UOM }[S)UQ(ci4w[KMWEg|x95-\X:;lX^[COiДKyo:d\7j[߿p F^cpiEM)Lh1$wVhQ;gHH'HW M/S]b~ &EwIjk޳J 3IJ6%\#6)Yx2~Ko< ILE[ OA(E#?~<=D2WZ%=HVº*8;lPtQ{OƳaT¶dnU[!BggRxvx@i'k-2OJz(t2b9jXUp:&z~ӈa9Ʊc\V6xp)Z1OY .DǞMɼ>0,~C3 uХ)>`<3<^[(HA%m+ajpJ˄F=H)8\f$SNJ\)+S-WwhXjkH.Vc=LoV LEH>}O??$b.߾o\z^R}{Q}/# 5 h̡t=\B Niiۃիireڃ! gB҅c pBXJ}x̀zQAes};w?9M42p5ÕF^Vt1(yd^3F1"3 z6$F^ri1)Ws)(ğ5rFGɣ}jVPJ#fGо3-!O]SxHK~ryFE:^Aiּ[ rý4sҬmٱZiyd﭅~1ϏpW޲螛 E:l0̐D >1;7`ZzEUΈ/AK\.h\ӷF6!Jˤk2L&s'S>E海u^Aspyl)(3W?|״GC.s^<s9ZڴVMyǶRbkؠ]b9z\0>!*hK>Ys};b} !_<(8no~ p6`,p?@gFRJ h^CsAg@[OQ>Q>k^;"Q&<Y"WO4A)™%YقrqUI0TE^96mW"kTOiyU:·jiULR1)8]*3W%mKUL;|Mrz\$Hb.. ;u- ^GU2b {ޙ_&l&\M6WUƓ^+.~5@LٱKE|w@Y˫&+r`#=/_}ƴ *ȍ- g툌.n=0 HD+@/^z]!#¿FHe,8ik @ft<Aa ģt:NkaK/*k$ozrrQs;MmmV<Ժụ̄/\VnܲlBe}ɖ9&MkR) tf3#BU\CQG(Uu R$)1w)H}/rU}Sd?%?8.\DYTVnLsYuU lb1OƓ偶,1b3DJډ)D3HF|'lOz?ڟ3Z=~"\.PK-X-O8|&ʷKj)rcD J(E ?י?2rܘbn6% W@/ PBHqF/WcP! Hḍ4M4՚v)me|mH؀_Y̢8\R7'ž{<%W=弾Umq@S0d|RzyL[򫎸*͉ψ*D0o[hHE]K)6[ϖ,Fm;,ōD>QI$?͋F̳՚4l'ӦedߤڹQmƏ1Oͻq}o ok/'́: #nE8i7w]VZܗ~Z1ǸD7D@"͛)g[@.!@DgHtDgH5һv9=댞PP0T0OH?4'3CoSPS#tzenQt,39Xu"MCi4 $8*T1jgMk)ž]e4epԕ0&[:Ө{RiRҕPB1Gf`l(^ E|S0Fɼl)R]Uzlږ-JvBgꯚ:!6ڲ\s򱇍.P 5=a >Gjo*NS6xV>e4;uMї4yPe2qO"Buu%:5Ϝs0wo$@Z],yBOorlZp{p?фl ]p ])΀?_/z( -? OZS+gZ L aF0d#u͗/@\\?o/*;nI:\'q|p m9c !3xMU0ܟw]O;%Imf{=pxO`4egA^:a[{v@[cҰtNWt>_$+R]J?Ry[22;1|׬G5Ky2 9||Ԝ( I*kw3(ׁk(I60g@|~)9/q׭P8aλ׳۶ceˀy&\+Uu/]z}dɢ77"n;oa_'dFvbrc.|FԆƇRڰ ƚ:BzSͪwF\:]g 0@8+*._PbQ9Z[j#S52H_O%Ds^b>'e ai8[JVis_ Ʈ>u-/g%>Xu=~WE?aHP$.^rW.zc . LKu-|QJpM *F XPxՍF1EprM\%b W/ ލwe7qD DPcS=sQt9]T&ܿRb*\Be4ދ|a3mcI3'4׋_)SV|cM5J rU(p;&(5LyMj[wI:A'9j$yY=T#dmyBx"H6/?64Y8$ ղʼo8~P纩@ ǡ-f40R*ţ/~tC_ 99t<Ajm^,sw'x_#06K$gnV˗` `SJ5t%><<, 3݈K/"FȠuȄ` .C0S5dP52-DB RQKllFQqGuRe-a#Jl$YLtA٩w5ŏo בH?z{Ġ;O&#v$u[ E5T@T=#E?ۈձDDD7cYx$䯅 ?~U[/#Gm:ƛf J2?99e9< >$E#E/bӨ7^~4ʑ}FYҒQZaH9iu1;C\ ;cv|A1^iI$Jgtzwz4yd;qWrEWXuJ "nO>A̝;TsM1=l^ = Qs;dI(~ &,/2ee DҶ;c,Ty`߫*բ0Ka矛۔3Oy6hy" x_wz@%cUegߓ+rɬ߷n3͔0?G^Dٌc'Xi-3]de!d@ G. r]랆/jAp.=䮞IRrw;Ip O$ռUoUzV,7<|>kHp(nIѐ^1e-?kx15;~vqO.0buŨ !ܠ92\>u,/کIƷ_U4:=@@-~DiW<+5(-[UDY CH%u\jjf~S{ߚZu}/$ǿml5.oziw7xd,J](!48},㦲_c%r=K( [JxVF9Q'JDB'iKzItQ{մDŐRI %i#k{`8Tb.zrN5l0W[+Oi)CX:{h,-8a* JKk} m"8lawݐ'AWm@$WD`8LmiFdkj O`U\m}$2Fgn/Fz ܭv%҆Ƨ|Iw5mM>}r۔ IJ̖ojʑGNṡNQ:e @Ix4"2\DpժveAW_aixT+&ʨ[8X$^EDDoFGJ;~?a۽{c2kխ}I̖|((gg/t&,g֩ tQwo@m~|ufSo_4M~@>k|9WIkOɿNC$c8bSf{h@Hu,պW+s _znG$ڵhx,4SiƟZr0OnGWq(k*(0 = G'v {V{Vg숵 ꓌K8S=YRU$K5`@O Z|jݍF O ^ql0.i>roڳRYjF5jN{^([]о~  {녡 4ʞqq؃%pxfN 4ᛣL7*h8Oy a9 Snb:F롘F _ֱ_+KVGu M^ Vyݚ S 1 Cfaf1C* X0Gd AyٴstF|5~.XwTB_>rI$>K)[.]Я=hFhN˔< FVU?bt_upO'D? P7ZjXfUUbJ 4%M媵.Q-RmʨMo DHKv\uc( |Y_Py7txRWp֔/a0≕;NnCg[%fqeں&yè:1͏Äm'yj RCR1&dtBQ 8L6+f`sU*sA< T@V!xY@좹UvB)6hJ{F>/ssv]PH09T/TWʫ-I۫?i!(gI07P$1u溏T)GV|NA%Q޵2f~e2Tt+%w .ý oafk0M"Luhk&_>N|rx-N;q#HaΗz?vR|u<>VO dUZbRYpڋ5|3q˼ ϚoyP [hοv+.~̂)W\n~kϯZs^w̜ ^g&n5aqTۚYl{cdNsJ3r232 0K.n+p7!3y%n??)ooj'[[>lÐ̏+.x/'!J4{cuxC4]bi9 '\A70* ǂD.Pr"k$Tl$GbJVI2W6IH7.8 i9m^Q< '$L *~)7C(( 6 _"(ß "W÷ݿI+`x=9w{n͘X7vwLw .atxܺ&] ZJq ɿwhA0r[pbLYʘ1;GTv0潐1}2c-Wh caT:o3Xqt%c3@|YXB._>a,1{7cyq|X>/9>X7xc{Qc=2V/X/Ğq8Nz˜8e,дX.U8V0o cW1Ӈx ?264Quka <xd좡9:Gst9:Gst9:Gst9:Gst9:Gst9:O&Ӛi2p('_",խyihzFflov^ԣgIxiD*_pခ`C^reÆy~6ʫwϯnL?g7?snt8\Go%i6]Ǫ86-cY{[6c]bYܼV&X7 T] ~ι9&a|i׈yL:S-k7).SN^0pZl3 Q[ 9jqWE]ؐ;jPX_׳z챩G_%u'JdՑz{t&2W/^t%&kgQ)Ȗ^\ټ6KgaY|˓B/  endstream endobj 414 0 obj << /BaseFont /POFJLC+SegoeUI /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 415 0 R /LastChar 176 /Subtype /TrueType /Type /Font /Widths [274 0 392 591 0 0 0 230 302 302 417 684 217 400 217 390 539 539 539 539 539 539 539 539 539 539 217 217 0 684 0 0 0 645 573 619 701 506 488 686 710 266 357 580 471 898 748 754 560 754 598 531 524 687 621 934 590 553 570 302 0 302 0 415 0 509 588 462 589 523 313 589 566 242 242 497 242 861 566 586 588 589 348 424 339 566 479 723 459 484 452 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 229 377 377 406 0 0 0 773 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 890 0 0 0 0 890 0 377] >> endobj 415 0 obj << /Ascent 1079 /CapHeight 1000 /Descent -250 /Flags 32 /FontBBox [-432 -251 1483 1049] /FontFamily (Segoe UI) /FontFile2 413 0 R /FontName /POFJLC+SegoeUI /FontStretch /Normal /FontWeight 400 /ItalicAngle 0 /StemV 80 /Type /FontDescriptor /XHeight 1000 >> endobj 416 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-med.svg endstream endobj 417 0 obj << /Filter /FlateDecode /Length 15490 /Length1 34012 >> stream hޤ{ `TUnutM@HB6HCC1 @L0@l " 0@wcDDdśwedeaQ;oШ{uSNU:;Ĉ(H7JM& Ot{s<,DꅖI&Old&"sjlo*ъhՄIt"߫iܻ’}$w(cЩl~o )TN::}Nf:buu?Q*|e Ђ5X']R̨[ˈ:}eZ8;B#h.5bU]NmG`)t`{i*3DE!"i&C@{\+]K0{#ͧ{$io0FA䬨9lxM%Æ: 90#=m@j~})}{'%Yb-=b"#BCA~>&EDQ_F71jnFjCuVP)\ m'gN8Tb GZŪF5f7j骯CGafQD*/k6J,*Urtj'sPH9:haK%--%vkIK}KCtj*PZZN57Ado%jXX=%֦(r,:O_6 vb> ,^:,9)8vp1 [uSF6 ^QAl6nCk;4uiU;o r:wf&^Sսގ.u-!Pkn.6{jrTc kE`S~|5ʡw2l1ۭUC kX76n51;)ܬ|Er/].}YkC˳ۇ ?]K-YleujjY~-VxXk[f" Ywj'ꋸ˼Pk<ݢV{ _ _ ڭ:Sk&խ֐RŰ">pk뻤istkuW2Zǝa-wXlYO v'[=jLf׫kj;&kWkRB 3!-:qk%@H/1Sp=e$wS/Ӄ4%-W]D~znbir mӓgibk4N2eP)}D{K3h9{DsdHv@FGVyelzǴAFiqF2|2wP`kP[ Z u)Qʤr.+t4KiۥtDz@Zba=M_}fFSP .i5UO}.ͣ4!YYwZҤ%+G8Fb2 h&^Z,nv_hb>);K;1C×|:h%_gMyu;x7o+J-O@q0u;hTM|M%tCIM}:eV4e 6S85ǘQ:Oh9>G蘒]V6q~ClKC jE\/ȕWRWV*a \ q;;!ΏrgA<*Z TUL.osP]ktOȋ6DB> zqz@\ 9[D cn16@Mifr>=csz㡺?]'i~c k3J\ 6C oi ~Yxx. yxD1ؑ|6 -|F#Q(J,XA0(Y]u20GQ+3GQ#6=俓0ݢc tRޣb qTRT3}ETB AGsp9~ k{u;=NlZ++ s(T8`R-kT,R^Eb/hŸ)(ye\ŭ8DIyLFIzY KWS+΋iѴu+$M˻|ݏ ׎>clXR`a !e=>Gu`Le4-rFPڔ ue|.bڇnȁ3cFG1R;-z*ekm2|?톟\7"(=1+h)MP1&t쇟칪}VQ:[?~3AkS0ȐhMgGv涀q=1'ViXJn"ʟߋ[}\4 Emة"Njß/ɳ~̅+阎#Чp3h87;A.677Џ*1-/(ӎ|iyBv.o#s˛gXT{ߠ>:} L4b.ZLr#zM6h/w^wWC=zuQ'Gkv<9߄q bP%`RV@~n$JF)%pK z[JFQ40Jxz11f̻nO .2Z):`!2"Ю}ƺƺ>{}u& ln@/򾌆IKz0 WO* ;y@ ^QY<t1%!kJxՆ|>̄oHJ Xmw\+9(nƮAgt5% Df&? ĸtc^}?\\=Hs^.@{ շg$h80 ~,"ʖnz^vҜv]9Ew쪧 X{دJ !jǟqϽ)z1rwg@}o4Y>{;|qIDwi*|qA NYܪzDIn~6F\='h Jo]}'^*vD4H|OSo¯E6J>ӟ5xy|1wzu=gug0ֆue8;Ϟ~&~'Z}9oko׽OJYڴT-uAc?zsfɏV~:T+4Lо'H~O9 SK &c}9gg!O.!hw1B TaQ ˷4-ǾS^ҾV-r%='oBbʗ.=r /.^AI'l9.нEvݧJr]+oϽrK9}(Uv9((tfw0h4oS3P@(7f@: Dy*d/$y!@5m8}(o!rPwxH_aOZ (S-9е-d]` ($a# d?'nh??!c&O1Lw /_qxM{r(|Z/c'O~8SsyL s~9]E\o`2ѻbآs=>y ߳&,iw;ZX=qomc| 'qw=Z&%\SGҫFZPJ-h@_Aݻ@5=)V2ua%nxt*0[Xb1t70eXixc/Aޢ)lنM&O4fE]]|uho۴c>ym0Z4h3P0x۠:d݈m@b49bQ^&_B쑭=go}IC^"SÝB#E;e|\*.wlRT qv݊{i1Dߙ{3߇x,5Nۣ|e 0Z=-#{Gؠ,l)S`_Ԯ@ Ij~{pw]߃-Ɵy#+{o}wLǿ_?6hydvY-]_Ȥ›-أwcO>,asi@RpIP *ְP%ڿc`U}pEuBӨo݀A@&,ƺ vmJU4N^pߵ=aݰ5~7z6Sûo3߀~C8-y~A3zm u"?.Z>:`+p ,W o/JNb YH{ e_' 4k/*_J߭BK>#ݰET%\,LgoϿ7[}$\^6?9{E^V>؍v}59{@\kk%mxx<(q A,Ǣݔt?(;;G/ig2ħ!I?7S>]ذCcN,ho #;pz+c@N?J~0%bU;4I|Nq;eo@Lpgm76>;~6^jh;І{Afӯ%9YJEOaFNѳYJF)@n8,|䳚+8OB uz닒1cNlhQ>Ǣ{"-OH_YxL3cxLĦpĦ{̉\Xc(x LP ډǑd] ݴW2iT,IoNs-(IH8#߷sIU-8O *sZ+~^Qwp5*&824}qOrϼ%8jOhub܇yp),2cz%;cr'6<Ƈd5-ec-\9+9]^А  X VWHoWBY#""2#BFgDGE("14 byKA,eS¹ʁ %Y(ɢs1tZPc|_a&|)x'@AF򣵀: ;(wp##==8>!Ț<+D@kVͽ`sHb %9&(btBX ~!O$XX\`G`9*0T L)I{[-JO5L -aj5Lpwj5QVmg:NF5S -3ꕖW! RWs8Fڥquj:O<WGjzjsx9'՞T7}􊹝L)4i/d>|=R"{'Ks8Yz>ݬs`Rᜫjd]˅YY=\*Ǫ=D& 0giR{d[ sf?IB ˂$H%N?e=QV+0P*qU@-76H y>TDL|K=z8m@F-$b&++W[*g-a %P' 'esXdN{1d2#RMM=k0h3D{etdM:\W Xt׹%/,q]`>]gYD͒ˣU3괡utk[1vhveHaF߮J_"({1s`hhVFD^RQzrB?]GT>amj+eȫic\ bg2zgċ쇲5{~O~)ΔHq\g_D[*=:pæ'1n@_aOw!6$VTGrvY+,B+6Js\6a;ҾKtc*Qe !#=^'**9>$$>9*O|H-2FI`|v55m@eHۥ+y1N;-ԃywVߘH䳲 ɤxS&{* EFzVQ* OvKZU٫lFMnKtG^Szܾ f۠e5W,?홍7>g/(5m22^-.J0 /dZ .}$kx% TSL s2 Υ@s(l +:j AӬ(˟?)}{jx"L,͆\a=Q!pH#f5 '\iYq#6o~ c6L|趾R'PjyxΜ”=#X:=cC,`XYe9C^yfk$c2}dyn6oq?CrSy# 6`7lwkxSa_|[ER~SK혞iٱyuC2n.3q)eM塒oVu[Go e 55g)#g9F 3vdQ$:E2*PAFй %;H(†b Un#=?8%QrWllqW I`5s:3OVYN2D%DEŶİ'R}>1}Lv愄WS'Ύt;l{h,]v2SY5vY5),ŖNʙI1׏)Wcm5 +wվ⢂MiIm7"+~ļ/^0}knJnMҴM4M&m&mwG蛶-mUQQQ @ePkeЅUdQ̬b#3dD3~$:ss>{l}չK`mݰR :&i7׼q16znof?HFZuצ }@H>P$$Fx R1bo`'XСOǮaIDq} ar 1N,5IAzmfSqSրN'd򔀜7-3D5c&9lnTײ t=vm?V۷YIIyd~ⓝIAId(>+|bArs @ 5ezW ll=}_ƄOhݙÓts~N_e[3#D>\6''cAxBJp$.x=:`:G )!Q8>0ލ }B*<. c5:lqCvi#8fӧ(f\HTHKDKPsx{% Op;N"4@ o CJ_ADTHӤ%9^70T/"/êa* W07Df )J蹡y\"_Ɯ(= 'H $ȓ) u3,Oƶg𚏚g5jSLd%PcW+ 0t0c)5d%'T (VR][ ?QzŒ-?d(1~,5gGZIOUe->W@&94($ @߂^Vh7Ţf㰻Tkڭͫ˵9~O@Qbݷ<@V :V J*,%<)u|EOgU Y#ŦtiA:C'O󶻲jjlƖueBZ<%[%/r pu1cc;r^I_>Iez~p,4iM P =!f4ܨԂrQQj~]=R!&\ٶẄT}̀m0]:/)ohq\CLy%'a`h0 xgR$eLŷ 8 &] !B9!b+xx7ZR" ]8~')Ke<EZtfs0F8bWOnIM& 䳳V pLpՌٳ `)cZ܊VnxlG$[ݹn+;8Cĉt9naؚU]t6w+=8&N ^僓O V CS[n&JRr9 zW18um#uqM-U(RÕLK$HO`r]J_`/_#{z76Wē I1r'wb;1ph*K''KSUh TG 9J3(Sp2PoUj.lɈ4>D=\.I|eͶe#/2: VmvWGC(jzUŅrsٮenNMDSK}ƌꞑqzyg(||/,rUr}0AOb|$bNU,K0yB)IK?>nrfFj!],ϏfY.jiEꈧfs_]OW>Ueq *jVeORon_V$:Zp5LL/uJq)*/\AFta+po K~dmD{i;Ʋ};zw>sI\ټrs>qŷwEjadϷSQ8 v4ÞX2ll;:޴ 7vo G(. j6Y!+nM~qa]GǶ V}{iJVPZ /*Jq ?4} 4t0.{&G~Oyol+".)ܗ(ʤ2 :^;nA*#hFӋ^ƻB71hy%З^SCUO,y{d5n MS-'{e۲L(kهMv?{n/Vn]oadC@%2"$( *!o券;A7[R YoԦˆwLw,CQ7YcP}G=㪇|cǙD<ޣu[P1)EZdyn0Ae EG`Hf F! ~ٝbw˼J#ik̭ZdԏXoƗR<}*2_˛%v朜{tiwuڗ :g Cl"Vr\e)!bX0*bex_[R ə-$CZ"*4u.n-.wJV}ZZJ}J+I2AJ@fHt8۲;\ݝ;suKrvxhUKWԘKD$7OH4`rOpl Ͱ}"Ē"!Sˇ92R6^E37o3+!Zײ4z]5dVt&d [.VrJ>mޅ'gIAkpgo/ZaCtf]p&%sÉM?jU,H-Qj;x֎+D!߆2ك d%6wd\#HBģ,3֜āaIqP'#'ö.sI}1'0ǘ<;4˚E]i`3/:! (1u(%!ԡ&SQg I21I9Em ˩۳]J|-;D0R:;H?^\ lIFKEFDK[w S ]{ |bqrOMl@rR :N3y'BZiL%H _8w|v|+Gɧ C Go)#+%jLh<4F8}2!_U&$0zGVìoH$2 IAd8`hZ:y<l7Z] IꪉN$0C)㰳Ǐ,МA‚q< 8b1y5S}'jݵD }#ޑKv "5-Q+r|ǓҐxK %RWo?#T+QDQf"%'j5dL΋9۟؏oWon^9w_>;TKύҹ+%Fks&jҗt*-&rˋJW[.[m{'Y3&>OlY* ?ŎM.Z>鿤: dfmZZE3 %}Ji-2qM3p9. 63BZ& j8.~u};6>}|M`ŀ} ppdl¯V\AB3 Z A8` *B"L@p!q G@H\Eb#捇1z( AHsj`$;֚ EZI O꠿x!oTPL C 1C 1C 1C 1C 1C 1C 1C 11!̼D}@%!$xJILJֆ;̖ +DvQn'oRU5u765wtvu/)?@vç$mDՠ:Ԍ:RԋnE3dv~>#V[=1F{mod "{,;"#HPMB[iseevr䍴18X#1z+&HDV9vD\jn)\d[bdbE[]fƁUCI0Ѕ(z!M aU@k=Z~QhAvRV[LE#h%\{3|.ͨ 6 UEȆcj-lqW3khy 6\XgW49S̕lo{ =߫xx9r̎’oB(DH94Dāp&JIxx=[U|Q_Uu#D N;k3 endstream endobj 418 0 obj << /Metadata 416 0 R >> endobj 419 0 obj << /BaseFont /POGADK+SegoeUI,Italic /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 421 0 R /LastChar 174 /Subtype /TrueType /Type /Font /Widths [275 0 0 591 0 0 0 0 304 304 0 0 218 400 218 396 539 539 539 539 539 539 539 539 539 539 218 0 0 0 0 0 0 632 573 639 712 499 489 688 0 266 0 0 463 818 751 754 575 0 582 497 526 689 628 929 591 0 0 304 0 304 0 0 0 542 542 436 542 487 295 542 557 259 240 490 259 858 562 535 542 542 355 395 323 558 473 720 455 484 452 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 374 374 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 909] >> endobj 420 0 obj << /OP false /OPM 1 /SA true /SM 0.02 /Type /ExtGState /op false >> endobj 421 0 obj << /Ascent 1079 /CapHeight 1000 /Descent -250 /Flags 96 /FontBBox [-458 -251 1339 1050] /FontFamily (Segoe UI) /FontFile2 417 0 R /FontName /POGADK+SegoeUI,Italic /FontStretch /Normal /FontWeight 400 /ItalicAngle -15 /StemV 79.096 /Type /FontDescriptor /XHeight 1000 >> endobj 422 0 obj << /Filter /FlateDecode /Length 23552 /Length1 60496 >> stream h޴|Tϝ{7$C MH"H/!zM@B&" ET0HW" niADgHA:ofM23gʝ;3̙2(&NjT(YH3g+kgo:O?Y7SJ7詾UyD srvS~B/=QkGyC2g_?cAaC!/ SÆg>n aw^s0DѻmmE`ʠN_t a7*lP,B5yܻB'2KH"t)e2\F>+t09gk² )ʯsu,]R:Ch(ZqMNi iy(׎ZZ{HxmMv7Ӈ>ѫ[}1)X/i}u8?ߗ5}Cb~G{:H7La<:Sb+X RteHNәMBnŴԗxw+W}bR=PiWq)ڹ~]׭n<ѽRv!ou*kJV!gILƪ/@Y ?|WA8xyҜD΢F/#*(.F:|*\$KZ0!W~y7P/Rz nl%1~TN-QŐJiNOW e2FYpZ 2FE;?ƸRi=ƽg8M~]4G,3*.jFuQǨFFzpAeDUaqin$)FQCѢ8 ?R u\J(z@"E͝޵KZjN;o׶MV-[$'%6Oh4IF ԯWؘjQT,W:АAjDgxDUXveB 8!jQ8Ǚ9 L@ʾER&R&4œqLq9=_&n៞r;=hE "9)';=F3bddJxCTs 5ê4eQ)}<:$GDtY+e9:4gn֜7Q%dHLd)99S<<#\)ɪ^Δd_UY雨53|mKnUO$tJtJNz*}0>:#< n4ەV TOԹnER7wD9Y8wނAգqt#;*JEq5Ux;s*u2I3v*CSxv'5hJ;ZQU];UUIb:oThhUi]ўШ֙P!3)b'u7/vQ]6ʷ]OEƸ&o&wNQ{ؘP- ->B \IKcgk~|_ mR7CWɭwUWQq6YF16C2TlYE{[(Iu5IԙOuJpAh *A7xvGRy1,/N({z#Q %.p/1 &) C:GsU_nW%Dk5LB4DGC̦:TSggR:2f|Sxoۨ X&[ h@6 yE3P sa"/`nIGV+kRdiqCEE8me˨+[hGĞKլ%B-{)"L30OygT- Un h` /p%e%l &xGl |(Rt|wI|wUpG|a̕E5o75oZh;5Z#i9\^5{sH=/%eލZy˻I׃)UGMyϥ \"[bs{^_z2?D̓4IF\&ЫGQ?h@_eU߾b&U=kCX6i`({b1]\>7u/wԐ7xu+$|F|jlcJRt_ ϛH:"pY=;ݟé'цGぶB ƶ)[ogAr^3`Y٪~ d+$̽tƝAs16 :R0脲^G 4׼^2E~56*RcC{yP·'cƶqҔ" Sdz1>5b#D@/zTKi5jY0>;BwcMGYC~ڑ$݌* 5av*5b7P~: [F`nQx7՗l!-᠁}lM1'm]^d=̅u/wLp@mW`ʩle>i0)frW<~}Gތhܐ#f.ޱxrr;OAl>ܭ¶ʃ (*F<t.#]:ҝDYm=h$>7ţ40zHDZ YմYwai#yLX"PNr"߂Э71J*%T_ 'N^_#(Z~_(7p˿G% Lp7:^ύE ~W2^GcMˠDZnnZϘéYv;/35 jř17Q3a0/@7o_^^Gξ9/ռjbtZ5B{Yz ;z?VSVyH{č-d_EltxQ^0{ݰއWY>XIIahB!rn9WG@Bs  "ng9MsDK7.{|")N^)\-ɾG~.`Oy7%` <pKmB{ۂZyUKQV{ӳx#vt="bsF(ʜ}~NU֒QU:l{DK2A[ՠh{.Oc͢bS6u?SBCOjGUruN3(Ա2Bq'cs`[9X9m;i%#Vq{3v+{0<#k9q]oWS}P-zv=_q Z5|X\J6S{a"0>bmuiV vVP#ejB瑳$}|BF "WrDaqD|Y{9?7z>#3|c. 007@?yWHqɇY>PfMԥ%7 y01`b# o9{+;93CAazAnGbs83G<{Vl};@WAeWz>l Sg.TlS61A7 )k.xWGlQ[W㩩 M ?渽o4?l9\On'TqFư28C!l/öPK*;Oa%y':ʳ|?ߓeyyaR~^.KͱLFoaGUEG3Xoa>Co!=9ꀱysV| u1SzQÚ2%'i" :YiTSa _פ4?;>AFM %x Dvmdo/yGÿ l;5C`g@$6^`[)v9&]6b廎'53U^Z`8k Nf%~iEaZcgȒa Z M[z 㫥ځoE]*s؛u,= p#11ְٙ7l(6DwDXf05l~-ՙ ;W~Xc m\1,5Tc- bh.qjK~?v (1e`{==kr/y`C j+OΡ4uΫKג`Ϊtc=˓ٛUO`UNkKyz/Fc{2.^{{bUxL`+"szuzNtC#, Q(Hܨ@~/[<nqߥKM=Gý)nSpNw nSpP=0z+x}~Vgw7sOޓ. AV6! 8 ft ;(8ZH#tAwvJg[9vR^?0!WGyDBruϣ @H96 L]nM*KnC}g~ u0g%F/a<-7O:9kRu}E?S ֚_h}QVy`{) +_m;HW6^liF~#%␷'"ԶɠZypwK2,y{Z,‹AmG[O' R͒ޏ͒7F'/ ? z4N{YpI ݻwxe0߻cx b/=eo? wxyH{6}D@ \ըۘo _^9ǭxo p,PW#{4(;tr|sO ?⿣w۹#!|LÊ 񞂛cAu0{꾐=%Ț{DgwwUT==C= p`8xHx"=0؎aRJ}'P{Jm]n {7m L&#Ú[ݞnvzxuwd~yK8%q wm}x/Co@]k="D?Py6 ?s*F˹X{}|k2zĬAك-smM=ݽK4ǾKnDs{fhZ)M{b7z=Q^_ǛgS\K]ـ ]C}ox. AYXUP2n\e0[/P%`S֧arP,R}f\/ƠnԹuBVwB7wSK1v OQydaA]IL)ya򨶺'=?J~, c<-ϫ:g(G>}?w||C|H)Е<,/(C˳"9舾g{}H5TX$ȇ//9J3QzV=*˝f2=g;RkQC{P('=3u/Ui>`]+B5Jy\R8,/;^;PޭYOuVrK}?d~J37QҒJ(FL#o]f|S}k.xƃq߃HatF*.R 'W;ŠTJ5R'Vn.=0}}?:7/x)iO;L 'b]GqpϨѤuubd0{8`ȷ5;1&=< {3:>}2k2LMH "P ( AA"VQֵ[ lmZ*T[[jmLg&Mgss;*aۄ/? k%1xo4S tl>ʃtw%cu@u.hr>o|ciOL޽ GߕǞ/-@ P!h ~/k\ R> A :?9 ԮFPe(A2g)Aµ= eON:w*P#;8$|ֆ~F ]>èʅb8ѴD<wdh%4=HFAN+sF@?^A=~F9= }!FKjy e!}Q@ρ`NmP~?Х ~1nFO)ZʴqL<-UZvi=#i}ݕo"nB@}q+".ӓn|<\ NDLvl,D;MV Yp"ڄX0" =B"45BP"9 !C=^z }ɉp ,1noۼM3!O#B@/⇈GK6l4O1B3ބ aDzEPxow18xo3!^E{OFO"#@cc>!" q;VN.tW"@܂؀CGC,ޱV#D/""D."D~DD ⯈A|g0"Ax 'ħ7x3.A"raD@/ R!F zGF #>@x_"GBD]b >^ďw!DAO!!q("poqqq?Lj!o@cl@g>UtF9g= h#A@}C}|~}{A}tNз@wvˮp t3(s77edf6YNLΠ*A=o"nq7!qbb;zLD wjC@"Z͈&D#b:bڠf:Qp";†BX-( Œ0!B?-=DyO@} #Ї?Cz *߃^Z﷠}Zvh!N4 4r2#.B\XXE#h8Q(@QD>'!A"GYJw f&1ǡ0'񨰡77Q?4G vA0cB| ⻈o!@F܎ qb1b!Db111Dt!:sوY"#B "#|l A,A AKGA)<,?@}z];Ao{ &@/~ : yAρ=z4:.|t4D^=E uQ6uk " F#6#BlBlD\чXXXqb5bb%a"jՈ*D%Q(Cb  BP!F$9Br10ˠ@+ЋSJ &Kp_gmL}u_ۼ5yIEe~Fo\?~Mɫ6%MM|c+H*]}o}.[w{i(Ԍjؽj jfz25QSG 5*n[S1U1Uj٩8USCYJ>%)9G4'78Y0^,FP"HLn䀙QDf IF'ݳھ/ɿr #=S)kqFM7z}wvط68~c6swG[DE֭A>@+o=u?Bu}llݾNj;-u"Bv8NQʅdNc4Z#BrQ~8بuBr/>qP?qYǜ}HH%Id֡|sYZU'+H PM<4ؐszJ&HWOORW_֎4// (W'Ȩ%ؗKKKJ8}jP8QC0"-G p0_ʜ9?i<Ӗ7٭PY"MUΘ/XcK%X.J볧/ze3YFڲ TP>H}n;㌮qFɤ].cSiTjvH$zW1rqUj֤T8.Z)՘GGϲ$1i"#||L?PUCVNe *>%9DA,/8'˶2peba[IROስV_#-Xr[0; ΊqU;+//,%슅3"Q:x%j&XRJaX$>~MDkJ69Kپ!gGq@A%}JN\5VM)AΊ->vΤ`+E"l$\n"C;݅I|m3|e(kը(TiK *gNsbLF5fK ^w^1Nomwi^kV`CSwdoHKT;Hv{ҬkZRbŕ+5dq p]]uiSrf-axFS$!Fz86O&Ȩpc񝓊 (.j?}:,k2h"3E,"FSW,hh{.nT2V,WTlVǢro[W4^s]9>b ;5m΂J𘿁XI\4_=ɲX4%s7'7uW:7T}T`a:uHJb[o<5pǡ׶\"e=ː]\gF*b0=>C9k+^2tSݷ l`YF9>,>*[m,~< %w7DmܜeNC_'l#'jةE'꨸UW^398Kof ZO",˙)rp8:ы?-|r\$Z9If}6Sl=bLbO(&"tM!*NjMTTxx0Xp7F02F;nT,5QjFj5D]<)ב~ {1q Fj>!=j~;3 1oOvL~D )w)!4ĩDlg΢@&ERN+пU R-f%^R2B2^ s@Gmm]yO:ZaW_EQ/jXV_S@7lougȥrk7c1 teKl52H9wEu@,7NOBW%qR 9B0v"<KVTiZЙnlx赛6.jImK:{ۖpO1d#|jpi7Y௿􆛷C^Un۵)=T_!vSW֭NV8X>ub Un匙3*[/-ZК0pYj4WP>cIfry].'+1='P-(nU`ؽ:l;%v+TjFi<'d;|^D^:kIŁX59t;Pn<ʵF%sRž)$?|nx,;mF _!US3zLf<8xfBdDSΘ4xZSA1p7{On]&ܥU/VuUMkS zucWm}r C2!kZ|+3L_0NVǖLX-f$*s ٰd+LV䯖u!;)VOC`|7tb 1Xl{VZXXҫi3[_PWE*VW'bFy󎰈e7 )aijѧHQ'9EJ+Ke%}?{P]Njx!k~u8Vez .̄jlr \6ƪ؞Q0v<`I|UzC5p,RP;)+1oBd*-t(yvTe {+ & ~,NROrMbFnФ+dyRĒf]6y!}ifZWdNkR 9AVrAx[r/)ZKCi$ XE>zu^E^NDf}lI/K(H5&2UB;4 VU̾[fsks-R:/YXcWɬaw^_hi-PNeepKr\%Y=GDkH>'OW.WZV(wuz›O˲YIjϩBN-*0d'ąaa"wz8& RxsC!E0NaMR!#`7&VEXJB=@.DJFo{aQyWM*KH,ao~ VPq5 m&(yB,V.K(%<΢i3ff9/47wR蟕1 H1|9DNh;]h>{h*\/e3ɘel#MK/RK˓a~:?TY[L]1~p9vuQɔZis]Zik/J<.JȡV<[T*fl|:1}!z'">NY^na"֊9lqxXbgbWnrs̲(,B "̿'!=y9$B*UQu^Y_i^יg7t1X j./+FUxΖy w޾&.WwLB+ZSNt%I#z}%0:0kZ%lrA t%|矕1 pb+p*% $xze'`uLb|7~ 1"B-n'mjQ2Rڠx]傫f&"& L (ٷ(UD5V5=Wʹ%r=bH۳nX"ևj"mo2dD }P%vxfhC6Hg{®s#"R,DIj0WrfJ06;OTWuv:QU "Ǒ"VT~z 8=X X(Y?TN (t0NhFdM_2Q@߶yZA^ṶwT*BW;1(}>yJr!'j7Iz#Fgie9u޺;q:VԌ D첐gt傣g #ۦ6SOaU%Xa+oeɉ?*v4Dmkv64.XJN\-)|als9L![_ ?fїbcU" B&qC;&䅉iRI\#Fid5W6Vg'$ w z-*7~th&1rd q]d8r9?K3D>,-YAapzyȿ9v4M)SU: +"vVbR[d?o<wTx_Q=E3aV4b"MS=DM VmLP'3Ͽ93 Khrbe-~N<=:ܤLϏ[ޙ==eيV]BZZĜ9\i91S'e'`6}2=>\ko'vu˸Nj5`MƀsgUD,e 3M~V#.w,eR'ki^^ڸ&N|K"}ECjBK^B?RpF%@: mT'f hL1\I+l i&"Qb2g2elR$ O (msiEJ10qY `9 1сO&ډpLxpLx=hh|Y |_ K=[\v[Pu^ڨK=Ems%ems5ֲܺ|k~^0oRB--fS4N-.QUVŊՈEYRe% ZTVgɉW&.Op/Hn,'gْwmgUE:gjDT[`ޱF S2}[M 6/O𽄟U+p)V\R!uW4z~1%cQ1zm<VRVj4KU;ipr9cLPz؝ z>VքM lr eVvz5bV^eA_gv@_i! ͫ8-(UNy}V R[|tJC:vKI\ym~}-sYղi"bxl[PHm`Z;MUV@87.=N/iryI1V m~"\::9ik`3bZF`^RBrADdh#ۉ{yCwh8EҫO*Jǚ B5vkeRTGJ_JփtatTƃh+p@s&M3XҞzO::YA0{`f"AMZtHa!f *ߝ^'7/džu꾑&ȉ$ɝ\^a0@!hӍX0BAz;kiOסPPx)Ǹ<$“1"([.MS옹,'4wZ]aNt䃔ж}n3+Z2+ܼad.n?db? *rp”~`aH_iH}ӫ)tQfo&Kկn'gP9#f2ݾ[$@, #}T# yk\X\kdm\V+GR>VZ5p 26JkHc~Y 8Xr .H+c%]E7W.,eKLZE fR1glzwTpcWeYF\!6tq^^ok`_4jܾSh9.]h==jR,nI^ x}#νo?s }Bfww@g 5?H],Y>ӣcȌ63s:-Ԗ>,_ji>(/~ lo\ξ߽PG.cLx p@_7H%Kv^j7jI*ulum[˲;[n tu&ᖃ֞@O èjZ7HkbSj+NbЮ֐Yl W-Oc2"|`HCvxZjc/jx9i׫%I&IfҔ;Isl*MI~ْy`i5OYUM4& h@r/G$^fj 3Rh'`/.'U!!ϳ6V܍jxpRW'iAkطK&G=OhIW; Zb-VײA EASEu1C:#Y\WC!{,f[;oI\Ul-XDž1gѼ˭Z|P:TIGa%ۿ/b1o 5M#.VyXĢ47}`O t`{Xe(P&rCb/t 2$!٤C<)1*' =Z* FJ'P7#@ ?}!;A0$5O75D S_,[.N :\`ҊVQ <+!:sxTŁЁ)}ѱJˆ}wNOE \knޘ7t+}lƢQFZ+V7|tw6D;ͩVNO8>ذu#^nVmPpw;ݼUX!krˠztzq5}~ʜ#~8@?b9IAOO3~px4OG2*13Y_b6':s+E<->3Tx` n0U$di |Ml= 7o*KuƮ-uܜ4?TRfڑWT9FXuC)UuΎo%7Mmgn^Onٓh4u\m3.K8X+e6g'ۆĹӷdJV%D'WjkIO TRГ*'O!8䞊RTMdo/Վ3b}뻻BH m`8ƙ޲ajXmuJpyXrX?@ȶN8jV߆-+pW#syk P䣕U!>~$IFx)*\$H Aepwp"LJjGrG P jB- P;@h+F=mmG;^4@2#hEchMhd5y-, 5PXsXb]jZypU͇Ěk>Vy7d'~-zXx>AR"5#9Q9iGW->X lj87㭸POӘ> -f /j&"3ACuc65) tB ,={uu"RTs"c3sw\ԳKz`b/I endstream endobj 423 0 obj << /Ascent 750 /CapHeight 0 /Descent -250 /Flags 96 /FontBBox [-691 -306 1265 967] /FontFamily (Calibri) /FontFile2 422 0 R /FontName /POGADM+Calibri,BoldItalic /FontStretch /Normal /FontWeight 700 /ItalicAngle -15 /StemV 133 /Type /FontDescriptor >> endobj 424 0 obj << /BaseFont /POGADM+Calibri,BoldItalic /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 423 0 R /LastChar 122 /Subtype /TrueType /Type /Font /Widths [226 0 0 0 0 0 0 0 0 0 0 0 258 0 0 434 0 507 507 507 507 507 507 0 507 507 276 0 0 0 0 0 0 606 561 519 630 488 459 637 631 267 0 0 423 874 656 668 532 0 563 465 495 653 591 0 551 520 0 0 0 0 0 498 0 528 528 412 528 491 316 528 527 246 0 0 246 804 527 527 528 528 352 394 347 527 469 745 0 470 397] >> endobj 425 0 obj << /Filter /FlateDecode /Length 4654 /Subtype /Type1C >> stream h|X XWan@adLo( *Q 0,EE$ (+ f ph4F65/۠Y}oݪTBVی=zWtl̬elP,јI#*[sd_؞;SY'{E_3+2jQ3{vpvD5;wYtXx ]g1?aĜ9.0l&;]N{k@=blkn;$`&_,WPXAU:}}cYƂգ5 vR4ƖY@wrpq*#jJ0_Ʃߪ&NiJcX/}ñz3Hg3v7QZTbAZnq~ MQ hN KFfNqQQ /JgWM!#'Lq Pf Tq7 {yٙ%3i`%G̊z $>PsB>2fF VfT3K+`Y& pX쏧 ^;)`=Z1̎cÙ2=0njܕKf!DF1[( bVcBfZ*<p2mSqpbp׽_^g%BkB9 D}O|("uM!&Ml\ٳ^>USɞ OxyhM42lSeʋzv~g.VrrqA_{{y{\o* %k<v/I8aتU=˧k'|O] rč| >R{By<72OVAsa!QS],ǐpi8[&{OF6Fhm)inʶOb~r$BE&$pˉ 8{퀓pMG`;θ:7qj{WL屃Q Zt rRt]T=ɰ/,C/2̙uOy@#. 1\~A87pE}M1Hy 1tq9  \LC_@*V,F :h'Ai}DE4h.ݸxc'O"Y|*`"-91:ox<1Ӓבa_ZT mK%9Y;+.l/+9┸䤴ԵC#v5 !;CyETd's<×קT\uxy{6O; iN(aTtA `}ZX\]hgJ:J0D45kdwN19^gph9 |I!$!#D"!@ok4;>?l2kr|O-+uN؎?@k}VwmfN;)N>hޓ{a"䓶9BE>Fu" u(`>-/i/hsd'҃lyN/-Y͘NA=Zr q 0=S 谟n}ZO RȞ/<7GtUVXG_@q;=ߟIcA&~耍 ur'I.EyAkW"A.i6T#J,h9*!}CP.1G r$ (5HWXܥL# A7tG~ {c tFt`IK'@YH.$8Hdq1P - {`d h+t;5q>r#]qkN)FGf!)=T)'fN?QVmV@X273~RhThN8l؞`_,KqqELPV'Am}f%%\ܦ?$/H1k(v_B~ڦm[ w%%u,Cۭ7lkF}m݉.~1gqyiTQlFBJ֖_bJIvC-ikC ŧt})|M.8H\:J|ZVMP>wm+ed6`N"y0dXQMX5 吙AnV0F8\ `uF2Ѯ8('dW8D>/<~D*qr"\:G4s6uV~x^ ,YV,eZByyGL<>B_'ji 1,w|e|H=A lqb8b @N4lpT''z#8G(T#C#AN)Z0 UUP@.Z/U4ho)7) *$6^ėda1s:Ds ^,&=ysN<[Z?F6:̔'[6lprv߅>U-=ުԸ&t@K𺌍)WqM9 [r;?r9l@߳ uCFLS ܛ1{OOܾeFE.%."N%㢓W'&׮O]g2̀h3G`l]aSHw4avj`ׯ@'VYe/u߆l߱|Fb9Q r S 5g/RPzj^sEĻA{Ug0&~@ ^= x\E"/*"?f?йϙz}|I5s6mKm8xhr?o9tkJf!u['iEb$"rd-A$򦼧GJVٺlb<ɹAyOT "^%ȭtF0\#%% OنKsF1U$jYai:QzWN>\f2@xsݫ*.}x]~\ؕV>(AEI2i6"G`@t T í=y\Pk|͜_xmFFN4.g(z 6i=Hv$>" h,v(6G*/y7%o͓#&h($t0eUs?7oV:,19C+G9\HBiB09{[QBā4g+@R֢;,ijќW0HKޅMΫJ_l\D 3E,gI1=*z~B>]{q7ca/s8pÙB@㘿6rXl];x?67*xb5BlueId!;4Tʶes>29@ڊׯk?V۱ 6s%XӴYy9!0:Rz}!U~& j _ Psğ R)V ۊ8”h}&oŢtt#eJ ˞a2fư!<[ *֢r19NslĘvL59̴F6t*&%)t 6 /++=p?O~NK ȫ[e &bVFth}lՋ쁒djj͆ ))iSAbo Rcx6)}W'p" (X8K\αh)\tc}khL*><5SÐ<̂"ngRMoWdGIY#%yvIREFvOsb{y!,hxc?PhQuk3J7FQ$* ],9$׫oS=j&|GZMX ғHYGO.p ] wa L^.3beKUH*Gb Jћ (t בy ó{[]]g;oXG͍uqvom%҇ endstream endobj 426 0 obj << /Ascent 629 /CapHeight 562 /CharSet (/space/a/l/w/y/s/at/parenleft/p/o/e/d/g/r/t/comma/c/k/parenright/i/f/underscore/less/equal/one/quotes\ ingle/b/zero/semicolon/A/n/h/v/colon/period/j/u/hyphen/K/E/P/M/X/F/N/O/U/T/D/C/H/L/B/R/I/G/V/S/m/two/\ three/eight/four/five/six/seven/W/Y/slash/quotedbl/asterisk/nine) /Descent -157 /Flags 35 /FontBBox [-28 -250 628 805] /FontFile3 425 0 R /FontName /POGGMC+Courier /ItalicAngle 0 /StemH 51 /StemV 51 /Type /FontDescriptor /XHeight 426 >> endobj 427 0 obj << /BaseFont /POGGMC+Courier /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 426 0 R /LastChar 121 /Subtype /Type1 /Type /Font /Widths [600 0 600 0 0 0 0 600 600 600 600 0 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 0 0 600 600 600 600 600 600 600 600 600 600 0 600 600 600 600 600 600 0 600 600 600 600 600 600 600 600 0 0 0 0 0 600 0 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 0 600 600 600 600 600 600 0 600] >> endobj 428 0 obj << /Length 3063 /Subtype /XML /Type /Metadata >> stream C:\TemplateSource\Icons\recommend.svg endstream endobj 429 0 obj << /Metadata 428 0 R >> endobj 430 0 obj << /Filter /FlateDecode /Length 16352 /Length1 47576 >> stream hěxT3snD`B# RBQЋC.Ҥ AEW@ł JQAHv9لxefޙ3gڙyߙY҈ =5D>45}XZvOjEm}>vcǤGWDFVn#W4·ZO.O'(o#U eoG(`q{vSz ߴBqEʰa6_w^[8 %QOE)S7O ԕJtDIie4LziD14і 4g9'DD/'{)^H2i;f, W-.+ʥ9"%zT^y𿆾_LKJ:ҫ*V"~a2(Rz \ѳDZrShF^vзtҏt.j]1Z?.<[$Xvkř9E'nRDoLy&W'/ړo{:J])3ooJR:xOE 2R٨}OJrϤ ݻuҹS\1۵lӺUO4Z_wxX!MuFG햔슊'*ͪkL^FHJdfHHv5rf*:ۙ p>ꑬsUlb=j-M6 (r&$oL^3G;Mr*G&tUO H uER):gPFV"'#[8bٮ"]*({]+GBdxBվCBSBRLF-O^xdE3SqdDXU@+d[X/~;;zx-|1dD+;\Hvf8SCQɲnUv'Y5JfEX{z!nU* v,v9;ȕ; Ɂ/ťi^>Xn4#/rEEfǤi!p uHnʚ|DYԮA}=ڬ(mV>ۃJJ4>]J^M%oLJP2 sJDOEbu%P|#(=`A(%I18y.eHnj5lC.l1[+l'RimRn*ih9':1Oa@%SfE.t aP O˿~V' I+'=Mz&gS0l="I9[9 EzFGXyMN?%N!RV4/iOaUjP2&_'DW*Pr5h~{u:]y--+ Ÿ.'[bD)IYgP8j-9xo5\0eɻxOb,䒥]%#p;ڠ'H# /wBX90u3UqrZu(VP x"JKvP T#&1ʍ$f"~W) tzJ)ezV֔_I4Pg_}ѫRB Z"SԀ={WCmY!EڒwQOs5P4K l*nPC&' xU7Do.i*-(y? 4ޙFؾ?PloOOL#|z$|8Ѓ@\IE^ RHh2O}紁e-QN/n}I˴R&.Wi֟]h9; N") 6h ܘj?Ng*}Ğl̚, ]GTp[8(Y20l) JN3@*n@ +r0F8-{A܆{I)~dzW{`}uLf F{8Yd¦׭ux7?7Vzj#ڋu=Ȉw߰ r-dw#>62'jnUGލ)IG߉uϤ@s--5IqK Si*^7@KyH%ȳ'$(8o!nb\#jj$aP3̻ e&k05q_s ߂*=SS0 z,o|APz|[󨗄V}չFA33ǁyiB\VٕRTf$cGEdq=,}-mpH0YKo5\ ̾6~{=kdϴVzmH4ʶ#5ZظOIџ9b>T/~[V8 K)Лjt%)ktPzGX ]_:d9^MmNdAxM4lYa@[#9qt 6;:qrl@^q=%菩yn$n@֜+ִXπ؅2Ȧ?u,Zj˔1u]IX{>x[wb;X>JiliE:Qo#2NsNͅn~c8wrmk`>uX]-aK0/w6"7=K%D_c-hqӬ& 8dL8K aZf*bV,o^ t}K(2y֤boC DϢvQ8z`*Zn<7,?E~V&Xkw-*lֲTTʷ,ᡴ]ilM.1+`];-ރ;N[]1xjx"scl8Nl-@Vx!mƖAY8ⰶt˜߲ҡcmf4š-;An@3%֛x6,6Fp+SWcX >tyC+A]4nfLtqz͸Hu:Ey/oH=@ Z'z%on1X+Z  spsZ2\˅%#sq \LA?v<{hiiX{ե[d_`,R泾4柊`r/aȦ."YOJ6.vzIXZi ھwb| /T_ k{` ehF Kz ~+l=|,3P6gaƚc}mt_;eu*-F#}w:NϣhkQj6z>Ї.>v[>ǣ0쀾;C`gv6,袿KL6ޢׂAC9Ag@]ikpMh)DwKb8! _P^}vA֖moU8σܧx/[3ּTЖ/nG'z>'{!-]6h$|L"râE "؃wuD?@_\p/.,2Ftn (0・}<`J9V|eׅC^Wl}5' d+l_㚁pDi#-:2;}n2?޷ ;@v\t ࢖|7-(<B|Dq[q%q s@?B>}ށ[Y ֢P -CX7#m­Ež{"p#Ԟoվw.eXpI pa> }/;`<$V=i\+x@G:=Ea~[)ٯ %d%߅}T6 Q-^>w_>Ȯ-?ig\wsgocy%RƣM{~΋D_}U_XךH 3 Uk%僚h頁9\D1]Is标eֹC'z8iz(:o7}d,sbj1dH[{VPt.Fޔ6FE{ﱖJh;k5u/Ƙzu#_-t-bڋqUU#: ih!d޳+;PVkxU껟lO1|a>w}Zg<ޱCکg<{ -5jl֕e<_r?r=f[&&$ƠT}/^sUƁ|$]Ąp _\iy۾m{Swi#t&2uO` x@ T; +[<޳[}:T\C9.gIY& P+N㪽[mj}<kTq$R+-G\cKg3 ]N0}KkWjM*o%Ko-z[5=\jEyn/S"1~bmMg섾gsjjo yy_ }MZJϩt́}l[K![jvNd:WCyLlyĜ8[{a+ܣ>ksy߅}ԑMu/yv]4q)XvA)"=|]"j8IN&-jV nᛰVd71L=7V<2s~䣇w9B;y= 1<]r3YgY"?, sZz?Eg>AuFH<>],K>?`y_EE*$" θYyT[Qw-ZrV w׹4 ۃyMS߭l 5ȸ= oq/v楟`-?Ĝۣl|57z0ֿzL}(Fݬ5.Nos.x;`Gk)*{Av^gm֍&ݦY"@tǦbXŦbΠlEh'wq"]٧HS>Av[l1a\]3a _w[ =c+4k\peK۬7]!|;:R-۞w#rO$ }sgoUb},cSO^k9ڊ6zC\-噲Rh+m 6. ?GM5ڳkFy :oloۻ1 ׆ H mڍ=N.ȳl\ek`Ϊgl hϹNj@Yn<{zg|gS|;㲶Kz'PV`=I yoՈGG9El6OSly?ws=xʳ(}CsysoP2Qxy?gcEJ jgk Z7鿱oCPyVu8{_pG~B\(szUk}hǼ9l_av=6Z񥰓|xɻp$*ϏF9O3`;su4¼kAuͲ5?j==Cݗ{QuytXѓy7DRڪ](ܳX}P٘+~uq~:.$Z݋Qw#P70}Glo/z+N}x__SUyR#!@c&{C<)oV;ǻ-ĺ~n3R5E]_]V5k<c>z[?Z: &hȼ7-]6 Y<]`O|jeIRTMΓiVGۭFw":Ee+4[.: ~F8ۻR1JWpéQ8t)ouՑyBi(nR:?Į.D픞P#wxw?-z=Kԏ4Tiވ@qs&NÆYIXEbugh:ؿ׈ۇH| F Եp?  =)}˻~/JdLz |MAm9-@GޅƷf1"[;&ӫu={7o^l=1r7/W"M =4zi;A6gE}@Z),47͖hLWVsbIJfY/:k}} F<+y)+my Yq KWv<6A^jF2XUJVh~ xDX~K$C&EibK߲ Ž ͵pS \Z.zڰAK -lpT u\Npt/C6,OHB%K0ütgBH诂wȻx siW]>(8 7n V#~m>މ=yI[d 0; #&$@ {@@xwǐg_t5t܍oG"?B@o fAg+Ӌ ?@{1nţ(O!dYmVUr;ȧ~o37#wYQZG_>J@W.].;۠o .^tE?U\8p : 7hpjN;ilOL49a؞?c'Zlw츱ݱfhelhұQZ7JG)CF QV3 P)CC\гC  CZC`l`l`Vh`_yolXolsئ c֔[[YcFz!^'kFFue ze-kj!E1]ݠW j&VnS3QuumCT@X꣔PUJV~Qo (f:Ǖ+Y.;ڱ|\~8-_J﹅2?OkS 3 [ldAOxp8<V FGXXJ @\I3? f>##WqY-|"#JٷeEP/}%a2a8%L8CiL: AѾWf 5aI RAbd i-ǖcSVt4H(5qLLvNt꧞~jOyOM4L4E"yy7e1 \HeJK 3%ŹL U gE^&sS!>l۔9AXnE#>`WVhL)WfΫK[=5}P+x8F9N7 }LQ->T-^pr=n{ zAm&lRQ]v+4^pؔ*^1L <4!.T"aN"*[0ikPMjm!54dT Qk jc52ŽjS$Y*l"WdMFyEEE=gNLiV/_mA~O& K.Y *-8>vE45g|F%͟zt{tr5s3Tpʋi6_[ kpƽ-~AGf{"`H¸ w՗LfŐdj38*$S\c:}_f0ROTo3o*LNŽ&8]6"^i,کLNݜ.*?GYEEJEeVZ-:t-yEB?obpRx}g/,y4-Ͻ*:GW5xɠVYm$̃ r])s-߯(n *7A!jfCon&tOH>p5յ-Q=Y ~J&!yjXd4F"Ѩ J=a.ˌB Y-^FZl.-2@ںUC}ּ\hB^W@#Kilpmiq ꇾhVʑ;XzAǂ^/64/Z&Y l'! eGZ-jR=^(+DRLHJ5TNQAzSɨt]KQEwRϫ'v{b2(mϙ hzce{RCu:42( H, vmMYBQQYNvNW W. Z7kB9‹VS]fr%x_S:WRha2s^[ w#m'٤yeyNu*\PIuYSHT)\AJӼ}I2pƭ)YyE?z"5+sش, c|qOXZ*l[Yn_lLyL2f8dIgXx;^oy\xζfN+,*/*ܺs w]o֋Z'/K*Yer0*`xY%wF$ qYYh*IL;H0a󌰪NJG`^h>R~L 'LS_3W{~\[ΛraV[]6[v]ފ¥}jaW"oUŒV|Hߐ3$[[3VQ5/x!KsЅˢiĤ}u'&L|\4&δ~YfLzB&F&7!431p F2,#)!;C))AJ ~TTK+Zɞ5P!,< V򄷪9AuP'/B'>qgoQl{>^aa.#'՞Pq:詅MA8&!/kQ$p-NpBk\q$*G[ ;T섞 YqUfc]խhnΪP.mYK0<[UsնL 0\7© v<3?};EGRD[݇? d:|hjv+D|? vzAca>>sb ;bLW WKo4&s| zy; g{7n\Utw[xQqJ%k @oc; cJ!9Mc']nOm;zYބd K0|Sm2V4۽Ԟ% mG?Mƀ.qvmR-wM~ҍl*\o;`~ѣ,v‚@AԎgP;1UX&&`HD綊9C^"Ҳ)oX-no0R*T)wħ߀\vͦ6xJ$;(Cjs4#XS0ųV-HT_?L"]#i{H'Q34V5ft]=1Mc6ZL$R hd0Igäd4ͧf<L{ !l|uVOl%lYRgVxm 7Qe7qSS4 HdvKOn'|nY= g2&^#HNO}^<摵&a4+e:xt]TƧݯć> endobj 432 0 obj << /Ascent 750 /CapHeight 0 /Descent -250 /Flags 96 /FontBBox [-725 -276 1260 1014] /FontFamily (Calibri) /FontFile2 430 0 R /FontName /POHEPK+Calibri,Italic /FontStretch /Normal /FontWeight 400 /ItalicAngle -15 /StemV 0 /Type /FontDescriptor >> endobj 433 0 obj << /Length 3063 /Subtype /XML /Type /Metadata >> stream C:\TemplateSource\Icons\important.svg endstream endobj 434 0 obj << /Filter /FlateDecode /Length 2225 /Subtype /Type1C >> stream h\T X9H'@k8qD#HTCTL4)j=^f"•4+Բmwڽ{kֿX,cgF OIw$%8f 6p[i=SO8qQ,b|{0L//n'zwXveYEi>|s9g[)o&d-OKX'ji|cYcaZ[>}:.q$,Opd"ꃱ0/72C&Ȍ40! 3a&3L$D3 2sY6c`ela!Pmjnj6r۹*^s*$r/6z9?5I<X8m+Ft&NrGX (T,qN@cNWЅB6$tDGg ͏^Q%&ްt+”?d O1w:<ݮ] >?|rX͵֋$iG0A;IHvNɑ_`WbxL:d<͕!ACn+y#7g@άʴ1ROUA _fef䗖UOq8>W[NVsg&l~BtXt+VpdcY*=*gWXR^!DoV.ؼ0A* zN _m| L-561[/6w-4ac `Lɜ߁ d[E1ynVh&qWxV.ڕwm¼ endstream endobj 435 0 obj << /Metadata 433 0 R >> endobj 436 0 obj << /BaseFont /POGPNJ+Courier-Oblique /Encoding /WinAnsiEncoding /FirstChar 49 /FontDescriptor 437 0 R /LastChar 116 /Subtype /Type1 /Type /Font /Widths [600 600 0 600 0 0 0 600 0 0 0 0 0 0 0 0 600 0 600 600 600 600 0 0 600 0 0 0 600 600 600 600 0 600 600 600 0 0 0 0 600 0 0 0 0 0 600 0 0 0 600 0 0 0 600 0 600 0 0 600 0 0 600 600 0 0 600 600] >> endobj 437 0 obj << /Ascent 629 /CapHeight 562 /CharSet (/space/l/o/g/i/c/underscore/p/t/S/Y/M/O/N/E/one/four/D/A/P/R/T/two/F/I/C/s/eight) /Descent -157 /Flags 99 /FontBBox [-28 -250 742 805] /FontFile3 434 0 R /FontName /POGPNJ+Courier-Oblique /ItalicAngle -12 /StemH 51 /StemV 51 /Type /FontDescriptor /XHeight 426 >> endobj 438 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-med.svg endstream endobj 439 0 obj << /Metadata 438 0 R >> endobj 440 0 obj << /Kids [441 0 R 442 0 R 443 0 R 444 0 R 445 0 R 446 0 R 447 0 R 448 0 R 449 0 R 450 0 R 451 0 R 452 0 R 453 0 R 454 0 R 455 0 R] >> endobj 441 0 obj << /Limits [(F1) (G2.1004819)] /Names [(F1) 489 0 R (F2) 1249 0 R (F3) 1250 0 R (F4) 1251 0 R (G1.1002471) 1252 0 R (G1.1014892) 1253 0 R (G1.1021357) 1254 0 R (G1.1021363) 1255 0 R (G1.1021368) 1256 0 R (G1.1043520) 1257 0 R (G1.1045468) 1258 0 R (G1.1050218) 1259 0 R (G1.1050223) 1260 0 R (G1.1050236) 1261 0 R (G1.1050240) 1262 0 R (G1.1050260) 1263 0 R (G1.1053775) 1264 0 R (G1.1054482) 1265 0 R (G1.1055121) 1266 0 R (G1.1055498) 1267 0 R (G1.1055502) 1268 0 R (G1.1055506) 1269 0 R (G1.1055509) 1270 0 R (G1.1055514) 1271 0 R (G1.1055518) 1272 0 R (G1.1055525) 1273 0 R (G1.1055532) 1274 0 R (G1.1055535) 1275 0 R (G1.1055540) 1276 0 R (G1.1055969) 1277 0 R (G1.1055972) 1278 0 R (G1.1055978) 1279 0 R (G1.1055980) 1280 0 R (G1.1055982) 1281 0 R (G1.1055984) 1282 0 R (G1.1055986) 1283 0 R (G1.1055988) 1284 0 R (G1.1055992) 1285 0 R (G1.1056096) 1286 0 R (G2.1000784) 1287 0 R (G2.1000789) 1288 0 R (G2.1000793) 1289 0 R (G2.1000806) 1290 0 R (G2.1000821) 1291 0 R (G2.1000826) 1292 0 R (G2.1000840) 1293 0 R (G2.1004785) 1294 0 R (G2.1004787) 1295 0 R (G2.1004789) 1296 0 R (G2.1004791) 1297 0 R (G2.1004793) 1298 0 R (G2.1004795) 1299 0 R (G2.1004797) 1300 0 R (G2.1004799) 1301 0 R (G2.1004801) 1302 0 R (G2.1004803) 1303 0 R (G2.1004805) 1304 0 R (G2.1004807) 1305 0 R (G2.1004809) 1306 0 R (G2.1004811) 1307 0 R (G2.1004813) 1308 0 R (G2.1004815) 1309 0 R (G2.1004817) 1310 0 R (G2.1004819) 1311 0 R] >> endobj 442 0 obj << /Limits [(G2.1004821) (G3.379726)] /Names [(G2.1004821) 1185 0 R (G2.1004823) 1186 0 R (G2.1004825) 1187 0 R (G2.1004827) 1188 0 R (G2.1004829) 1189 0 R (G2.1004831) 1190 0 R (G2.1004833) 1191 0 R (G2.1004835) 1192 0 R (G2.1004837) 1193 0 R (G2.1004839) 1194 0 R (G2.1004841) 1195 0 R (G2.1004843) 1196 0 R (G2.1004845) 1197 0 R (G2.1004847) 1198 0 R (G2.1004849) 1199 0 R (G2.1004851) 1200 0 R (G2.1004853) 1201 0 R (G2.1004855) 1202 0 R (G2.1004857) 1203 0 R (G2.1004859) 1204 0 R (G2.1004861) 1205 0 R (G2.1004863) 1206 0 R (G2.1004865) 1207 0 R (G2.1004867) 1208 0 R (G2.1004869) 1209 0 R (G2.1004871) 1210 0 R (G2.1004873) 1211 0 R (G2.1004875) 1212 0 R (G2.1004877) 1213 0 R (G2.1004879) 1214 0 R (G2.990009) 1215 0 R (G3.340698) 1216 0 R (G3.364274) 1217 0 R (G3.379655) 1218 0 R (G3.379656) 1219 0 R (G3.379658) 1220 0 R (G3.379660) 1221 0 R (G3.379662) 1222 0 R (G3.379664) 1223 0 R (G3.379666) 1224 0 R (G3.379667) 1225 0 R (G3.379669) 1226 0 R (G3.379671) 1227 0 R (G3.379673) 1228 0 R (G3.379694) 1229 0 R (G3.379696) 1230 0 R (G3.379698) 1231 0 R (G3.379700) 1232 0 R (G3.379701) 1233 0 R (G3.379702) 1234 0 R (G3.379703) 1235 0 R (G3.379704) 1236 0 R (G3.379705) 1237 0 R (G3.379706) 1238 0 R (G3.379707) 1239 0 R (G3.379708) 1240 0 R (G3.379709) 1241 0 R (G3.379710) 1242 0 R (G3.379711) 1243 0 R (G3.379713) 1244 0 R (G3.379720) 1245 0 R (G3.379722) 1246 0 R (G3.379724) 1247 0 R (G3.379726) 1248 0 R] >> endobj 443 0 obj << /Limits [(G3.379727) (G3.379847)] /Names [(G3.379727) 1121 0 R (G3.379729) 1122 0 R (G3.379731) 1123 0 R (G3.379733) 1124 0 R (G3.379735) 1125 0 R (G3.379736) 1126 0 R (G3.379737) 1127 0 R (G3.379740) 1128 0 R (G3.379741) 1129 0 R (G3.379742) 1130 0 R (G3.379743) 1131 0 R (G3.379744) 1132 0 R (G3.379745) 1133 0 R (G3.379747) 1134 0 R (G3.379749) 1135 0 R (G3.379751) 1136 0 R (G3.379752) 1137 0 R (G3.379754) 1138 0 R (G3.379758) 1139 0 R (G3.379761) 1140 0 R (G3.379762) 1141 0 R (G3.379770) 1142 0 R (G3.379771) 1143 0 R (G3.379772) 1144 0 R (G3.379774) 1145 0 R (G3.379775) 1146 0 R (G3.379776) 1147 0 R (G3.379777) 1148 0 R (G3.379778) 1149 0 R (G3.379779) 1150 0 R (G3.379782) 1151 0 R (G3.379783) 1152 0 R (G3.379784) 1153 0 R (G3.379785) 1154 0 R (G3.379786) 1155 0 R (G3.379789) 1156 0 R (G3.379791) 1157 0 R (G3.379794) 1158 0 R (G3.379795) 1159 0 R (G3.379797) 1160 0 R (G3.379798) 1161 0 R (G3.379802) 1162 0 R (G3.379804) 1163 0 R (G3.379806) 1164 0 R (G3.379813) 1165 0 R (G3.379814) 1166 0 R (G3.379815) 1167 0 R (G3.379816) 1168 0 R (G3.379817) 1169 0 R (G3.379819) 1170 0 R (G3.379820) 1171 0 R (G3.379829) 1172 0 R (G3.379830) 1173 0 R (G3.379831) 1174 0 R (G3.379833) 1175 0 R (G3.379835) 1176 0 R (G3.379837) 1177 0 R (G3.379839) 1178 0 R (G3.379840) 1179 0 R (G3.379841) 1180 0 R (G3.379844) 1181 0 R (G3.379845) 1182 0 R (G3.379846) 1183 0 R (G3.379847) 1184 0 R] >> endobj 444 0 obj << /Limits [(G3.379848) (G3.387744)] /Names [(G3.379848) 1057 0 R (G3.379849) 1058 0 R (G3.379850) 1059 0 R (G3.379852) 1060 0 R (G3.379854) 1061 0 R (G3.379855) 1062 0 R (G3.379865) 1063 0 R (G3.379868) 1064 0 R (G3.379869) 1065 0 R (G3.379870) 1066 0 R (G3.379871) 1067 0 R (G3.380261) 1068 0 R (G3.380264) 1069 0 R (G3.380267) 1070 0 R (G3.380270) 1071 0 R (G3.380273) 1072 0 R (G3.380283) 1073 0 R (G3.381249) 1074 0 R (G3.381453) 1075 0 R (G3.381561) 1076 0 R (G3.382063) 1077 0 R (G3.383079) 1078 0 R (G3.383100) 1079 0 R (G3.383872) 1080 0 R (G3.384250) 1081 0 R (G3.384253) 1082 0 R (G3.384407) 1083 0 R (G3.384919) 1084 0 R (G3.384925) 1085 0 R (G3.385750) 1086 0 R (G3.385828) 1087 0 R (G3.385851) 1088 0 R (G3.386136) 1089 0 R (G3.386137) 1090 0 R (G3.386439) 1091 0 R (G3.387688) 1092 0 R (G3.387690) 1093 0 R (G3.387692) 1094 0 R (G3.387694) 1095 0 R (G3.387696) 1096 0 R (G3.387698) 1097 0 R (G3.387700) 1098 0 R (G3.387702) 1099 0 R (G3.387704) 1100 0 R (G3.387706) 1101 0 R (G3.387708) 1102 0 R (G3.387710) 1103 0 R (G3.387712) 1104 0 R (G3.387714) 1105 0 R (G3.387716) 1106 0 R (G3.387718) 1107 0 R (G3.387720) 1108 0 R (G3.387722) 1109 0 R (G3.387724) 1110 0 R (G3.387726) 1111 0 R (G3.387728) 1112 0 R (G3.387730) 1113 0 R (G3.387732) 1114 0 R (G3.387734) 1115 0 R (G3.387736) 1116 0 R (G3.387738) 1117 0 R (G3.387740) 1118 0 R (G3.387742) 1119 0 R (G3.387744) 1120 0 R] >> endobj 445 0 obj << /Limits [(G3.387746) (G3.392592)] /Names [(G3.387746) 993 0 R (G3.387748) 994 0 R (G3.387750) 995 0 R (G3.387752) 996 0 R (G3.387754) 997 0 R (G3.387756) 998 0 R (G3.387758) 999 0 R (G3.387806) 1000 0 R (G3.388036) 1001 0 R (G3.388713) 1002 0 R (G3.388717) 1003 0 R (G3.388718) 1004 0 R (G3.388813) 1005 0 R (G3.389090) 1006 0 R (G3.389238) 1007 0 R (G3.389368) 1008 0 R (G3.389396) 1009 0 R (G3.390818) 1010 0 R (G3.391313) 1011 0 R (G3.391872) 1012 0 R (G3.391887) 1013 0 R (G3.391943) 1014 0 R (G3.391945) 1015 0 R (G3.391947) 1016 0 R (G3.391948) 1017 0 R (G3.391949) 1018 0 R (G3.391950) 1019 0 R (G3.391951) 1020 0 R (G3.391952) 1021 0 R (G3.391953) 1022 0 R (G3.391955) 1023 0 R (G3.391957) 1024 0 R (G3.391958) 1025 0 R (G3.391959) 1026 0 R (G3.391960) 1027 0 R (G3.391961) 1028 0 R (G3.391962) 1029 0 R (G3.391963) 1030 0 R (G3.391968) 1031 0 R (G3.391969) 1032 0 R (G3.391970) 1033 0 R (G3.391971) 1034 0 R (G3.391972) 1035 0 R (G3.391973) 1036 0 R (G3.391974) 1037 0 R (G3.391978) 1038 0 R (G3.391979) 1039 0 R (G3.391980) 1040 0 R (G3.391981) 1041 0 R (G3.391982) 1042 0 R (G3.391983) 1043 0 R (G3.391984) 1044 0 R (G3.391985) 1045 0 R (G3.391986) 1046 0 R (G3.392168) 1047 0 R (G3.392200) 1048 0 R (G3.392236) 1049 0 R (G3.392240) 1050 0 R (G3.392253) 1051 0 R (G3.392431) 1052 0 R (G3.392441) 1053 0 R (G3.392558) 1054 0 R (G3.392559) 1055 0 R (G3.392592) 1056 0 R] >> endobj 446 0 obj << /Limits [(G3.392609) (G3.402939)] /Names [(G3.392609) 929 0 R (G3.392641) 930 0 R (G3.392712) 931 0 R (G3.392748) 932 0 R (G3.392762) 933 0 R (G3.392764) 934 0 R (G3.392774) 935 0 R (G3.392819) 936 0 R (G3.392820) 937 0 R (G3.392824) 938 0 R (G3.392829) 939 0 R (G3.392837) 940 0 R (G3.392849) 941 0 R (G3.393843) 942 0 R (G3.393856) 943 0 R (G3.394741) 944 0 R (G3.394745) 945 0 R (G3.394873) 946 0 R (G3.395639) 947 0 R (G3.396255) 948 0 R (G3.396261) 949 0 R (G3.396263) 950 0 R (G3.396264) 951 0 R (G3.396753) 952 0 R (G3.397081) 953 0 R (G3.397290) 954 0 R (G3.397400) 955 0 R (G3.397791) 956 0 R (G3.401537) 957 0 R (G3.401883) 958 0 R (G3.402064) 959 0 R (G3.402067) 960 0 R (G3.402069) 961 0 R (G3.402881) 962 0 R (G3.402882) 963 0 R (G3.402883) 964 0 R (G3.402895) 965 0 R (G3.402896) 966 0 R (G3.402900) 967 0 R (G3.402901) 968 0 R (G3.402902) 969 0 R (G3.402903) 970 0 R (G3.402904) 971 0 R (G3.402905) 972 0 R (G3.402906) 973 0 R (G3.402907) 974 0 R (G3.402910) 975 0 R (G3.402912) 976 0 R (G3.402914) 977 0 R (G3.402916) 978 0 R (G3.402917) 979 0 R (G3.402918) 980 0 R (G3.402919) 981 0 R (G3.402920) 982 0 R (G3.402921) 983 0 R (G3.402924) 984 0 R (G3.402926) 985 0 R (G3.402928) 986 0 R (G3.402930) 987 0 R (G3.402931) 988 0 R (G3.402932) 989 0 R (G3.402935) 990 0 R (G3.402937) 991 0 R (G3.402939) 992 0 R] >> endobj 447 0 obj << /Limits [(G3.402940) (G3.403075)] /Names [(G3.402940) 865 0 R (G3.402941) 866 0 R (G3.402942) 867 0 R (G3.402943) 868 0 R (G3.402944) 869 0 R (G3.402945) 870 0 R (G3.402947) 871 0 R (G3.402952) 872 0 R (G3.402956) 873 0 R (G3.402957) 874 0 R (G3.402958) 875 0 R (G3.402964) 876 0 R (G3.402968) 877 0 R (G3.402970) 878 0 R (G3.402972) 879 0 R (G3.402974) 880 0 R (G3.402976) 881 0 R (G3.402978) 882 0 R (G3.402980) 883 0 R (G3.402982) 884 0 R (G3.402984) 885 0 R (G3.402986) 886 0 R (G3.402988) 887 0 R (G3.402990) 888 0 R (G3.402992) 889 0 R (G3.402994) 890 0 R (G3.402996) 891 0 R (G3.402998) 892 0 R (G3.403000) 893 0 R (G3.403002) 894 0 R (G3.403004) 895 0 R (G3.403006) 896 0 R (G3.403008) 897 0 R (G3.403010) 898 0 R (G3.403012) 899 0 R (G3.403014) 900 0 R (G3.403016) 901 0 R (G3.403018) 902 0 R (G3.403019) 903 0 R (G3.403020) 904 0 R (G3.403021) 905 0 R (G3.403022) 906 0 R (G3.403023) 907 0 R (G3.403029) 908 0 R (G3.403054) 909 0 R (G3.403055) 910 0 R (G3.403056) 911 0 R (G3.403057) 912 0 R (G3.403058) 913 0 R (G3.403059) 914 0 R (G3.403060) 915 0 R (G3.403062) 916 0 R (G3.403063) 917 0 R (G3.403064) 918 0 R (G3.403065) 919 0 R (G3.403067) 920 0 R (G3.403068) 921 0 R (G3.403069) 922 0 R (G3.403070) 923 0 R (G3.403071) 924 0 R (G3.403072) 925 0 R (G3.403073) 926 0 R (G3.403074) 927 0 R (G3.403075) 928 0 R] >> endobj 448 0 obj << /Limits [(G3.403956) (G3.406687)] /Names [(G3.403956) 802 0 R (G3.404210) 803 0 R (G3.404211) 804 0 R (G3.404212) 805 0 R (G3.404423) 806 0 R (G3.404424) 807 0 R (G3.404710) 808 0 R (G3.404712) 809 0 R (G3.404861) 810 0 R (G3.404862) 811 0 R (G3.405309) 812 0 R (G3.405313) 813 0 R (G3.405548) 814 0 R (G3.405549) 815 0 R (G3.405851) 816 0 R (G3.405960) 817 0 R (G3.405964) 818 0 R (G3.405968) 819 0 R (G3.405970) 820 0 R (G3.405972) 821 0 R (G3.405974) 822 0 R (G3.405975) 823 0 R (G3.405976) 824 0 R (G3.405978) 825 0 R (G3.405980) 826 0 R (G3.405981) 827 0 R (G3.405983) 828 0 R (G3.405986) 829 0 R (G3.405988) 830 0 R (G3.405990) 831 0 R (G3.405991) 832 0 R (G3.405993) 833 0 R (G3.405995) 834 0 R (G3.405996) 835 0 R (G3.405998) 836 0 R (G3.406000) 837 0 R (G3.406001) 838 0 R (G3.406002) 839 0 R (G3.406004) 840 0 R (G3.406006) 841 0 R (G3.406007) 842 0 R (G3.406008) 817 0 R (G3.406016) 843 0 R (G3.406018) 844 0 R (G3.406389) 845 0 R (G3.406602) 846 0 R (G3.406624) 847 0 R (G3.406625) 848 0 R (G3.406626) 849 0 R (G3.406627) 850 0 R (G3.406628) 851 0 R (G3.406629) 852 0 R (G3.406630) 853 0 R (G3.406631) 854 0 R (G3.406655) 855 0 R (G3.406656) 856 0 R (G3.406657) 857 0 R (G3.406658) 858 0 R (G3.406659) 859 0 R (G3.406660) 860 0 R (G3.406661) 861 0 R (G3.406662) 862 0 R (G3.406686) 863 0 R (G3.406687) 864 0 R] >> endobj 449 0 obj << /Limits [(G3.406688) (G3.416249)] /Names [(G3.406688) 738 0 R (G3.406689) 739 0 R (G3.406690) 740 0 R (G3.406691) 741 0 R (G3.406692) 742 0 R (G3.406711) 743 0 R (G3.412911) 744 0 R (G3.413059) 745 0 R (G3.413084) 746 0 R (G3.413085) 747 0 R (G3.413086) 748 0 R (G3.413087) 749 0 R (G3.413088) 750 0 R (G3.413110) 751 0 R (G3.413111) 752 0 R (G3.413112) 753 0 R (G3.413113) 754 0 R (G3.413114) 755 0 R (G3.413136) 756 0 R (G3.413137) 757 0 R (G3.413138) 758 0 R (G3.413139) 759 0 R (G3.413140) 760 0 R (G3.413290) 761 0 R (G3.413461) 762 0 R (G3.413562) 763 0 R (G3.413563) 764 0 R (G3.413653) 765 0 R (G3.413657) 766 0 R (G3.413737) 767 0 R (G3.414056) 768 0 R (G3.414071) 769 0 R (G3.414356) 770 0 R (G3.414915) 771 0 R (G3.414917) 772 0 R (G3.415660) 773 0 R (G3.415926) 774 0 R (G3.416082) 775 0 R (G3.416083) 776 0 R (G3.416085) 777 0 R (G3.416086) 778 0 R (G3.416088) 779 0 R (G3.416089) 780 0 R (G3.416091) 781 0 R (G3.416092) 782 0 R (G3.416107) 783 0 R (G3.416108) 784 0 R (G3.416109) 785 0 R (G3.416213) 786 0 R (G3.416214) 787 0 R (G3.416215) 788 0 R (G3.416216) 789 0 R (G3.416217) 790 0 R (G3.416218) 791 0 R (G3.416219) 792 0 R (G3.416220) 793 0 R (G3.416221) 794 0 R (G3.416243) 795 0 R (G3.416244) 796 0 R (G3.416245) 797 0 R (G3.416246) 798 0 R (G3.416247) 799 0 R (G3.416248) 800 0 R (G3.416249) 801 0 R] >> endobj 450 0 obj << /Limits [(G3.416250) (G3.425782)] /Names [(G3.416250) 674 0 R (G3.416272) 675 0 R (G3.416294) 676 0 R (G3.416295) 677 0 R (G3.416296) 678 0 R (G3.416297) 679 0 R (G3.416298) 680 0 R (G3.416299) 681 0 R (G3.416300) 682 0 R (G3.416301) 683 0 R (G3.416302) 684 0 R (G3.416660) 685 0 R (G3.416755) 686 0 R (G3.420289) 687 0 R (G3.420680) 688 0 R (G3.421357) 689 0 R (G3.421932) 690 0 R (G3.421941) 691 0 R (G3.421946) 692 0 R (G3.421950) 693 0 R (G3.421956) 694 0 R (G3.421966) 695 0 R (G3.422574) 696 0 R (G3.422634) 697 0 R (G3.422642) 698 0 R (G3.422643) 699 0 R (G3.422644) 700 0 R (G3.422843) 701 0 R (G3.423075) 702 0 R (G3.423094) 703 0 R (G3.423159) 704 0 R (G3.423274) 705 0 R (G3.423312) 706 0 R (G3.423324) 707 0 R (G3.423326) 708 0 R (G3.423328) 709 0 R (G3.423330) 710 0 R (G3.423332) 711 0 R (G3.423334) 712 0 R (G3.423336) 713 0 R (G3.423338) 714 0 R (G3.423340) 715 0 R (G3.423342) 716 0 R (G3.423344) 717 0 R (G3.423346) 718 0 R (G3.423348) 719 0 R (G3.423350) 720 0 R (G3.423352) 721 0 R (G3.423354) 722 0 R (G3.423356) 723 0 R (G3.423358) 724 0 R (G3.423360) 725 0 R (G3.423362) 726 0 R (G3.423364) 727 0 R (G3.423366) 728 0 R (G3.423368) 729 0 R (G3.423370) 730 0 R (G3.423436) 731 0 R (G3.423464) 732 0 R (G3.423535) 733 0 R (G3.423641) 734 0 R (G3.424217) 735 0 R (G3.425726) 736 0 R (G3.425782) 737 0 R] >> endobj 451 0 obj << /Limits [(G3.427077) (I1.1.1054304)] /Names [(G3.427077) 611 0 R (G3.427082) 612 0 R (G3.427089) 613 0 R (G3.427102) 614 0 R (G3.427117) 615 0 R (G3.427122) 616 0 R (G3.427136) 617 0 R (G3.433743) 618 0 R (G3.433751) 619 0 R (G3.433754) 620 0 R (G3.434115) 621 0 R (G3.434145) 622 0 R (G3.434148) 623 0 R (G3.434199) 624 0 R (G3.434205) 625 0 R (G3.434210) 626 0 R (G3.434371) 627 0 R (G4.356562) 628 0 R (G4.358540) 629 0 R (G4.366749) 630 0 R (G4.366750) 631 0 R (G4.366757) 632 0 R (G4.366985) 633 0 R (G4.372828) 634 0 R (G4.375180) 635 0 R (G4.375198) 636 0 R (G4.375739) 637 0 R (G4.375745) 638 0 R (G4.376098) 639 0 R (G4.376329) 640 0 R (G4.376330) 641 0 R (G4.376331) 642 0 R (G4.376673) 643 0 R (G4.376686) 644 0 R (G4.376699) 645 0 R (G4.377742) 646 0 R (G4.377827) 647 0 R (G4.377831) 648 0 R (G4.378752) 649 0 R (G4.379084) 650 0 R (G4.379106) 651 0 R (G4.379363) 652 0 R (G4.379368) 653 0 R (G4.379375) 654 0 R (G4.379388) 655 0 R (G4.379403) 656 0 R (G4.379408) 657 0 R (G4.379422) 658 0 R (G4.381005) 659 0 R (G4.382450) 660 0 R (G4.382451) 661 0 R (G4.382452) 662 0 R (G4.382453) 663 0 R (G4.382454) 664 0 R (G4.382455) 665 0 R (G4.382456) 666 0 R (G4.382458) 667 0 R (G4.382460) 668 0 R (G4.382510) 669 0 R (G4.382511) 670 0 R (G4.382765) 671 0 R (G4.382796) 672 0 R (I1.1.1050222) 673 0 R (I1.1.1054304) 462 0 R] >> endobj 452 0 obj << /Limits [(I1.1.1056520) (I3.1.416454)] /Names [(I1.1.1056520) 553 0 R (I1.1.1056545) 554 0 R (I2.1.1000788) 555 0 R (I2.1.1000825) 556 0 R (I2.1.1003527) 557 0 R (I2.1.1003552) 558 0 R (I2.1.1004784) 559 0 R (I2.1.1004786) 560 0 R (I2.1.1004788) 561 0 R (I2.1.1004790) 562 0 R (I2.1.1004792) 563 0 R (I2.1.1004794) 564 0 R (I2.1.1004796) 565 0 R (I2.1.1004798) 566 0 R (I2.1.1004800) 567 0 R (I2.1.1004802) 568 0 R (I2.1.1004804) 569 0 R (I2.1.1004806) 570 0 R (I2.1.1004808) 571 0 R (I2.1.1004810) 572 0 R (I2.1.1004812) 573 0 R (I2.1.1004814) 574 0 R (I2.1.1004816) 575 0 R (I2.1.1004818) 576 0 R (I2.1.1004820) 577 0 R (I2.1.1004822) 578 0 R (I2.1.1004824) 579 0 R (I2.1.1004826) 580 0 R (I2.1.1004828) 581 0 R (I2.1.1004830) 582 0 R (I2.1.1004832) 583 0 R (I2.1.1004834) 584 0 R (I2.1.1004836) 585 0 R (I2.1.1004838) 586 0 R (I2.1.1004840) 587 0 R (I2.1.1004842) 588 0 R (I2.1.1004844) 589 0 R (I2.1.1004846) 590 0 R (I2.1.1004848) 591 0 R (I2.1.1004850) 592 0 R (I2.1.1004852) 593 0 R (I2.1.1004854) 594 0 R (I2.1.1004856) 595 0 R (I2.1.1004858) 596 0 R (I2.1.1004860) 597 0 R (I2.1.1004862) 598 0 R (I2.1.1004864) 599 0 R (I2.1.1004866) 600 0 R (I2.1.1004868) 601 0 R (I2.1.1004870) 602 0 R (I2.1.1004872) 603 0 R (I2.1.1004874) 604 0 R (I2.1.1004876) 605 0 R (I2.1.1004878) 606 0 R (I3.1.364273) 466 0 R (I3.1.405963) 469 0 R (I3.1.412838) 607 0 R (I3.1.412840) 608 0 R (I3.1.412845) 463 0 R (I3.1.412972) 470 0 R (I3.1.414916) 609 0 R (I3.1.415404) 610 0 R (I3.1.415405) 471 0 R (I3.1.416454) 467 0 R] >> endobj 453 0 obj << /Limits [(I3.1.416455) (L3)] /Names [(I3.1.416455) 502 0 R (I3.1.416456) 503 0 R (I3.1.416457) 504 0 R (I3.1.416458) 505 0 R (I3.1.416459) 465 0 R (I3.1.416782) 506 0 R (I3.1.423674) 507 0 R (I3.1.423687) 508 0 R (I3.1.423688) 464 0 R (I3.1.423909) 468 0 R (I3.1.423955) 509 0 R (I3.1.423956) 510 0 R (I3.1.427081) 511 0 R (I3.1.427121) 512 0 R (I3.1.433628) 513 0 R (I3.1.433653) 514 0 R (I3.1.433678) 515 0 R (I3.1.433694) 516 0 R (I4.1.366984) 517 0 R (I4.1.372841) 518 0 R (I4.1.372848) 519 0 R (I4.1.375165) 520 0 R (I4.1.375194) 521 0 R (I4.1.375197) 522 0 R (I4.1.375737) 523 0 R (I4.1.375738) 524 0 R (I4.1.375740) 525 0 R (I4.1.375744) 526 0 R (I4.1.376328) 527 0 R (I4.1.376348) 528 0 R (I4.1.376371) 529 0 R (I4.1.376670) 530 0 R (I4.1.376723) 530 0 R (I4.1.377822) 531 0 R (I4.1.377826) 532 0 R (I4.1.377828) 476 0 R (I4.1.377829) 476 0 R (I4.1.377830) 533 0 R (I4.1.378741) 534 0 R (I4.1.378742) 535 0 R (I4.1.378747) 472 0 R (I4.1.378751) 536 0 R (I4.1.379026) 478 0 R (I4.1.379027) 537 0 R (I4.1.379031) 477 0 R (I4.1.379070) 538 0 R (I4.1.379088) 474 0 R (I4.1.379089) 539 0 R (I4.1.379110) 475 0 R (I4.1.379114) 540 0 R (I4.1.379367) 541 0 R (I4.1.379407) 542 0 R (I4.1.382426) 473 0 R (I4.1.382457) 543 0 R (I4.1.382459) 544 0 R (I4.1.382678) 545 0 R (I4.1.382703) 546 0 R (I4.1.382728) 472 0 R (I4.1.382743) 547 0 R (I4.1.382800) 548 0 R (I4.1.382810) 549 0 R (L1) 550 0 R (L2) 551 0 R (L3) 552 0 R] >> endobj 454 0 obj << /Limits [(L4) (P.31C3)] /Names [(L4) 461 0 R (M1.9.13546.Heading1.Revision.History) 462 0 R (M3.9.11376.Heading3.Avoid.Use.of.Both.a.Set.and.a.Reset.on.a.Register) 502 0 R (M3.9.16239.Heading3.ISERDESE2) 505 0 R (M3.9.17199.Heading1.SelectIO.Considerations) 463 0 R (M3.9.22241.Heading2.Asynchronous.Data.Synchronization) 607 0 R (M3.9.24467.Heading2.7.Series.RAMROM.Retargeting) 464 0 R (M3.9.24689.Heading3.Control.Signal.Polarity) 503 0 R (M3.9.36829.Heading1.Introduction.to.the.UltraScale.Architecture) 507 0 R (M3.9.38541.Heading2.Advanced.7.Series.Component.Retargeting) 508 0 R (M3.9.39097.Heading2.Use.of.Existing.Soft.IP.EDIF.or.NGC.Netlists) 504 0 R (M3.9.42525.Heading3.OSERDESE2) 465 0 R (M3.9.46595.Heading1.Template.for.the.Body.of.SingleChapter.Guides) 466 0 R (M3.9.50308.Heading2.External.VREF) 509 0 R (M3.9.54273.Heading2.Retargeting.7.Series.Registers.and.Latches) 506 0 R (M3.9.54871.Heading2.UltraScale.Device.Selection) 467 0 R (M3.9.65089.Heading1.Retargeting.Considerations.from.7.Series.to.UltraS) 610 0 R (M3.9.66818.TableTitle.Table.17.IO.Standards.that.Support.Uncalibrated.Termination) 468 0 R (M3.9.69992.TableTitle.Table.11.Commonly.Used.IP.with.UltrScale.Migration.Informat) 469 0 R (M3.9.73294.Heading2.SelectIO.Interface.UNISIM.Primitives) 510 0 R (M3.9.74179.Heading2.7.Series.IO.Component.Retargeting) 470 0 R (M3.9.81746.Heading3.Use.of.Synthesis.Attributes.and.Physical.Constrain) 471 0 R (M3.9.89011.Heading2.Clocking.Considerations) 608 0 R (M3.9.99855.Heading2.UltraScale.Device.IP.Migration) 609 0 R (M4.9.15632.ReferenceCont.10.Xilinx.Answer.62490) 530 0 R (M4.9.20259.ReferenceCont.5.Xilinx.UltraScale.Architecture.Libraries.Guide.UG974) 523 0 R (M4.9.21982.ReferenceCont.2.UltraScale.Architecture.and.Product.Overview.DS890) 472 0 R (M4.9.22965.ReferenceCont.3.UltraScale.Architecture.Clocking.Resources.User.Gu) 476 0 R (M4.9.50480.ReferenceCont.6.SYSMON.User.Guide.UG580) 525 0 R (M4.9.51216.ReferenceCont.12.Vivado.Design.Suite.User.Guide.SystemLevel.Desig) 549 0 R (M4.9.58850.Reference.1.UltraFast.Design.Methodology.Guide.for.the.Vivado) 534 0 R (M4.9.62444.AppendixTitle.Additional.Resources) 473 0 R (M4.9.63214.ReferenceCont.9.UltraScale.Architecture.SelectIO.Resources.Advance) 474 0 R (M4.9.65718.Heading1.References) 538 0 R (M4.9.65910.ReferenceCont.7.UltraScale.Architecture.Configuration.User.Guide.UG570) 518 0 R (M4.9.70698.ReferenceCont.2.UltraScale.Architecture.Clocking.Resources.User.Guide.U) 531 0 R (M4.9.75332.ReferenceCont.7.UltraScale.Architecture.Memory.Resources.User.Guide.UG5) 475 0 R (M4.9.80183.ReferenceCont.4.ISE.to.Vivado.Design.Suite.Migration.Guide.UG911) 476 0 R (M4.9.94685.Heading1.Training.Resources) 477 0 R (M4.9.99009.ReferenceCont.10.UltraScale.Architecture.data.sheets.available.on.t) 478 0 R (P.10C3) 479 0 R (P.11C3) 480 0 R (P.12C3) 481 0 R (P.13C3) 482 0 R (P.14C3) 483 0 R (P.15C3) 484 0 R (P.16C3) 485 0 R (P.17C3) 486 0 R (P.18C3) 487 0 R (P.19C3) 488 0 R (P.1C1) 489 0 R (P.20C3) 490 0 R (P.21C3) 491 0 R (P.22C3) 492 0 R (P.23C3) 493 0 R (P.24C3) 494 0 R (P.25C3) 495 0 R (P.26C3) 496 0 R (P.27C3) 497 0 R (P.28C3) 498 0 R (P.29C3) 499 0 R (P.2C1) 550 0 R (P.30C3) 500 0 R (P.31C3) 501 0 R] >> endobj 455 0 obj << /Limits [(P.32C3) (P.9C3)] /Names [(P.32C3) 552 0 R (P.33C4) 1251 0 R (P.34C4) 456 0 R (P.35C4) 461 0 R (P.3C2) 1249 0 R (P.4C2) 551 0 R (P.5C3) 1250 0 R (P.6C3) 457 0 R (P.7C3) 458 0 R (P.8C3) 459 0 R (P.9C3) 460 0 R] >> endobj 456 0 obj << /D [323 0 R /XYZ null null null] >> endobj 457 0 obj << /D [83 0 R /XYZ null null null] >> endobj 458 0 obj << /D [93 0 R /XYZ null null null] >> endobj 459 0 obj << /D [103 0 R /XYZ null null null] >> endobj 460 0 obj << /D [110 0 R /XYZ null null null] >> endobj 461 0 obj << /D [345 0 R /XYZ null null null] >> endobj 462 0 obj << /D [1 0 R /XYZ 54 724 null] >> endobj 463 0 obj << /D [258 0 R /XYZ 92 723 null] >> endobj 464 0 obj << /D [232 0 R /XYZ 92 737 null] >> endobj 465 0 obj << /D [225 0 R /XYZ 92 649 null] >> endobj 466 0 obj << /D [93 0 R /XYZ 92 723 null] >> endobj 467 0 obj << /D [93 0 R /XYZ 92 550 null] >> endobj 468 0 obj << /D [279 0 R /XYZ 139 253 null] >> endobj 469 0 obj << /D [172 0 R /XYZ 139 690 null] >> endobj 470 0 obj << /D [207 0 R /XYZ 92 302 null] >> endobj 471 0 obj << /D [180 0 R /XYZ 92 734 null] >> endobj 472 0 obj << /D [323 0 R /XYZ 110 640 null] >> endobj 473 0 obj << /D [309 0 R /XYZ 54 672 null] >> endobj 474 0 obj << /D [323 0 R /XYZ 110 682 null] >> endobj 475 0 obj << /D [323 0 R /XYZ 110 619 null] >> endobj 476 0 obj << /D [323 0 R /XYZ 110 577 null] >> endobj 477 0 obj << /D [323 0 R /XYZ 92 408 null] >> endobj 478 0 obj << /D [323 0 R /XYZ 110 472 null] >> endobj 479 0 obj << /D [117 0 R /XYZ null null null] >> endobj 480 0 obj << /D [124 0 R /XYZ null null null] >> endobj 481 0 obj << /D [133 0 R /XYZ null null null] >> endobj 482 0 obj << /D [140 0 R /XYZ null null null] >> endobj 483 0 obj << /D [147 0 R /XYZ null null null] >> endobj 484 0 obj << /D [155 0 R /XYZ null null null] >> endobj 485 0 obj << /D [163 0 R /XYZ null null null] >> endobj 486 0 obj << /D [172 0 R /XYZ null null null] >> endobj 487 0 obj << /D [180 0 R /XYZ null null null] >> endobj 488 0 obj << /D [189 0 R /XYZ null null null] >> endobj 489 0 obj << /D [1327 0 R /XYZ null null null] >> endobj 490 0 obj << /D [197 0 R /XYZ null null null] >> endobj 491 0 obj << /D [207 0 R /XYZ null null null] >> endobj 492 0 obj << /D [218 0 R /XYZ null null null] >> endobj 493 0 obj << /D [225 0 R /XYZ null null null] >> endobj 494 0 obj << /D [232 0 R /XYZ null null null] >> endobj 495 0 obj << /D [240 0 R /XYZ null null null] >> endobj 496 0 obj << /D [250 0 R /XYZ null null null] >> endobj 497 0 obj << /D [258 0 R /XYZ null null null] >> endobj 498 0 obj << /D [271 0 R /XYZ null null null] >> endobj 499 0 obj << /D [279 0 R /XYZ null null null] >> endobj 500 0 obj << /D [286 0 R /XYZ null null null] >> endobj 501 0 obj << /D [293 0 R /XYZ null null null] >> endobj 502 0 obj << /D [103 0 R /XYZ 92 456 null] >> endobj 503 0 obj << /D [117 0 R /XYZ 92 327 null] >> endobj 504 0 obj << /D [155 0 R /XYZ 92 502 null] >> endobj 505 0 obj << /D [218 0 R /XYZ 92 497 null] >> endobj 506 0 obj << /D [250 0 R /XYZ 92 678 null] >> endobj 507 0 obj << /D [74 0 R /XYZ 92 571 null] >> endobj 508 0 obj << /D [197 0 R /XYZ 92 737 null] >> endobj 509 0 obj << /D [286 0 R /XYZ 92 218 null] >> endobj 510 0 obj << /D [293 0 R /XYZ 92 737 null] >> endobj 511 0 obj << /D [83 0 R /XYZ 269 34 null] >> endobj 512 0 obj << /D [74 0 R /XYZ 269 34 null] >> endobj 513 0 obj << /D [74 0 R /XYZ 306 798 null] >> endobj 514 0 obj << /D [83 0 R /XYZ 306 798 null] >> endobj 515 0 obj << /D [93 0 R /XYZ 110 134 null] >> endobj 516 0 obj << /D [232 0 R /XYZ 92 314 null] >> endobj 517 0 obj << /D [309 0 R /XYZ 133 457 null] >> endobj 518 0 obj << /D [323 0 R /XYZ 110 514 null] >> endobj 519 0 obj << /D [323 0 R /XYZ 365 514 null] >> endobj 520 0 obj << /D [309 0 R /XYZ 527 556 null] >> endobj 521 0 obj << /D [345 0 R /XYZ 312 575 null] >> endobj 522 0 obj << /D [345 0 R /XYZ 414 546 null] >> endobj 523 0 obj << /D [323 0 R /XYZ 110 556 null] >> endobj 524 0 obj << /D [323 0 R /XYZ 312 556 null] >> endobj 525 0 obj << /D [323 0 R /XYZ 110 535 null] >> endobj 526 0 obj << /D [323 0 R /XYZ 373 535 null] >> endobj 527 0 obj << /D [323 0 R /XYZ 110 313 null] >> endobj 528 0 obj << /D [323 0 R /XYZ 110 292 null] >> endobj 529 0 obj << /D [323 0 R /XYZ 110 271 null] >> endobj 530 0 obj << /D [323 0 R /XYZ 110 493 null] >> endobj 531 0 obj << /D [323 0 R /XYZ 110 598 null] >> endobj 532 0 obj << /D [323 0 R /XYZ 391 598 null] >> endobj 533 0 obj << /D [323 0 R /XYZ 334 577 null] >> endobj 534 0 obj << /D [323 0 R /XYZ 108 661 null] >> endobj 535 0 obj << /D [323 0 R /XYZ 443 661 null] >> endobj 536 0 obj << /D [323 0 R /XYZ 347 640 null] >> endobj 537 0 obj << /D [323 0 R /XYZ 462 472 null] >> endobj 538 0 obj << /D [323 0 R /XYZ 92 723 null] >> endobj 539 0 obj << /D [323 0 R /XYZ 389 682 null] >> endobj 540 0 obj << /D [323 0 R /XYZ 388 619 null] >> endobj 541 0 obj << /D [323 0 R /XYZ 269 34 null] >> endobj 542 0 obj << /D [309 0 R /XYZ 269 34 null] >> endobj 543 0 obj << /D [309 0 R /XYZ 273 150 null] >> endobj 544 0 obj << /D [309 0 R /XYZ 420 128 null] >> endobj 545 0 obj << /D [309 0 R /XYZ 306 798 null] >> endobj 546 0 obj << /D [323 0 R /XYZ 306 798 null] >> endobj 547 0 obj << /D [345 0 R /XYZ 92 452 null] >> endobj 548 0 obj << /D [323 0 R /XYZ 415 451 null] >> endobj 549 0 obj << /D [323 0 R /XYZ 110 451 null] >> endobj 550 0 obj << /D [1 0 R /XYZ null null null] >> endobj 551 0 obj << /D [59 0 R /XYZ null null null] >> endobj 552 0 obj << /D [300 0 R /XYZ null null null] >> endobj 553 0 obj << /D [1327 0 R /XYZ 306 798 null] >> endobj 554 0 obj << /D [1 0 R /XYZ 306 798 null] >> endobj 555 0 obj << /D [59 0 R /XYZ 269 34 null] >> endobj 556 0 obj << /D [10 0 R /XYZ 269 34 null] >> endobj 557 0 obj << /D [59 0 R /XYZ 306 798 null] >> endobj 558 0 obj << /D [10 0 R /XYZ 306 798 null] >> endobj 559 0 obj << /D [10 0 R /XYZ 108 611 null] >> endobj 560 0 obj << /D [10 0 R /XYZ 92 586 null] >> endobj 561 0 obj << /D [10 0 R /XYZ 108 563 null] >> endobj 562 0 obj << /D [10 0 R /XYZ 124 549 null] >> endobj 563 0 obj << /D [10 0 R /XYZ 108 535 null] >> endobj 564 0 obj << /D [10 0 R /XYZ 124 521 null] >> endobj 565 0 obj << /D [10 0 R /XYZ 124 509 null] >> endobj 566 0 obj << /D [10 0 R /XYZ 124 497 null] >> endobj 567 0 obj << /D [10 0 R /XYZ 124 485 null] >> endobj 568 0 obj << /D [10 0 R /XYZ 124 473 null] >> endobj 569 0 obj << /D [10 0 R /XYZ 124 461 null] >> endobj 570 0 obj << /D [10 0 R /XYZ 124 449 null] >> endobj 571 0 obj << /D [10 0 R /XYZ 124 437 null] >> endobj 572 0 obj << /D [10 0 R /XYZ 124 425 null] >> endobj 573 0 obj << /D [10 0 R /XYZ 108 411 null] >> endobj 574 0 obj << /D [10 0 R /XYZ 124 397 null] >> endobj 575 0 obj << /D [10 0 R /XYZ 124 385 null] >> endobj 576 0 obj << /D [10 0 R /XYZ 124 373 null] >> endobj 577 0 obj << /D [10 0 R /XYZ 124 361 null] >> endobj 578 0 obj << /D [10 0 R /XYZ 108 347 null] >> endobj 579 0 obj << /D [10 0 R /XYZ 124 333 null] >> endobj 580 0 obj << /D [10 0 R /XYZ 124 321 null] >> endobj 581 0 obj << /D [10 0 R /XYZ 124 309 null] >> endobj 582 0 obj << /D [10 0 R /XYZ 124 297 null] >> endobj 583 0 obj << /D [10 0 R /XYZ 124 285 null] >> endobj 584 0 obj << /D [10 0 R /XYZ 124 273 null] >> endobj 585 0 obj << /D [10 0 R /XYZ 124 261 null] >> endobj 586 0 obj << /D [10 0 R /XYZ 108 247 null] >> endobj 587 0 obj << /D [10 0 R /XYZ 124 233 null] >> endobj 588 0 obj << /D [10 0 R /XYZ 124 221 null] >> endobj 589 0 obj << /D [10 0 R /XYZ 124 209 null] >> endobj 590 0 obj << /D [10 0 R /XYZ 124 197 null] >> endobj 591 0 obj << /D [10 0 R /XYZ 124 185 null] >> endobj 592 0 obj << /D [10 0 R /XYZ 124 173 null] >> endobj 593 0 obj << /D [10 0 R /XYZ 124 161 null] >> endobj 594 0 obj << /D [10 0 R /XYZ 124 149 null] >> endobj 595 0 obj << /D [10 0 R /XYZ 124 137 null] >> endobj 596 0 obj << /D [10 0 R /XYZ 124 125 null] >> endobj 597 0 obj << /D [10 0 R /XYZ 124 113 null] >> endobj 598 0 obj << /D [10 0 R /XYZ 108 99 null] >> endobj 599 0 obj << /D [59 0 R /XYZ 124 730 null] >> endobj 600 0 obj << /D [59 0 R /XYZ 92 707 null] >> endobj 601 0 obj << /D [59 0 R /XYZ 108 684 null] >> endobj 602 0 obj << /D [59 0 R /XYZ 108 668 null] >> endobj 603 0 obj << /D [59 0 R /XYZ 108 652 null] >> endobj 604 0 obj << /D [59 0 R /XYZ 108 636 null] >> endobj 605 0 obj << /D [59 0 R /XYZ 108 620 null] >> endobj 606 0 obj << /D [59 0 R /XYZ 108 604 null] >> endobj 607 0 obj << /D [147 0 R /XYZ 92 737 null] >> endobj 608 0 obj << /D [147 0 R /XYZ 92 377 null] >> endobj 609 0 obj << /D [155 0 R /XYZ 92 242 null] >> endobj 610 0 obj << /D [155 0 R /XYZ 92 604 null] >> endobj 611 0 obj << /D [83 0 R /XYZ 54 40 null] >> endobj 612 0 obj << /D [83 0 R /XYZ 54 27 null] >> endobj 613 0 obj << /D [83 0 R /XYZ 54 760 null] >> endobj 614 0 obj << /D [83 0 R /XYZ 36 789 null] >> endobj 615 0 obj << /D [74 0 R /XYZ 54 40 null] >> endobj 616 0 obj << /D [74 0 R /XYZ 54 27 null] >> endobj 617 0 obj << /D [74 0 R /XYZ 36 789 null] >> endobj 618 0 obj << /D [250 0 R /XYZ 92 724 null] >> endobj 619 0 obj << /D [133 0 R /XYZ 92 724 null] >> endobj 620 0 obj << /D [240 0 R /XYZ 92 724 null] >> endobj 621 0 obj << /D [103 0 R /XYZ 92 517 null] >> endobj 622 0 obj << /D [83 0 R /XYZ 54 544 null] >> endobj 623 0 obj << /D [83 0 R /XYZ 92 546 null] >> endobj 624 0 obj << /D [83 0 R /XYZ 92 498 null] >> endobj 625 0 obj << /D [83 0 R /XYZ 92 459 null] >> endobj 626 0 obj << /D [83 0 R /XYZ 92 419 null] >> endobj 627 0 obj << /D [103 0 R /XYZ 92 724 null] >> endobj 628 0 obj << /D [309 0 R /XYZ 92 718 null] >> endobj 629 0 obj << /D [309 0 R /XYZ 54 653 null] >> endobj 630 0 obj << /D [309 0 R /XYZ 92 584 null] >> endobj 631 0 obj << /D [309 0 R /XYZ 92 549 null] >> endobj 632 0 obj << /D [309 0 R /XYZ 92 485 null] >> endobj 633 0 obj << /D [309 0 R /XYZ 92 450 null] >> endobj 634 0 obj << /D [323 0 R /XYZ 92 507 null] >> endobj 635 0 obj << /D [345 0 R /XYZ 92 674 null] >> endobj 636 0 obj << /D [345 0 R /XYZ 92 446 null] >> endobj 637 0 obj << /D [323 0 R /XYZ 92 549 null] >> endobj 638 0 obj << /D [323 0 R /XYZ 92 528 null] >> endobj 639 0 obj << /D [323 0 R /XYZ 92 359 null] >> endobj 640 0 obj << /D [323 0 R /XYZ 92 306 null] >> endobj 641 0 obj << /D [323 0 R /XYZ 92 285 null] >> endobj 642 0 obj << /D [323 0 R /XYZ 92 264 null] >> endobj 643 0 obj << /D [323 0 R /XYZ 92 394 null] >> endobj 644 0 obj << /D [323 0 R /XYZ 92 465 null] >> endobj 645 0 obj << /D [323 0 R /XYZ 92 486 null] >> endobj 646 0 obj << /D [323 0 R /XYZ 92 709 null] >> endobj 647 0 obj << /D [323 0 R /XYZ 92 591 null] >> endobj 648 0 obj << /D [323 0 R /XYZ 92 570 null] >> endobj 649 0 obj << /D [323 0 R /XYZ 92 633 null] >> endobj 650 0 obj << /D [323 0 R /XYZ 92 675 null] >> endobj 651 0 obj << /D [323 0 R /XYZ 92 612 null] >> endobj 652 0 obj << /D [323 0 R /XYZ 54 40 null] >> endobj 653 0 obj << /D [323 0 R /XYZ 54 27 null] >> endobj 654 0 obj << /D [323 0 R /XYZ 54 760 null] >> endobj 655 0 obj << /D [323 0 R /XYZ 36 789 null] >> endobj 656 0 obj << /D [309 0 R /XYZ 54 40 null] >> endobj 657 0 obj << /D [309 0 R /XYZ 54 27 null] >> endobj 658 0 obj << /D [309 0 R /XYZ 36 789 null] >> endobj 659 0 obj << /D [345 0 R /XYZ 92 709 null] >> endobj 660 0 obj << /D [309 0 R /XYZ 92 372 null] >> endobj 661 0 obj << /D [309 0 R /XYZ 92 337 null] >> endobj 662 0 obj << /D [309 0 R /XYZ 92 284 null] >> endobj 663 0 obj << /D [309 0 R /XYZ 92 263 null] >> endobj 664 0 obj << /D [309 0 R /XYZ 92 242 null] >> endobj 665 0 obj << /D [309 0 R /XYZ 92 217 null] >> endobj 666 0 obj << /D [309 0 R /XYZ 92 164 null] >> endobj 667 0 obj << /D [309 0 R /XYZ 92 143 null] >> endobj 668 0 obj << /D [309 0 R /XYZ 92 121 null] >> endobj 669 0 obj << /D [345 0 R /XYZ 92 528 null] >> endobj 670 0 obj << /D [345 0 R /XYZ 92 516 null] >> endobj 671 0 obj << /D [323 0 R /XYZ 92 654 null] >> endobj 672 0 obj << /D [323 0 R /XYZ 92 444 null] >> endobj 673 0 obj << /D [1 0 R /XYZ 269 34 null] >> endobj 674 0 obj << /D [286 0 R /XYZ 240 287 null] >> endobj 675 0 obj << /D [286 0 R /XYZ 240 272 null] >> endobj 676 0 obj << /D [286 0 R /XYZ 388 396 null] >> endobj 677 0 obj << /D [286 0 R /XYZ 388 380 null] >> endobj 678 0 obj << /D [286 0 R /XYZ 388 365 null] >> endobj 679 0 obj << /D [286 0 R /XYZ 388 349 null] >> endobj 680 0 obj << /D [286 0 R /XYZ 388 334 null] >> endobj 681 0 obj << /D [286 0 R /XYZ 388 318 null] >> endobj 682 0 obj << /D [286 0 R /XYZ 388 303 null] >> endobj 683 0 obj << /D [286 0 R /XYZ 388 287 null] >> endobj 684 0 obj << /D [286 0 R /XYZ 388 272 null] >> endobj 685 0 obj << /D [250 0 R /XYZ 54 398 null] >> endobj 686 0 obj << /D [250 0 R /XYZ 92 400 null] >> endobj 687 0 obj << /D [93 0 R /XYZ 92 456 null] >> endobj 688 0 obj << /D [110 0 R /XYZ 92 218 null] >> endobj 689 0 obj << /D [74 0 R /XYZ 92 557 null] >> endobj 690 0 obj << /D [74 0 R /XYZ 92 522 null] >> endobj 691 0 obj << /D [74 0 R /XYZ 92 385 null] >> endobj 692 0 obj << /D [74 0 R /XYZ 92 304 null] >> endobj 693 0 obj << /D [74 0 R /XYZ 92 237 null] >> endobj 694 0 obj << /D [83 0 R /XYZ 92 724 null] >> endobj 695 0 obj << /D [83 0 R /XYZ 92 629 null] >> endobj 696 0 obj << /D [83 0 R /XYZ 92 381 null] >> endobj 697 0 obj << /D [83 0 R /XYZ 92 242 null] >> endobj 698 0 obj << /D [83 0 R /XYZ 92 351 null] >> endobj 699 0 obj << /D [83 0 R /XYZ 92 298 null] >> endobj 700 0 obj << /D [83 0 R /XYZ 92 277 null] >> endobj 701 0 obj << /D [93 0 R /XYZ 92 180 null] >> endobj 702 0 obj << /D [218 0 R /XYZ 92 311 null] >> endobj 703 0 obj << /D [218 0 R /XYZ 92 392 null] >> endobj 704 0 obj << /D [232 0 R /XYZ 92 377 null] >> endobj 705 0 obj << /D [286 0 R /XYZ 92 725 null] >> endobj 706 0 obj << /D [279 0 R /XYZ 92 246 null] >> endobj 707 0 obj << /D [279 0 R /XYZ 92 226 null] >> endobj 708 0 obj << /D [279 0 R /XYZ 159 226 null] >> endobj 709 0 obj << /D [279 0 R /XYZ 254 226 null] >> endobj 710 0 obj << /D [279 0 R /XYZ 321 226 null] >> endobj 711 0 obj << /D [279 0 R /XYZ 415 226 null] >> endobj 712 0 obj << /D [279 0 R /XYZ 472 226 null] >> endobj 713 0 obj << /D [279 0 R /XYZ 92 209 null] >> endobj 714 0 obj << /D [279 0 R /XYZ 159 209 null] >> endobj 715 0 obj << /D [279 0 R /XYZ 254 209 null] >> endobj 716 0 obj << /D [279 0 R /XYZ 321 209 null] >> endobj 717 0 obj << /D [279 0 R /XYZ 415 209 null] >> endobj 718 0 obj << /D [279 0 R /XYZ 472 209 null] >> endobj 719 0 obj << /D [279 0 R /XYZ 92 191 null] >> endobj 720 0 obj << /D [279 0 R /XYZ 159 191 null] >> endobj 721 0 obj << /D [279 0 R /XYZ 254 191 null] >> endobj 722 0 obj << /D [279 0 R /XYZ 321 191 null] >> endobj 723 0 obj << /D [279 0 R /XYZ 415 191 null] >> endobj 724 0 obj << /D [279 0 R /XYZ 472 191 null] >> endobj 725 0 obj << /D [279 0 R /XYZ 92 174 null] >> endobj 726 0 obj << /D [279 0 R /XYZ 159 174 null] >> endobj 727 0 obj << /D [279 0 R /XYZ 254 174 null] >> endobj 728 0 obj << /D [279 0 R /XYZ 321 174 null] >> endobj 729 0 obj << /D [279 0 R /XYZ 415 174 null] >> endobj 730 0 obj << /D [279 0 R /XYZ 472 174 null] >> endobj 731 0 obj << /D [286 0 R /XYZ 388 256 null] >> endobj 732 0 obj << /D [286 0 R /XYZ 92 207 null] >> endobj 733 0 obj << /D [286 0 R /XYZ 92 138 null] >> endobj 734 0 obj << /D [293 0 R /XYZ 92 629 null] >> endobj 735 0 obj << /D [279 0 R /XYZ 92 282 null] >> endobj 736 0 obj << /D [83 0 R /XYZ 92 193 null] >> endobj 737 0 obj << /D [83 0 R /XYZ 92 168 null] >> endobj 738 0 obj << /D [271 0 R /XYZ 390 465 null] >> endobj 739 0 obj << /D [271 0 R /XYZ 390 450 null] >> endobj 740 0 obj << /D [271 0 R /XYZ 390 434 null] >> endobj 741 0 obj << /D [271 0 R /XYZ 390 419 null] >> endobj 742 0 obj << /D [271 0 R /XYZ 390 403 null] >> endobj 743 0 obj << /D [271 0 R /XYZ 390 496 null] >> endobj 744 0 obj << /D [218 0 R /XYZ 92 657 null] >> endobj 745 0 obj << /D [286 0 R /XYZ 92 679 null] >> endobj 746 0 obj << /D [286 0 R /XYZ 92 674 null] >> endobj 747 0 obj << /D [286 0 R /XYZ 92 659 null] >> endobj 748 0 obj << /D [286 0 R /XYZ 92 643 null] >> endobj 749 0 obj << /D [286 0 R /XYZ 92 628 null] >> endobj 750 0 obj << /D [286 0 R /XYZ 92 612 null] >> endobj 751 0 obj << /D [286 0 R /XYZ 243 674 null] >> endobj 752 0 obj << /D [286 0 R /XYZ 243 659 null] >> endobj 753 0 obj << /D [286 0 R /XYZ 243 643 null] >> endobj 754 0 obj << /D [286 0 R /XYZ 243 628 null] >> endobj 755 0 obj << /D [286 0 R /XYZ 243 612 null] >> endobj 756 0 obj << /D [286 0 R /XYZ 395 674 null] >> endobj 757 0 obj << /D [286 0 R /XYZ 395 659 null] >> endobj 758 0 obj << /D [286 0 R /XYZ 395 643 null] >> endobj 759 0 obj << /D [286 0 R /XYZ 395 628 null] >> endobj 760 0 obj << /D [286 0 R /XYZ 395 612 null] >> endobj 761 0 obj << /D [286 0 R /XYZ 92 590 null] >> endobj 762 0 obj << /D [197 0 R /XYZ 92 305 null] >> endobj 763 0 obj << /D [197 0 R /XYZ 92 276 null] >> endobj 764 0 obj << /D [197 0 R /XYZ 92 192 null] >> endobj 765 0 obj << /D [207 0 R /XYZ 54 341 null] >> endobj 766 0 obj << /D [207 0 R /XYZ 92 343 null] >> endobj 767 0 obj << /D [172 0 R /XYZ 292 547 null] >> endobj 768 0 obj << /D [207 0 R /XYZ 54 625 null] >> endobj 769 0 obj << /D [207 0 R /XYZ 92 627 null] >> endobj 770 0 obj << /D [163 0 R /XYZ 92 699 null] >> endobj 771 0 obj << /D [155 0 R /XYZ 92 411 null] >> endobj 772 0 obj << /D [155 0 R /XYZ 92 231 null] >> endobj 773 0 obj << /D [117 0 R /XYZ 92 289 null] >> endobj 774 0 obj << /D [155 0 R /XYZ 92 344 null] >> endobj 775 0 obj << /D [286 0 R /XYZ 92 574 null] >> endobj 776 0 obj << /D [286 0 R /XYZ 92 558 null] >> endobj 777 0 obj << /D [286 0 R /XYZ 241 574 null] >> endobj 778 0 obj << /D [286 0 R /XYZ 241 558 null] >> endobj 779 0 obj << /D [286 0 R /XYZ 390 574 null] >> endobj 780 0 obj << /D [286 0 R /XYZ 390 558 null] >> endobj 781 0 obj << /D [286 0 R /XYZ 92 234 null] >> endobj 782 0 obj << /D [286 0 R /XYZ 92 177 null] >> endobj 783 0 obj << /D [286 0 R /XYZ 92 528 null] >> endobj 784 0 obj << /D [286 0 R /XYZ 92 498 null] >> endobj 785 0 obj << /D [286 0 R /XYZ 92 400 null] >> endobj 786 0 obj << /D [286 0 R /XYZ 92 396 null] >> endobj 787 0 obj << /D [286 0 R /XYZ 92 380 null] >> endobj 788 0 obj << /D [286 0 R /XYZ 92 365 null] >> endobj 789 0 obj << /D [286 0 R /XYZ 92 349 null] >> endobj 790 0 obj << /D [286 0 R /XYZ 92 334 null] >> endobj 791 0 obj << /D [286 0 R /XYZ 92 318 null] >> endobj 792 0 obj << /D [286 0 R /XYZ 92 303 null] >> endobj 793 0 obj << /D [286 0 R /XYZ 92 287 null] >> endobj 794 0 obj << /D [286 0 R /XYZ 92 272 null] >> endobj 795 0 obj << /D [286 0 R /XYZ 240 396 null] >> endobj 796 0 obj << /D [286 0 R /XYZ 240 380 null] >> endobj 797 0 obj << /D [286 0 R /XYZ 240 365 null] >> endobj 798 0 obj << /D [286 0 R /XYZ 240 349 null] >> endobj 799 0 obj << /D [286 0 R /XYZ 240 334 null] >> endobj 800 0 obj << /D [286 0 R /XYZ 240 318 null] >> endobj 801 0 obj << /D [286 0 R /XYZ 240 303 null] >> endobj 802 0 obj << /D [197 0 R /XYZ 92 695 null] >> endobj 803 0 obj << /D [225 0 R /XYZ 92 124 null] >> endobj 804 0 obj << /D [232 0 R /XYZ 92 726 null] >> endobj 805 0 obj << /D [232 0 R /XYZ 92 693 null] >> endobj 806 0 obj << /D [300 0 R /XYZ 92 479 null] >> endobj 807 0 obj << /D [300 0 R /XYZ 92 450 null] >> endobj 808 0 obj << /D [155 0 R /XYZ 92 724 null] >> endobj 809 0 obj << /D [155 0 R /XYZ 92 590 null] >> endobj 810 0 obj << /D [155 0 R /XYZ 92 201 null] >> endobj 811 0 obj << /D [163 0 R /XYZ 92 724 null] >> endobj 812 0 obj << /D [93 0 R /XYZ 92 674 null] >> endobj 813 0 obj << /D [93 0 R /XYZ 54 603 null] >> endobj 814 0 obj << /D [117 0 R /XYZ 92 194 null] >> endobj 815 0 obj << /D [124 0 R /XYZ 92 725 null] >> endobj 816 0 obj << /D [163 0 R /XYZ 92 525 null] >> endobj 817 0 obj << /D [163 0 R /XYZ 92 189 null] >> endobj 818 0 obj << /D [172 0 R /XYZ 92 683 null] >> endobj 819 0 obj << /D [172 0 R /XYZ 92 664 null] >> endobj 820 0 obj << /D [172 0 R /XYZ 292 664 null] >> endobj 821 0 obj << /D [172 0 R /XYZ 92 644 null] >> endobj 822 0 obj << /D [172 0 R /XYZ 292 644 null] >> endobj 823 0 obj << /D [172 0 R /XYZ 292 629 null] >> endobj 824 0 obj << /D [172 0 R /XYZ 292 613 null] >> endobj 825 0 obj << /D [172 0 R /XYZ 92 596 null] >> endobj 826 0 obj << /D [172 0 R /XYZ 292 596 null] >> endobj 827 0 obj << /D [172 0 R /XYZ 292 580 null] >> endobj 828 0 obj << /D [172 0 R /XYZ 92 563 null] >> endobj 829 0 obj << /D [172 0 R /XYZ 292 563 null] >> endobj 830 0 obj << /D [172 0 R /XYZ 92 518 null] >> endobj 831 0 obj << /D [172 0 R /XYZ 292 518 null] >> endobj 832 0 obj << /D [172 0 R /XYZ 292 503 null] >> endobj 833 0 obj << /D [172 0 R /XYZ 92 485 null] >> endobj 834 0 obj << /D [172 0 R /XYZ 292 485 null] >> endobj 835 0 obj << /D [172 0 R /XYZ 292 470 null] >> endobj 836 0 obj << /D [172 0 R /XYZ 92 452 null] >> endobj 837 0 obj << /D [172 0 R /XYZ 292 452 null] >> endobj 838 0 obj << /D [172 0 R /XYZ 292 437 null] >> endobj 839 0 obj << /D [172 0 R /XYZ 292 421 null] >> endobj 840 0 obj << /D [172 0 R /XYZ 92 404 null] >> endobj 841 0 obj << /D [172 0 R /XYZ 292 404 null] >> endobj 842 0 obj << /D [172 0 R /XYZ 292 388 null] >> endobj 843 0 obj << /D [172 0 R /XYZ 92 724 null] >> endobj 844 0 obj << /D [172 0 R /XYZ 92 682 null] >> endobj 845 0 obj << /D [225 0 R /XYZ 92 724 null] >> endobj 846 0 obj << /D [271 0 R /XYZ 92 516 null] >> endobj 847 0 obj << /D [271 0 R /XYZ 92 512 null] >> endobj 848 0 obj << /D [271 0 R /XYZ 92 496 null] >> endobj 849 0 obj << /D [271 0 R /XYZ 92 481 null] >> endobj 850 0 obj << /D [271 0 R /XYZ 92 465 null] >> endobj 851 0 obj << /D [271 0 R /XYZ 92 450 null] >> endobj 852 0 obj << /D [271 0 R /XYZ 92 434 null] >> endobj 853 0 obj << /D [271 0 R /XYZ 92 419 null] >> endobj 854 0 obj << /D [271 0 R /XYZ 92 403 null] >> endobj 855 0 obj << /D [271 0 R /XYZ 241 512 null] >> endobj 856 0 obj << /D [271 0 R /XYZ 241 496 null] >> endobj 857 0 obj << /D [271 0 R /XYZ 241 481 null] >> endobj 858 0 obj << /D [271 0 R /XYZ 241 465 null] >> endobj 859 0 obj << /D [271 0 R /XYZ 241 450 null] >> endobj 860 0 obj << /D [271 0 R /XYZ 241 434 null] >> endobj 861 0 obj << /D [271 0 R /XYZ 241 419 null] >> endobj 862 0 obj << /D [271 0 R /XYZ 241 403 null] >> endobj 863 0 obj << /D [271 0 R /XYZ 390 512 null] >> endobj 864 0 obj << /D [271 0 R /XYZ 390 481 null] >> endobj 865 0 obj << /D [271 0 R /XYZ 92 697 null] >> endobj 866 0 obj << /D [271 0 R /XYZ 92 667 null] >> endobj 867 0 obj << /D [271 0 R /XYZ 54 655 null] >> endobj 868 0 obj << /D [271 0 R /XYZ 92 658 null] >> endobj 869 0 obj << /D [271 0 R /XYZ 92 609 null] >> endobj 870 0 obj << /D [271 0 R /XYZ 92 583 null] >> endobj 871 0 obj << /D [271 0 R /XYZ 92 544 null] >> endobj 872 0 obj << /D [271 0 R /XYZ 92 381 null] >> endobj 873 0 obj << /D [271 0 R /XYZ 92 338 null] >> endobj 874 0 obj << /D [271 0 R /XYZ 92 308 null] >> endobj 875 0 obj << /D [271 0 R /XYZ 92 255 null] >> endobj 876 0 obj << /D [279 0 R /XYZ 92 722 null] >> endobj 877 0 obj << /D [279 0 R /XYZ 92 703 null] >> endobj 878 0 obj << /D [279 0 R /XYZ 292 703 null] >> endobj 879 0 obj << /D [279 0 R /XYZ 92 683 null] >> endobj 880 0 obj << /D [279 0 R /XYZ 292 683 null] >> endobj 881 0 obj << /D [279 0 R /XYZ 92 666 null] >> endobj 882 0 obj << /D [279 0 R /XYZ 292 666 null] >> endobj 883 0 obj << /D [279 0 R /XYZ 92 648 null] >> endobj 884 0 obj << /D [279 0 R /XYZ 292 648 null] >> endobj 885 0 obj << /D [279 0 R /XYZ 92 631 null] >> endobj 886 0 obj << /D [279 0 R /XYZ 292 631 null] >> endobj 887 0 obj << /D [279 0 R /XYZ 92 613 null] >> endobj 888 0 obj << /D [279 0 R /XYZ 292 613 null] >> endobj 889 0 obj << /D [279 0 R /XYZ 92 596 null] >> endobj 890 0 obj << /D [279 0 R /XYZ 292 596 null] >> endobj 891 0 obj << /D [279 0 R /XYZ 92 578 null] >> endobj 892 0 obj << /D [279 0 R /XYZ 292 578 null] >> endobj 893 0 obj << /D [279 0 R /XYZ 92 561 null] >> endobj 894 0 obj << /D [279 0 R /XYZ 292 561 null] >> endobj 895 0 obj << /D [279 0 R /XYZ 92 543 null] >> endobj 896 0 obj << /D [279 0 R /XYZ 292 543 null] >> endobj 897 0 obj << /D [279 0 R /XYZ 92 526 null] >> endobj 898 0 obj << /D [279 0 R /XYZ 292 526 null] >> endobj 899 0 obj << /D [279 0 R /XYZ 92 508 null] >> endobj 900 0 obj << /D [279 0 R /XYZ 292 508 null] >> endobj 901 0 obj << /D [279 0 R /XYZ 92 491 null] >> endobj 902 0 obj << /D [279 0 R /XYZ 292 491 null] >> endobj 903 0 obj << /D [279 0 R /XYZ 92 721 null] >> endobj 904 0 obj << /D [279 0 R /XYZ 92 466 null] >> endobj 905 0 obj << /D [279 0 R /XYZ 92 436 null] >> endobj 906 0 obj << /D [279 0 R /XYZ 92 379 null] >> endobj 907 0 obj << /D [279 0 R /XYZ 92 349 null] >> endobj 908 0 obj << /D [286 0 R /XYZ 92 696 null] >> endobj 909 0 obj << /D [293 0 R /XYZ 92 726 null] >> endobj 910 0 obj << /D [293 0 R /XYZ 92 696 null] >> endobj 911 0 obj << /D [293 0 R /XYZ 92 590 null] >> endobj 912 0 obj << /D [293 0 R /XYZ 92 569 null] >> endobj 913 0 obj << /D [293 0 R /XYZ 92 534 null] >> endobj 914 0 obj << /D [293 0 R /XYZ 92 499 null] >> endobj 915 0 obj << /D [293 0 R /XYZ 92 478 null] >> endobj 916 0 obj << /D [293 0 R /XYZ 92 407 null] >> endobj 917 0 obj << /D [293 0 R /XYZ 92 377 null] >> endobj 918 0 obj << /D [293 0 R /XYZ 92 325 null] >> endobj 919 0 obj << /D [293 0 R /XYZ 92 298 null] >> endobj 920 0 obj << /D [293 0 R /XYZ 92 269 null] >> endobj 921 0 obj << /D [293 0 R /XYZ 92 240 null] >> endobj 922 0 obj << /D [293 0 R /XYZ 92 205 null] >> endobj 923 0 obj << /D [293 0 R /XYZ 92 172 null] >> endobj 924 0 obj << /D [293 0 R /XYZ 92 133 null] >> endobj 925 0 obj << /D [293 0 R /XYZ 92 108 null] >> endobj 926 0 obj << /D [293 0 R /XYZ 92 89 null] >> endobj 927 0 obj << /D [300 0 R /XYZ 92 726 null] >> endobj 928 0 obj << /D [300 0 R /XYZ 92 696 null] >> endobj 929 0 obj << /D [147 0 R /XYZ 54 251 null] >> endobj 930 0 obj << /D [147 0 R /XYZ 92 253 null] >> endobj 931 0 obj << /D [147 0 R /XYZ 92 191 null] >> endobj 932 0 obj << /D [189 0 R /XYZ 92 571 null] >> endobj 933 0 obj << /D [197 0 R /XYZ 92 573 null] >> endobj 934 0 obj << /D [197 0 R /XYZ 54 120 null] >> endobj 935 0 obj << /D [197 0 R /XYZ 92 122 null] >> endobj 936 0 obj << /D [207 0 R /XYZ 92 547 null] >> endobj 937 0 obj << /D [207 0 R /XYZ 54 476 null] >> endobj 938 0 obj << /D [207 0 R /XYZ 92 478 null] >> endobj 939 0 obj << /D [232 0 R /XYZ 54 593 null] >> endobj 940 0 obj << /D [232 0 R /XYZ 92 595 null] >> endobj 941 0 obj << /D [250 0 R /XYZ 54 193 null] >> endobj 942 0 obj << /D [197 0 R /XYZ 54 422 null] >> endobj 943 0 obj << /D [197 0 R /XYZ 92 424 null] >> endobj 944 0 obj << /D [147 0 R /XYZ 92 601 null] >> endobj 945 0 obj << /D [147 0 R /XYZ 92 580 null] >> endobj 946 0 obj << /D [163 0 R /XYZ 92 580 null] >> endobj 947 0 obj << /D [163 0 R /XYZ 54 523 null] >> endobj 948 0 obj << /D [163 0 R /XYZ 92 449 null] >> endobj 949 0 obj << /D [163 0 R /XYZ 54 349 null] >> endobj 950 0 obj << /D [163 0 R /XYZ 54 215 null] >> endobj 951 0 obj << /D [163 0 R /XYZ 92 351 null] >> endobj 952 0 obj << /D [172 0 R /XYZ 92 363 null] >> endobj 953 0 obj << /D [218 0 R /XYZ 92 724 null] >> endobj 954 0 obj << /D [147 0 R /XYZ 92 336 null] >> endobj 955 0 obj << /D [180 0 R /XYZ 92 404 null] >> endobj 956 0 obj << /D [225 0 R /XYZ 92 332 null] >> endobj 957 0 obj << /D [225 0 R /XYZ 92 261 null] >> endobj 958 0 obj << /D [207 0 R /XYZ 92 398 null] >> endobj 959 0 obj << /D [207 0 R /XYZ 92 227 null] >> endobj 960 0 obj << /D [207 0 R /XYZ 92 291 null] >> endobj 961 0 obj << /D [207 0 R /XYZ 92 259 null] >> endobj 962 0 obj << /D [250 0 R /XYZ 92 195 null] >> endobj 963 0 obj << /D [300 0 R /XYZ 92 632 null] >> endobj 964 0 obj << /D [300 0 R /XYZ 92 597 null] >> endobj 965 0 obj << /D [258 0 R /XYZ 92 709 null] >> endobj 966 0 obj << /D [258 0 R /XYZ 92 674 null] >> endobj 967 0 obj << /D [258 0 R /XYZ 92 589 null] >> endobj 968 0 obj << /D [258 0 R /XYZ 92 556 null] >> endobj 969 0 obj << /D [258 0 R /XYZ 92 527 null] >> endobj 970 0 obj << /D [258 0 R /XYZ 92 488 null] >> endobj 971 0 obj << /D [258 0 R /XYZ 92 446 null] >> endobj 972 0 obj << /D [258 0 R /XYZ 92 417 null] >> endobj 973 0 obj << /D [258 0 R /XYZ 92 364 null] >> endobj 974 0 obj << /D [258 0 R /XYZ 92 310 null] >> endobj 975 0 obj << /D [258 0 R /XYZ 92 278 null] >> endobj 976 0 obj << /D [258 0 R /XYZ 203 278 null] >> endobj 977 0 obj << /D [258 0 R /XYZ 315 278 null] >> endobj 978 0 obj << /D [258 0 R /XYZ 427 278 null] >> endobj 979 0 obj << /D [258 0 R /XYZ 92 283 null] >> endobj 980 0 obj << /D [258 0 R /XYZ 92 253 null] >> endobj 981 0 obj << /D [258 0 R /XYZ 54 241 null] >> endobj 982 0 obj << /D [258 0 R /XYZ 92 244 null] >> endobj 983 0 obj << /D [258 0 R /XYZ 92 195 null] >> endobj 984 0 obj << /D [258 0 R /XYZ 92 163 null] >> endobj 985 0 obj << /D [258 0 R /XYZ 204 163 null] >> endobj 986 0 obj << /D [258 0 R /XYZ 316 163 null] >> endobj 987 0 obj << /D [258 0 R /XYZ 428 163 null] >> endobj 988 0 obj << /D [258 0 R /XYZ 92 167 null] >> endobj 989 0 obj << /D [271 0 R /XYZ 92 724 null] >> endobj 990 0 obj << /D [271 0 R /XYZ 92 692 null] >> endobj 991 0 obj << /D [271 0 R /XYZ 242 692 null] >> endobj 992 0 obj << /D [271 0 R /XYZ 393 692 null] >> endobj 993 0 obj << /D [189 0 R /XYZ 208 245 null] >> endobj 994 0 obj << /D [189 0 R /XYZ 324 245 null] >> endobj 995 0 obj << /D [189 0 R /XYZ 440 245 null] >> endobj 996 0 obj << /D [189 0 R /XYZ 92 227 null] >> endobj 997 0 obj << /D [189 0 R /XYZ 208 227 null] >> endobj 998 0 obj << /D [189 0 R /XYZ 324 227 null] >> endobj 999 0 obj << /D [189 0 R /XYZ 440 227 null] >> endobj 1000 0 obj << /D [189 0 R /XYZ 92 205 null] >> endobj 1001 0 obj << /D [197 0 R /XYZ 92 163 null] >> endobj 1002 0 obj << /D [232 0 R /XYZ 92 664 null] >> endobj 1003 0 obj << /D [232 0 R /XYZ 92 516 null] >> endobj 1004 0 obj << /D [232 0 R /XYZ 92 487 null] >> endobj 1005 0 obj << /D [232 0 R /XYZ 92 156 null] >> endobj 1006 0 obj << /D [240 0 R /XYZ 92 442 null] >> endobj 1007 0 obj << /D [240 0 R /XYZ 92 146 null] >> endobj 1008 0 obj << /D [250 0 R /XYZ 92 566 null] >> endobj 1009 0 obj << /D [250 0 R /XYZ 92 545 null] >> endobj 1010 0 obj << /D [240 0 R /XYZ 92 625 null] >> endobj 1011 0 obj << /D [207 0 R /XYZ 92 696 null] >> endobj 1012 0 obj << /D [197 0 R /XYZ 92 656 null] >> endobj 1013 0 obj << /D [197 0 R /XYZ 54 571 null] >> endobj 1014 0 obj << /D [110 0 R /XYZ 92 724 null] >> endobj 1015 0 obj << /D [110 0 R /XYZ 92 674 null] >> endobj 1016 0 obj << /D [110 0 R /XYZ 92 634 null] >> endobj 1017 0 obj << /D [110 0 R /XYZ 92 623 null] >> endobj 1018 0 obj << /D [110 0 R /XYZ 92 612 null] >> endobj 1019 0 obj << /D [110 0 R /XYZ 92 601 null] >> endobj 1020 0 obj << /D [110 0 R /XYZ 92 590 null] >> endobj 1021 0 obj << /D [110 0 R /XYZ 92 579 null] >> endobj 1022 0 obj << /D [110 0 R /XYZ 92 568 null] >> endobj 1023 0 obj << /D [110 0 R /XYZ 92 547 null] >> endobj 1024 0 obj << /D [110 0 R /XYZ 92 482 null] >> endobj 1025 0 obj << /D [110 0 R /XYZ 92 471 null] >> endobj 1026 0 obj << /D [110 0 R /XYZ 92 460 null] >> endobj 1027 0 obj << /D [110 0 R /XYZ 92 449 null] >> endobj 1028 0 obj << /D [110 0 R /XYZ 92 438 null] >> endobj 1029 0 obj << /D [110 0 R /XYZ 92 427 null] >> endobj 1030 0 obj << /D [110 0 R /XYZ 92 416 null] >> endobj 1031 0 obj << /D [110 0 R /XYZ 92 330 null] >> endobj 1032 0 obj << /D [110 0 R /XYZ 92 319 null] >> endobj 1033 0 obj << /D [110 0 R /XYZ 92 308 null] >> endobj 1034 0 obj << /D [110 0 R /XYZ 92 297 null] >> endobj 1035 0 obj << /D [110 0 R /XYZ 92 286 null] >> endobj 1036 0 obj << /D [110 0 R /XYZ 92 275 null] >> endobj 1037 0 obj << /D [110 0 R /XYZ 92 264 null] >> endobj 1038 0 obj << /D [110 0 R /XYZ 92 192 null] >> endobj 1039 0 obj << /D [110 0 R /XYZ 92 181 null] >> endobj 1040 0 obj << /D [110 0 R /XYZ 92 170 null] >> endobj 1041 0 obj << /D [110 0 R /XYZ 92 159 null] >> endobj 1042 0 obj << /D [110 0 R /XYZ 92 148 null] >> endobj 1043 0 obj << /D [110 0 R /XYZ 92 137 null] >> endobj 1044 0 obj << /D [110 0 R /XYZ 92 126 null] >> endobj 1045 0 obj << /D [110 0 R /XYZ 92 115 null] >> endobj 1046 0 obj << /D [110 0 R /XYZ 92 104 null] >> endobj 1047 0 obj << /D [110 0 R /XYZ 92 699 null] >> endobj 1048 0 obj << /D [110 0 R /XYZ 92 522 null] >> endobj 1049 0 obj << /D [110 0 R /XYZ 92 370 null] >> endobj 1050 0 obj << /D [110 0 R /XYZ 92 395 null] >> endobj 1051 0 obj << /D [110 0 R /XYZ 92 243 null] >> endobj 1052 0 obj << /D [93 0 R /XYZ 54 304 null] >> endobj 1053 0 obj << /D [93 0 R /XYZ 92 306 null] >> endobj 1054 0 obj << /D [140 0 R /XYZ 92 266 null] >> endobj 1055 0 obj << /D [140 0 R /XYZ 92 169 null] >> endobj 1056 0 obj << /D [140 0 R /XYZ 54 167 null] >> endobj 1057 0 obj << /D [250 0 R /XYZ 92 484 null] >> endobj 1058 0 obj << /D [250 0 R /XYZ 92 473 null] >> endobj 1059 0 obj << /D [250 0 R /XYZ 92 462 null] >> endobj 1060 0 obj << /D [250 0 R /XYZ 92 441 null] >> endobj 1061 0 obj << /D [250 0 R /XYZ 92 320 null] >> endobj 1062 0 obj << /D [250 0 R /XYZ 92 289 null] >> endobj 1063 0 obj << /D [300 0 R /XYZ 92 512 null] >> endobj 1064 0 obj << /D [300 0 R /XYZ 92 366 null] >> endobj 1065 0 obj << /D [300 0 R /XYZ 92 337 null] >> endobj 1066 0 obj << /D [300 0 R /XYZ 92 267 null] >> endobj 1067 0 obj << /D [300 0 R /XYZ 92 238 null] >> endobj 1068 0 obj << /D [218 0 R /XYZ 92 544 null] >> endobj 1069 0 obj << /D [218 0 R /XYZ 92 459 null] >> endobj 1070 0 obj << /D [218 0 R /XYZ 92 212 null] >> endobj 1071 0 obj << /D [225 0 R /XYZ 92 611 null] >> endobj 1072 0 obj << /D [225 0 R /XYZ 92 512 null] >> endobj 1073 0 obj << /D [225 0 R /XYZ 92 427 null] >> endobj 1074 0 obj << /D [250 0 R /XYZ 92 264 null] >> endobj 1075 0 obj << /D [93 0 R /XYZ 92 605 null] >> endobj 1076 0 obj << /D [93 0 R /XYZ 92 258 null] >> endobj 1077 0 obj << /D [103 0 R /XYZ 92 228 null] >> endobj 1078 0 obj << /D [124 0 R /XYZ 92 488 null] >> endobj 1079 0 obj << /D [124 0 R /XYZ 92 379 null] >> endobj 1080 0 obj << /D [133 0 R /XYZ 92 597 null] >> endobj 1081 0 obj << /D [140 0 R /XYZ 92 542 null] >> endobj 1082 0 obj << /D [140 0 R /XYZ 92 595 null] >> endobj 1083 0 obj << /D [140 0 R /XYZ 92 521 null] >> endobj 1084 0 obj << /D [147 0 R /XYZ 92 523 null] >> endobj 1085 0 obj << /D [147 0 R /XYZ 92 696 null] >> endobj 1086 0 obj << /D [155 0 R /XYZ 92 459 null] >> endobj 1087 0 obj << /D [172 0 R /XYZ 92 168 null] >> endobj 1088 0 obj << /D [172 0 R /XYZ 92 231 null] >> endobj 1089 0 obj << /D [180 0 R /XYZ 92 499 null] >> endobj 1090 0 obj << /D [180 0 R /XYZ 92 309 null] >> endobj 1091 0 obj << /D [189 0 R /XYZ 92 372 null] >> endobj 1092 0 obj << /D [189 0 R /XYZ 92 367 null] >> endobj 1093 0 obj << /D [189 0 R /XYZ 208 367 null] >> endobj 1094 0 obj << /D [189 0 R /XYZ 324 367 null] >> endobj 1095 0 obj << /D [189 0 R /XYZ 440 367 null] >> endobj 1096 0 obj << /D [189 0 R /XYZ 92 350 null] >> endobj 1097 0 obj << /D [189 0 R /XYZ 208 350 null] >> endobj 1098 0 obj << /D [189 0 R /XYZ 324 350 null] >> endobj 1099 0 obj << /D [189 0 R /XYZ 440 350 null] >> endobj 1100 0 obj << /D [189 0 R /XYZ 92 332 null] >> endobj 1101 0 obj << /D [189 0 R /XYZ 208 332 null] >> endobj 1102 0 obj << /D [189 0 R /XYZ 324 332 null] >> endobj 1103 0 obj << /D [189 0 R /XYZ 440 332 null] >> endobj 1104 0 obj << /D [189 0 R /XYZ 92 315 null] >> endobj 1105 0 obj << /D [189 0 R /XYZ 208 315 null] >> endobj 1106 0 obj << /D [189 0 R /XYZ 324 315 null] >> endobj 1107 0 obj << /D [189 0 R /XYZ 440 315 null] >> endobj 1108 0 obj << /D [189 0 R /XYZ 92 297 null] >> endobj 1109 0 obj << /D [189 0 R /XYZ 208 297 null] >> endobj 1110 0 obj << /D [189 0 R /XYZ 324 297 null] >> endobj 1111 0 obj << /D [189 0 R /XYZ 440 297 null] >> endobj 1112 0 obj << /D [189 0 R /XYZ 92 280 null] >> endobj 1113 0 obj << /D [189 0 R /XYZ 208 280 null] >> endobj 1114 0 obj << /D [189 0 R /XYZ 324 280 null] >> endobj 1115 0 obj << /D [189 0 R /XYZ 440 280 null] >> endobj 1116 0 obj << /D [189 0 R /XYZ 92 262 null] >> endobj 1117 0 obj << /D [189 0 R /XYZ 208 262 null] >> endobj 1118 0 obj << /D [189 0 R /XYZ 324 262 null] >> endobj 1119 0 obj << /D [189 0 R /XYZ 440 262 null] >> endobj 1120 0 obj << /D [189 0 R /XYZ 92 245 null] >> endobj 1121 0 obj << /D [124 0 R /XYZ 92 418 null] >> endobj 1122 0 obj << /D [124 0 R /XYZ 92 337 null] >> endobj 1123 0 obj << /D [124 0 R /XYZ 92 308 null] >> endobj 1124 0 obj << /D [124 0 R /XYZ 92 210 null] >> endobj 1125 0 obj << /D [124 0 R /XYZ 92 181 null] >> endobj 1126 0 obj << /D [124 0 R /XYZ 92 128 null] >> endobj 1127 0 obj << /D [133 0 R /XYZ 92 626 null] >> endobj 1128 0 obj << /D [133 0 R /XYZ 92 460 null] >> endobj 1129 0 obj << /D [133 0 R /XYZ 92 375 null] >> endobj 1130 0 obj << /D [133 0 R /XYZ 92 345 null] >> endobj 1131 0 obj << /D [133 0 R /XYZ 92 292 null] >> endobj 1132 0 obj << /D [133 0 R /XYZ 92 169 null] >> endobj 1133 0 obj << /D [140 0 R /XYZ 92 724 null] >> endobj 1134 0 obj << /D [140 0 R /XYZ 92 625 null] >> endobj 1135 0 obj << /D [140 0 R /XYZ 92 496 null] >> endobj 1136 0 obj << /D [140 0 R /XYZ 92 439 null] >> endobj 1137 0 obj << /D [140 0 R /XYZ 92 409 null] >> endobj 1138 0 obj << /D [140 0 R /XYZ 92 296 null] >> endobj 1139 0 obj << /D [147 0 R /XYZ 92 726 null] >> endobj 1140 0 obj << /D [147 0 R /XYZ 92 493 null] >> endobj 1141 0 obj << /D [147 0 R /XYZ 92 366 null] >> endobj 1142 0 obj << /D [155 0 R /XYZ 92 534 null] >> endobj 1143 0 obj << /D [155 0 R /XYZ 92 491 null] >> endobj 1144 0 obj << /D [155 0 R /XYZ 54 457 null] >> endobj 1145 0 obj << /D [172 0 R /XYZ 92 333 null] >> endobj 1146 0 obj << /D [172 0 R /XYZ 92 262 null] >> endobj 1147 0 obj << /D [172 0 R /XYZ 54 228 null] >> endobj 1148 0 obj << /D [180 0 R /XYZ 92 725 null] >> endobj 1149 0 obj << /D [180 0 R /XYZ 92 696 null] >> endobj 1150 0 obj << /D [180 0 R /XYZ 92 528 null] >> endobj 1151 0 obj << /D [189 0 R /XYZ 92 725 null] >> endobj 1152 0 obj << /D [189 0 R /XYZ 92 696 null] >> endobj 1153 0 obj << /D [189 0 R /XYZ 54 569 null] >> endobj 1154 0 obj << /D [189 0 R /XYZ 92 470 null] >> endobj 1155 0 obj << /D [189 0 R /XYZ 92 414 null] >> endobj 1156 0 obj << /D [189 0 R /XYZ 92 124 null] >> endobj 1157 0 obj << /D [197 0 R /XYZ 92 726 null] >> endobj 1158 0 obj << /D [197 0 R /XYZ 92 522 null] >> endobj 1159 0 obj << /D [197 0 R /XYZ 92 493 null] >> endobj 1160 0 obj << /D [197 0 R /XYZ 92 372 null] >> endobj 1161 0 obj << /D [197 0 R /XYZ 92 342 null] >> endobj 1162 0 obj << /D [207 0 R /XYZ 92 725 null] >> endobj 1163 0 obj << /D [207 0 R /XYZ 92 576 null] >> endobj 1164 0 obj << /D [207 0 R /XYZ 92 427 null] >> endobj 1165 0 obj << /D [218 0 R /XYZ 92 573 null] >> endobj 1166 0 obj << /D [218 0 R /XYZ 92 488 null] >> endobj 1167 0 obj << /D [218 0 R /XYZ 92 241 null] >> endobj 1168 0 obj << /D [225 0 R /XYZ 92 640 null] >> endobj 1169 0 obj << /D [225 0 R /XYZ 92 541 null] >> endobj 1170 0 obj << /D [225 0 R /XYZ 92 456 null] >> endobj 1171 0 obj << /D [225 0 R /XYZ 92 290 null] >> endobj 1172 0 obj << /D [232 0 R /XYZ 92 303 null] >> endobj 1173 0 obj << /D [232 0 R /XYZ 92 270 null] >> endobj 1174 0 obj << /D [232 0 R /XYZ 92 241 null] >> endobj 1175 0 obj << /D [232 0 R /XYZ 92 185 null] >> endobj 1176 0 obj << /D [240 0 R /XYZ 92 654 null] >> endobj 1177 0 obj << /D [240 0 R /XYZ 92 471 null] >> endobj 1178 0 obj << /D [240 0 R /XYZ 92 316 null] >> endobj 1179 0 obj << /D [240 0 R /XYZ 92 287 null] >> endobj 1180 0 obj << /D [240 0 R /XYZ 92 175 null] >> endobj 1181 0 obj << /D [250 0 R /XYZ 92 667 null] >> endobj 1182 0 obj << /D [250 0 R /XYZ 92 634 null] >> endobj 1183 0 obj << /D [250 0 R /XYZ 92 605 null] >> endobj 1184 0 obj << /D [250 0 R /XYZ 92 495 null] >> endobj 1185 0 obj << /D [10 0 R /XYZ 92 354 null] >> endobj 1186 0 obj << /D [10 0 R /XYZ 92 340 null] >> endobj 1187 0 obj << /D [10 0 R /XYZ 92 326 null] >> endobj 1188 0 obj << /D [10 0 R /XYZ 92 314 null] >> endobj 1189 0 obj << /D [10 0 R /XYZ 92 302 null] >> endobj 1190 0 obj << /D [10 0 R /XYZ 92 290 null] >> endobj 1191 0 obj << /D [10 0 R /XYZ 92 278 null] >> endobj 1192 0 obj << /D [10 0 R /XYZ 92 266 null] >> endobj 1193 0 obj << /D [10 0 R /XYZ 92 254 null] >> endobj 1194 0 obj << /D [10 0 R /XYZ 92 240 null] >> endobj 1195 0 obj << /D [10 0 R /XYZ 92 226 null] >> endobj 1196 0 obj << /D [10 0 R /XYZ 92 214 null] >> endobj 1197 0 obj << /D [10 0 R /XYZ 92 202 null] >> endobj 1198 0 obj << /D [10 0 R /XYZ 92 190 null] >> endobj 1199 0 obj << /D [10 0 R /XYZ 92 178 null] >> endobj 1200 0 obj << /D [10 0 R /XYZ 92 166 null] >> endobj 1201 0 obj << /D [10 0 R /XYZ 92 154 null] >> endobj 1202 0 obj << /D [10 0 R /XYZ 92 142 null] >> endobj 1203 0 obj << /D [10 0 R /XYZ 92 130 null] >> endobj 1204 0 obj << /D [10 0 R /XYZ 92 118 null] >> endobj 1205 0 obj << /D [10 0 R /XYZ 92 106 null] >> endobj 1206 0 obj << /D [10 0 R /XYZ 92 92 null] >> endobj 1207 0 obj << /D [59 0 R /XYZ 92 723 null] >> endobj 1208 0 obj << /D [59 0 R /XYZ 92 698 null] >> endobj 1209 0 obj << /D [59 0 R /XYZ 92 677 null] >> endobj 1210 0 obj << /D [59 0 R /XYZ 92 661 null] >> endobj 1211 0 obj << /D [59 0 R /XYZ 92 645 null] >> endobj 1212 0 obj << /D [59 0 R /XYZ 92 629 null] >> endobj 1213 0 obj << /D [59 0 R /XYZ 92 613 null] >> endobj 1214 0 obj << /D [59 0 R /XYZ 92 597 null] >> endobj 1215 0 obj << /D [10 0 R /XYZ 54 654 null] >> endobj 1216 0 obj << /D [74 0 R /XYZ 54 654 null] >> endobj 1217 0 obj << /D [93 0 R /XYZ 92 709 null] >> endobj 1218 0 obj << /D [93 0 R /XYZ 92 539 null] >> endobj 1219 0 obj << /D [93 0 R /XYZ 92 509 null] >> endobj 1220 0 obj << /D [93 0 R /XYZ 92 347 null] >> endobj 1221 0 obj << /D [93 0 R /XYZ 92 219 null] >> endobj 1222 0 obj << /D [93 0 R /XYZ 92 127 null] >> endobj 1223 0 obj << /D [103 0 R /XYZ 92 671 null] >> endobj 1224 0 obj << /D [103 0 R /XYZ 92 586 null] >> endobj 1225 0 obj << /D [103 0 R /XYZ 92 556 null] >> endobj 1226 0 obj << /D [103 0 R /XYZ 92 447 null] >> endobj 1227 0 obj << /D [103 0 R /XYZ 92 418 null] >> endobj 1228 0 obj << /D [103 0 R /XYZ 92 295 null] >> endobj 1229 0 obj << /D [117 0 R /XYZ 92 725 null] >> endobj 1230 0 obj << /D [117 0 R /XYZ 92 696 null] >> endobj 1231 0 obj << /D [117 0 R /XYZ 92 559 null] >> endobj 1232 0 obj << /D [117 0 R /XYZ 92 533 null] >> endobj 1233 0 obj << /D [117 0 R /XYZ 92 522 null] >> endobj 1234 0 obj << /D [117 0 R /XYZ 92 511 null] >> endobj 1235 0 obj << /D [117 0 R /XYZ 92 500 null] >> endobj 1236 0 obj << /D [117 0 R /XYZ 92 489 null] >> endobj 1237 0 obj << /D [117 0 R /XYZ 92 478 null] >> endobj 1238 0 obj << /D [117 0 R /XYZ 92 467 null] >> endobj 1239 0 obj << /D [117 0 R /XYZ 92 456 null] >> endobj 1240 0 obj << /D [117 0 R /XYZ 92 445 null] >> endobj 1241 0 obj << /D [117 0 R /XYZ 92 434 null] >> endobj 1242 0 obj << /D [117 0 R /XYZ 92 423 null] >> endobj 1243 0 obj << /D [117 0 R /XYZ 92 402 null] >> endobj 1244 0 obj << /D [117 0 R /XYZ 92 318 null] >> endobj 1245 0 obj << /D [124 0 R /XYZ 92 696 null] >> endobj 1246 0 obj << /D [124 0 R /XYZ 92 584 null] >> endobj 1247 0 obj << /D [124 0 R /XYZ 92 555 null] >> endobj 1248 0 obj << /D [124 0 R /XYZ 92 453 null] >> endobj 1249 0 obj << /D [10 0 R /XYZ null null null] >> endobj 1250 0 obj << /D [74 0 R /XYZ null null null] >> endobj 1251 0 obj << /D [309 0 R /XYZ null null null] >> endobj 1252 0 obj << /D [1327 0 R /XYZ 418 58 null] >> endobj 1253 0 obj << /D [1327 0 R /XYZ 72 721 null] >> endobj 1254 0 obj << /D [1327 0 R /XYZ 72 727 null] >> endobj 1255 0 obj << /D [1327 0 R /XYZ 72 592 null] >> endobj 1256 0 obj << /D [1327 0 R /XYZ 72 427 null] >> endobj 1257 0 obj << /D [1327 0 R /XYZ 72 458 null] >> endobj 1258 0 obj << /D [1327 0 R /XYZ 72 354 null] >> endobj 1259 0 obj << /D [1 0 R /XYZ 54 40 null] >> endobj 1260 0 obj << /D [1 0 R /XYZ 54 27 null] >> endobj 1261 0 obj << /D [1 0 R /XYZ 36 789 null] >> endobj 1262 0 obj << /D [1 0 R /XYZ 54 760 null] >> endobj 1263 0 obj << /D [1327 0 R /XYZ 36 789 null] >> endobj 1264 0 obj << /D [1 0 R /XYZ 54 709 null] >> endobj 1265 0 obj << /D [1 0 R /XYZ 54 673 null] >> endobj 1266 0 obj << /D [1 0 R /XYZ 54 405 null] >> endobj 1267 0 obj << /D [1 0 R /XYZ 198 578 null] >> endobj 1268 0 obj << /D [1 0 R /XYZ 198 563 null] >> endobj 1269 0 obj << /D [1 0 R /XYZ 198 547 null] >> endobj 1270 0 obj << /D [1 0 R /XYZ 198 532 null] >> endobj 1271 0 obj << /D [1 0 R /XYZ 198 516 null] >> endobj 1272 0 obj << /D [1 0 R /XYZ 198 501 null] >> endobj 1273 0 obj << /D [1 0 R /XYZ 198 485 null] >> endobj 1274 0 obj << /D [1 0 R /XYZ 198 458 null] >> endobj 1275 0 obj << /D [1 0 R /XYZ 198 443 null] >> endobj 1276 0 obj << /D [1 0 R /XYZ 198 427 null] >> endobj 1277 0 obj << /D [1 0 R /XYZ 54 645 null] >> endobj 1278 0 obj << /D [1 0 R /XYZ 54 646 null] >> endobj 1279 0 obj << /D [1 0 R /XYZ 54 627 null] >> endobj 1280 0 obj << /D [1 0 R /XYZ 115 627 null] >> endobj 1281 0 obj << /D [1 0 R /XYZ 198 627 null] >> endobj 1282 0 obj << /D [1 0 R /XYZ 54 601 null] >> endobj 1283 0 obj << /D [1 0 R /XYZ 115 601 null] >> endobj 1284 0 obj << /D [1 0 R /XYZ 198 607 null] >> endobj 1285 0 obj << /D [1 0 R /XYZ 115 578 null] >> endobj 1286 0 obj << /D [1 0 R /XYZ 54 578 null] >> endobj 1287 0 obj << /D [59 0 R /XYZ 54 40 null] >> endobj 1288 0 obj << /D [59 0 R /XYZ 54 27 null] >> endobj 1289 0 obj << /D [59 0 R /XYZ 54 760 null] >> endobj 1290 0 obj << /D [59 0 R /XYZ 36 789 null] >> endobj 1291 0 obj << /D [10 0 R /XYZ 54 40 null] >> endobj 1292 0 obj << /D [10 0 R /XYZ 54 27 null] >> endobj 1293 0 obj << /D [10 0 R /XYZ 36 789 null] >> endobj 1294 0 obj << /D [10 0 R /XYZ 92 604 null] >> endobj 1295 0 obj << /D [10 0 R /XYZ 92 577 null] >> endobj 1296 0 obj << /D [10 0 R /XYZ 92 556 null] >> endobj 1297 0 obj << /D [10 0 R /XYZ 92 542 null] >> endobj 1298 0 obj << /D [10 0 R /XYZ 92 528 null] >> endobj 1299 0 obj << /D [10 0 R /XYZ 92 514 null] >> endobj 1300 0 obj << /D [10 0 R /XYZ 92 502 null] >> endobj 1301 0 obj << /D [10 0 R /XYZ 92 490 null] >> endobj 1302 0 obj << /D [10 0 R /XYZ 92 478 null] >> endobj 1303 0 obj << /D [10 0 R /XYZ 92 466 null] >> endobj 1304 0 obj << /D [10 0 R /XYZ 92 454 null] >> endobj 1305 0 obj << /D [10 0 R /XYZ 92 442 null] >> endobj 1306 0 obj << /D [10 0 R /XYZ 92 430 null] >> endobj 1307 0 obj << /D [10 0 R /XYZ 92 418 null] >> endobj 1308 0 obj << /D [10 0 R /XYZ 92 404 null] >> endobj 1309 0 obj << /D [10 0 R /XYZ 92 390 null] >> endobj 1310 0 obj << /D [10 0 R /XYZ 92 378 null] >> endobj 1311 0 obj << /D [10 0 R /XYZ 92 366 null] >> endobj 1312 0 obj << /Nums [0 1313 0 R] >> endobj 1313 0 obj << /S /D >> endobj 1314 0 obj << /Count 35 /Kids [1315 0 R 1316 0 R 1317 0 R 1318 0 R] /Type /Pages >> endobj 1315 0 obj << /Count 10 /Kids [1327 0 R 1 0 R 10 0 R 59 0 R 74 0 R 83 0 R 93 0 R 103 0 R 110 0 R 117 0 R] /Parent 1314 0 R /Type /Pages >> endobj 1316 0 obj << /Count 10 /Kids [124 0 R 133 0 R 140 0 R 147 0 R 155 0 R 163 0 R 172 0 R 180 0 R 189 0 R 197 0 R] /Parent 1314 0 R /Type /Pages >> endobj 1317 0 obj << /Count 10 /Kids [207 0 R 218 0 R 225 0 R 232 0 R 240 0 R 250 0 R 258 0 R 271 0 R 279 0 R 286 0 R] /Parent 1314 0 R /Type /Pages >> endobj 1318 0 obj << /Count 5 /Kids [293 0 R 300 0 R 309 0 R 323 0 R 345 0 R] /Parent 1314 0 R /Type /Pages >> endobj 1319 0 obj << /Author (Xilinx, Inc.) /CreationDate (D:20170427173502Z) /Creator (FrameMaker 2015.0.5) /Keywords (UltraScale,Virtex,Kintex,migration,7 series) /ModDate (D:20170427174811+06'00') /Producer (Xilinx, Inc. via ABCpdf) /Subject (Describes concepts for evaluating existing design sources for potential migration to UltraScale\222 d\ evices or for developing new code to be used in UltraScale devices.) /Title (UltraScale Architecture Migration: Methodology Guide \(UG1026\)) /XilinxDocID (UG1026) >> endobj 1320 0 obj << /Dests 440 0 R >> endobj 1321 0 obj << /Count -5 /First 1342 0 R /Last 1342 0 R /Type /Outlines >> endobj xref 0 1322 0000000000 65535 f 0000052738 00000 n 0000052950 00000 n 0000053101 00000 n 0000053276 00000 n 0000053452 00000 n 0000053701 00000 n 0000053777 00000 n 0000054602 00000 n 0000054915 00000 n 0000058327 00000 n 0000058826 00000 n 0000058978 00000 n 0000059100 00000 n 0000059221 00000 n 0000059342 00000 n 0000059463 00000 n 0000059584 00000 n 0000059704 00000 n 0000059824 00000 n 0000059944 00000 n 0000060064 00000 n 0000060184 00000 n 0000060304 00000 n 0000060424 00000 n 0000060544 00000 n 0000060664 00000 n 0000060785 00000 n 0000060906 00000 n 0000061027 00000 n 0000061148 00000 n 0000061269 00000 n 0000061390 00000 n 0000061511 00000 n 0000061632 00000 n 0000061753 00000 n 0000061874 00000 n 0000061995 00000 n 0000062116 00000 n 0000062237 00000 n 0000062358 00000 n 0000062479 00000 n 0000062600 00000 n 0000062721 00000 n 0000062842 00000 n 0000062963 00000 n 0000063084 00000 n 0000063205 00000 n 0000063326 00000 n 0000063447 00000 n 0000063568 00000 n 0000063688 00000 n 0000063805 00000 n 0000064041 00000 n 0000064085 00000 n 0000064162 00000 n 0000064988 00000 n 0000065302 00000 n 0000069882 00000 n 0000073060 00000 n 0000073335 00000 n 0000073456 00000 n 0000073577 00000 n 0000073697 00000 n 0000073818 00000 n 0000073939 00000 n 0000074059 00000 n 0000074211 00000 n 0000074332 00000 n 0000074451 00000 n 0000074688 00000 n 0000074765 00000 n 0000075590 00000 n 0000075904 00000 n 0000078740 00000 n 0000078959 00000 n 0000079111 00000 n 0000079361 00000 n 0000079405 00000 n 0000079482 00000 n 0000080307 00000 n 0000080621 00000 n 0000085153 00000 n 0000088331 00000 n 0000088571 00000 n 0000088723 00000 n 0000088916 00000 n 0000089107 00000 n 0000089302 00000 n 0000089621 00000 n 0000089698 00000 n 0000090524 00000 n 0000090838 00000 n 0000096432 00000 n 0000096674 00000 n 0000096826 00000 n 0000097013 00000 n 0000097206 00000 n 0000097401 00000 n 0000097721 00000 n 0000097798 00000 n 0000098625 00000 n 0000098940 00000 n 0000104792 00000 n 0000105017 00000 n 0000105170 00000 n 0000105438 00000 n 0000105516 00000 n 0000106342 00000 n 0000106657 00000 n 0000111629 00000 n 0000111854 00000 n 0000112007 00000 n 0000112287 00000 n 0000112365 00000 n 0000113192 00000 n 0000113507 00000 n 0000117082 00000 n 0000117307 00000 n 0000117460 00000 n 0000117740 00000 n 0000117818 00000 n 0000118645 00000 n 0000118961 00000 n 0000123934 00000 n 0000124159 00000 n 0000124312 00000 n 0000124594 00000 n 0000124840 00000 n 0000125226 00000 n 0000125304 00000 n 0000126129 00000 n 0000126445 00000 n 0000131797 00000 n 0000132022 00000 n 0000132175 00000 n 0000132455 00000 n 0000132533 00000 n 0000133359 00000 n 0000133675 00000 n 0000139717 00000 n 0000139942 00000 n 0000140095 00000 n 0000140404 00000 n 0000140482 00000 n 0000141308 00000 n 0000141624 00000 n 0000147272 00000 n 0000147505 00000 n 0000147658 00000 n 0000147857 00000 n 0000148178 00000 n 0000148256 00000 n 0000149082 00000 n 0000149398 00000 n 0000155598 00000 n 0000155831 00000 n 0000155984 00000 n 0000156145 00000 n 0000156466 00000 n 0000156544 00000 n 0000157370 00000 n 0000157686 00000 n 0000163385 00000 n 0000163618 00000 n 0000163771 00000 n 0000163896 00000 n 0000164232 00000 n 0000164310 00000 n 0000165137 00000 n 0000165453 00000 n 0000204911 00000 n 0000209886 00000 n 0000210119 00000 n 0000210272 00000 n 0000210460 00000 n 0000210783 00000 n 0000210861 00000 n 0000211687 00000 n 0000212003 00000 n 0000216913 00000 n 0000217154 00000 n 0000217307 00000 n 0000217499 00000 n 0000217694 00000 n 0000217988 00000 n 0000218066 00000 n 0000218892 00000 n 0000219208 00000 n 0000224762 00000 n 0000224995 00000 n 0000225148 00000 n 0000225343 00000 n 0000225678 00000 n 0000225756 00000 n 0000226583 00000 n 0000226899 00000 n 0000232229 00000 n 0000232478 00000 n 0000232631 00000 n 0000232798 00000 n 0000232996 00000 n 0000233193 00000 n 0000233568 00000 n 0000233646 00000 n 0000234473 00000 n 0000234789 00000 n 0000241028 00000 n 0000241285 00000 n 0000241438 00000 n 0000241636 00000 n 0000241834 00000 n 0000242032 00000 n 0000242225 00000 n 0000242588 00000 n 0000242666 00000 n 0000243492 00000 n 0000243808 00000 n 0000249508 00000 n 0000249733 00000 n 0000249886 00000 n 0000250166 00000 n 0000250244 00000 n 0000251070 00000 n 0000251386 00000 n 0000256880 00000 n 0000257105 00000 n 0000257258 00000 n 0000257538 00000 n 0000257616 00000 n 0000258444 00000 n 0000258760 00000 n 0000264098 00000 n 0000264331 00000 n 0000264484 00000 n 0000264683 00000 n 0000265030 00000 n 0000265108 00000 n 0000265934 00000 n 0000266250 00000 n 0000272146 00000 n 0000272395 00000 n 0000272548 00000 n 0000272747 00000 n 0000272943 00000 n 0000273138 00000 n 0000273432 00000 n 0000273510 00000 n 0000274336 00000 n 0000274652 00000 n 0000280455 00000 n 0000280688 00000 n 0000280841 00000 n 0000281005 00000 n 0000281366 00000 n 0000281444 00000 n 0000282271 00000 n 0000282587 00000 n 0000288339 00000 n 0000288572 00000 n 0000289023 00000 n 0000289319 00000 n 0000289472 00000 n 0000289666 00000 n 0000290001 00000 n 0000290069 00000 n 0000290297 00000 n 0000290478 00000 n 0000290556 00000 n 0000291382 00000 n 0000291698 00000 n 0000296478 00000 n 0000296711 00000 n 0000296864 00000 n 0000297056 00000 n 0000297377 00000 n 0000297455 00000 n 0000298281 00000 n 0000298597 00000 n 0000303205 00000 n 0000303430 00000 n 0000303583 00000 n 0000303863 00000 n 0000303941 00000 n 0000304768 00000 n 0000305084 00000 n 0000309419 00000 n 0000309644 00000 n 0000309797 00000 n 0000310091 00000 n 0000310169 00000 n 0000310996 00000 n 0000311312 00000 n 0000315487 00000 n 0000315712 00000 n 0000315865 00000 n 0000316131 00000 n 0000316209 00000 n 0000317035 00000 n 0000317351 00000 n 0000322262 00000 n 0000322503 00000 n 0000322656 00000 n 0000322852 00000 n 0000323046 00000 n 0000323340 00000 n 0000323418 00000 n 0000324244 00000 n 0000324560 00000 n 0000328920 00000 n 0000329185 00000 n 0000329362 00000 n 0000329522 00000 n 0000329684 00000 n 0000329837 00000 n 0000330022 00000 n 0000330201 00000 n 0000330509 00000 n 0000330555 00000 n 0000330633 00000 n 0000331460 00000 n 0000331776 00000 n 0000336015 00000 n 0000339194 00000 n 0000339539 00000 n 0000339692 00000 n 0000339909 00000 n 0000340122 00000 n 0000340339 00000 n 0000340558 00000 n 0000340764 00000 n 0000340975 00000 n 0000341199 00000 n 0000341426 00000 n 0000341645 00000 n 0000341827 00000 n 0000342046 00000 n 0000342261 00000 n 0000342449 00000 n 0000342666 00000 n 0000342887 00000 n 0000343169 00000 n 0000343247 00000 n 0000344073 00000 n 0000344389 00000 n 0000348640 00000 n 0000348881 00000 n 0000349034 00000 n 0000349202 00000 n 0000349370 00000 n 0000349652 00000 n 0000349730 00000 n 0000350556 00000 n 0000350872 00000 n 0000358426 00000 n 0000383461 00000 n 0000407094 00000 n 0000407587 00000 n 0000407852 00000 n 0000408110 00000 n 0000408590 00000 n 0000409200 00000 n 0000409720 00000 n 0000410313 00000 n 0000410739 00000 n 0000410801 00000 n 0000410863 00000 n 0000411519 00000 n 0000412094 00000 n 0000412236 00000 n 0000412338 00000 n 0000412988 00000 n 0000413101 00000 n 0000413155 00000 n 0000413357 00000 n 0000413873 00000 n 0000413963 00000 n 0000414188 00000 n 0000415006 00000 n 0000418185 00000 n 0000418276 00000 n 0000418322 00000 n 0000418414 00000 n 0000418506 00000 n 0000418598 00000 n 0000418690 00000 n 0000418781 00000 n 0000418872 00000 n 0000418963 00000 n 0000419055 00000 n 0000419147 00000 n 0000419238 00000 n 0000419330 00000 n 0000419422 00000 n 0000419514 00000 n 0000419606 00000 n 0000419698 00000 n 0000419790 00000 n 0000419882 00000 n 0000419974 00000 n 0000420066 00000 n 0000420158 00000 n 0000420250 00000 n 0000420342 00000 n 0000420434 00000 n 0000420526 00000 n 0000420618 00000 n 0000420710 00000 n 0000420802 00000 n 0000420894 00000 n 0000420986 00000 n 0000421078 00000 n 0000421170 00000 n 0000421262 00000 n 0000441578 00000 n 0000442218 00000 n 0000442497 00000 n 0000445676 00000 n 0000461265 00000 n 0000461311 00000 n 0000461918 00000 n 0000462008 00000 n 0000462300 00000 n 0000485951 00000 n 0000486224 00000 n 0000486705 00000 n 0000491459 00000 n 0000491966 00000 n 0000492461 00000 n 0000495616 00000 n 0000495662 00000 n 0000512113 00000 n 0000512512 00000 n 0000512780 00000 n 0000515935 00000 n 0000518260 00000 n 0000518306 00000 n 0000518675 00000 n 0000519000 00000 n 0000522179 00000 n 0000522225 00000 n 0000522381 00000 n 0000523821 00000 n 0000525267 00000 n 0000526682 00000 n 0000528097 00000 n 0000529505 00000 n 0000530856 00000 n 0000532207 00000 n 0000533558 00000 n 0000534909 00000 n 0000536260 00000 n 0000537620 00000 n 0000539158 00000 n 0000540605 00000 n 0000543826 00000 n 0000544073 00000 n 0000544134 00000 n 0000544194 00000 n 0000544254 00000 n 0000544315 00000 n 0000544376 00000 n 0000544437 00000 n 0000544493 00000 n 0000544551 00000 n 0000544609 00000 n 0000544667 00000 n 0000544724 00000 n 0000544781 00000 n 0000544840 00000 n 0000544899 00000 n 0000544957 00000 n 0000545015 00000 n 0000545074 00000 n 0000545132 00000 n 0000545191 00000 n 0000545250 00000 n 0000545309 00000 n 0000545367 00000 n 0000545426 00000 n 0000545487 00000 n 0000545548 00000 n 0000545609 00000 n 0000545670 00000 n 0000545731 00000 n 0000545792 00000 n 0000545853 00000 n 0000545914 00000 n 0000545975 00000 n 0000546036 00000 n 0000546098 00000 n 0000546159 00000 n 0000546220 00000 n 0000546281 00000 n 0000546342 00000 n 0000546403 00000 n 0000546464 00000 n 0000546525 00000 n 0000546586 00000 n 0000546647 00000 n 0000546708 00000 n 0000546769 00000 n 0000546830 00000 n 0000546888 00000 n 0000546946 00000 n 0000547004 00000 n 0000547062 00000 n 0000547120 00000 n 0000547177 00000 n 0000547235 00000 n 0000547293 00000 n 0000547351 00000 n 0000547408 00000 n 0000547465 00000 n 0000547523 00000 n 0000547581 00000 n 0000547639 00000 n 0000547697 00000 n 0000547756 00000 n 0000547815 00000 n 0000547874 00000 n 0000547933 00000 n 0000547992 00000 n 0000548051 00000 n 0000548110 00000 n 0000548169 00000 n 0000548228 00000 n 0000548287 00000 n 0000548346 00000 n 0000548405 00000 n 0000548464 00000 n 0000548523 00000 n 0000548582 00000 n 0000548641 00000 n 0000548700 00000 n 0000548759 00000 n 0000548818 00000 n 0000548877 00000 n 0000548936 00000 n 0000548994 00000 n 0000549053 00000 n 0000549112 00000 n 0000549170 00000 n 0000549228 00000 n 0000549287 00000 n 0000549346 00000 n 0000549405 00000 n 0000549464 00000 n 0000549522 00000 n 0000549581 00000 n 0000549640 00000 n 0000549699 00000 n 0000549759 00000 n 0000549820 00000 n 0000549880 00000 n 0000549937 00000 n 0000549994 00000 n 0000550051 00000 n 0000550109 00000 n 0000550167 00000 n 0000550225 00000 n 0000550282 00000 n 0000550340 00000 n 0000550398 00000 n 0000550456 00000 n 0000550514 00000 n 0000550572 00000 n 0000550630 00000 n 0000550688 00000 n 0000550746 00000 n 0000550804 00000 n 0000550862 00000 n 0000550920 00000 n 0000550978 00000 n 0000551036 00000 n 0000551094 00000 n 0000551152 00000 n 0000551210 00000 n 0000551268 00000 n 0000551326 00000 n 0000551384 00000 n 0000551442 00000 n 0000551500 00000 n 0000551558 00000 n 0000551616 00000 n 0000551674 00000 n 0000551732 00000 n 0000551790 00000 n 0000551848 00000 n 0000551906 00000 n 0000551964 00000 n 0000552022 00000 n 0000552080 00000 n 0000552138 00000 n 0000552196 00000 n 0000552254 00000 n 0000552312 00000 n 0000552370 00000 n 0000552428 00000 n 0000552485 00000 n 0000552543 00000 n 0000552600 00000 n 0000552658 00000 n 0000552716 00000 n 0000552774 00000 n 0000552832 00000 n 0000552890 00000 n 0000552948 00000 n 0000553006 00000 n 0000553064 00000 n 0000553122 00000 n 0000553180 00000 n 0000553236 00000 n 0000553292 00000 n 0000553349 00000 n 0000553406 00000 n 0000553462 00000 n 0000553518 00000 n 0000553575 00000 n 0000553633 00000 n 0000553691 00000 n 0000553749 00000 n 0000553807 00000 n 0000553864 00000 n 0000553921 00000 n 0000553978 00000 n 0000554035 00000 n 0000554092 00000 n 0000554150 00000 n 0000554208 00000 n 0000554266 00000 n 0000554324 00000 n 0000554382 00000 n 0000554440 00000 n 0000554498 00000 n 0000554556 00000 n 0000554614 00000 n 0000554672 00000 n 0000554730 00000 n 0000554788 00000 n 0000554846 00000 n 0000554904 00000 n 0000554962 00000 n 0000555020 00000 n 0000555078 00000 n 0000555136 00000 n 0000555194 00000 n 0000555252 00000 n 0000555310 00000 n 0000555368 00000 n 0000555426 00000 n 0000555484 00000 n 0000555542 00000 n 0000555599 00000 n 0000555656 00000 n 0000555714 00000 n 0000555772 00000 n 0000555829 00000 n 0000555886 00000 n 0000555944 00000 n 0000556002 00000 n 0000556060 00000 n 0000556118 00000 n 0000556176 00000 n 0000556234 00000 n 0000556292 00000 n 0000556350 00000 n 0000556408 00000 n 0000556466 00000 n 0000556524 00000 n 0000556582 00000 n 0000556640 00000 n 0000556698 00000 n 0000556756 00000 n 0000556812 00000 n 0000556871 00000 n 0000556930 00000 n 0000556989 00000 n 0000557048 00000 n 0000557107 00000 n 0000557166 00000 n 0000557225 00000 n 0000557284 00000 n 0000557343 00000 n 0000557402 00000 n 0000557461 00000 n 0000557519 00000 n 0000557577 00000 n 0000557634 00000 n 0000557692 00000 n 0000557749 00000 n 0000557806 00000 n 0000557863 00000 n 0000557920 00000 n 0000557977 00000 n 0000558034 00000 n 0000558091 00000 n 0000558148 00000 n 0000558205 00000 n 0000558262 00000 n 0000558319 00000 n 0000558376 00000 n 0000558433 00000 n 0000558491 00000 n 0000558549 00000 n 0000558607 00000 n 0000558665 00000 n 0000558723 00000 n 0000558781 00000 n 0000558840 00000 n 0000558899 00000 n 0000558958 00000 n 0000559017 00000 n 0000559076 00000 n 0000559134 00000 n 0000559193 00000 n 0000559252 00000 n 0000559311 00000 n 0000559370 00000 n 0000559429 00000 n 0000559487 00000 n 0000559546 00000 n 0000559605 00000 n 0000559664 00000 n 0000559723 00000 n 0000559782 00000 n 0000559840 00000 n 0000559899 00000 n 0000559958 00000 n 0000560017 00000 n 0000560076 00000 n 0000560135 00000 n 0000560194 00000 n 0000560252 00000 n 0000560310 00000 n 0000560368 00000 n 0000560426 00000 n 0000560483 00000 n 0000560540 00000 n 0000560599 00000 n 0000560658 00000 n 0000560717 00000 n 0000560776 00000 n 0000560835 00000 n 0000560894 00000 n 0000560952 00000 n 0000561010 00000 n 0000561068 00000 n 0000561126 00000 n 0000561184 00000 n 0000561242 00000 n 0000561300 00000 n 0000561359 00000 n 0000561418 00000 n 0000561477 00000 n 0000561536 00000 n 0000561595 00000 n 0000561654 00000 n 0000561713 00000 n 0000561772 00000 n 0000561831 00000 n 0000561890 00000 n 0000561948 00000 n 0000562006 00000 n 0000562064 00000 n 0000562122 00000 n 0000562180 00000 n 0000562238 00000 n 0000562297 00000 n 0000562355 00000 n 0000562413 00000 n 0000562471 00000 n 0000562529 00000 n 0000562587 00000 n 0000562645 00000 n 0000562703 00000 n 0000562761 00000 n 0000562819 00000 n 0000562878 00000 n 0000562937 00000 n 0000562996 00000 n 0000563055 00000 n 0000563113 00000 n 0000563171 00000 n 0000563229 00000 n 0000563287 00000 n 0000563345 00000 n 0000563403 00000 n 0000563461 00000 n 0000563519 00000 n 0000563577 00000 n 0000563635 00000 n 0000563693 00000 n 0000563751 00000 n 0000563809 00000 n 0000563867 00000 n 0000563926 00000 n 0000563985 00000 n 0000564044 00000 n 0000564103 00000 n 0000564162 00000 n 0000564221 00000 n 0000564280 00000 n 0000564338 00000 n 0000564396 00000 n 0000564454 00000 n 0000564512 00000 n 0000564570 00000 n 0000564628 00000 n 0000564686 00000 n 0000564744 00000 n 0000564802 00000 n 0000564860 00000 n 0000564917 00000 n 0000564974 00000 n 0000565032 00000 n 0000565090 00000 n 0000565148 00000 n 0000565206 00000 n 0000565264 00000 n 0000565322 00000 n 0000565381 00000 n 0000565439 00000 n 0000565498 00000 n 0000565557 00000 n 0000565616 00000 n 0000565674 00000 n 0000565733 00000 n 0000565792 00000 n 0000565850 00000 n 0000565909 00000 n 0000565967 00000 n 0000566026 00000 n 0000566085 00000 n 0000566143 00000 n 0000566202 00000 n 0000566261 00000 n 0000566319 00000 n 0000566378 00000 n 0000566437 00000 n 0000566496 00000 n 0000566554 00000 n 0000566613 00000 n 0000566672 00000 n 0000566730 00000 n 0000566788 00000 n 0000566846 00000 n 0000566904 00000 n 0000566962 00000 n 0000567020 00000 n 0000567078 00000 n 0000567136 00000 n 0000567194 00000 n 0000567252 00000 n 0000567310 00000 n 0000567368 00000 n 0000567427 00000 n 0000567486 00000 n 0000567545 00000 n 0000567604 00000 n 0000567663 00000 n 0000567722 00000 n 0000567781 00000 n 0000567840 00000 n 0000567899 00000 n 0000567958 00000 n 0000568016 00000 n 0000568074 00000 n 0000568132 00000 n 0000568190 00000 n 0000568248 00000 n 0000568306 00000 n 0000568364 00000 n 0000568422 00000 n 0000568480 00000 n 0000568538 00000 n 0000568596 00000 n 0000568654 00000 n 0000568712 00000 n 0000568771 00000 n 0000568829 00000 n 0000568888 00000 n 0000568946 00000 n 0000569005 00000 n 0000569063 00000 n 0000569122 00000 n 0000569180 00000 n 0000569239 00000 n 0000569297 00000 n 0000569356 00000 n 0000569414 00000 n 0000569473 00000 n 0000569531 00000 n 0000569590 00000 n 0000569648 00000 n 0000569707 00000 n 0000569765 00000 n 0000569824 00000 n 0000569882 00000 n 0000569941 00000 n 0000569999 00000 n 0000570058 00000 n 0000570116 00000 n 0000570175 00000 n 0000570233 00000 n 0000570291 00000 n 0000570349 00000 n 0000570407 00000 n 0000570465 00000 n 0000570523 00000 n 0000570581 00000 n 0000570639 00000 n 0000570697 00000 n 0000570755 00000 n 0000570813 00000 n 0000570871 00000 n 0000570929 00000 n 0000570987 00000 n 0000571045 00000 n 0000571103 00000 n 0000571161 00000 n 0000571219 00000 n 0000571277 00000 n 0000571335 00000 n 0000571393 00000 n 0000571451 00000 n 0000571509 00000 n 0000571566 00000 n 0000571624 00000 n 0000571682 00000 n 0000571740 00000 n 0000571798 00000 n 0000571856 00000 n 0000571914 00000 n 0000571972 00000 n 0000572030 00000 n 0000572088 00000 n 0000572146 00000 n 0000572204 00000 n 0000572262 00000 n 0000572320 00000 n 0000572378 00000 n 0000572436 00000 n 0000572494 00000 n 0000572552 00000 n 0000572610 00000 n 0000572668 00000 n 0000572726 00000 n 0000572784 00000 n 0000572842 00000 n 0000572900 00000 n 0000572958 00000 n 0000573016 00000 n 0000573074 00000 n 0000573132 00000 n 0000573190 00000 n 0000573248 00000 n 0000573306 00000 n 0000573364 00000 n 0000573422 00000 n 0000573480 00000 n 0000573538 00000 n 0000573596 00000 n 0000573654 00000 n 0000573712 00000 n 0000573770 00000 n 0000573828 00000 n 0000573886 00000 n 0000573944 00000 n 0000574002 00000 n 0000574060 00000 n 0000574118 00000 n 0000574176 00000 n 0000574234 00000 n 0000574292 00000 n 0000574350 00000 n 0000574408 00000 n 0000574467 00000 n 0000574526 00000 n 0000574585 00000 n 0000574643 00000 n 0000574701 00000 n 0000574759 00000 n 0000574817 00000 n 0000574875 00000 n 0000574933 00000 n 0000574992 00000 n 0000575051 00000 n 0000575110 00000 n 0000575168 00000 n 0000575226 00000 n 0000575284 00000 n 0000575343 00000 n 0000575402 00000 n 0000575461 00000 n 0000575520 00000 n 0000575579 00000 n 0000575637 00000 n 0000575696 00000 n 0000575755 00000 n 0000575814 00000 n 0000575873 00000 n 0000575932 00000 n 0000575991 00000 n 0000576050 00000 n 0000576109 00000 n 0000576168 00000 n 0000576227 00000 n 0000576286 00000 n 0000576345 00000 n 0000576404 00000 n 0000576463 00000 n 0000576522 00000 n 0000576581 00000 n 0000576640 00000 n 0000576699 00000 n 0000576758 00000 n 0000576817 00000 n 0000576876 00000 n 0000576935 00000 n 0000576994 00000 n 0000577053 00000 n 0000577112 00000 n 0000577171 00000 n 0000577230 00000 n 0000577289 00000 n 0000577348 00000 n 0000577407 00000 n 0000577466 00000 n 0000577525 00000 n 0000577584 00000 n 0000577643 00000 n 0000577702 00000 n 0000577761 00000 n 0000577820 00000 n 0000577879 00000 n 0000577938 00000 n 0000577997 00000 n 0000578056 00000 n 0000578115 00000 n 0000578174 00000 n 0000578233 00000 n 0000578292 00000 n 0000578351 00000 n 0000578410 00000 n 0000578469 00000 n 0000578528 00000 n 0000578587 00000 n 0000578646 00000 n 0000578705 00000 n 0000578764 00000 n 0000578823 00000 n 0000578882 00000 n 0000578940 00000 n 0000578998 00000 n 0000579057 00000 n 0000579116 00000 n 0000579175 00000 n 0000579234 00000 n 0000579293 00000 n 0000579352 00000 n 0000579411 00000 n 0000579470 00000 n 0000579529 00000 n 0000579588 00000 n 0000579647 00000 n 0000579706 00000 n 0000579765 00000 n 0000579824 00000 n 0000579883 00000 n 0000579942 00000 n 0000580001 00000 n 0000580060 00000 n 0000580119 00000 n 0000580178 00000 n 0000580237 00000 n 0000580295 00000 n 0000580353 00000 n 0000580412 00000 n 0000580471 00000 n 0000580530 00000 n 0000580589 00000 n 0000580648 00000 n 0000580707 00000 n 0000580766 00000 n 0000580825 00000 n 0000580884 00000 n 0000580943 00000 n 0000581002 00000 n 0000581061 00000 n 0000581120 00000 n 0000581179 00000 n 0000581238 00000 n 0000581297 00000 n 0000581357 00000 n 0000581417 00000 n 0000581477 00000 n 0000581536 00000 n 0000581596 00000 n 0000581656 00000 n 0000581716 00000 n 0000581775 00000 n 0000581835 00000 n 0000581895 00000 n 0000581955 00000 n 0000582014 00000 n 0000582074 00000 n 0000582134 00000 n 0000582194 00000 n 0000582253 00000 n 0000582313 00000 n 0000582373 00000 n 0000582433 00000 n 0000582492 00000 n 0000582552 00000 n 0000582612 00000 n 0000582672 00000 n 0000582731 00000 n 0000582791 00000 n 0000582851 00000 n 0000582911 00000 n 0000582970 00000 n 0000583029 00000 n 0000583088 00000 n 0000583147 00000 n 0000583206 00000 n 0000583265 00000 n 0000583324 00000 n 0000583383 00000 n 0000583442 00000 n 0000583501 00000 n 0000583560 00000 n 0000583619 00000 n 0000583678 00000 n 0000583737 00000 n 0000583796 00000 n 0000583855 00000 n 0000583914 00000 n 0000583973 00000 n 0000584032 00000 n 0000584091 00000 n 0000584150 00000 n 0000584209 00000 n 0000584268 00000 n 0000584327 00000 n 0000584386 00000 n 0000584445 00000 n 0000584504 00000 n 0000584563 00000 n 0000584622 00000 n 0000584681 00000 n 0000584740 00000 n 0000584799 00000 n 0000584858 00000 n 0000584917 00000 n 0000584976 00000 n 0000585035 00000 n 0000585094 00000 n 0000585153 00000 n 0000585212 00000 n 0000585271 00000 n 0000585330 00000 n 0000585389 00000 n 0000585448 00000 n 0000585507 00000 n 0000585566 00000 n 0000585625 00000 n 0000585684 00000 n 0000585743 00000 n 0000585802 00000 n 0000585861 00000 n 0000585920 00000 n 0000585979 00000 n 0000586038 00000 n 0000586097 00000 n 0000586156 00000 n 0000586215 00000 n 0000586274 00000 n 0000586333 00000 n 0000586392 00000 n 0000586451 00000 n 0000586510 00000 n 0000586569 00000 n 0000586628 00000 n 0000586687 00000 n 0000586746 00000 n 0000586804 00000 n 0000586862 00000 n 0000586920 00000 n 0000586978 00000 n 0000587036 00000 n 0000587094 00000 n 0000587152 00000 n 0000587210 00000 n 0000587268 00000 n 0000587326 00000 n 0000587384 00000 n 0000587442 00000 n 0000587500 00000 n 0000587558 00000 n 0000587616 00000 n 0000587674 00000 n 0000587732 00000 n 0000587790 00000 n 0000587848 00000 n 0000587906 00000 n 0000587964 00000 n 0000588021 00000 n 0000588079 00000 n 0000588137 00000 n 0000588195 00000 n 0000588253 00000 n 0000588311 00000 n 0000588369 00000 n 0000588427 00000 n 0000588485 00000 n 0000588543 00000 n 0000588601 00000 n 0000588659 00000 n 0000588717 00000 n 0000588775 00000 n 0000588833 00000 n 0000588891 00000 n 0000588949 00000 n 0000589008 00000 n 0000589067 00000 n 0000589126 00000 n 0000589185 00000 n 0000589244 00000 n 0000589303 00000 n 0000589362 00000 n 0000589421 00000 n 0000589480 00000 n 0000589539 00000 n 0000589598 00000 n 0000589657 00000 n 0000589716 00000 n 0000589775 00000 n 0000589834 00000 n 0000589893 00000 n 0000589952 00000 n 0000590011 00000 n 0000590070 00000 n 0000590129 00000 n 0000590188 00000 n 0000590247 00000 n 0000590306 00000 n 0000590365 00000 n 0000590424 00000 n 0000590483 00000 n 0000590544 00000 n 0000590605 00000 n 0000590667 00000 n 0000590727 00000 n 0000590787 00000 n 0000590847 00000 n 0000590907 00000 n 0000590967 00000 n 0000591027 00000 n 0000591087 00000 n 0000591143 00000 n 0000591199 00000 n 0000591256 00000 n 0000591313 00000 n 0000591373 00000 n 0000591430 00000 n 0000591487 00000 n 0000591544 00000 n 0000591602 00000 n 0000591660 00000 n 0000591718 00000 n 0000591776 00000 n 0000591834 00000 n 0000591892 00000 n 0000591950 00000 n 0000592008 00000 n 0000592066 00000 n 0000592124 00000 n 0000592181 00000 n 0000592238 00000 n 0000592295 00000 n 0000592353 00000 n 0000592411 00000 n 0000592468 00000 n 0000592526 00000 n 0000592584 00000 n 0000592642 00000 n 0000592699 00000 n 0000592756 00000 n 0000592813 00000 n 0000592871 00000 n 0000592929 00000 n 0000592986 00000 n 0000593043 00000 n 0000593101 00000 n 0000593159 00000 n 0000593217 00000 n 0000593275 00000 n 0000593333 00000 n 0000593391 00000 n 0000593449 00000 n 0000593507 00000 n 0000593565 00000 n 0000593623 00000 n 0000593681 00000 n 0000593739 00000 n 0000593797 00000 n 0000593855 00000 n 0000593913 00000 n 0000593971 00000 n 0000594029 00000 n 0000594087 00000 n 0000594145 00000 n 0000594193 00000 n 0000594228 00000 n 0000594324 00000 n 0000594475 00000 n 0000594632 00000 n 0000594789 00000 n 0000594905 00000 n 0000595427 00000 n 0000595471 00000 n trailer << /Size 1322 >> startxref 191 %%EOF 1319 0 obj <> endobj 1321 0 obj <> endobj 1323 0 obj <> endobj 1344 0 obj <> endobj 1345 0 obj <> endobj 1346 0 obj <> endobj 1350 0 obj <> endobj 1351 0 obj <> endobj 1440 0 obj <>stream application/pdf Xilinx, Inc. Describes concepts for evaluating existing design sources for potential migration to UltraScale™ devices or for developing new code to be used in UltraScale devices. UltraScale Architecture Migration: Methodology Guide (UG1026) 2017-04-27T17:35:02Z FrameMaker 2015.0.5 2017-04-27T17:50:38-06:00 2017-04-27T17:50:38-06:00 UltraScale,Virtex,Kintex,migration,7 series Xilinx, Inc. via ABCpdf UG1026 uuid:e1653510-efe5-47ad-a0c4-dd22bbb0f155 uuid:11a70fb7-d256-4e1a-8857-3a49b0e8a51a endstream endobj xref 0 1 0000000000 65535 f 1319 1 0000622061 00000 n 1321 1 0000622553 00000 n 1323 1 0000622629 00000 n 1344 3 0000622836 00000 n 0000622930 00000 n 0000623084 00000 n 1350 2 0000623192 00000 n 0000623362 00000 n 1440 1 0000623520 00000 n trailer <]/Prev 191>> startxref 627607 %%EOF