%PDF-1.6 % 2083 0 obj << /E 51592 /H [3694 808] /L 1117724 /Linearized 1 /N 54 /O 2087 /T 1076013 >> endobj xref 2083 118 0000000017 00000 n 0000003401 00000 n 0000003649 00000 n 0000003694 00000 n 0000004502 00000 n 0000004667 00000 n 0000004853 00000 n 0000004897 00000 n 0000004989 00000 n 0000005276 00000 n 0000005750 00000 n 0000006048 00000 n 0000006443 00000 n 0000006491 00000 n 0000006561 00000 n 0000008672 00000 n 0000011380 00000 n 0000025465 00000 n 0000035703 00000 n 0000038883 00000 n 0000039152 00000 n 0000039259 00000 n 0000039437 00000 n 0000039543 00000 n 0000039669 00000 n 0000039833 00000 n 0000039990 00000 n 0000040136 00000 n 0000040300 00000 n 0000040426 00000 n 0000040589 00000 n 0000040790 00000 n 0000040890 00000 n 0000040996 00000 n 0000041158 00000 n 0000041297 00000 n 0000041472 00000 n 0000041560 00000 n 0000041729 00000 n 0000041894 00000 n 0000042011 00000 n 0000042186 00000 n 0000042341 00000 n 0000042490 00000 n 0000042663 00000 n 0000042761 00000 n 0000042906 00000 n 0000043066 00000 n 0000043170 00000 n 0000043293 00000 n 0000043406 00000 n 0000043522 00000 n 0000043628 00000 n 0000043779 00000 n 0000043903 00000 n 0000044059 00000 n 0000044167 00000 n 0000044266 00000 n 0000044418 00000 n 0000044524 00000 n 0000044631 00000 n 0000044730 00000 n 0000044850 00000 n 0000044976 00000 n 0000045102 00000 n 0000045263 00000 n 0000045356 00000 n 0000045456 00000 n 0000045578 00000 n 0000045693 00000 n 0000045804 00000 n 0000045914 00000 n 0000046042 00000 n 0000046187 00000 n 0000046312 00000 n 0000046415 00000 n 0000046564 00000 n 0000046688 00000 n 0000046845 00000 n 0000046944 00000 n 0000047044 00000 n 0000047210 00000 n 0000047344 00000 n 0000047474 00000 n 0000047572 00000 n 0000047675 00000 n 0000047793 00000 n 0000047890 00000 n 0000048014 00000 n 0000048124 00000 n 0000048238 00000 n 0000048403 00000 n 0000048535 00000 n 0000048650 00000 n 0000048775 00000 n 0000048886 00000 n 0000049005 00000 n 0000049125 00000 n 0000049246 00000 n 0000049371 00000 n 0000049477 00000 n 0000049593 00000 n 0000049702 00000 n 0000049823 00000 n 0000049930 00000 n 0000050032 00000 n 0000050144 00000 n 0000050250 00000 n 0000050410 00000 n 0000050499 00000 n 0000050656 00000 n 0000050760 00000 n 0000050883 00000 n 0000050986 00000 n 0000051093 00000 n 0000051212 00000 n 0000051333 00000 n 0000051448 00000 n trailer << /ABCpdf 9116 /DecodeParms << /Columns 4 /Predictor 12 >> /ID [ ] /Info 2077 0 R /Prev 1076000 /Root 2084 0 R /Size 2201 /Source (WeJXFxNO4fJduyUMetTcP9+oaONfINN4+d600rHpAVcnyA+kKHe+dJMwfCJA1UNPB9khgm8VtCFmyd8gIrwOjQRAIjPsWhM4vgMCV\ 8KvVF/K8leT8O1Gc6bFNWC/V0Qid7lreN184DYnGEg=) >> startxref 0 %%EOF 2084 0 obj << /Lang (en) /Metadata 848 0 R /Names 2078 0 R /OpenAction [2087 0 R /Fit] /Outlines 2081 0 R /PageLabels 2068 0 R /PageLayout /SinglePage /PageMode /UseOutlines /Pages 2070 0 R /Type /Catalog /ViewerPreferences 2085 0 R >> endobj 2085 0 obj << /Direction /L2R >> endobj 2086 0 obj << /Filter /FlateDecode /Length 718 /S 900 >> stream xݕkag~14K#6?6چi13sX#%rmD.%IZ~I/@~"QIF!zC߿yys9IJ2(_|[ @_HUgz`d ޫce1' Mgx=b! RbǙ8z :X#%_%UvI%m%V5~xO\RKH uRs_zS+ԳѰ^lCV|1|_ 3=ԇKeO^03C쉖m[Ffsf!<Ͷ?2X aIm.hj~aUTó J,Pm&rsr~S|<96`L3[1l>߱0|E+̯b{)i6{ [?=},؈ pȝ _){x;}=¶;w2.] fp;c,_ >"»P`r Mwi3p.}FWl$}@:_1ߏ[0 SE1[I F_lZ!7/Iw!t endstream endobj 2087 0 obj << /Contents [2097 0 R 2096 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2071 0 R /Resources 2088 0 R /Rotate 0 /Type /Page >> endobj 2088 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT2 2092 0 R /TT4 2094 0 R >> /ProcSet [/PDF /Text] /Properties << /MC1 2095 0 R >> >> endobj 2089 0 obj [/ICCBased 2098 0 R] endobj 2090 0 obj << /OP false /OPM 1 /SA false /SM 0.02 /Type /ExtGState /op false >> endobj 2091 0 obj << /Ascent 1079 /CapHeight 1000 /Descent -250 /Flags 32 /FontBBox [-479 -251 1780 1068] /FontFamily (Segoe UI) /FontFile2 2099 0 R /FontName /MAMJFB+SegoeUI-Bold /FontStretch /Normal /FontWeight 700 /ItalicAngle 0 /StemV 154 /Type /FontDescriptor /XHeight 1000 >> endobj 2092 0 obj << /BaseFont /MAMJFB+SegoeUI-Bold /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 2091 0 R /LastChar 122 /Subtype /TrueType /Type /Font /Widths [276 0 0 0 0 0 0 0 0 0 0 0 271 404 271 0 575 575 575 0 575 0 0 575 0 0 271 0 0 0 707 0 0 703 0 624 737 532 520 711 766 317 0 0 511 957 790 758 614 0 653 561 586 723 667 1005 655 0 0 0 0 0 0 0 0 538 620 480 619 541 383 619 602 284 0 559 284 916 605 611 620 0 398 440 389 605 542 797 552 538 479] >> endobj 2093 0 obj << /Ascent 1079 /CapHeight 1000 /Descent -250 /Flags 96 /FontBBox [-458 -251 1452 1070] /FontFamily (Segoe UI) /FontFile2 2100 0 R /FontName /MAMJFD+SegoeUI-BoldItalic /FontStretch /Normal /FontWeight 700 /ItalicAngle -15 /StemV 153.34 /Type /FontDescriptor /XHeight 1000 >> endobj 2094 0 obj << /BaseFont /MAMJFD+SegoeUI-BoldItalic /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 2093 0 R /LastChar 117 /Subtype /TrueType /Type /Font /Widths [275 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 635 0 530 0 709 0 317 0 0 512 0 0 759 630 0 640 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 462 593 520 0 593 0 317 0 0 0 0 0 575 0 0 400 0 375 598] >> endobj 2095 0 obj << /Metadata 2101 0 R >> endobj 2096 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2097 0 obj << /Filter /FlateDecode /Length 2027 >> stream hYo7~߿9^KUNRz᪄%{B"d[{cYwUgWӠ+ W{nW;uĪǧ'ݧΪ*ZC\OA}쌊 ;[P >uǯow|{X.7+u\jutr6f ?VVʇAC Q.EСAw }&4~,"5)h;@ݧ @4@zƊھ_[zL0ܱٲl9۟o?>fc>Nj[A:5ŋQiPS.C W@?Š:L s4V̫9iZTZgjcjg@[ l¥@ːրd R<r JS &8W \|D.~v0UR) *w]3lK퀉{/ӽK܍D$U!y&i-PHXDDVЌnb8ZiL(p`%^m-K >\ Y:4jBوDDe3mAz6-b0Am{CJV7v^ڦ}ld5XY~\U-Qgf1h qFUa,r0GqJƍ\Q#S\~~vCwE=M~o1ӗS&,eФL()#cM@=!ZRF>)Axpɨ;0pbrbқ8\orO,,_P -+@{!B ">oLG s6nB*C1p!^ˠ2VO>SmO Kѓqģ{։q9UA*4`3Dn5UdIĊå 'vr |F `ccv/hKnoeqe%Gn$yK5stL̎&!-cF߿؈O*pN0#l7oېl6LVWv\2imS|KSz=y3wNp8;o{ M"8IEA/ۜ D&,wG5QKLcn=_WV +#Xm/Іxh)E8pha&}q¤U*؈Um+g/yyZ!}S@m^:]E_Qc'h]lᶈ6bL?E GL0aۈ&`RYb0wº)D_T2jbT~f\\UDB~gT~JcXbr #Վ3@m4m ;CB[F~ {rFN*Z9ַ/U+F, h-o'A "kP| M;^.Y-wGRE 3 Ԓb SB\F?~_]^Vټ[oџ˟% `{qS7XsOTA$V#xI ҠX{lszy}wکר2ug &KכݚNETpRlvxOQx?*06pOݿ 'v endstream endobj 2098 0 obj << /Alternate /DeviceRGB /Filter /FlateDecode /Length 2597 /N 3 >> stream hޜwTTϽwz0z.0. Qf Ml@DEHb!(`HPb0dFJ|yyǽgs{.$O./ 'z8WGбx0Y驾A@$/7z HeOOҬT_lN:K"N3"$F/JPrb[䥟}Qd[Sl1x{#bG\NoX3I[ql2$ 8xtrp/8 pCfq.Knjm͠{r28?.)ɩL^6g,qm"[Z[Z~Q7%" 3R`̊j[~: w!$E}kyhyRm333: }=#vʉe tqX)I)B>== <8Xȉ9yP:8p΍Lg kk Ѐ$t!0V87`ɀ2A. @JPA#h'@8 .: ``a!2D!UH 2 dA>P ECqB**Z:]B=h~L2  5pN:|ó@ QC !H,G6 H9R ]H/r Aw( Q(OTJCm@*QGQ-(j MF+ 6h/*t:].G7Зw7 Xa<1:L1s3bXyeb~19 vGĩp+5qy^ oó|= ?'Htv`Ba3BDxHxE$Չ"XAP44077&9$An0;T2421t.54ld+s;# V]=iY9FgM֚k&=%Ō:nc1gcbcfX.}lGv{c)LŖN퉛w/p+/<j$.$%&㒣OdxTԂԑ4i3|o~C:&S@L u[Uo3C3OfIgwdO|;W-wsz 17jl8c͉̈́3+{%lKWr[ $ llGmnacOkE&EEY׾2⫅;K,KhtiN=e²{^-_V^Oo§s]?TWީrjVQ=w}`嚢zԶiו8>k׍ E  [ly邟~_Y53rW򯎼^{7so}x>|쇊z>yz endstream endobj 2099 0 obj << /Filter /FlateDecode /Length 13985 /Length1 37796 >> stream h{{|T5k&0Bf€ 2@!D h!QQyÈ*\kG?*)EJh0~> aw?/'|Zk%"ics|}WxQQZ3ݧϺW1o;'׳;&)̪.O7 ;gMӈV^w9n SK #74KJNu^ʻiTxOR7cZQzns\/I>baZRH]g#E23.` YOc%G"oE{|50UTk+8-(޽#{ߗݵUYRS<ĄxWӡ,12ҚzVi( }z¨7(x)/P%h[%h&Z$jQ 2]hrd2 eYefx+/9ן%lsVKK:~޴Q$s|t5Qq*,yUr1=:A^nB `=0 X6 @Py_u8zZ#GPzUʥG~j?g&ڠU5ZiSVtQ:4"ֱ_ky[Bz1 TFQr}4L9 T0C}Ez75JtTa<FG55*CG?NEF*w^#E'r;9QF0 i-ou||bMn .cO׃O6 Xp:@_vg3 -iJT 끞-Hi 8u3s<`DܵE]2K iV 0h#Uh>a-`G!mptW6HzȖ[̖Rr纗v}Jc>ы_eGD/]ؔ۟8 <f&H[)$R$ :ݳic ׅ,nF`sks;3 {BW_1-vlI瀽{y vcQ5ඏu®OmE_?1b QTrR/7¢eQ~G>U:Ca6eJ5+T9P7M2ףl{f}.ݩ>`?s74GZMI^Z!A^Hom?VVc)Wgrؓ@٠O#l ~_L9/\c+XyRoKYӚԇ&ci??|B& |1jb# XkrqP}yأx_l4ku}&pysI]FA61- q߯s ?2؏ChrҔ6[ۗ{  ?E;Oi3e (/~=s)t2n +/(Cj5>c86N)AcQ9 !?lˀ[g.h9a[G>yh.q.F}6%=R~_6 RkoS~F*lEtZg!9*6 {j 9i5Z9HG ) 7sq`;0`O_aρuXC*o|~{dBmT]Cs;7R! 0BѨJ{/ u)or"/_er<5(cNb}J:L9d [Gg_,k=b/zL_jjn5y5)G_؟`w|=]ČxqڿI恚<{^Fަ$ 爭_u)';1y~}XFvK׀.]A;@7.ϭXja5Y԰ko8:^t}KX)3]\D~hR(Ž l{ `D6N08y;ؒ4hwb5pƑh|| N9y)#mqxSqn~pΟ8| |cV im׍3@r8f$CqR6>Po2W8b@߈s; lǁ,N,XO񹳯q8iϖ-G[.Xgk<[^OXz#W@/g^N_bƇ7^`wn$_x~aQ?x<{)!ƫwW?3i\y,iSqqgE@c F^@' y=6!Vݮ6>A-&K_>^FlǢ-_Qi 5RȘt" Ьl&"[9#C@Y6(P!s(c8}(kVj~m*[C94W">!ǟ Τم;xMZU#s.b)F7Cs1j?~7,2gVFL^ g3)ox^PqzX1bF;i@w$)!y: slD _qScz΋8'z闣w+f5a,?|{,9X=͵]T>IhLAk/q(B8N$!LNH9"&lqj`R'f2a I[8L\HU,qr}:t>;c iF:lvoC"Ͱ®aQFQM|$'y1xCUiX_<<X;k.wa)ڪ+1n^WG`ͷ}l,kUF3|*!Mk@assG/e6;U|ss/v ~R6#+RfJSGnxHC#%8P\s{v"ZPUB,7y]=C,Ii$q`#wtG/>=ăkVM9Q4KŦXk(sxMU/ϋX?GLp|y>E%Co4^.]M q^GHht7x{2lZ܅Oej_1g1(w?z;Elޡa;bv#|Dߛw}xgN>w GllQ6|MPCBL_ [wb/f9h#|79-m6fB/8 V:63a>HqvxD:B#9CTt4U~2?wr=,@ (#2q=1W"6sO16Ӳ(7tI܉=<(σX} oS~DcP in,PiN,α@9Ccr.{=<\n@,P*cɸ{,P; qbW+z8Ɍ<[m9PBZoDdYcWB~A8zG8gNģME\1 40ĴŠ̿m7Z6+1OCP<Ɯ5cAW3NAkMNBq]?kN=6)!>~Uclv2H}&"~xO~m'=8o}cGnWݕ'N8_E}43e/i ]-f}N.!KB:$k l1Gq4ۅ㈰țѿ7 e7L0"QvmSgV`%~ kY|g˲MnooP Q߆.;0~ahҏRL|߻i|:+`/O{6/uDlkx%Z~[kC:F wӓ>w1/w^髵c=62iF6/T[mt㿥ܗB9&J]1 $h1ӆZA.@ggw Qˁq f[cc2N5Si- E2Ӱq ͩtNADᎿAIs /?{oKP.𨒂xTߋn6))r)%p?DŽQ%6ϋQ |Q|=9{.[6|}jgO|42:BJtY廁wj^ÕҎrm@&5ΚlRd,Mm;JŽ_{\qKԖ޸ }܎^RcҎNk{P؀EKi M5#౶xׁne:7 nXx0V7>IAQ}Z 2r)ԗc::i%Uc/;39~4 p7Ss~`llS4ø:",M_Sp"mg gu:/?,hico^doۿ 1{^:hֽBtTtaiֻ""~Z({mGQ=>࿏B@FwMp9{9pJ j˭8S|K: wF`<;-X)S@M[ 4g/~631 '޵wܓw3IOhjMZ jP>:> ZW:_b]r퐔GzFwq)ű w7͹8CDOQY95>hCj[Qu5Ǖo̳_ lwƆ"̮upthRG2ХMZC Yp%\,zoRfVѤYQ` ja-aG;0= =}@zJPzB~z\t-/]Mkҏ|쫤/[n? :Vycgo>ʗݣg={h>nId'!9 &493;Y.o?G$yYLGtwFbe}{_]ܣ{qb_qboqfqzqJqrq\V[NP5S*z@CfW+7laǵ(եGUʣHJkYnn'Hk|67DzKOtJ= ~ǜ ʶ\ݣ\]^)+w_o׏c!S ]Џ/9wivz^!\-q\ V*`z2o!SLB`(baA&+$jB-)E- %-B'o[F5QOCBLӎq$o)綢s9UG4ƝEi=2/cyLb1s{zL0>cX?NMԸ<r7;qKbNFe]l.[r.'ȃE$;׼r .PUEְL1Շ*SIu6L]1}(?wbDO(JJZ=G~n2ҝQ yՁ pQpI3>! "&@[TB%1_h,rA>:(OqLU`#'qqYd)Y<,fKYtE7n5M{¹Q#Gh JT\jBnm& Ue^WSYK(7w]e씢" ʦZm#;X ]ط+ 3%ӂjLrĤdYIP]qIJBBq&7nHP5)HNne`'$k*KX(=URa\)E_*gAOF(ϽD݁{ B~?=}Y]Y75"M\laée%5ϲO *KD:C#6Yd@rI}p3z Lz& LzI+T‰/g竦;e"I'hq覥u T6={.#Wl;O<3GڳaVj";EnC BnΌ[E)Up},11%nJl֎ Z*&ڥȭ_n_WkΕGDRViOx$iP[*M\XJ,&Qs-]ukXxH8VV|TR־OUלigGZv3Y%5ѽH [dJC():e 2CJ3Iql? 5oWZ6=|m{?=u0uڽ5Zb&%&(8%aJ:tUm}ԭֆ @HHaM16ȉm2C/88$-OXl$8N3c{84- !3#?^7_wׯ_ݺu߭$pހDLŲXva#%nn.Ic<5w+`1Ofyu"g˹,yִ1 aI728u (X4cBߥ:z晷~cr=BϜ.^}Ma)QA`("ٜ E!.: n4 $CbB)F@?e4Ĭ_JG㣹c\e lِb83\d&ff4m(V9Y1UA,x0p,YtE_a02N1*KǶ.~W} z$<.AtUUukHl V6G*#$,_G2%^R`y6*!%aS#MSw&00vgfnt+![j'Z-P(s$%B e,qm)ēH0Y.SR4}uOjy+61sfܲ5wli/7k֟-щr"%~ʼnI/g#ib洮Yq\E*D%7D݌s;x# YB+\^s#m@XD_Zv7ˀ owJ(+* [An4 y"2N}"_вT I&f|Y/5* \Mp a"RFNLP>(!.VEjj5R'}z\\y@]Ǝkb>= M&R7΁V-\sDL8Lj eYKɂ?tik6h lqi feM/?p)+ϳ>ϬfudL^3^4e@us4uC 2ZU DMp6Ln5 [Mb#D'Lnp6, semuڋߡ^kI/wq&is>:~&^]T ~\`}`K*jV $^Bp@\=jvNۭ{\]H@5I7e9VuuAEÒYYrQ)- \Ġ,se_z^;):{Ǭ,^B'{JYn3 pk2t8=fOc&EKT(i!W|0T#NI >Z|ps_ճ,뜿sADOh=<$6Ż^1z,f P u:ܬmwB+0´|l1G/# ?_vQ/dbhGlToQՁEh3ć!(l^c様wU;ܽ šH?>![ȼ3ňÈ` q  Enc{Mǎ"'q:}/\tݪ$gMqqy`Be3j$o`"6K5{_‚^lh2v}5WUq<ѠxQ4#bd,vw Fsb g3جLCe$),)2#V[V]OÇ?: ~}jˌr܊h* 2.u>ie>p1e+c߂yK@ %eՏߒo]Q/Bh JcCrXw[b^G0պ~Xf7GMS.? @_e . {`ш%)\*G JC /"q}@BHr#R> tzv`.pW Lt6zqh{zQLy?L;-2zL44Λ282L==gJ*i^r?¶ϙ4 %M2HF`b,0C^ޅPtkHY:#.XEk':D.W@?fChKQt6˅m NS'~OYPdž6SUA^BfHKk69&m'fݾ-e5]stD[sǽS$&%&{, %niY0O\Աrrа\.rl]; d0+//`W*rZKA=bE=s(Y"!g 7dzy6zA<{CK^S }2mp5Ȣ+IFy"p\R?Αdy¾܌Lo` (rF f)%oR@e5a֑"57 7Xq63s e[ɝU"'SYIɶC~kIg[`0fIyV 1JG*'[ܞ-B;wU)Pvkl DnQMtNc*^pc,bsN/1%~P c9@wsk 1"xb1InÐpQ5'pVK0m`W8­8!%O;S;l_YPӰ.pY-}sdeh|{)dQ0s` UGr\U.,]Ȁ:Q,a9T(-QоZ?I tԇ?#;/o3/VÏ@__88nڀB\W!A ,P6ٶ"d~ =ESv j 3Jۥbƞ@UxEW89,$%СC:tСC:tСC:tСC:tСC:tС m1r <_̈]t [Bp& L_0 `Qq("ect{] &MFS5OG3u9s[?ăEk a6@(JPDcmh"jBwnmh*8456tZ=88xo?5[WaF0ȅf<fMpԁ*6FIQM`/km>Yh_<.m@qŔۦ4L\sѵ+v|S8-N+gMg.D Ѕ¹qZ ._L7DKwEh1|F9Nz=vS)գZTEpN4&Y9Zio+Gùp]U7`mX;2Ůʵ"xj c݊_ Lp͇#Aq!q-tJU_D>~YNCݬQqjk܊~:!U endstream endobj 2100 0 obj << /Filter /FlateDecode /Length 10138 /Length1 27028 >> stream h޼{ |yי=a a 2d! d D %$@A6Y `h-R(RP+bj)Z(̄8y{{g$Bh9IT>d#-cA3J>j՟'G$l?R,ҹDbY7Z[D哦-D]0T/>"<2P1(QRYc>f &9Y.G=YfN2d1O7k朹;Wx':/K<"I'B f%̜4Q0*bQE9@>'9 _J7>ޠa Y)P !ƧDBN&FrOv2r"v6+"w7=l|؟]*(./3z!>׋xM?9%aPBBP$ 6=OmB Jnj3UzZ9p{&8=Hki HczEtr'{S{ކvBwEDo)J_Ў?z!axBDy7=J ,&S(9WV lws퓓;#=-=ݻu풒dl$&thn  0TEDzqZ\d_Tۊm&< Ff-ܒJSEiQ̮Tlj D6^ZLGPZ͚Pk-VdF.lR .-/csh_TQn BhR6)Wj#&ȡ Q у>˾ JJ'C57ez#QX OGRgL{kkwP`hB H/݁A( bn!8_bpI"C n ͹ [1䆚ț5١|HOÄiɚV5=5o5z[}h&բnK*e(T@Mow7R`iDo&p5jlŨ (r2k%Z] AEgRcId 66hOvV %%6̆J-qmqhO^Z[MZe+vzG(kpeL4/u4諥dJ1]*iܜ GBJkjvޱX[=,&L)Ҝ:J}0[mPͻ*gT3$Vz,|DCe7Y@ݡ;zUJ5ȕw!|b!m hAsŶGSo{}cqcHJkKmƚlxdk8Ƣ|֘ҵUAMVZQEŷP16k^kSSssh:ނa̖Rn^*5S6?d NYc7"U%Lѫ0 b54mP'o=tرw5搯&z8Yi^c^ŕ Np[%ǡ_7ڡJjfnwdK2ޔhx*\&&=fkJ9b yЦXlGn;)ʤ  T7Rl6*۔RXծ:[ mL6,ia+|G7i^K\ϒi1J X =_s:TOXJ, |5KMnj˼٫5|94U n+ƴitym">ַI-Aݸz]vtiv8vsljX%EKT&<8tSxpX%+]{DA,)ڝ:K5zKy!XxG4/9뵲^ }e=e&_2SM 7Q#m3}`0X鎘jV!oy+ԢNfLt vo[,GNەAlԗv ֱD/[Y+ "h*P,Q)o Ki)jVd͊R(jPU?G3N*>D֢Fy<^j=hT4uwQ'yYg_;Y7\?u~ uX[83Ϲ!i0-6^5fZb8A.p|Gu=Xh}(?%sQ=FNy^p:F!oLsmk HC}'6y3 ȓσ1J9j_+c2oQEi4BD Z/H&YK}r^2R]g!7)svvM,tm\&W rDa.ɫ_o\?b6-m+h.-h8tr 0IHRbc:24@z7 >?f _tݐPo3^7n9yeOAar+k#4^:*^}=!]y wu/9Ǣ5{xnKMT|8/bFu"Zɡ;mkWTN jy3܉u]+ݟp1]a7-Ir0o1pQYLt<r-ʻ=>F>`Wl zo߁F (TdiNTh ]Kh 1O]37+RO 7)z(ny?XGbInG,^=$bQKG}~_K?&QȄc:sn,!j !A;R>VPTTRHS@To _LX*3N)iqhwx>ۛ/ʤ:foS'1qVo`o1/5ļ4矃 w#y2YF}/9@feޘY,]}rŷnm{ǽ>6$;c01Xϥ #/[_;[o z/?B9~wC3A /u\Z]?-w{7|gpF G f#Jo;ׇu|Yso}7k?? ~w^_,6*+<?sϧ.Z b!ᷦzgњ62;6-(Yއ]Y~?n_cMʚ@_A%c?=;MQڠr8 }~?}7.B]4VyGkwlvVNqR2uK7^2NšK|Cql@gR*cY}Rokq4IA|sz)~{ [8mPkv9UIi!9@bZ`%# {#bF{=&w=v }ޥ` fLDmr.\o \Gx mW2(͐(p>^Q{[(o45 'hJ}e[{rqv结yo<l9G KGv;fq:QGԎTE9ʍfbe;acg0ǐxl׆+CuuCA?ʝxKx <޸0įrxm8mS B* e)\ZP2,USUQ<]Gx脻\1 HhW ]X6Yމ{LR^ngm; fw_& 1{iRoڊ`"US3߅PON)t*-g➵Ë%6R,H|HsE~%|tN 7Lq>þU q{i:u -K )PslF'iMŒzP>b/?W}$>ɾ7o2 'ɗQo +,\ B؟b˹TVz}H'8;L>ֿK; w%( y]{i.p)RGa [*KQ<c=WL6 {p|/q_>oonN\{p.o^+Xc7}넿=쌸G_e.]=r8ƊΧ!4M|IUiuֱMF.1wX|S׏=:30MNESl#uĿ!=C ^ 1ȮЛRZB-*A\vgp&ͬ 4_Yt;}GS۽{%n6=ÿ>Iz@JU8^< h><"dX ?>voK*=%>V#!pېt\益;d'~o%5ꅸPP"F-ƽhҿ HQ)- ϥ{TO8lǘ #.i';G5!lwzo.XWlβhP p dc=wqGw{dykq7$[1s>34pJ)7.^b!@Z>"B"]^l 5v?.?1"oӅ#alz=8muds?lM$Mt%mQtI@[:Ӡ<ݎUψ!< ?ߙQ~#! "?8Ktѿ+'$BDΊO?X|oy 1}>13a莓cd|Lh2OhX5CEEօ@B!d&'~8s9>phw+}?;͖,qXg^%QbcZl::DbC"Y=knJnRn\KnB97.7:7"7,7 Wͅ-O2*,"aZldeZ@(nAx ~*5"HDQ(~!W2D@ZYͪuUv{'ty*-'TEeZPl+?]SJ%Zb\|vdrvV],Lv橝_S2y]2bo>Sgn [ќ7!{|] "+ 4cP>J`C-d2 /㰹Je>@! qXjpkx5ܺC 7*5ZM\;+{uw9(STTH KI2\Hsd|zJΡbtT/fIjS응/%5b\G,ͬ_;2f [6]>W#76~E.0YMUޜ1j԰xKDk/OyLʶ*D`e(r\q\KV`AT;]HݯKXw3}uuD::k؆7WU{h̬HkU ~Ċ}]W6f;;Oei,oWZ]ӑkO$Dw1tKG=2>#$@ n],wY'^y|vAX\P`\0XxAj/+*,pB)Va 'oiwBECĬ|P):!_R%6Scy_3mͮU-^RHv猤nwM-hʶetMGZ>1~hAA O|U].;tȞ>\ñ>% xqF=t=ٸ̦ 5TI6@XE{d[fM_6׃fF#MӇչA%8Ô`2Et"ȑ^pVtLL,t"%V޽ed+޽cpDy:/,fw[=bi6QQƸ FHi;aacܳb9 Oɔ . LAMQ1^ŕ,&⶝V0m~X ~UZyZ=jj9ƥ7tbz=[׳8$R<~fGwkuɟؘ}d+5(<: \)yP&:{5ǨIMĕPCZcdWi\f6%GB CrbѠ́*^~ج% 2CL֬E=҅D׸QPG#GNuǮ,"|vHU{9g~Wp-!aQ9 y4.&D)a7|UU6Qm گȳ!&S6=Z45295|䬹;>chQwW9 PCmM qsG8gr ɩ)Ec2$O݉S3ǣI^B) 8).&xQgJʭXjdeJ&*)̺%8Vv 7<;R; Svɿ#.[KmS[Ȍ̾yG_1TCy^Gc'b 1"M7-^v sǭ=gfd&ӧ>=pT䂺:S >1z̛www/0l2 dQ6cclc`R5T2gfC(:?5}hm`dx,HA% ]]!sV'w2g@k@).dqLaaM1BJe- HOOs qp"mYYRdBTk.IAE&ˆb[ KB]S ChKLSwD __p䞾{ _T`wC"~R}im"ddlA]TDb;5bUZԆPBSSԍ nD+)WvV5y3m漹w&oλsQ3z <|>M?zGW C`^;]f'ۇF_Tѽ&s'*tP[;:80m$إ|t".<>\;2yOoU 5,;         x:`YÎ/)Gp f?c0 M3{^:=ou/8ƐxSmyPBK*j㓌g6jmsyT]_f{/ZJnqʴgX7⢫5ᥫ=Ϸ2uZ}g\GY+%Ѯ\K'zFJlb m4ы>na$i`HL-]P#7adEnaZ_s-^o23$.QtaZMdmSLcz=. Eef߾GTYWccgvdNnf^Fvy-ZPET5xfU:C-T5ߕ_Ͼ4UrWcX'zX `F=( endstream endobj 2101 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-lrg.svg endstream endobj 2102 0 obj << /Count 12 /Dest [2087 0 R /Fit] /First 2103 0 R /Last 2104 0 R /Parent 2081 0 R /Title (\000A\000X\000I\0004\000-\000S\000t\000r\000e\000a\000m\000 \000t\000o\000 \000V\000i\000d\000e\000\ o\000 \000O\000u\000t\000 \000v\0004\000.\0000) >> endobj 2103 0 obj << /Dest (G2.1001704) /Next 2132 0 R /Parent 2102 0 R /Title (Table of Contents) >> endobj 2104 0 obj << /Count -5 /Dest (G13.228151) /First 2105 0 R /Last 2106 0 R /Parent 2102 0 R /Prev 2107 0 R /Title (Appx. D: Additional Resources and Legal Notices) >> endobj 2105 0 obj << /Dest (G13.270676) /Next 2200 0 R /Parent 2104 0 R /Title (Xilinx Resources) >> endobj 2106 0 obj << /Dest (G13.278147) /Parent 2104 0 R /Prev 2198 0 R /Title (Please Read: Important Legal Notices) >> endobj 2107 0 obj << /Count -4 /Dest (G12.228151) /First 2108 0 R /Last 2109 0 R /Next 2104 0 R /Parent 2102 0 R /Prev 2110 0 R /Title (Appx. C: Debugging) >> endobj 2108 0 obj << /Count -3 /Dest (G12.287236) /First 2195 0 R /Last 2196 0 R /Next 2192 0 R /Parent 2107 0 R /Title (Finding Help on Xilinx.com) >> endobj 2109 0 obj << /Count -2 /Dest (G12.293131) /First 2188 0 R /Last 2189 0 R /Parent 2107 0 R /Prev 2190 0 R /Title (Interface Debug) >> endobj 2110 0 obj << /Count -2 /Dest (G11.281076) /First 2111 0 R /Last 2112 0 R /Next 2107 0 R /Parent 2102 0 R /Prev 2113 0 R /Title (Appx. B: Upgrading) >> endobj 2111 0 obj << /Dest (G11.281078) /Next 2112 0 R /Parent 2110 0 R /Title (Migrating to the Vivado Design Suite) >> endobj 2112 0 obj << /Count -2 /Dest (G11.281083) /First 2186 0 R /Last 2187 0 R /Parent 2110 0 R /Prev 2111 0 R /Title (Upgrading in Vivado Design Suite) >> endobj 2113 0 obj << /Count -3 /Dest (G10.279619) /First 2114 0 R /Last 2115 0 R /Next 2110 0 R /Parent 2102 0 R /Prev 2116 0 R /Title (Appx. A: Verification, Compliance, and Interoperability) >> endobj 2114 0 obj << /Dest (G10.278239) /Next 2185 0 R /Parent 2113 0 R /Title (Simulation) >> endobj 2115 0 obj << /Dest (G10.284707) /Parent 2113 0 R /Prev 2185 0 R /Title (Interoperability) >> endobj 2116 0 obj << /Count -1 /Dest (G9.301270) /First 2117 0 R /Last 2117 0 R /Next 2113 0 R /Parent 2102 0 R /Prev 2118 0 R /Title (Ch. 6: Test Bench) >> endobj 2117 0 obj << /Count -2 /Dest (G9.301272) /First 2183 0 R /Last 2184 0 R /Parent 2116 0 R /Title (Demonstration Test Bench) >> endobj 2118 0 obj << /Count -1 /Dest (G8.274377) /First 2119 0 R /Last 2119 0 R /Next 2116 0 R /Parent 2102 0 R /Prev 2120 0 R /Title (Ch. 5: Detailed Example Design) >> endobj 2119 0 obj << /Dest (G8.299996) /Parent 2118 0 R /Title (Example Design) >> endobj 2120 0 obj << /Count -4 /Dest (G7.304645) /First 2121 0 R /Last 2122 0 R /Next 2118 0 R /Parent 2102 0 R /Prev 2123 0 R /Title (Ch. 4: Design Flow Steps) >> endobj 2121 0 obj << /Count -2 /Dest (G7.304142) /First 2181 0 R /Last 2182 0 R /Next 2173 0 R /Parent 2120 0 R /Title (Customizing and Generating the Core) >> endobj 2122 0 obj << /Dest (G7.301233) /Parent 2120 0 R /Prev 2172 0 R /Title (Synthesis and Implementation) >> endobj 2123 0 obj << /Count -5 /Dest (G6.274377) /First 2124 0 R /Last 2125 0 R /Next 2120 0 R /Parent 2102 0 R /Prev 2126 0 R /Title (Ch. 3: Designing with the Core) >> endobj 2124 0 obj << /Count -3 /Dest (G6.290926) /First 2169 0 R /Last 2170 0 R /Next 2163 0 R /Parent 2123 0 R /Title (General Design Guidelines) >> endobj 2125 0 obj << /Count -3 /Dest (G6.296075) /First 2157 0 R /Last 2158 0 R /Parent 2123 0 R /Prev 2159 0 R /Title (Module Descriptions) >> endobj 2126 0 obj << /Count -4 /Dest (G5.339500) /First 2127 0 R /Last 2128 0 R /Next 2123 0 R /Parent 2102 0 R /Prev 2129 0 R /Title (Ch. 2: Product Specification) >> endobj 2127 0 obj << /Dest (G5.291343) /Next 2137 0 R /Parent 2126 0 R /Title (Standards) >> endobj 2128 0 obj << /Count -5 /Dest (G5.378192) /First 2134 0 R /Last 2135 0 R /Parent 2126 0 R /Prev 2136 0 R /Title (Core Interfaces) >> endobj 2129 0 obj << /Count -3 /Dest (G4.288657) /First 2130 0 R /Last 2131 0 R /Next 2126 0 R /Parent 2102 0 R /Prev 2132 0 R /Title (Ch. 1: Overview) >> endobj 2130 0 obj << /Dest (G4.311402) /Next 2133 0 R /Parent 2129 0 R /Title (Feature Summary) >> endobj 2131 0 obj << /Dest (G4.302506) /Parent 2129 0 R /Prev 2133 0 R /Title (Licensing and Ordering Information) >> endobj 2132 0 obj << /Dest (G3.1074050) /Next 2129 0 R /Parent 2102 0 R /Prev 2103 0 R /Title (IP Facts) >> endobj 2133 0 obj << /Dest (G4.297735) /Next 2131 0 R /Parent 2129 0 R /Prev 2130 0 R /Title (Applications) >> endobj 2134 0 obj << /Dest (G5.310804) /Next 2147 0 R /Parent 2128 0 R /Title (Port Descriptions) >> endobj 2135 0 obj << /Count -5 /Dest (G5.297748) /First 2143 0 R /Last 2144 0 R /Parent 2128 0 R /Prev 2145 0 R /Title (AXI4-Stream Interface) >> endobj 2136 0 obj << /Dest (G5.291405) /Next 2128 0 R /Parent 2126 0 R /Prev 2137 0 R /Title (Resource Utilization) >> endobj 2137 0 obj << /Count -3 /Dest (G5.291368) /First 2138 0 R /Last 2139 0 R /Next 2136 0 R /Parent 2126 0 R /Prev 2127 0 R /Title (Performance) >> endobj 2138 0 obj << /Dest (G5.291374) /Next 2140 0 R /Parent 2137 0 R /Title (Maximum Frequencies) >> endobj 2139 0 obj << /Dest (G5.291382) /Parent 2137 0 R /Prev 2140 0 R /Title (Throughput) >> endobj 2140 0 obj << /Count -2 /Dest (G5.294232) /First 2141 0 R /Last 2142 0 R /Next 2139 0 R /Parent 2137 0 R /Prev 2138 0 R /Title (Latency) >> endobj 2141 0 obj << /Dest (G5.402486) /Next 2142 0 R /Parent 2140 0 R /Title (Slave Timing Mode) >> endobj 2142 0 obj << /Dest (G5.402524) /Parent 2140 0 R /Prev 2141 0 R /Title (Master Timing Mode) >> endobj 2143 0 obj << /Dest (G5.297192) /Next 2156 0 R /Parent 2135 0 R /Title (Video Data) >> endobj 2144 0 obj << /Dest (G5.322674) /Parent 2135 0 R /Prev 2154 0 R /Title (EOL Signal - s_axis_video_tlast) >> endobj 2145 0 obj << /Dest (G5.402637) /Next 2135 0 R /Parent 2128 0 R /Prev 2146 0 R /Title (Video Output Interface) >> endobj 2146 0 obj << /Dest (G5.296953) /Next 2145 0 R /Parent 2128 0 R /Prev 2147 0 R /Title (Video Timing Interface) >> endobj 2147 0 obj << /Count -6 /Dest (G5.351223) /First 2148 0 R /Last 2149 0 R /Next 2146 0 R /Parent 2128 0 R /Prev 2134 0 R /Title (Common Interface) >> endobj 2148 0 obj << /Dest (G5.361093) /Next 2153 0 R /Parent 2147 0 R /Title (ACLK) >> endobj 2149 0 obj << /Dest (G5.363516) /Parent 2147 0 R /Prev 2150 0 R /Title (Video Reset) >> endobj 2150 0 obj << /Dest (G5.365467) /Next 2149 0 R /Parent 2147 0 R /Prev 2151 0 R /Title (Video Clock Enable) >> endobj 2151 0 obj << /Dest (G5.363512) /Next 2150 0 R /Parent 2147 0 R /Prev 2152 0 R /Title (Video Clock) >> endobj 2152 0 obj << /Dest (G5.361200) /Next 2151 0 R /Parent 2147 0 R /Prev 2153 0 R /Title (ARESETn) >> endobj 2153 0 obj << /Dest (G5.361095) /Next 2152 0 R /Parent 2147 0 R /Prev 2148 0 R /Title (ACLKEN) >> endobj 2154 0 obj << /Dest (G5.322672) /Next 2144 0 R /Parent 2135 0 R /Prev 2155 0 R /Title (SOF - s_axis_video_tuser) >> endobj 2155 0 obj << /Dest (G5.402854) /Next 2154 0 R /Parent 2135 0 R /Prev 2156 0 R /Title (Guidelines on Driving s_axis_video_tvalid) >> endobj 2156 0 obj << /Dest (G5.336803) /Next 2155 0 R /Parent 2135 0 R /Prev 2143 0 R /Title (READY/VALID Handshake) >> endobj 2157 0 obj << /Dest (G6.300396) /Next 2168 0 R /Parent 2125 0 R /Title (Data Formatter) >> endobj 2158 0 obj << /Count -2 /Dest (G6.350889) /First 2166 0 R /Last 2167 0 R /Parent 2125 0 R /Prev 2168 0 R /Title (Output Synchronizer) >> endobj 2159 0 obj << /Dest (G6.300737) /Next 2125 0 R /Parent 2123 0 R /Prev 2160 0 R /Title (Interlaced Operation) >> endobj 2160 0 obj << /Count -2 /Dest (G6.303584) /First 2161 0 R /Last 2162 0 R /Next 2159 0 R /Parent 2123 0 R /Prev 2163 0 R /Title (Timing Modes) >> endobj 2161 0 obj << /Dest (G6.303591) /Next 2162 0 R /Parent 2160 0 R /Title (Slave Mode) >> endobj 2162 0 obj << /Dest (G6.303598) /Parent 2160 0 R /Prev 2161 0 R /Title (Master Mode) >> endobj 2163 0 obj << /Count -1 /Dest (G6.296984) /First 2164 0 R /Last 2164 0 R /Next 2160 0 R /Parent 2123 0 R /Prev 2124 0 R /Title (System Considerations) >> endobj 2164 0 obj << /Count -1 /Dest (G6.291923) /First 2165 0 R /Last 2165 0 R /Parent 2163 0 R /Title (Buffer Requirements) >> endobj 2165 0 obj << /Dest (G6.350840) /Parent 2164 0 R /Title (Additional Buffering Requirements for Master Timing Mode) >> endobj 2166 0 obj << /Dest (G6.350898) /Next 2167 0 R /Parent 2158 0 R /Title (Algorithm) >> endobj 2167 0 obj << /Dest (G6.350949) /Parent 2158 0 R /Prev 2166 0 R /Title (Implementation) >> endobj 2168 0 obj << /Dest (G6.308460) /Next 2158 0 R /Parent 2125 0 R /Prev 2157 0 R /Title (Stream Coupler) >> endobj 2169 0 obj << /Dest (G6.288416) /Next 2171 0 R /Parent 2124 0 R /Title (Clocking) >> endobj 2170 0 obj << /Dest (G6.350820) /Parent 2124 0 R /Prev 2171 0 R /Title (Resets ARESETn and vid_io_out_reset) >> endobj 2171 0 obj << /Dest (G6.350811) /Next 2170 0 R /Parent 2124 0 R /Prev 2169 0 R /Title (ACLKEN) >> endobj 2172 0 obj << /Dest (G7.301083) /Next 2122 0 R /Parent 2120 0 R /Prev 2173 0 R /Title (Simulation) >> endobj 2173 0 obj << /Count -7 /Dest (G7.304299) /First 2174 0 R /Last 2175 0 R /Next 2172 0 R /Parent 2120 0 R /Prev 2121 0 R /Title (Required Constraints) >> endobj 2174 0 obj << /Dest (G7.304301) /Next 2180 0 R /Parent 2173 0 R /Title (Device, Package, and Speed Grade Selections) >> endobj 2175 0 obj << /Dest (G7.304316) /Parent 2173 0 R /Prev 2176 0 R /Title (I/O Standard and Placement) >> endobj 2176 0 obj << /Dest (G7.304314) /Next 2175 0 R /Parent 2173 0 R /Prev 2177 0 R /Title (Transceiver Placement) >> endobj 2177 0 obj << /Dest (G7.304312) /Next 2176 0 R /Parent 2173 0 R /Prev 2178 0 R /Title (Banking) >> endobj 2178 0 obj << /Dest (G7.304310) /Next 2177 0 R /Parent 2173 0 R /Prev 2179 0 R /Title (Clock Placement) >> endobj 2179 0 obj << /Dest (G7.304308) /Next 2178 0 R /Parent 2173 0 R /Prev 2180 0 R /Title (Clock Management) >> endobj 2180 0 obj << /Dest (G7.304303) /Next 2179 0 R /Parent 2173 0 R /Prev 2174 0 R /Title (Clock Frequencies) >> endobj 2181 0 obj << /Dest (G7.304163) /Next 2182 0 R /Parent 2121 0 R /Title (Vivado Integrated Design Environment) >> endobj 2182 0 obj << /Dest (G7.304193) /Parent 2121 0 R /Prev 2181 0 R /Title (Output Generation) >> endobj 2183 0 obj << /Dest (G9.299774) /Next 2184 0 R /Parent 2117 0 R /Title (Directory and File Contents) >> endobj 2184 0 obj << /Dest (G9.299534) /Parent 2117 0 R /Prev 2183 0 R /Title (Test Bench Structure) >> endobj 2185 0 obj << /Dest (G10.278240) /Next 2115 0 R /Parent 2113 0 R /Prev 2114 0 R /Title (Hardware Testing) >> endobj 2186 0 obj << /Dest (G11.283862) /Next 2187 0 R /Parent 2112 0 R /Title (Parameter Changes) >> endobj 2187 0 obj << /Dest (G11.283864) /Parent 2112 0 R /Prev 2186 0 R /Title (Port Changes) >> endobj 2188 0 obj << /Dest (G12.298184) /Next 2189 0 R /Parent 2109 0 R /Title (AXI4-Stream Interfaces) >> endobj 2189 0 obj << /Dest (G12.296824) /Parent 2109 0 R /Prev 2188 0 R /Title (Other Interfaces) >> endobj 2190 0 obj << /Count -1 /Dest (G12.289247) /First 2191 0 R /Last 2191 0 R /Next 2109 0 R /Parent 2107 0 R /Prev 2192 0 R /Title (Hardware Debug) >> endobj 2191 0 obj << /Dest (G12.289260) /Parent 2190 0 R /Title (General Checks) >> endobj 2192 0 obj << /Count -2 /Dest (G12.291144) /First 2193 0 R /Last 2194 0 R /Next 2190 0 R /Parent 2107 0 R /Prev 2108 0 R /Title (Debug Tools) >> endobj 2193 0 obj << /Dest (G12.288726) /Next 2194 0 R /Parent 2192 0 R /Title (Example Design) >> endobj 2194 0 obj << /Dest (G12.301724) /Parent 2192 0 R /Prev 2193 0 R /Title (Vivado Design Suite Debug Feature) >> endobj 2195 0 obj << /Dest (G12.287813) /Next 2197 0 R /Parent 2108 0 R /Title (Documentation) >> endobj 2196 0 obj << /Dest (G12.287807) /Parent 2108 0 R /Prev 2197 0 R /Title (Technical Support) >> endobj 2197 0 obj << /Dest (G12.288547) /Next 2196 0 R /Parent 2108 0 R /Prev 2195 0 R /Title (Answer Records) >> endobj 2198 0 obj << /Dest (G13.278130) /Next 2106 0 R /Parent 2104 0 R /Prev 2199 0 R /Title (Revision History) >> endobj 2199 0 obj << /Dest (G13.278828) /Next 2198 0 R /Parent 2104 0 R /Prev 2200 0 R /Title (References) >> endobj 2200 0 obj << /Dest (G13.286705) /Next 2199 0 R /Parent 2104 0 R /Prev 2105 0 R /Title (Documentation Navigator and Design Hubs) >> endobj 1 0 obj << /Annots [2 0 R 3 0 R 4 0 R 5 0 R 6 0 R 7 0 R 8 0 R 9 0 R 10 0 R 11 0 R 12 0 R 13 0 R 14 0 R 15 0 R 16 0 R 17 0 R 18 0 R 19 0 R 20 0 R 21 0 R 22 0 R 23 0 R 24 0 R 25 0 R 26 0 R 27 0 R 32 0 R] /Contents [777 0 R 33 0 R 2096 0 R 767 0 R 30 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2071 0 R /Resources 28 0 R /Rotate 0 /Type /Page >> endobj 2 0 obj << /Border [0 0 0] /Dest (G3.1074050) /Rect [91.98 586.5 558 603.3] /Subtype /Link /Type /Annot >> endobj 3 0 obj << /Border [0 0 0] /Dest (G4.288657) /Rect [91.98 554.46 558 571.26] /Subtype /Link /Type /Annot >> endobj 4 0 obj << /Border [0 0 0] /Dest (G4.311402) /Rect [91.98 537.06 558 550.26] /Subtype /Link /Type /Annot >> endobj 5 0 obj << /Border [0 0 0] /Dest (G4.297735) /Rect [91.98 521.1 558 534.3] /Subtype /Link /Type /Annot >> endobj 6 0 obj << /Border [0 0 0] /Dest (G4.302506) /Rect [91.98 505.08 558 518.28] /Subtype /Link /Type /Annot >> endobj 7 0 obj << /Border [0 0 0] /Dest (G5.339500) /Rect [91.98 474.48 558 491.28] /Subtype /Link /Type /Annot >> endobj 8 0 obj << /Border [0 0 0] /Dest (G5.291343) /Rect [91.98 457.08 558 470.28] /Subtype /Link /Type /Annot >> endobj 9 0 obj << /Border [0 0 0] /Dest (G5.291368) /Rect [91.98 441.06 558 454.26] /Subtype /Link /Type /Annot >> endobj 10 0 obj << /Border [0 0 0] /Dest (G5.291405) /Rect [91.98 425.04 558 438.24] /Subtype /Link /Type /Annot >> endobj 11 0 obj << /Border [0 0 0] /Dest (G5.378192) /Rect [91.98 409.08 558 422.28] /Subtype /Link /Type /Annot >> endobj 12 0 obj << /Border [0 0 0] /Dest (G6.274377) /Rect [91.98 378.48 558 395.28] /Subtype /Link /Type /Annot >> endobj 13 0 obj << /Border [0 0 0] /Dest (G6.290926) /Rect [91.98 361.08 558 374.28] /Subtype /Link /Type /Annot >> endobj 14 0 obj << /Border [0 0 0] /Dest (G6.296984) /Rect [91.98 345.06 558 358.26] /Subtype /Link /Type /Annot >> endobj 15 0 obj << /Border [0 0 0] /Dest (G6.303584) /Rect [91.98 329.04 558 342.24] /Subtype /Link /Type /Annot >> endobj 16 0 obj << /Border [0 0 0] /Dest (G6.300737) /Rect [91.98 313.08 558 326.28] /Subtype /Link /Type /Annot >> endobj 17 0 obj << /Border [0 0 0] /Dest (G6.296075) /Rect [91.98 297.06 558 310.26] /Subtype /Link /Type /Annot >> endobj 18 0 obj << /Border [0 0 0] /Dest (G7.304645) /Rect [91.98 266.46 558 283.26] /Subtype /Link /Type /Annot >> endobj 19 0 obj << /Border [0 0 0] /Dest (G7.304142) /Rect [91.98 249.06 558 262.26] /Subtype /Link /Type /Annot >> endobj 20 0 obj << /Border [0 0 0] /Dest (G7.304299) /Rect [91.98 233.04 558 246.24] /Subtype /Link /Type /Annot >> endobj 21 0 obj << /Border [0 0 0] /Dest (G7.301083) /Rect [91.98 217.08 558 230.28] /Subtype /Link /Type /Annot >> endobj 22 0 obj << /Border [0 0 0] /Dest (G7.301233) /Rect [91.98 201.06 558 214.26] /Subtype /Link /Type /Annot >> endobj 23 0 obj << /Border [0 0 0] /Dest (G8.274377) /Rect [91.98 170.46 558 187.26] /Subtype /Link /Type /Annot >> endobj 24 0 obj << /Border [0 0 0] /Dest (G8.299996) /Rect [91.98 153.06 558 166.26] /Subtype /Link /Type /Annot >> endobj 25 0 obj << /Border [0 0 0] /Dest (G9.301270) /Rect [91.98 122.46 558 139.26] /Subtype /Link /Type /Annot >> endobj 26 0 obj << /Border [0 0 0] /Dest (G9.301272) /Rect [108 105.06 558 118.26] /Subtype /Link /Type /Annot >> endobj 27 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 28 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC2 29 0 R >> /XObject << /Iabc2367 31 0 R >> >> endobj 29 0 obj << /Metadata 34 0 R >> endobj 30 0 obj << /Length 18 >> stream q /Iabc2367 Do Q endstream endobj 31 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2368 765 0 R /Gabc2369 775 0 R >> /Font << /Fabc2370 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`w/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3x|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ_ΡLH9.;2Fsh8-Zݛ"& endstream endobj 32 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=2) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 33 0 obj << /Filter /FlateDecode /Length 3261 >> stream h[Y~篘G0Bs[UJ%EUي6v"Wu!+xhJm`PBŸuE֊fII %TDEazZ[GdHr͋n>?`JK\TZ\I #]<{Ak)I'[ z[+VpE ]Z%\)#J0 ;\zer hFչGyAV}Ðb+͊!ס)ؚKDJwp~"r{43v= "-͈(ڟOC?M)6^duR<*,q!)T}[6)"ѡ;9fkyTlJh:=UlW%I lW#']e '@pOťNSnx؀mLJ#;o)CX  1?(>ݎ8M╃JֿIpLt]\V yw^#k\kT敩okp5k(NnB֔HJ FGdlq|рPD1T,jVsqe-H,C.LRFz*6a:Ƃ1G8,prL(=% . ,$ST7fA' r`*2!=$Joz&R;^f0b߿G S7 (?DrOiedLbG0MgxQa+h \^9.l +"B 2XQKt{,5[krv; N+ 'M u|/}$@+kiFس Uet?6 5\EZaO,fMmPEh|'iaRQL;1( 9Vy6q+BEqz0X6d&TlĹGR*WmO*0nE:ʙ}о1*y"rIcρm!QANFflpltb5ub`5QwwUb*(RyF$uRڷHE.KA&#I]/K.{KHL,v0feҖ0݈Txs)ݍ {pLBv#΅R ;1GD5$dxlX H"`ϧgiV2}p_b+mGM9Eye'\fl)vkUovN6fqh't @޹uP0Gp7(youpu^fby}6_ x|Λ2l4X .{pYU'd@` 1xDrSd 9 тho^Jܨ:'1brnvc@l=w~h7Yh_8eDTVQsSVu :7S(N 0`(o"1u6V߉j\2vc@x0mi߉M1Q"IR|Z heވog L]+Lzny@z/` n>O}+H.Iuv7Vf#1t㉩A3Xf5r& kx:=n#r6&j"i,- l0'"3 Pu}(5cH2v<)ԩ~!uzue0XWg>ܞiW꽘UAz$ō!Ať gu+?!=2?# c6ϵ"{Y_4;qy6ws Cm!E0r m/ ҿ158(eo1kN[Ez=7r)mMӊOdK ңӍ~RixKxQ)4 kXDŽ YdT@|u_u3T: jD3E_9LVeуvQ|A>kc@=g~ٮlyeC2О4HfOͭSTUTG5turS8WƀB˳einkX oAEaVzAIXhm$?BY)ԙ9N:V4Pe0EeK>Nn} 3frZ_vm7 hm;jT1"Ih=~b]l ܴxt75^쑋,B̯MM*`PoXk޽<.Ooz^xA೎oOr^oO^hf[/?{!?8;|/b4QR.{ BM\UrPGuJT);ѷ$)oo曈dX1Rf6_<)v?Ӛ*)M}[/o>i~T.{է/vMpzʂg=ppnCg:"Nǡɩ*s>9p|*'ÜrŹ07DzbBPO-TY*|+b|DMN> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-lrg.svg endstream endobj 35 0 obj << /Annots [36 0 R 37 0 R 38 0 R 39 0 R 40 0 R 41 0 R 42 0 R 43 0 R 44 0 R 45 0 R 46 0 R 47 0 R 48 0 R 49 0 R 50 0 R 51 0 R 52 0 R 53 0 R 54 0 R 59 0 R] /Contents [777 0 R 60 0 R 2096 0 R 767 0 R 57 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2071 0 R /Resources 55 0 R /Rotate 0 /Type /Page >> endobj 36 0 obj << /Border [0 0 0] /Dest (G10.279619) /Rect [91.98 706.5 558 723.3] /Subtype /Link /Type /Annot >> endobj 37 0 obj << /Border [0 0 0] /Dest (G10.278239) /Rect [91.98 689.1 558 702.3] /Subtype /Link /Type /Annot >> endobj 38 0 obj << /Border [0 0 0] /Dest (G10.278240) /Rect [91.98 673.08 558 686.28] /Subtype /Link /Type /Annot >> endobj 39 0 obj << /Border [0 0 0] /Dest (G10.284707) /Rect [91.98 657.06 558 670.26] /Subtype /Link /Type /Annot >> endobj 40 0 obj << /Border [0 0 0] /Dest (G11.281076) /Rect [91.98 626.46 558 643.26] /Subtype /Link /Type /Annot >> endobj 41 0 obj << /Border [0 0 0] /Dest (G11.281078) /Rect [91.98 609.06 558 622.26] /Subtype /Link /Type /Annot >> endobj 42 0 obj << /Border [0 0 0] /Dest (G11.281083) /Rect [91.98 593.1 558 606.3] /Subtype /Link /Type /Annot >> endobj 43 0 obj << /Border [0 0 0] /Dest (G12.228151) /Rect [91.98 562.5 558 579.3] /Subtype /Link /Type /Annot >> endobj 44 0 obj << /Border [0 0 0] /Dest (G12.287236) /Rect [91.98 545.1 558 558.3] /Subtype /Link /Type /Annot >> endobj 45 0 obj << /Border [0 0 0] /Dest (G12.291144) /Rect [91.98 529.08 558 542.28] /Subtype /Link /Type /Annot >> endobj 46 0 obj << /Border [0 0 0] /Dest (G12.289247) /Rect [91.98 513.06 558 526.26] /Subtype /Link /Type /Annot >> endobj 47 0 obj << /Border [0 0 0] /Dest (G12.293131) /Rect [91.98 497.1 558 510.3] /Subtype /Link /Type /Annot >> endobj 48 0 obj << /Border [0 0 0] /Dest (G13.228151) /Rect [91.98 466.5 558 483.3] /Subtype /Link /Type /Annot >> endobj 49 0 obj << /Border [0 0 0] /Dest (G13.270676) /Rect [91.98 449.04 558 462.24] /Subtype /Link /Type /Annot >> endobj 50 0 obj << /Border [0 0 0] /Dest (G13.286705) /Rect [91.98 433.08 558 446.28] /Subtype /Link /Type /Annot >> endobj 51 0 obj << /Border [0 0 0] /Dest (G13.278828) /Rect [91.98 417.06 558 430.26] /Subtype /Link /Type /Annot >> endobj 52 0 obj << /Border [0 0 0] /Dest (G13.278130) /Rect [91.98 401.04 558 414.24] /Subtype /Link /Type /Annot >> endobj 53 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 54 0 obj << /Border [0 0 0] /Dest (G13.278147) /Rect [108 385.08 558 398.28] /Subtype /Link /Type /Annot >> endobj 55 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC3 56 0 R >> /XObject << /Iabc2388 58 0 R >> >> endobj 56 0 obj << /Metadata 61 0 R >> endobj 57 0 obj << /Length 18 >> stream q /Iabc2388 Do Q endstream endobj 58 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2389 765 0 R /Gabc2390 775 0 R >> /Font << /Fabc2391 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өl?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 60 0 obj << /Filter /FlateDecode /Length 3070 >> stream hr7_Gr+qyKlekJMIQBQNF Eʖd+*Wi@n`h.'>%y TBm7y3|1LdTD-\u̹2a_v&57nqv]m׷1 x~Hz3< S.rqg*p&"}39=0ȅȠ ~-F~5xѯg0Z(:\1n|]+XcٙOr=K.gy8T jH@F`"MYn_/wt3s5}m<_"zl4,:W%8QqN#0^ ytύch;;6۫ncϾ|٧gLu˴)C^9KƏbɳjv7Ͷv~ɶeq2q%wKeM<9;V ^7:x&P0(inE/+^7g kO+[K10H$J ڽ $GZ Nw.BI4,?0S<ހ7a#dl9ɘVi t3D* >uDciԃU`?8hwP mHl],LFlӓNYhEIh1shhnXDaba-6ZcU`n@f!˺md׃PAKQ rk$!RĤI2YقGkpE1 1PmLfLPS!LZfxI:)ٗ1eGܱZQjpjDuJ'S`DH< D~b`I3FS \ʛh}L"["s_  C1z.#<F*6&3/Ja@Dzv,?2%̍>ҁ&#Krg_4<`0BT}̘ۙCM`1#J)M'*aD1ŝ!UI9ϤJ/\"Pp2~[00B~5`~iV X:p >,ÙLp. .. 'sQ3)j w|hA<م0 G).6N3j3g8f8f8"I Sovܾq&om\l{}ShԉMJ0Gs *5!" 3&$4#%-1_]IcZal@rZ,,0yn%{pvhs*Ǽ eQ*YvPj TUQ`HJ*0VQke{ 'A#jjI8r%\ qD9 ǑMe8‰CѿOԝ;Gy8Z`:dSr1ds,}1DC&%s^L0$BABEt ʱ*Y$^:?؂DKAzfrɟ b̡XR C%ޑ?<.g B2Q)|S+, …KڪcA| 9uZI6CLrcTRyҌoPM6Y 锱 *1N`m;ʋ02![͑{Uf T2u#E*>T#%!X&x^*eoXRznCE)K{At0 [/n/2ߖ$\I rڧka̧\+XZ~/rϾ"|>Q _NK*}<}jO?x6Bp3obo盫(CB=?LWb>bb붛nxݯO~В"0+#N nWiS`A2s-H;bԒwMՏ*Fc5ԁ v[I4h:Fbefׯ/gK *e %Ӡׇe[ :]ErjπDZSH *ΖkCxvxdt%)RrKTYhw\`+ݏ(/κrẂaWﱄNx[XWmmrt):-TlbJ5j&gd{dK8k#~ᅳaKMTlAm0=6G?:^^^_'KVיM1@7Ds)wEouN"(}]͉A70D" ɠ|Y݀օ7c.]>l*gYkIbE6׽dUlnf~g}rq!}b/ V\:osD>ng[ewn ]6ޜ,*:J2ˋn\ ?O>gѴIqF+EwUtK?rlYiA!Q;Ցˋ7JH|IO*pc 'ijO;Ÿ+{ݛnۭSHIt߸mm򢿁`c PZRߟwQf-n:(WכnŹ4׿z%dHCzL4)Q endstream endobj 61 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-med.svg endstream endobj 62 0 obj << /Annots [63 0 R 64 0 R 65 0 R 66 0 R 67 0 R 68 0 R 69 0 R 70 0 R 71 0 R 72 0 R 77 0 R] /Contents [777 0 R 78 0 R 2096 0 R 767 0 R 75 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2071 0 R /Resources 73 0 R /Rotate 0 /Type /Page >> endobj 63 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=ip+ru;d=v-axi4s-vid-out.html) >> /Border [0 0 0] /Rect [377.34 550.2 551.82 561.18] /Subtype /Link /Type /Annot >> endobj 64 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2017.3;t=vivado+release+notes) >> /Border [0 0 0] /Rect [316.5 129.66 481.26 140.64] /Subtype /Link /Type /Annot >> endobj 65 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2017.3;t=vivado+release+notes) >> /Border [0 0 0] /Rect [377.34 278.16 550.08 289.14] /Subtype /Link /Type /Annot >> endobj 66 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 67 0 obj << /Border [0 0 0] /Dest (G3.1079657) /Rect [345.66 602.46 349.32 612.66] /Subtype /Link /Type /Annot >> endobj 68 0 obj << /Border [0 0 0] /Dest (G3.1081557) /Rect [546.06 576.48 549.72 586.68] /Subtype /Link /Type /Annot >> endobj 69 0 obj << /Border [0 0 0] /Dest (G3.1084223) /Rect [546.06 463.5 549.72 473.7] /Subtype /Link /Type /Annot >> endobj 70 0 obj << /Border [0 0 0] /Dest (M13.9.86575.NumberedCont.4.Vivado.AXI.Reference.Guide.UG1037) /Rect [513.42 174.48 537.9 184.68] /Subtype /Link /Type /Annot >> endobj 71 0 obj << /Border [0 0 0] /Dest (G3.1084034) /Rect [361.5 288.48 365.1 298.68] /Subtype /Link /Type /Annot >> endobj 72 0 obj << /Border [0 0 0] /Dest (M13.9.43097.NumberedCont.3.Bridging.Xilinx.Streaming.Video.Interface.with.AXI) /Rect [529.02 453.48 551.82 463.68] /Subtype /Link /Type /Annot >> endobj 73 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT12 780 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC4 74 0 R >> /XObject << /Iabc2409 76 0 R >> >> endobj 74 0 obj << /Metadata 79 0 R >> endobj 75 0 obj << /Length 18 >> stream q /Iabc2409 Do Q endstream endobj 76 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [0.80605 0 0 0.82155 419 22] /Resources << /ExtGState << /Gabc2410 765 0 R /Gabc2411 775 0 R >> /Font << /Fabc2412 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTi`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lMe endstream endobj 77 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=4) >> /Border [0 0 0] /Rect [419 22 488 40] /Subtype /Link /Type /Annot >> endobj 78 0 obj << /Filter /FlateDecode /Length 6137 >> stream h[ےȑ}W#1 n~[#6v<ԣUDwc&zHvKXG''3 KkGxcCfP,dYs9;k.w"*kjS:˝ٶg?ƚ#R*kYYNcl9J_f^6> I6޼Vmo~ݧ<ُ4ٮ4˝ mvW+ k*25?e Yr{1Vz>VX`.k΋9MV%n=6iI?_eG,3"ϜBL#ZՏЪpM3X$!=|Ul]PXy]/,do^Xh-IH~^ӆ~ts5qVU7ė~{NV)m)]M,mM.bi Kt+yR"(<&wz<,`~Eu0[2'YqϯZ[w?ż~cMA[Wy'm)#HemMJ]wiWxbJŶaij7X[~N  2(ͺn5ص6,ו A(~/5V0wx3 YPv\Q2@av^kOқbx&&Ty˫1f],.6+)|F[SlS_G3_ퟔ9_@ ! 2 &q[fظp egfi[)yS*cq<Ԕ%:Q:o=(#|VVvJGe5 he)cK#bajU^$(" I4kWGNz- 8V*c ә7)+UؓP -b9^A0-U=UoD 8L !dur*rG>>Or/Ԫt# ZVƟrS}e@%@ÒB7t7XA2,Uf~24:MAkRA3( xd~)UXWFEi>yNJH[/hGDRUVZ͍:G" a nuky.+Y:}{ݯ̊|_Ո Qy/<'Y3snZboDxh\HbC}mWAض|{ H~Zxw-)j$ \J16M^˫Ŧ]?'fCk"HvA&4elbD5gOM+d2uǜMa9WbO۔}ǷqղLnon^=Q"\~&XX˘:T@I+Qe"f1$2I SjFHuR9qh-v*cIs0gs'9~pcNr3f#|p첉ըQ&6X솔~' ?5 ~l@SdET'l~l8Od~{Oc/()0l;{1F^T6I)pTQĤ2 9}iT>tmA1Rh鄃3p+WWAk 7њiMF5vTj 4F[(`S&f c'&gA q PWH @FJ@10*8$, UJEDwcY^buR9hn9 'VesVbU\LjI / },K5(@kb U1ln ; ՜ XY:J:X:LsOZJO @I屳[?1Z\.>. -ivw,z(5*Gl}T2q}r~!;(A%7nV4syzR$~Yft9T;5roy bSUUpzҒtTߵ8lONp| IOш0$l sx9-\{ML_Ic]FHM**žqnZ,%8}\=;VFz=:( .[ wʶ$T:HIh]Mx|]$-{m̓c]ŸVy)9(hwOOAm<< kYh|"4u,4n$3ͨXfN-ï &mCAp6.kKn^ TKP)}9 ~v:*%|6tTQۨraC)>ib$ڸ|s.*a&>=7qZщ|D>:ca~ W !Ű%Ni4#g/yZK0>C47S*>6mӆ!;G7ⲹmCC.,5wMN5J )WɋV:$2yf㠹mʧr$|U4XG~F+ϡ؎ex1*98]t̊A+)E&[IsC Yq*3$O|"N ۴Q 듽&wl/r IXQ!mB%EIxR KI3 jA|KP7 *kecjc)r$1P&~oBV6VY6M搽aj3xiDU$*Iz%WmL)z^e߭cJۗӐx `|g$?s}h 'qVϑzDkSFOo˂P*eF"ER+m n7_} !d䴪e /GfY7hY#YE!A+i6Eq{U!hvT/A$l=6s"׳y#~Gj@&jH&,}.M8z+nK&͙ʪT Cqǯ4YkPHUrה9sU>S+7aNk\3Z<@D,L^rB:׸J}MQ~pE8ES~dD|jK]=5'wbpgU`ww;@40qz`^ZͶy:uMdb!w aDlOڇ  z|v,2^l#igwkǼyk[:H&Ī7W,(xÞC ])n X]Z{WZ<)H-G 6"꾆8 m{z"iE_18ܑ7/Z6ыr"457AMHZYg=5?Fj+7ˁ:)PýCȼEV`>MtD3h 9Uzm^ =6* WDԋzEnRw3΋# vME%+!aЁ_+XU .8ފu[Em7|1Zo܊ɕە: @G[g\/ua :6K;^;=3pI} zFpĬGZۈ?U~IF]$ {~*H wܪô;궓+=\'x_'M[]?'k׏@#"W)sz(<2Ƿ` - CSGP򡢴bq'>vU(pkXAnNV K,+N] a.߼?G(|Ja( | \4͓ͥY(xG3_\x=TS%4y9|'#ܿx<&`UFiOo)s`fg endstream endobj 79 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-lrg.svg endstream endobj 80 0 obj << /Annots [81 0 R 82 0 R 86 0 R] /Contents [777 0 R 87 0 R 2096 0 R 767 0 R 84 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2071 0 R /Resources 83 0 R /Rotate 0 /Type /Page >> endobj 81 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 82 0 obj << /Border [0 0 0] /Dest (M13.9.86575.NumberedCont.4.Vivado.AXI.Reference.Guide.UG1037) /Rect [227.76 561.06 261.36 576.06] /Subtype /Link /Type /Annot >> endobj 83 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC5 791 0 R >> /XObject << /Iabc2430 85 0 R >> >> endobj 84 0 obj << /Length 18 >> stream q /Iabc2430 Do Q endstream endobj 85 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2431 765 0 R /Gabc2432 775 0 R >> /Font << /Fabc2433 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokWU>.W^.6qSs_RƐ d柫=0DI`2R(.Xc4GCIH焟D+E̕'R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD Guţ}"juZG):JJ9Lڴ[쥭}-UaoKey͞\w>?dQHLJ\[-<8יq|mau3 l?kC2O-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ %Ρ\?|۾{w endstream endobj 86 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=5) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 87 0 obj << /Filter /FlateDecode /Length 4569 >> stream hZm6_ԖE~qWr.*,"gcۭקw?i~X*uI".:Gʳ8ܮ_QUSUh6 &zco}SwhSU'0}b(vPq&W kR [lVZ%VuMZ8όگ6&.t"ؘ xXk,c]Be {CyUy#(D¤µ{X?BeBd: ~E91 _9vLPɋ?ctRZDXU6-`LX,]Y&9x:KdjSg?KejN[9Tr3IU8>Cc-2UJ:N I+?V[-[ Cy.2682Ib6s3%RP&5#X1k֖iS Ӹ22 Y;3$%,,54{22FGHі@ CF¤"VB8j4f!.A#LHiuX-Fp}V$/AQKR7v $7,Crz^@qe'C1?VshMSFd8rfB=!rTt]%jTJwaylKLguXp ˄Z=)dRB-9#8 [FD͌c,(=` ȍ̄ ;jYH$3Sޱ9&1', 8OsU8'YIx׍`l,,_K} r.Q~'~o/藉 ؁PSOT4aH?S z?{|Ek>,pm=w])/mF9A&@*FP FxƮyY??ڷB&6W̖c>/KR|zEd\g i/ɗ<7I({^ & 7M<&DdeR[zۍ#ɯFnS#hs(wL*׍ 5g;'ưᤁ(Y-IIܔY_z"L̅;c()(Oȓ 8DlH'L\h)RjzOz>Eŝ'뻣dp,vt/ K*_7ŧ`zyFU"$NFAWne= ݋bR&86 '+,-UV=Oܵ`*Nc{GSU.I>iAGɏA~`%}C)5{a"H]=_@˒`. +yr{"Z*WNCGgFD0<8>7?D0t߃' jO_pRRۘ#,߮Vϯ D5pPLQKW+WJ\=~uigYZVL' n:EoOm3Z[c&S&!|ylrLDy$BʸԮ菢lgT=t~ ^}ImQ7ȗGv{s)+ymǭf?$]e{ :PHCi^B{Hg2*COZi/RۆR=t[C{oE>-*i_ZlE{q 4v.z*c֣ZgvmO,^Zr.Hw23*6:J!U۸fEEmm`m`WQR@i1ʕXL85`?/qUBk_Y`B;۩>gGtb}M;uwZ_,_? ]dä Z^ nw06o-rSO<Zx!}~x/Rw9bSjp;V.МUp^Ͷ\Q.f)po9XMHN7waʸ.<>4sKR?؈5wfKm'ͷ IpTD6ux{w7YrsѡSp6\̺D]Vs?|rFs`|l尕+ jV]gFD$?$h[*(] VV=a :D $~`;~(? 6\Dk1SfO}:PM%5u]A|=V»MXo;揸]`_1d6 7=Rj^S$zU^ z򊃤k;e>ֲ*H+oA~ 9ZRb O vkMK)| םiУ'xGOBGug:nrg[wp4GPVٍ 6B-u,z/EqGӇGLWc_ m">޲% ޝi\!U\|Ն׾n^׸[[zʨmm8UM5> endobj 89 0 obj << /Length 6 >> stream endstream endobj 90 0 obj << /Length 9 >> stream endstream endobj 91 0 obj << /Length 9 >> stream endstream endobj 92 0 obj << /Filter /FlateDecode /Length 301 >> stream hTMo0 >v!@YJi*a]41 i(?'AlC ~R&vNtZYJ;8ik2Nؗ 8vO?Uh;蠚9(loƠp4D[!Kcj?^roWmYEUz4LLxLXOO"hӊN{L> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 94 0 obj << /Border [0 0 0] /Dest (G4.317617) /Rect [243.06 695.1 295.86 710.1] /Subtype /Link /Type /Annot >> endobj 95 0 obj << /ColorSpace << /Cs10 121 0 R /Cs6 2089 0 R /Cs8 120 0 R /Cs9 119 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F2 807 0 R /F3 818 0 R /F4 122 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /Pattern << /P1 98 0 R /P10 99 0 R /P11 100 0 R /P12 101 0 R /P13 102 0 R /P14 103 0 R /P15 104 0 R /P16 105 0 R /P17 106 0 R /P18 107 0 R /P19 108 0 R /P2 109 0 R /P20 110 0 R /P3 111 0 R /P4 112 0 R /P5 113 0 R /P6 114 0 R /P7 115 0 R /P8 116 0 R /P9 117 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC6 788 0 R /MC7 118 0 R >> /Shading << /Sh1 817 0 R /Sh2 816 0 R >> /XObject << /Iabc2451 124 0 R /Im1 126 0 R /Im10 127 0 R /Im11 128 0 R /Im12 129 0 R /Im13 130 0 R /Im14 131 0 R /Im15 132 0 R /Im16 133 0 R /Im17 134 0 R /Im18 135 0 R /Im19 136 0 R /Im2 137 0 R /Im20 138 0 R /Im21 139 0 R /Im22 140 0 R /Im23 141 0 R /Im24 142 0 R /Im25 143 0 R /Im26 144 0 R /Im27 145 0 R /Im28 146 0 R /Im29 147 0 R /Im3 148 0 R /Im30 149 0 R /Im31 150 0 R /Im32 151 0 R /Im4 811 0 R /Im5 152 0 R /Im6 153 0 R /Im7 810 0 R /Im8 154 0 R /Im9 809 0 R >> >> endobj 96 0 obj << /Differences [32 /space 45 /hyphen 52 /four 65 /A 73 /I 83 /S 86 /V 88 /X 97 /a 99 /c /d /e /f 105 /i 109 /m /n /o 114 /r 116 /t] /Type /Encoding >> endobj 97 0 obj << /Ascent 677 /CapHeight 0 /CharSet (/A/X/I/four/hyphen/S/t/r/e/a/m/V/i/d/o/space/n/f/c) /Descent 0 /Flags 68 /FontBBox [-725 -276 1260 1014] /FontFile3 156 0 R /FontName /MANELH+Calibri-Italic /ItalicAngle -15 /StemV 0 /Type /FontDescriptor >> endobj 98 0 obj << /ExtGState 800 0 R /Matrix [5.2652 0 0 -9.1264 439.4993 499.6663] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 99 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 382.5571 416.944] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 100 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 375.5368 409.9238] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 101 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 247.4168 416.944] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 102 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 359.8504 545.064] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 103 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 147.4754 469.5961] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 104 0 obj << /ExtGState 800 0 R /Matrix [5.2652 0 0 -9.1264 439.4018 469.2451] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 105 0 obj << /ExtGState 800 0 R /Matrix [4.3916 0 0 -15.2106 428.0913 486.7372] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 106 0 obj << /ExtGState 800 0 R /Matrix [5.2652 0 0 -9.1264 439.4018 484.4557] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 107 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 147.2804 387.9854] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 108 0 obj << /ExtGState 800 0 R /Matrix [5.2652 0 0 -9.1264 439.4018 453.859] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 109 0 obj << /ExtGState 800 0 R /Matrix [5.2652 0 0 -9.1264 439.4993 514.1163] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 110 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 421.2778 423.9643] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 111 0 obj << /ExtGState 800 0 R /Matrix [5.2652 0 0 -9.1264 439.5968 528.5664] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 112 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 352.8301 526.6358] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 113 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 199.4933 537.1662] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 114 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 271.4511 490.6569] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 115 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 396.5001 537.1662] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 116 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 410.6382 438.0049] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 117 0 obj << /ExtGState 800 0 R /Matrix [3.5101 0 0 -3.5101 403.6179 430.9846] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 118 0 obj << /Metadata 157 0 R >> endobj 119 0 obj [/Indexed 2089 0 R 2 91 0 R] endobj 120 0 obj [/Indexed 2089 0 R 2 90 0 R] endobj 121 0 obj [/Indexed 2089 0 R 1 89 0 R] endobj 122 0 obj << /BaseFont /MANELH+Calibri-Italic /Encoding 96 0 R /FirstChar 32 /FontDescriptor 97 0 R /LastChar 116 /Subtype /Type1 /ToUnicode 92 0 R /Type /Font /Widths [226 507 507 507 507 507 507 507 507 507 507 507 507 306 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 579 507 507 507 507 507 507 507 252 507 507 507 507 507 507 507 507 507 452 507 507 567 507 519 507 507 507 507 507 507 507 507 514 507 416 514 478 305 507 507 229 507 507 507 791 514 513 507 507 343 507 335] >> endobj 123 0 obj << /Length 18 >> stream q /Iabc2451 Do Q endstream endobj 124 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2452 765 0 R /Gabc2453 775 0 R >> /Font << /Fabc2454 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVaz֦b/mk: s[({L̇h*$RG"e*)sm.\gy4~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w j endstream endobj 125 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=6) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 126 0 obj << /BitsPerComponent 8 /ColorSpace [/Indexed 2089 0 R 2 90 0 R] /Filter /FlateDecode /Height 31 /Length 22 /Subtype /Image /Type /XObject /Width 19 >> stream hb`)`$!  & endstream endobj 127 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 8 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 8 >> stream hz㡌 `A endstream endobj 128 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 7 /Intent /RelativeColorimetric /Length 22 /Subtype /Image /Type /XObject /Width 7 >> stream hzӁCO>D ۃ endstream endobj 129 0 obj << /BitsPerComponent 8 /ColorSpace [/Indexed 2089 0 R 2 91 0 R] /Filter /FlateDecode /Height 31 /Length 22 /Subtype /Image /Type /XObject /Width 18 >> stream hb`q `5 endstream endobj 130 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 3 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz@g/ endstream endobj 131 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 3 /Intent /RelativeColorimetric /Length 27 /Subtype /Image /Type /XObject /Width 3 >> stream endstream endobj 132 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 22 /Subtype /Image /Type /XObject /Width 5 >> stream hzSg#C, endstream endobj 133 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 4 /Intent /RelativeColorimetric /Length 36 /Subtype /Image /Type /XObject /Width 3 >> stream endstream endobj 134 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 22 /Subtype /Image /Type /XObject /Width 5 >> stream hzS$3@g~5 endstream endobj 135 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 4 /Intent /RelativeColorimetric /Length 36 /Subtype /Image /Type /XObject /Width 3 >> stream endstream endobj 136 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 20 /Subtype /Image /Type /XObject /Width 6 >> stream hz4 ?= endstream endobj 137 0 obj << /BitsPerComponent 8 /ColorSpace [/Indexed 2089 0 R 2 90 0 R] /Filter /FlateDecode /Height 25 /Length 20 /Subtype /Image /Type /XObject /Width 15 >> stream hb`G`0h endstream endobj 138 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 23 /Subtype /Image /Type /XObject /Width 6 >> stream hz4At?7 endstream endobj 139 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 3 /Intent /RelativeColorimetric /Length 20 /Subtype /Image /Type /XObject /Width 6 >> stream hz#4`/} endstream endobj 140 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 3 >> stream hz@(B endstream endobj 141 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 16 /Subtype /Image /Type /XObject /Width 3 >> stream hjimo! Z endstream endobj 142 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 19 /Subtype /Image /Type /XObject /Width 6 >> stream hzTt,`? endstream endobj 143 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 3 >> stream hzS@40s endstream endobj 144 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 3 /Intent /RelativeColorimetric /Length 27 /Subtype /Image /Type /XObject /Width 3 >> stream endstream endobj 145 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 3 /Intent /RelativeColorimetric /Length 16 /Subtype /Image /Type /XObject /Width 4 >> stream hzSB U M endstream endobj 146 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 8 /Intent /RelativeColorimetric /Length 22 /Subtype /Image /Type /XObject /Width 9 >> stream hz<}F0"| endstream endobj 147 0 obj << /BitsPerComponent 8 /ColorSpace [/Indexed 2089 0 R 1 89 0 R] /Filter /FlateDecode /Height 22 /Length 17 /Subtype /Image /Type /XObject /Width 13 >> stream hb`) `5 endstream endobj 148 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 27 /Subtype /Image /Type /XObject /Width 6 >> stream hzcTS2GO@:TO endstream endobj 149 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 21 /Subtype /Image /Type /XObject /Width 4 >> stream hzSzA`* endstream endobj 150 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 21 /Subtype /Image /Type /XObject /Width 4 >> stream h޺u-y6A`( endstream endobj 151 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hzS ZP endstream endobj 152 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 3 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hz@1' endstream endobj 153 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 3 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz@/e endstream endobj 154 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz#j ?? endstream endobj 155 0 obj << /Filter /FlateDecode /Length 65923 >> stream h{odqw#)j>R#XVm@0=5n` g{Gt;>Tueqol퇋]>b"Ͽw7mŴ{CӴV創n|3yxiw!}mDT2o F8<\}:חK);MILQ6H>KqIicMҹ3I7ֹ$z滋@ pa-5tyAku_u>htnڀ?|y+rmrf2yUsϿXn~no[v@L>ЄhA_׿yEӻsњ^;A~p嫻ˇrO]6`mYڜu1AuKQ}ɋݛ(J\<ҎExn/v;P٣?K'QWsL+s̠-<3M.,X!?էOs{HNҏ>o}㒃ݻ'2vƸLG;f,Uu15|=25_3:aY:8`oH`L\mq$#dD$yc.iFL5vk=cRIaaz>Hf< ABtmgʹ iDSLW | !XSy%1iYϤ6VjT[UN$&4P;)YMzY!*k4ΔOh'hb$vg]ƉJ< 8GYnMe\D_878qnqnq gIMě'Z'77o M< 7fm;SO,*oT}\*0[E4ҿq {תs@e|؈%-3,GYae>ןǓکu khھQ0t i][R@t뼂uiZ4`V0+ uY׹y!$jB?H֥qR;H/A!)q9H.nO5&>huO31N2g>H3Bi0B}]]|@|?ϰkRb<o;3.l\", pbJ=h>UiYg< 5t$VТcu45kM [SUdϘKrM=v̦C0/%-#1?b{3w8ZtZ/a=<#T5ZS\l>qT lRS-?/Zzлo*%r\~zxýί.!e\&(O խȎ|֋L}[~l}yΛxnwV,ΜJ5ogI%vFǭQ|-R {CncI&~~$|F ZчY<ǯ?T_^7߯ͭ~T;ysAOc/Lo/,}_^{syxwZ Z.~}8&…fZ6/Sj:xڥ[d׺ҷ7GiL[F29śᄏ:y%)#{KLfea Ec/O*f"Nn/zbNy(vC[:ﴓokٝ ׿ES 96|s=\iHjEbs[+W$ /]~CG;:Q1 B?:ikƳ#}Z2WQ-X7`[οLJlA#e/t:o. {]~i ]r m}mшtg.v NjaL./`!kTYv~)VwW4emQY456Vc{[F5~$( 0CZF^@_lA|\VԐ m6L(0!X `C+ :E S|Erl 5JKt6&ioyHJ8>@|^ n7žRub"z/zXNěM WM9anTݳNJ-r&Q lg{Nf(A r&r lD\ZD zzpX^'P$ܨ.hNۗXfo:J c4b7+aں)m5Û@%+5(?RR" 2zx?@jTr`#R7_Vpr;ùp3UqW*)/xB- ^(6Fgؼ?hQT$2hT@j-%ɮHZQP%G+HƐxE$#u:.Sê=*̮h`­ ` B|oXW#%Zyȱ\pk^6]$U(lH)N͟kgQValn=j޹' 3S>Dv%HՃnTg3B'Voh,:#L#݁PG4ߪ$.*yiTtwVpsঊ+m)$6H$7FS/Ib{o3DɢZRYc}@nnmhXn7@ kͶOb1 Tr=Y0ľz۽V3\o0eL>Z^ze8Ԧ*K3y)p d5Q3) 0`5efPjha4WV&ﻀ`#R Nw]VY?י@~n6!]gL [ "S?QI-z:ڇ! anwһ .=alj!ף:RTMI!u%Ly5v6%WrX9k_⦕M x-vEQvwsI%9jD}WRjTkJqIt5jd;V"0p )(,mrch=˙ r $JJW%"g^] `J%KeծHdE3lLF6oLI<͈Ӥf4iEV+ NKb/uζDsI/_κ4ˎq`W?p7g_|.-h2sGt RZb:bNPk&X )7ZtJެiwqA[z;U/z Q(VqMZ3M=|//Hdk_q$&9Vgԍ@_ xPH i+s851R?;҆&ž;1:tͰuxWmׄj|5˪ +~!ky<;`[gjc3"_I&~6B 8:TlZLl<lkYhJ0lzT({L5P"a27)ȬA%iK3&=oBqɘ§/$F^ 0golʼn:m'ݛ(wɀ:z>:GDqA^tk_L}JFb/.,.u R_'o<  *?sA ݛ݇` {(0D *Ԉ|TwcܝMW_>_4 XOJS~喷Uq'L}1#T;כ쫕1ϸ4mA}QM;n^"Ku>WztLKJtu3<}0AYJN ksBmE?WhP|)gmip> N xa %V& TeGٵGXHqF }*ߜ|N~rO瓯b<~LAS1JyqHIM 9mdȑ& _!ıG@6m4%6θ;q*90Wn:p*@ <1lIF\AzYE7zs|Gp23{ CDPB`:Z Yyȣz3O"5d>'}$z#QVA֘6{˻&qnftRmPV<37X%lDĴ.PcȂ(aӳ$#q~I-J9v'(%DQQcB.Q#pQ7ciX8R+n r׎îm&t^m!-ta{ݵo* gsr'.qʒ9G-s3-ĵg 3-z$v+Nͮ] BLQ?Gr jzH\(`5aЖ#)TT(I*@aÇ:/ڠ9P#FO>O0_0mw t<;i%u!V|84 n~(\D'E v".E ͠~^7K˔hW L`'P)!TŜFĥ%O==9k;m⽂3yj2w \ &#M)FۨrTЫdsFDDʠ Q@Г4ԩQTy`ntrT UHOv>W4HSw-Y1U9**MTvNŕ0v9~ G0Lh}'m-쏺H{\^+9Iu_Kt-ǰʥ $rס͒.w&M'8Bk"§{qSc |".T.;(LжF^](iBA%2#J*AϱGmF}Ї+<=@AeSOU6hl歔8XL]j t^Q?ׅl6b:ZI J.$isN_9-HHC Ohw!~Or5s*-Kx{CN37/Dls6DD\dh0N}3D)s-G..A;GXuA$hT-Sm=0eKE` &W5h:\Q?֊kNgttXTO {=#䏜g!CH)jGcKa4XZ0@¨^EF2R禷&n@%ꞝL8KSjewv"*%&Kzb'4N`#R]w)[ c2NDesګ9jߙFĥ ַ͘JN9$$u !h+gj ֪zjfm9>:NFM*=t$D@\fwg_߀!ЍVҰXUI>q^KTIhWٗ^HH*@ gk`)o$IU\T0(Z"!nw*tw>-)A#NTq@:;'Դ,//H:Rbϯ?3fꆶ7b\*ZI9s#Bs j ӬO=BFN^όdO͜&j8i/C>"=v_ٍkaMO3IhQH>EC,j0 W'))ٔSDcIOO?@;XIC=$m 9Jnj -ԀDɲ1EM`ԸF Ztm;x(];@ya*cĮVd^92u}H9VYH4}"]; KH;dS (Uύ:˻k݊L*EOtY-#&B h-c }f5qy[A ;-n_QىhZ`Ru-s0HO3\d4z^!'KhA* К 42'[uh2?]0Q)B<]'ʰDH:ErHܛ\|1XH)R*vqT0PćIW2}ۯT09X&tbc) <úIj3 l{*|FR"gtP,h*Nz `WmD !e~βC^$Vys *k ˥TJU aV5 Lqi$0k@BWd̞Ț ,X'|xO<`IEKs\DzG&5AY]!qj *y7Fc1W46Vp=ݹ6DM>VA$ 29 †t~PAIq9i`&b8EwsݺԋTZL1ٱH V88 Ѡ|5uheCKIZRuS; w%Zأ23/m{] XlÛb$)1R=ɡ WVgp/Jм #rSoY*K-nn s㴽'P2ka;#\Y;I%b~t2!xAC96p-)T- u2!2[sR !۠4aEqk eO,m\4gZB8ңnUl6ʞ"|Lc P*USo!Ҧz#}&)%׫콊Vkpõ74=CmS-t]fAEP"_5ЊAx4|:hXsL8QVo1'KgyFw+f^R&ϲo<\ Ro=;'8UoJhW-o|Ģk7-Q139k%Qסɱi"n,ࠐL%= ]3׆^73BdZqm=l.eaӬM'U4Og~%Î^iz DN03s2z.hMHZlb5B -q]^C6hE-dP{mFBkwh-BPψM殟_ӚƳ7$vgzD #8v ZĻ\6֤O Obl .gR-wũ覅%k8n@R 0S[WN@:3F͹})F?q$c`;tR3Y4^f4ܐX쁠f[_rM-4Wr m2o/;n[ ^M|^tL~ ՉCi5,%8<հ>@:2Hd0"HMq{%;^?zU`b8Orը[9ꂹ_, ޅ~[6-a7v0W͙gC&#oCQ1p8k)ʥK ؾ¡^)*Q0Gv4 "^" |%pB1Q:9~Msx5dsĜ@2fuJA-/DQO -Zs^4Nío7jpaCW2]2(c٨Zhޗ –VkvS!KGUВ%/ܔ xC4aHEIilbuD=y dGyhZ5V fB1Pmf[NIy\f!w)SF 1LLRi>'R[¥9]]-g?$) ݑx|Ck,9XuvxCgb$3$N1%I4J\?b± /Mpp"h֘՚:_:2΁PDWU;!ӞtcUi#~u݆_By~ġ:qqIӜKLCX2mk7uE#DKkiJ uwH_{ùaU-3bjzœMاX檇Gŝh5as]~(_ilB /0F9]S~l*Y%da+|#H:HiV<uˆ:mVѾWvun=7`5R`(A[;{Yɍ{|P9q^2,iQӲFۥgƒߛw0̪,iu,* )*Q8v]BV@ M48S;ED+ݴ⋯HH0-jE5qȷ ,j0V]$3 *"X$#6ǴJ[ByED?`t<a j#f1w}d9x/৒W*x_1`,c)ay$B` gm8 ١O}s>)#CÄI LbÝ̏z?Ӈy??~/~Yq)?;~#hͿ16:о%37\ ?~:qp|#Љ r\͏~K]pW jJb4Snn|2NӞDtClpr`Giq{usł{2VntYW׻]^ *Qn4Rtg,ȓ(DDAHzȧD n!-tN}Ydj3~S9i1g\`aOdPFeh\@NAlfP(%f\}H ߇AJw<|w=OФ(6ZMR(%c]}>D|]H~3ņϬpj7i6 R?׸H~uI)b*2cPP ~#Pg[8WMBlrCJeBQx&d8El}à ITJs GNrBldA>9Y ף ZǠ It:G`#0ߴ}!A&.q; " ߣ zy8)΃>G HKI!g=#2~y6`skj*#4o#A"91EYR"cD&2 U\Fj1pR`,ScD!2~NV%TV3Tr[<UxN4tաF֡Aq78({ )rsLiMij4#Q}gTy8)ԀIQ "S'[,@VhwhEo ?gt2W;Pf$|6 <j(X䕵%r8GR"֛ӊBG:Z1pR Nw$jQpP˱nR*dUY UjF:oǠ IWyC\ׄWl=zH9AbЏ#QgTy8)ԀAoPIr S ж )ۚ׷3![j43UٽcP<'E:uqݑP /gHk8mi9ȔHDYo<UxNӷ` ךR9ʹy` )rs0w4V*3I6v@ŒA+ X_* X_4C yVϐ)eڴ騧3 Qrt@Œ!mHk Ax\zH99[]_LIEU P5ųX2JȂumfnZ?0T5NԚV3 Qo@ŒA>Xo=Xo 9@R"嶵ldSiZ(@!PgdH 9P^%mkϦQ]MoM&լ*<%cK&ˠW*|zH99x]bRFsC b /Sn 6 X8"Rn[ˆ-Ps"Y_8AGxrn3TsܜU`*n_@eET5#Pgd/֗F/֗A7č )ۚ6oô֙I*<%DZ9[fS IN U4osVb  UXF̜*<'aBڣE*`SBL= Es ?'+U#Z[ %x <jA "BKNWUܫP6Ǫۚ7֣">bh=HPm=Ź"E:GGYG]ܫR?'2U3o[<UxN `˲hȽY@U*A?0TBeh2=DSwo"|6 H [s'"0Q!&qs~`(cUm͛ɀajGraPHC;F^ BP6ǪsP1m=*LӶz1pRGU_lOpCZP5Ϫsy]&C}Ą; m_2!2UM '״u4v0p[< CUQߥpVP-mbyJ1o`±)x)PlI`f5?b CUIASa)ډ5 ݒcUlR$k;R=mIAEyJ1oKjpoK*ږTa*bH6)X1`6% yJ1aL綤r:mIAEx, x-] VdJ`rbAgnK *0TXq[Gc@yN" *ʶvSP`[W'Ǎ" *ƶv"LZ"\D}f%_Y O|X_Lne3!Ғ x3AhRJA#O (s fBѮn&xU3a xL %?z8.@qs3+nN9$=z$fn1dm3!d&g~+Luwj&Œ̈́5fB<`-7hyE7 T}_Y:}RЭfe^kf̄4f&]La`np<M pˆ[L[RZ$2&B߁LwDw&B&BDh0-\v uWn&=o"G6h퓿xeMl&B<0s&aPxƟm"0(:/O~<X܀R6B(K0pL#EΠdYVhH׳ hYbVT$cIP1j X}4r8%p<%S21XV9fE.hմ+*զ5r )wu^^'i,|^P.i %@Z O4 KA-x^GUEj"̍̋zś /K KϷHN|b9 eg``:*',aMqEfy%'}zU~Oߞޡ 07p#/Kj(ke5`PM3Ԑ|5&K[sYc ɻ/@e~w_ۧ?~{Wt_?-Y40 |׿~wfRZƨvz(`C-l 4} ԁ`6 k͎uq+ݎ`</>l0dp1;+?FⵙtKfمM?۬|\f[?lVqb`F[MHNv]Es*EEBK*:c  h4$F^`E"sEE$ْj)+oyhCV1{h.WFMJ_EC\=4uӼqMXxgjyvK^xɑxۣ8hE(v^b*Rkt;oܫH+*JďDKF‚&*f蘒@,JW %FeY@hŦDh *S rQ˱"%f8/gўyij@:;۶Te%^Zs-_" 0 Έ8WR[\:FE=գxFxbצd s_&MB8r=M7 HPuy^gi;m1O>~@eYaIS..i4hE $8;~q?;/s\^a1ْM^a:;xmcHkg q`r` 9>ņܑ[8):#sCR PY:: #|IdQCг:Pb|< 1>,veXs'ۃl3U[7j=Sv߷'r ז\{4t{m @֫|߁5a'1y|kLnv>,j# |1/$FKk~WS'cI#SU,X+w;\=B;KbӰ-3;j ,Zҷ{)oۀICnADxmLmpOCT)+{!+Cג#ȑ)e`V[5[8{oCf_3,AlXbE 4;Ę;ݗEbS;$/r"Md b̝n!nN{S %!Eo>e9K Zy U 7*eZvPۜ_Z@"!CoEq h|* 0 OŅa h[KL$@>6缦dj1ljm\ht. [bA}Z71p¥ }B0uȧ!@FIt6(7ìU=s1pmQS:-@˛h+tݝڼVt6zSk}ɞd۟M8c<~QԸE"_*yo* ϝͺ$miH6-GG07ҵpX)9^}-TEZ?e K Ģb:F.E#CWC>I<'ek*@s=9'e#a_aN7hN{]iaeVEӱ⫫O,HpQgtqE].xXS6ka7h;Oœ,; ;t&bfa/2z+-&Wٿgw.13+Fs dzG(E#Qg)Zi+$D1ZKT8)#P>gt\'3,B0C+Ġ^bԧc&6wF*)hޕ,@e|b4+"pu0z[t=Г0*Ň5uInˆk$V9s-$q, 9ŀs&=\Qycp L|%X.1ow2Ǽa^ƒ4 +!XC5b>QΜA[)JSFl$vIJ8R0lyQ N|G+N{1jrEmޠNJ)j^S6g}cv>cBGYQl3Wf`E¤vZ1{wdvGq7F'!Q3r45Vd|jhT5j,xhhԲJF%8=38l[O/kv*aḵP17˚P"Jg+bâϷQdIe xΝ5l;$HU;"1B6w+U!WŴ*Ϟ^W?,Vkjc$\>תv銅ҟ.v7#ic3L-5F#}٣ܪλ;eb`v}WBHFwɜ\Wk)ΫCJ?}c?q߼wIfi~>5@O&J`W#k}`rР(PZx<hl2s1.?$ >7N;Ź|Md1Vvh_Qm.P8]j NqHTOO:BbZ{p˛!eLЋ!2༸M="NN}D1 <ۤ>al]ubsv($;:w iv1ҭ{dէB`kTUW~K{Խ,7w(E{תn0t-8)Q00Sߟ0c&xxCx9~i#=em[94Ur- =ʈG01~+ꠦC/^u[ʅO?"}IVr֔(^o"draWD1M UCD`O\`ݺ T=a[F/d}4ESw(4e)lJx&Ff $.m8Jv2@4!bH?׎)2t:L,֓>}ӰLPeʴtO"ѹ3k\ٳbẢbd̨8EZx%#Gn+ZB6:0r$c {DWm,y #?.[Dɂ_ʅtrgqݽh?ƭL:[Z0({lvpDHw@lOAQƒQv' A# NRd k}[kUOVL'ʸܜlzPwdžNɇ,y~<$)[vAF)Eel,iQ QVpRҜ(c>h*\5^ac^5c">`qS_(M_Z߰JAʝކAj_1itЈ%iPWë+܂Ir;uXNpvsLꇑH) IW׬ww;]2 N0[_MJⓘB 1Xb j ~ 'Z/u7ҴaY +28k6mJ%!Iʲ m$ <b3sF?Ⱥ=g m1TbہXA~:m_嬤n%:[Ixe%ȨV\ͱ)ѣ2KO sN7N^!= &-Z t`!/Sdu(7n рvﲙ3tp+ '.ÀC)ZeiEi> ܓrG'f// Yh9TTR^C$JDY.2jd9pefut3:n8('x-fi2iȢZ.J-K5fQLx+7,,}!5 ţ';͕ m2}I猧\th}xcv;.,mpΜ]Y?ˉ~I,Y[xLm;HeuURfFY)E#TxQL2} 9tXWI WL3qb(jb[aEGD ?[ f˫[_cQ%CoD\.'P8}dmF$E #%M>34? pݨWb ׂ..T-o=73-5[F'lU[#ٿesE2:hlBbsŜ饘BFY3xkd5Ӗ-_ӏ?~H/@7P9.zI2<!6nyd˿dhÆp<`hOlOx<*:;@?L?/4=`}D6#q'ӣXmh.V@hޢVE0 |azIp*TN#E%l*731%c࿱ϋG#?<',J\Ǒcv+;K"i.VLItD0zTN ! x bOVg6VRp1@г&ߗ`>L6un_ B`Q7mTOX:S򰇄 [\I0KeCHX+󗇘^b =U,b`0$0ܻD>A vƻw oq~R 9|FE=fTr}ZA !b'VVK L!rNѤ:I=RerH&ў+`QWg&AQ.fyD;.D(spnk{!x)N:{42JMF([$6I.0H\ٓcҶ"6;o^IɺzЀԃ=FQ).CU9DֶgLFu(`ЊB_@A!m9eRKg;j h#؈7'͒-`fr$ Fb0|KɽK#Q3;av6Na;h6qjsDhD8F#Q%" @$גI35"͐cZ ;t+r"&q\MqJ|$wyz]8t{0=c@)/&逡M!j; g| ]tܦ\v3u򅫾QcG͉ЃxCQ""EqFƋk$MR="Ǵף hӎu%r9F{ufԈ!g7;׌>ԭ#m0ҁQoţoqN"07q # *D N#ɪ-5* (Q }56sf[C{sT diCJU:c]g_Z rFBIXk֞OMw< KebMqJNk,Fab7q I;b-G|G\ j,c-hG֩8T,дXfz-Mg0t:g1&k6@hD"ٓMԨJ`V^+A ޜ,Pr+_BFp;F^N{+pNndպʖ5`+Yo5V`6luf}4 ٴr >͗c[yUfSk/*{X z4xml تhL4`:"k@{TnA W+.}PXT `_n9\(;lI4 \DӒP}OYtvQ9NPɬ=Pif2YWP6d2Iʗ5y=z&gR5 TPBAR&qYT} F}4Amf ;pwHQۈmaPdug U#sZpU#`쐢귞]{4>wUàj-!Co%8FCFgRlT=*OyǸ;-T>tZ Jejp#m7N#a!urL؎F =s%|;R3 멌k֘M / Ʈ˵ y3Tql-;pΓHQmK=zaT2d=N|XBႿ%4P>J]3fJ/KN&"DA|\yفB(z*л. f}QŕZ-w"j{vT)j]ѐ%]@#/G}o8޿>Tf~\P!amb ,X\8'"VUwUO c錬|xkWx4;ݵybk$BM k\[éPsяJ+_{)Wd$D W1+&b:;2T=Kxax+78%Gy95&_D2r۸hSh-u&oME.2>S lF;¥;C#˚jjԻ)`r]ACNXoܿC[ZBHM^K\^TA1oG>N#Kp8T¸pqs{};V|yCuQIuyw!zއ⓰|zy5.W 'p» DPDQNjPAyavMM0C(\qQcIn6AƵM6Z:iuZ3KBFū"%ʅUD>gsF.j|i@g Sj5Us#dw?͹_?<~ǿ}o>>ßtP v>lWy֌`AbN#vW$4YDU -:hXuPV_z2bJj,#n_1kF;vLeͷUam/b9(jrLMo>\w>|U+Yi0mZ0hf&jsa֜*QCRSC P"6B-Mͽ[iy[c/)6^ jꙗv2nQ!\9xQLD73o29nU޾@Ѕ->3>|sx.̳("{tc:N)2oJ= w0 Y71/[3 XAK 3-Aе囧wyj=)[@0gޕqw꫍#F0AqhMB3^k#=ʽ<}^(xAr U= Fn/#[xes2wʉm&Ȟ#emlObrh.WE"L`Z`qM?;c"{~6?mO>.װR 跒v+WfIMoNּ6f:P:T@H5~1ۑX 1x3oC YQ!`)8Hm94c_{]_9NW7E B!0Т4;StUגT&#3Q֑ŶtR53CV e!Ink1pC;Sa|ž {0]P*–DCN30-%Fj0y/n}Q4]Ehǃi Zf .W0d?=Ozc6MN>{XK'~OԽ$ϛB`rؘd-GՈ39.W]@GPɤTO 7Bѱ=/M XFLr">W/b@"LL ;p%8s"4}F=-Q$G;}76r]%Xz>ίY㢕búNr_ZϥSHnL[.\ ݇oo` 'b˰Lx4fi:|ӻhֆRLܚz#|۰i[puq>XK_ЖZz㬲s#Z@>%qJRœdeiH [FrےX9*'7tG7GvKPr6teWz뤝qor8I̜ 6m}= Oa9eepw>5Z:΍ qqKXt_bYU܏i *3Mcr\E\a{z-܉  MYKYWsY~pph2FStt:tٸ>s^^[u:-a>tl:tM"0wuWJ)ːl:t^5ڍHUw# nr7CoVv*]<ʩW{P Wl*nŜVOޞv"p}P:s9P;/XU ua1]Œ@*E~w߿C`3rh\Qa^&S5 0ƕp z&e^ۏhq.{_ބP:85O?G.LCpӨIrx5b65ۑlYDw!)2{uf; UlV Pfh$L^έytUug+Z/V~jX Ymf!.HKR# 9/vaën2Щ) %I= YcM,c,+c9f'Rz!LlӈLc>_/C R am7DW2#n2dbK=cȲifRI-r}BYZed)&߻mfrmL?y%6;g/+k|V̵P'םp*ř O1|q(]\meW WN6! *)"G!H3S@jLk Otw4@G?Bn 2UmG+*b̈K]&-y跲¿(t6OT!>.M:s}77(|AL>2#AW Ha+3#4z6Ϝ `U!M#2SH8Ok LDlC +b(?p~Ztl%XZ[# x$g ^ʔ޲>Kل@UdʰΧg*ۅ\k*uy6^ cgn(#A As &-ºu".әAU8>%:- o,-,Gۧ"DR[[Ҝ2Lc`r5(*U|2M0CNo0TCcz@!:Fo7 gu ^\RLy;R1X棧Wfp>7fSA֓ż:zD^Jaߥd)<3\$3{]B$o:k|A\}C}}عtw ;22X ;:0usR9'J+t1fJ˅o~tj:IfhOjil8bCJMF H%&JlRwU6QWw~!&_ HW(C.E^}O3|OgwEllu")Tj#e8(]}" "$hQD~1RØ)=DG75xEz~5Tҿ5e*)(]R6~Y5#&&j5Dl)>oM`UV> Uf6{ftU'P#+QfE6./Ew0/a~8nwɎ"Ơ UZF'I?+Bh|a@TmiZA[%.iBb>l~6L:rw/\ݪ)`$kO^:90uEv,ˤc%.>z)_yX: k=_>io7 uAeB-u".tPNʪTuH#/ &2f#铀i'#4ItNnzJ>#% ,cі%.]bkp\dQ*tuksuz `HK>g"yO$1 86]6x gۈ"'$r-Cp.kqv{(: u51Rr&~f5~Kc oRI]LMekGTc_0пMCBյTRϯdp986A n!@[oq,&ok@bŽyPTڌ8~I3-U^)An`L읔j@T֑LRԸS6j(C֡Lyakcgu`\r>4g ,eJI@] (0ebp2BkzgG0ƑVGYKgbR>E(ZŻO9W }>2!F-pvX,|fOT --Ti.6TyFد5 0f-sރ{\Wf$%a & | _$$G0aW`LfP[l'(V]~r{Epd+yNa\"(kw06>>{!jH<_0#&X(j\}i,WZ)K}4N>h8*Ck^KDc+{}ZVBbѽWQ9z+~*7h^?ڼ Ow烽6 p~{U,4p^y׼n ̔k׵?~ ]zbd#Jȥa =btnh;-E)pLҁj>mx`홳8xE{Hs\O_O;_uP^ӹn)Ic R%G8p@rt{Dz05 \\;AqDct%r]aO]1fUEr}U54PIne1.֒ S}j ,zOl}.԰<[}f F:y;x+8}ƒiFT4=a`I_^5V׬{98!Ŭ:pPOŴ|wsQh7 mXCD?K=uvnE%/ ovwS{| K>gC/b#',M=4G9fAq8x $qT7,GJi AqU^|_޷je.. kIܴӅ'FajH)k8zGdv`9IL C:qRbi=Y"/%cua"9'uu&: SMEkd9 QidہUlk|jJ:NP*J>Ƶ5dc ={"?N593b1<8ru|e=+}pg59ych]5"?`V4h5ߑuuuL>'{kp\-){5J>}hXʎ1O+3z EKٓu5ieF TƎӯ?M:@.iK;t$P4 Le AƼJ[2yg!v*X~zt=ݻo?[?}p8&1 !Z,K[F Ёs4baPE~MASͷ\MԞW#=|9- uwR A(X2[ Xfh̀ԡAbQ\;v@Sò̀ 򢙝eY;%w޻ԭ{fvdk|Aޒfkwf;R[Fb[pX[k#_22E_Q=W _~X}n_i_*-Wݴ-xqNb۽f1F_k"zϼp=wD{ݚYV'H:;e[nGDjdm&?:6􅹮$HŰ$rOZ^=I͚{Ɲ uS >s qkxj|3/ڃ{LO$}]$ O8ϗk/e3fA1Yyb^Id])6})ɦ1M0՞u C-\S~#gƫ ?tfk1+EhCnr 14]K7\RY2viE_G"cl.VEO pkE-K"()SP|۞H۞ 5u2e{m yk"9.Q ʠ4zc8yIGSRl8uHPD˭㖲&ҽR]oz:W Ds6J{sy@3{B5dKħI&0ᾃA "@]-\Fo"\dzp-SqqˎP@x[iaA9]@"I83=|TV| [( d7z+W2p8,<@}Z' > Q B"|9z8 =Gv6 h3W{L8 -COa lYՋh}''g_:svH21KV[q\Vl|6ׄbqƉmԸw3,S23J.vN{O!&1*]j%zoE.&I" dž3 PgMrc;sGplEqDw LYK{ėRwăؖʺ{emϹ7[Q Mi(BؤQHyO%i`6SĘ2%6݈f;$65yhH;&SSIVIn+%"g;FfQY4wRmb / @9'*FDb]ìvF1Z?Y\]|_U.FV~ Y<]D{gׁNEVN-B($%9/M(NIy {3 r\hbeKg|iQd>׹ŶIۘI LҲIMLaWx!o1+DJ'0 E5MrC#؞]GG\~\3qw9fZbS!O- Tx$?*zc?B7;w 7¹{#y;xC/6O -#uW3zQ#wXNE:Fm4׹N7 5i/ō`w#G¥u 2B+:u֍ <ˮ%ϲ+9(7Tn>-^0kՕnန֍`b(:Qy6 yTXmRiWKݏ b?+u+1ھdU֒6>930GMR~āִkjҞ;j6ܷrD!_;nfaJ"QT2 [|xc%#DS*n< ]i6&-;(_i_l Ii I&Uf*> fp!{w$$)-oWXVY4l=xѸWs"Nw C]@EݍE>9P|DO?ن?S^jA@8!o ^ ȩ -C}Z'<7G$2,KX0U8҃WnmِrXh`,M=Q+uT՟Zg|CJJ+~9L5).7R4DJ r_8lCܙn2[n^TV&_G<7pgJ&yN8-]l(n0Rx#(y(@UZQl4*qd8xz@Ckd&٨J7TT6JCC?gg>^mNj(9c1">+x$s݈dNFEu<*pb_ `;+g|1&:|Rux/Zo/sFT(\GI 78p n?DüWyPCQDs$lO>m;G- ~7$TH5;""2-(9*^9xsm ,Ӏ=C(h&i9xhv)j8wfMnԞ~=z M"|D6-B\k^xgJ`DdfR%C$G<9xT#RR,Z"Á]HҋolZ =N1U1@gUrRŪEddii]Svp;^Yq;k+}<1pg(ns o*/{d<-iOR ψO8wcC^*MCHFV{PX !;By5Ef#\̂.@7LDkkH4>l/ t Ji:;v]B|jaaj00a4`WEB<^"ҢĎPlb9< 6f,t f=Pt;IjP!5l̖/4&MC9zt,HuR$eyl@W8 sVLĪs׎~ܡ`*,QV%2p%FcY4Ţ`WP(eLL_q;Yp`u'M['F|*XId󄏆]YA`sKbBBihmN&M0N#++;hWƿ@|,J`h7 (n5рX>) SHyTECQlbⲂjjxA.| P 0t]vS!e<~"i@7)\llz&DL~2bpR9ܮjĒ:*F,tAvHL!O8)n nػ%fA4 Jp8}!4 doY,pZS pJQ.3vRe \yˁ cng]wdbH -q6)K'Hb  JcuQ15{? 9hig(<@}g gi*?jM*ϋUOy3,|>΅gԙG HxD %?v`)h~wwxwx,#=—+ύjHE@%.o-(w,[i6=jxXEba+) CRdHPѓݱև %l3@^ M<1hvyc+$:lFkhE0܂)`7o͏E_`OM65fQFl8΃#x$a/$([?%ؐG{1} y(P(iGVJ Z Δ"FqBxE3nI3"etS1}27P_>']^j^mZ>gnG5@gg 8-oFa5v9"LJO:{M]X_#>ұ~)EH*>hSfI}٪4]u6y-7%&QF`E,kҌ( 3tC5mGGV=,VUmQ ?r٪-)<=Pi)W٪-CTiV'0mI7ޱvn98>'Xk>5yxbwF-ڔy[Ih&ʑn/L42ںPib)㇗S),4,v=K`xz`riε#3TV5՝% VR㛚EPـ!rG"njhj& CuxCe< ve< 3~_2o%+oDodxkZV);%{r]{pG[`dyӕ0A3jeI~::Q9-hӠ0"َx x:zK:N|=ri08"M,tEkL3r9XQ.4174LhϢ[:˹=EW?eИO8t 뮽1tSG;,K0o9^ MMi>u`UdPEN/@f4,s͜;gʑW uָXZ4wJ2 0bC;Q킇ǽ(s,v5xhTCZ2R'h|F+MQDpc=!>aB!C> 7Wofb>3\%#F\rQ^[7s54hO-,v\:@ؼ@ڃ3 "%y$P9lVg~HBDJ&w+i0`Л`n' ƬK^;0xbIF1)ؒ =鿁@rP}V'nlX!$N9%r5h/_|ΤRjlaR2M)'Z!($U!(y{q,TÆ}N^bCxѲH#&f [J'!vi;wٝlx$90ob-?E P*GrYCv4N"* 0{tظ`#DrVbT@FQ/Z`4Ll'W5 f6jfQ3ٸ,^ |D3+I1Ԏ`C|"eNI<,0F?Zu #0Τb#tL@INЊZ``&_KG&!6gDb,:#9tۺ#ө2{$IC"F<T+S.؃(JQm^SAevqw[t6ב[2eB="-VaE,0 F*2aaj4I4U$)–eC)!#Di%1C!._O¹lV{,3M grΫql$9bq ,jBj)j m7Wna`ccK=e7Lq3|'.(C-5+!9`ǕV:~8֙{h7u ڕ[3.wZ;]`J.ʒr3%}U cHGI7`P,W.t*=_;eF:g_#lBTK j d4! D:왏 LG"̂f!00mqH8gQ!܉$ <|u97QSq`. G,+ۅTTmZgj]ô U_d8JЊK)nV=#tGlY:b!҇/r+V476X?{cc<{c䎭XX7/nkXumrh=69ԣ75łݱ\6x>?V/,I-wC6zfC c'vȦY/K"ޙO䐍/tNs!f<ق#YwQlx}###Z=h?Kl:/_ho,LSI[ycO卝&9߾cg*t yb?#޳Hir;b_d8IQ %.)%4:u@${u?[~jչF R㿴>K70Ihګp052ՃIw$rTAJ|P;/ e<ޠl JcSyTd/Vrjr{BFٕixWH^^RG )N5QzP{SG*/4T )/dJ:/$ }3'ۭly8١nFZ`Mx)K[|CLS,}"yr:JPZ6u<rϤ ')hTsX6dž1 de~BW,Y%xc]6edYh+Ҳ~%{ o\hz8xqF|O% I5)`\A^ARez S1fDx|N7b|Ճ.yÆs^>@Ier F*Qʡ 7\K׆XH7K7ʤTr {ay $LTUTk5"\4}JupA{DwL"fGN>sW$&s"TDNF>?[ymi}/vb^9D %br&ݧk,$#g*+0v5C0V@m%d#.HT"V$K kvbF&=l.vCtFץea r/. qB';T\e9@9ׅ r]b"f4$tje y:9jUje}[}B7G;.aʐu(zaO*O:AI$5֖b,z#߈޸)z,z,zUiS\&K落4EOB {x-=_OsIىnAbuÝ'ƶU9^$z]]v 5[b:jpdl|; ڙ^'{:CMQ*D,BhMg\nyrKe PV]nF-9hp{;?$uH" =HbE{MԶx~f?NG[sWGI;>5_CxA%ql⅒;5ոt᚛7w.9 ρ*=oSBk9#vJ7Ed֓Z#q'($$(Rvi+Y,U>DYK~p? 2"+c""O.%Q~Dd}JRz< ې2wgj3EmG K$`FZ0^ ~AH$R'HA"O ?pT Jg*RC; >**`]%&F{YU4 .cb@vkEZ(i}40y oTpy$@mI,~Kr9V5>n/ G27`S0'ɗO$9kT<.⡫qVq|)4i-ڍpM[1m-]4qm+QETpnUťb͔p"xbFqFL(-;HK:y݆ ʕwd[S΋bI*BQ,2ws zib'r P,<.wѕNCL oNCEZlv䅑J !B6$3enֺ:uY"\#5)sgqθ_MmB&S%GZaIRp:p|q,vGua{4*B-tr TN%%\ !ь]na؝iLti<3bV_J̧FvbO@&uiaC;SG]#L`qsK ڣQ^8zM;DL)7&F?"2%AmkZpS`w̠Ot4A/}9>L]t;ݴ2:f,$ڌx(8c֓'Γsۜ܃9H BJy^hzGO*SR: N2-g6j}R}4S|{Kt h!8Sh$c ٿ״  T@ T',V/}&*'&^1aǭ0 `wx=q•gb{SY܃ M ,*?9 ugP/mZMV>2Lbsw4XbTxHlhn#_OԙFԚ]u hc3v,e? 68&j49$ߤPʚ +V"V #~c2g(F*/8ce1m2ŧ$ 4|.@ $My U3Mp0ɸ̾fj.HpMwۅXD V51p;lʰvYyLqe8A!i˿8Jr&#} ?څlz0^dɇΟSv6BDZ"tJzz:9o~wowo!t`m 7N/>W,ȃPX oTrm>w57WW( TsP}\ Vg繶]we=E҂M#5Yڢ  fPX&2YB88@*;ܻ<✷1|T~;SW>]Pc4I cRukRoOcOS@Gx~BR=xnO"-“:i2f5Ϳڪ_><|=|~6/Kw4v=X`4@hڛ/~ؐژ۱9(&j;s{M!f")3pt괅9(y_ {Jpې)ybމB!(A$Fs)M_w/?M_O?N_br\eGƗ _]?nCNi2kk6w|2 `"9<~ )|ڼ['x74qIo'dѓjÁxw4 \Y^&nB6sQurNJhc8wjx,(-~e}Cڒt|CdTIaQV߻TIСHSko|`i. T ڵؾec: U{`X ,7P?[2w5`"GM̑1Sùz\ץ7ErFe2򩐈(Aa_)o޽AK<f f #5O+ F@e*HQk@Ub]P#l\LLDN u Є+(豇Y,8w)Ƀa$-E\`3O* 삌E 19~#L=K$L)_h,,hey.UY^k")r+nM&?Iav$a`>*P_(BtG/16󠏶_X%r',L)vwL~oIy!%3cm<]I!BDC4U$YGXoAd$t>tt{>- XfgX/zH(zEKdw^By a%D}d8ڝ RT˩K; .,ڮ2N(dA c  wRB@\uT326("8$;sPK/ 8i5) g~W! Ƣ '*% Ύ? I{f)YF&-)0SnMOT?#ӻ~px!!pYCBCSgPHawLCxL3d[!+ `2M24@N[ `+. %%ͅ{EkAi/2ãeVY1Zo ?1Y7T30Epn;rrlyRrfk,c41s!^Љs!MJ7$O] e/uGgKh1G oJV;c1S%X ";B8`A\y9@6-X9)bOJ% b`]PWWh(5 Q^CzR#rZ@=_;"U1g[W{2:@ itͱL #Ww0r=4Hs %{h|x9/*4>Cduwh͡q?Mh݆JULحb*k"_HD'}m'$z:`D_ȹAq)D02n@c1܁[q ݂С#Nm`~DE/T0͒7,1D]B@YiIM;;A:7ҥ⅐ڋe;6޵?_p]&s\pN,DKVZv轥 Va7jmgOTd" 6rOXAcKd@D)z%@DQϵ;yÌB Xc^\h.BRZW_|3Wj3)D\ Fs%DIb_K^[LWSVs}Пxaѓڄ a@ ln~hz46tP0yP"MM(Oݔ/mƓ<7)u PA<2I0 x|ҾvL 7꬞;EO-ٖ'ԶM)޺$464o6i S'kOOHߔ$Oț;\ng|$ >P9t o?8-%J&!TjmLO2X۹KB3Y[%2[SILrڨlsV*6=43Ѳs!-.aѯoO_w `Yo{xӇ|A~;k~{2N:HŏFhKRo 6ӒWD䞁; $} \VBq[ Jݔ }f#&8 N%fԎ#tki&r1QU)?H8œ qU_t,f:\$ ks g"= vԋKRq8P.-Xv:urfc]ro:4Q1Ïa'wp{C]kz2+|D@#IJ.3glssc4*ʲJiYF/c\$+Q/-HԅW->Zܸ,i~~JCKdUeAQQ`oI͎4 T^{_.M+cz~9q8+'m4oxd$"o7e?s(5}QߕK47J3C ޕB+=SvOȡrF]Cm}5rdVDnd6ŞF:tR X0Ӷ-Tnj7JDcnxIXj.<)C^KVcF,մ.ziX*IE%&H}:9fܦkyBCZ6Y"\5!ց@Bm ÂSPN=LjֈR ˀnx@g I* 4a8!#ڦMn8+cnl&W#aZ.jy, 2C&_ 2_\ p1%>a8b#fz@^4~wq'󟊟D~vh}{u`'5jDGL?xMGr <|oP@w vKJTb@[ҾDj UX8is m L5D{;+V T̀F%ýuW$-ٜ]rɐel%}Re ;ΕÖߔ @ G3TG/E_; Bp p@Wޜb/V!tOҘ {V rg!<" 8ޘq`^{fdu`{gRL6 y\{0`2̥jtثM,TIw >yܵ3r>=]ZeP^ƦoI{ S-XN,LE:69G߂da$]xS9[ŃHǗ+懀9R! o[Xi92bRG< RHԀ3M"ɛK$#Ĕp)I4 &K8$T>Q6a{: $M%t}, ;L&L1\,wcʢZFeXx'Յ9M;Bw\f⺄>@*'gm8 z&,fybJOș8D䘱&φ;dFUpGtaΕs4l]:P7MSAG0鐱y ljXNʰ=Q;:@xR;Aw6sqVOUؤ9gQ?U.7Lv%Zup:ܾ8Hr0?EDUwpM]uf>ݔK tU$e8[/_4^?H8}UEJb[!~3ZFR|)K{2=$:RB%HMaP (E3)tMTgw_2N*~jOiI"H4MF}*_URZo^_ȅ*Zt9#q[_f䕽x?k՗GMdžqh̗)&BrPüa٢FC![aU$R:/㕹N6^KwԲh]rI ,g D48(H UAWyvKHv2YuEKJ{2_PP !~:f4&.K«RH{W%}ط>Em紶imكKSl׬)p)9b8´TK( B'c|!Ac5Zv R/+jWS^9c- `g~ӂlA)(.)Y.%kײ,9ܢmsLU&lz{a\uK(_[ c|j"e5Al.vXdOT1q\ks:?oX=fk&Y;)RڇuM|’œ)|7_oo|gͥ4 KW): PMߚwJqY`!qmN#|w1)]H{,fUʏi:LUGkKS>op_ve$tꇍ׆Zo2xIMo bٲ#%gQmk/% F 1[z(qe'VMC>6w=IZ>Um vؤdܒCǣrU(mwsjh\3{ێmq(;3H <Ӗc%2ߟ#GNE.X7VcvYƒͼ\ ' xqs35k_'8Fd/X( 4l]; cpCG/o~e@Eu8T/*zJXіg rv$=_\vkCi=fXM_(Q(c\Ajy!EL`#bTP/R92KfP?$噺*ȘJLAd3x0(2H4<]euQIy+%RT9i ]Y=xvNLXq3H8˹)1s jD:JE=# J٩KL̟!a&H1-xC珞nΥ7aiFor?"N4Yy%8{:NTje) 5(QԎs2aS.8h E $Ϋ5FN9/ -;RqqZmDdU` ӌ]ʢ .ſ]4)j[㡣/h͞.dp bM!^ͤsU"(4`zzR@!=5)jH}=i{H#TE@yT?>G[ˇ˯2[ALUf}Vс|㠔"&j,M:S˘v) 6cеB%.(|:齬c_(\λrlpGxGWOzPI[z/[xySofc:byLH%\w]_KAFލ %-.Zkd gI@<寨niw=~,fK,~0L9ypbr9e;w|0ßɻL@bߗ?q>e`>^/`?14aBTCTtP+R: \d(fwXF錨;YwC'#ȣaMl.8'I觍q(XJqadG92LgBQE72<ʁ6(2=_T zne_r`pԕ"邮z`(/F9mTm(xsd̝6N zt8F/F9rW)bP2"Sݯ F/Fh>eQqCO5WF/F9]@zk#F BL/x<#m0RT ]@)zNMsv##ǃ KbQv1Sz?w71r<ȁqQ6f u07=(#IOFG9CR8.yUX+V&9ғQV4QG,?A |O"HSvCr.7K6Jd!7D~2C[CŸt#{J6q\E@fĆ+׫G 7✂٠th0^{ BgҏdG*}T ~VgXG؏9 gF-"Y;%]_VrdM*Ր`;~k>[~@=qS5k/:@ nP~F G؏%Rt_I!ZC'h (K8-JIxLʰ1-,OQ~g SZkmY1:+;9~җtVkECN"Y3'(uuV4q:Eee?Ɗ0f8m:- hܓhҧ^=5 F<+J)q[Xֈ'uyN_ҽcxKjcW}/`/,sGL D~]:Ne7Ţ7 uD^;mLUW 0iؕDeN%}TZ, ";G&/j2 ( G~>Ϻn6T$$&[ !/  HTT>uN HThߎGD@nQF-c7e5u,`OAsr!O= =C:-닰H7^x=7ߩ۾c{v"v}ߣ =q,BG\%bs v{OA T-b:,/s1q~PsCtEOLmQ`OAcο$|O{Ҟ޲)%: X>gNf˹9i1h=`3vB3Mi`?0Rͱ4gδJG\unIc sK;5_.G}1?KR璢~CCt1Ғ*v7z ]1yyٽr?-xlGJF* d\(>3n>ŲRCq1 v'TJi4Zi1[S>u҃a z+ >0( nk 8ފ%>OM]Vaٛ`7Fdfd kX"֒/Fl)˶I m9L[?Cێڲ H*bߓe# 3_eS8Bt@~ϋLBLrv625Kj㙧,(kjdR%2ws,Co9c?IǢ-f35s-w-{]Nī-EXDXO*"^u,w,'Xձ79mu, ".E4~E4b*-r*w*B]<aB~LT.& Aiݑ~q\VhBZ+ouHDOԭpu7XYG`U4((EmeM6=B|`!/wo Ɗ=:q|`i,wso03q\|`VF?H-:208/|LgD!P)AV?C3ܐe0\ϺpN܋a,Fcv YfH k/ -qt4 Cg1˩ܐe&:6Bz#H}Ya,FcvYmpP iE!L#e2 v?-8^KQsQfX`#/Vvrw%:Q}au쀟2)} x+ E9 #s`xO"s!Fav9ˤˤ(̎p:v0R3mEC9 s`۹I;XöL:Qe)Lb,AUe' C9}̱0R6/H~BdIavEs` #e"Fav 9Ņ2v$A0 I-4 Cg1#|̂Ϧ&HޗFgg$2i̎p: v0S5;591tjOݐe.s[>ta,FcvąYqL nn[vY ;R入aj4tZ0!vXg -ӂټtLk:јbeH2-\L J0t1;!,Rl腥4 Cg1Vd],KGnkitu8mDꛯׇy_+`f;v9L* CR =/SxP%.{?ݷ`|iRb@a[}?'^4nҿ}/N/_w_!~/X|~8k A1=g#(0+mF/ai5 D]ۂh;P130&E闶 ahΗa_{f|;ƍ3D3y9+{Lغ[s4u/g8эc(J'6P`cW~)36JqaY /lּN!ŧ^ɋR#IlGPO2d4htÛ W$O&Mz'd~tl 6d%5sloi~y:}N3!=.|x97Nօvx*+ז؅=l P/Y&Ihq/g >2bvA0`3ˤx ux-[[ 3zg?non elMЊ2|RX;vs{ȠI6d%9? "W#K]bbY]iEeXj1)۹kVl (*6MOMm1\ZJbШ3%r؟kx9k-=uo3j.߄:)-p2'`:(t&NLįWI[sE/8% ~|,#ُz 3ÅLd> wÅoDFIIaN4N~gތtcF+K()Ja@Id6 pDn)#A֥[kvIv J(cc?)h,Ư%0M1P!ڳ^QR*WIMN@7H,(?@jP,jAU&*[E6Q$p$8g!5gy~\8-}l'6Vƛ) hEi̚Ϫ[떬Rf-E:G/ Bl=XS'mꤶN}/.7 ,|N*a: w,ITD'A^U%ġx(;In&dqZ6`lHH'rI{{gd_|g9`sc$AOe#wQdV,pfYs"IK/߼ sI K[Ihnp:71ԐK0Th7w1e؈vU[E&R*G\R?Q.1Nw4DXD)` kď ]UݩD !z&W<&Q!zdXfMBa.SSK "+6Vr&ӆ[7߉dQuHn͢g̨߆!uݺ_DuN%dbF$ x'#4'yhUh~O#6D'֩:tbqC6;U"B=Rm Ӈ]I(JX:hxDֆvh%h&}p[]:+lpdsrsʰ;]IkH8󺐼eӅQ UB[Q_lG;[']Hq ǝ[>#Aq'ɑ1Ef)T[C:Am.ׅ >rMU.LC]?{4%nd.ïw# Я0FOlAgY?omp `OrKfӂgy0`z5L9/c~QQ\Mbcn{/5:u"&[X| 9  g qO4 dCEDlޢPrvXCcEqFcӛ.KmVŃm+8y$PݤeJ1承Z@=(Ǒm(XEdFK? P$7a[*]葂M]pϼ#'#7sP#Gg\u6*y5,vt2 ^WV&;P|k+| W'\A6r(Lx]yAν h;n}C>n;[jrJXz-/EPJ 6i|e=I!^$SenoTݸ%T:TK!\8`D܅qD% I6 [hv XtxdaI8E<*>+7`$JCC4xgWyvux9M'"l\ u\Y1 㵸:E4np^f$ [Xxc0"TAp1*F*ő35Z)wΛ3 fձA֩O !Q<5^NV#0j\ ȿяf$:X9 ")0XT"0WÆ>_MyhMA"yM9^*A2SM(fl]4 3qV踝 !^Kjk`(?{Tf5N F[ɔUoXPE] Sߴsv՟1ܜ\|LU R@kΜ+XušCA40~`RDb qPO/S rM0h7m.H.c.HHC/ƴ$$t)}O2x@WN<^#TVFή0c> [سun/o}/?Oo~O# Q;Qz)-. X7pZ64|Ęy z_"`8,A L7FĪ ÞM2DCKI'e4OJ!B&_#qjB$D@sբ.K§ \gzɻ\p=bx=]J;n8>W2܆z g4XkQvYd{L@KsS3 R4\{"2"U8mTH P +ÇON :=^9\7pG\S:"oBFyE]u)6xifw[J-}_l}-EDF.ꈄ)~{w8ĿLo K)ˬ _=XQq5"O +^<qv)YK*.OX)2^Y-8+Fv$-̂\aMmsUAlgh*m#k@<'Q[GdLi/,P KvBFYLS"s=@LA% a',a@ A3=bi3) >=xW2yOq2xVXF>TV|N 0~NAgKihJ[(icpy$E]4PPXU)&'rrAR89~1kL2cZFkC)PҐ2T%/{:z7.I{J`{QY-8O>38sI?/MtthbD@ſj89cAt6${艌XBE '\s1[)lAI/sLBG 5*VI$*cWH([aRcVlO^858QFS׹b$.?Qb,BGeNnF5iTE*P$l<T>/֓^UrT{q!$T, 'y1-MKE^zYuDu ZGL_j\VzQ~P 8)wq˲[r*.(?d7zJl0Kt+,;3_e*| '=͂(3ˠ,4;AJ|80LDB 3 )x ;Ջr]~.oƀ-qd X? aɠj.CĘ4#qQȑ\1-bرq]ӈe<-ź+R!`k;v_aOH5B fFm#y-uY[[]jY4" !ˊ#?K.vkk?(>q;7ò64:>RIP)]'5Ǻh*t+Fz`h86PQ ~7DUP`v `T 9C6:='՜s ՚L)}t9pՄ.k``\p]A̖2 65:#"k`I^T%Wf~Ч.~_fbԧyRTHf>- unXFqG%\\?Pr RI՞`YTﴖ)ҩM4Wpp4 ad 0^$5/>"%4ZS Īj񰙕%)QbBͱbj*zaf&I eV :L*9R=Tֱ7\%M1Xa%8y!u8D=Vz8Swa0g9L~8蕏c2F1LBB,;vXby%2UVx58.Vg7u^ޚ<ԓ~/3=?lǵqzo{Pm8W4_نiL`j/sHXF^T%Wf~kګ5^! lj& 5H^c$#XsMD8z5 “X1gyNJS1Nk֦EY<ZKk&"PJ,iBlW궇jb~9*9WW[a˯BJZ5QCXeL4_-oV~)/_60v@瀒+Sc,|SKy7qb;eB* Hn8ev4pG$@5a1*=SU* Q8 a%oP[Jr2ȋIŽZp.@ӬMG;w]O0>.R̶QkF9"{;"Pyjz1Ag4Y)[W7o_ mHx\ߓ-S}Z]OReB ݬiČMR#Z6$cK6f5i⛴]Au*fl5\ږh;P1݆I'hiBv_&8p+Ĩ eҾFA ⮐o-4#Ib2 )rR*XUr*TE E,Hx AL0u?T">PL/s,Z2~LB5r LtoVwa.FAQ$C/pܵ[})^ [nܜUѽq䦓DLȨ17}El~q'C =1HȠT_GHԋ,:S x64$}. ǔ/~MEf! \>|Ӑ7 h1=ME%Os`+`ɳ⚆D1hlpZmi uG:SZd&F렋#BŶ>=X7\"V) B"OW)F7bW96:C/XōCx,Tp{ Ry}壛=˼3`S]͗(m-9Q3"^_m*pvt~r R9n 8?ЅCƮǏz$?2@ |e *(dXc]1Z3: D.He+> stream hX[$Y1v֝ 0Se'H.,&Drbdmsԩzfvgoq0 XDB$B 9y RE@t/==IDRI|}6x3G/օ/~;_-gKg|ܻ揼;y-qFG?}^|6FHO4~Gmo|;gh|\b揽rpV~x'xz]w韔wζ:;g8o/|LMtC.v鮠()UGu4Gw X6r0BfƎ k,"B1Q{<]pÞZ>66兦@g%Ydxu.;79Q M(֣ , p[Z6Eg i3u"6ELCtUUw.vdag ۓfA֪5"IJ,|ҼzH/uςȍ8tYHR&xnη6I@*nuG)ODZ'6tq~<l͟\xg0DGр6R <AǷau`+LtD9:EK,]vG~?Nݵv{ pR9s Ka驞j*\;c$Cu,+:z&gۣ@P@ Z t:wNL+S3r kjW6T3t(ٟmKh6=ʏ8q?rfT4zJddqJ$jfS|I"4e90 J+S+b;8; /0xRmCʖ \ b!UlX|G۩clτ7uV֬a˺Ql_=^l߽-\GX +8shl`m}'F @gb7ҘA>!StC=k! !#9YRS*ϚG/_B.Np5A+f,Ĥ8o,/j6 iFa&=JyeD:=ȗt5靎gB0u->Ӧ. $t6,ɱnj/l{^{EKٕ:FQ;Ǝmut^O鰔+Ӫ#px@tU$Es?|h`X1%V")q/ϝyI";aoz*zVO)4$-0b+;%ҙYpYjtm"ktikt ,deԀ3: ]f*b..׀.'?6K-]I7n^h[ hw+2;"{uK~'_'zTu6 x4&Oix}03DaCXGtIJ#CgE$hW_2)IEzq_k~/hҫѭZq5NUUp xmi62Fzdt.,%ӤJ"/2BR>8sGfwmKy`u$=gx4ھw4|:OfkkCk'Ogw5ڲF0] 2 GlJk!(uFѩuPp БȪ09xlMPUX{`~"4 ?ߌ?Aa%Qp~{Eqc,0D9>jE'0GxɆs}/:8Ȇa3!ϖmۖc:&2!5 D Raah=>P  gOk(2A5驵) 3_ƻΜk-y+m/rq$#.BKLId]kCudY O.ȴDOxnoԧ]{X-eͷfmP- &#RdI6Ԇ&~ |fx+d6,Ҫ aiʱ`_ǚciHf k\ ޤ NL θ!EiHc{L{)ؤޞ.vh7ݮ.fAv2n_v@ur/;줆l-lGJ5 ^sQ˶-mk m7 6gm2uf/땣rt\Agnگqr8LYyTWkqt_1ӹfӵzf}BXi3}{_`,5aZ.8@eȌU& ӊ<'I-3@})d`)b 7=ubbcq]8m{'N cCVeMQTYk>#f4|f3\ϮaY*&)E Q1l83)>=R}.D~n~? g bfNwT7;S<ӴAڠb8";69l+Xb|~/N- Ǟ-8|c1^gfrfQL".`٤PS}L孜pp[P}Y|AM0P(HDİhs~U_r4RUzɵnr"{h 0YL endstream endobj 157 0 obj << /Length 3092 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\pg044_2014-1_fig1.svg endstream endobj 158 0 obj << /Annots [159 0 R 160 0 R 161 0 R 162 0 R 166 0 R] /Contents [777 0 R 167 0 R 2096 0 R 767 0 R 164 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2071 0 R /Resources 163 0 R /Rotate 0 /Type /Page >> endobj 159 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 160 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?t=eula) >> /Border [0 0 0] /Rect [279.72 198.06 397.38 213.06] /Subtype /Link /Type /Annot >> endobj 161 0 obj << /A << /S /URI /URI (https://www.xilinx.com/products/intellectual-property.html) >> /Border [0 0 0] /Rect [361.5 184.08 496.86 199.08] /Subtype /Link /Type /Annot >> endobj 162 0 obj << /A << /S /URI /URI (https://www.xilinx.com/about/contact.html) >> /Border [0 0 0] /Rect [159.96 156.06 320.28 171.06] /Subtype /Link /Type /Annot >> endobj 163 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC8 788 0 R >> /XObject << /Iabc2472 165 0 R >> >> endobj 164 0 obj << /Length 18 >> stream q /Iabc2472 Do Q endstream endobj 165 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2473 765 0 R /Gabc2474 775 0 R >> /Font << /Fabc2475 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2!/dy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 167 0 obj << /Filter /FlateDecode /Length 4431 >> stream h޴[ے6}ے]4.@̛-^m!㈉y`ꚩ*IvKyx%8х$D"/'3 JacKP +KBjѷ7כPv$!,*q}HO]7m!%>l}˛rnse2k;~vØ?7'Y9m~_  WHWDAIo7^ʇjʣeom |[…)whc4qtZBW &$ 5oK[Vpk x/@DAMVp#%B䶰fC.:i{Q^ W>*#+G`mUUp68^\K _y sQzF=I@ qzamo퇤_7J93߭8>B[ L+Ã>k|Q:QР_c{W?7_LKғNOnGi%ԯqؾ]?coDK&/BT% wPږ"|(lhc9PB2v&봡 `1kZ$ʫ2غû3B\OA$jX4>^y2Ow kk6W˜"XXŬ]p^(/da!\| 0`4֊f)UX=,&իK:O5El4.iViphw~؉$1Jq3 oF>4SKb ky.ʢ&aXS F%1I1 HdLעnA qj1NaR > @h %i7tQȨl)n' '\ @f)0.D \IR8nX'\4ǫJ'V#B ,BLN T1, (nA2C 1 btDxJ)GGxEJ4 b%Q{惔cx@uefDGd<ƻ#hl ą,ͅ,!`k *+]U2 Os~Ǡt=ITF7ܮb 4SD$ĭAvzƉ4Fb~^&%8V7"YxAI?71!q㊠4~.&WyCgX^,:Q%<0ቩg!- sW&+W~9U3UK)r.Ƹl8K z !1., ά!N/!N!N/!N/!οp lf[Գ^o., ~_P7\excPdj~̜Jv+еEȭJ㊘yKC tmΙ9yn&bZ,N,.=Ilu4C5FYzA֠^hP' V!ypN&-D`Xj05tK RSr}];/4BnH\&Lɥ@|1@ $KyS7΁TRM9&!s κXĢ,@p*sJ1gDlHys^@BL*)I*G%rE THO$o:B$9JO]#.0bjZ"|D2g I%}%h&YZr|^ $}=.>"^ꤕ1˾ RV58k~qELZUߡ n2XPA $ ].eUӊ:K"w?5.3RT]1ꙅhv'EJ|~ =A/J_:j+??mdfϭ_T{@yf+B.iBJT1X!KzD1;%:P{dF㝜ӔI`F$3=En%ϓꂠT`Cr(i{iW`3la8]XNDrjTT$*(޸6DoħP=X/*fG/;5;{4'3k•B Z:&lL"00)&=o 4W?scfljhVS9ZRU.޶B$󆼔^ :(Ty?fWљ^ dy|}3OTp*}vy/voēl$( *ѱxWPU!@2]J"Hlh}`+Q򮤌IB):Լ#* !:ŃVkO劐=/XҬxGn=¯Pgul!mvNQ0L, Q%kE:Ń[<&1y Kr!C]t}n\e0QH:M/|L:4J@'@D_%>{C5rMr ŻC0ՏtS+q2/ {^Vw8IAY>.K|Ma|VG}؏w|;^hϿn'xk)NbNĸx0^Oaqz/[q_FiA걾Hk>p+bS3\E67n Ec?Շ_9e2;x<=1Dvk5&1P׿G~WWݾn%5$̥-zD0%<tn ER^)'%#m͌" ;tw>}~ĈJXĆ2iPH ُ̠(`Ҟ"E=6yϺ9в+{v._䰮pW!k.׃eRq@s|pߝ`?"!bZfO[f]ٙH§5!XHvƀ(m S Ph14 CSJFp'n gB(v;4Tcb\{9DagZimlٱ3[u:q0_{qAZEEy^TޢzSaea]쾾?6SUWߘl}5|^`7:)D_B$?Z@mB&Fuj؛OPeS{}&'۞ [b;DT^q$BK?r}{,fK'*;ٿӒТ GIax/+ZS?ZfG(<ۋ[\KH2*j*`7b9ګr K\j rRΓ2?DB>7KoN]%vi97[ph S4~=JYv`?@퟿o~j@Mu=Oľl'1U2&X@:uSc~~cșP?<ֻERj/SW,_1w{:N9^OWXaO^W*g SG:Z`9b;}rCEB(X;:E ĺo3T RθܩOb*O+rPJ 1ܴCGF%Wlҋ^ҁ:—aŕz0oݢRNoNc{>`oN t 8RW _ #S{}[6RZli_ˮLG'ޟj=VT`\:į&&K㰑ZKi&uǮaֻhҞu(ΐZ^w9/21J=HP}{߷u~0PS9_5~ʲk}@lxm1"`G 0 endstream endobj 168 0 obj << /Annots [169 0 R 170 0 R 174 0 R] /Contents [777 0 R 175 0 R 2096 0 R 767 0 R 172 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2071 0 R /Resources 171 0 R /Rotate 0 /Type /Page >> endobj 169 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 170 0 obj << /Border [0 0 0] /Dest (M13.9.86575.NumberedCont.4.Vivado.AXI.Reference.Guide.UG1037) /Rect [91.98 506.1 125.64 521.1] /Subtype /Link /Type /Annot >> endobj 171 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT16 795 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC9 791 0 R >> /XObject << /Iabc2493 173 0 R >> >> endobj 172 0 obj << /Length 18 >> stream q /Iabc2493 Do Q endstream endobj 173 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2494 765 0 R /Gabc2495 775 0 R >> /Font << /Fabc2496 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG$DS3RtriK[Zߖj?'=ʡ|~72ɢԑH5J\[-<8יq|mau3jl?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 175 0 obj << /Filter /FlateDecode /Length 3680 >> stream hZ6^}k&Ⴆ^[- ՝mmmy7t6fDj8ot^=}V*PEjrN&O -jyߜaO_?Wϟ~[) *,W_JFJ 4~꡻k5B>;92DHVY!N |,LuIgjf*ͬFyn&֤Z[GdIV0)KU1#6ͫI{U¦dq SjS%~0xm?Zl@N+1IڭpzL-i_ST xdy]l%T)# iU(Ujʱ:TghШ400[b΍ Gg\MT"G?T4'cRfwAabFUnN nOx97YR$lo6!X̄1)1b"e$G׌ݨ0ѴS9!m2iZ4%3m5j*ҙm oq20p"F54:ڦ6rLV c131>cƹ9ϣ+T[#5bx$LVnMr)jZH6GH'A^j!kRO{A1r kA :=Avuz9&E dܷ-~!=%iM@}y0q0T?UWEսE?hp5ԕ܇7p8\φ-E nǜ Ÿ͘0F ȤwzX1߹PbB?cj0 GG=N=v C]ep_l6 y261+fˠc/,||p= "6-M;4 c%+*U?XSo"`h"16q wABkϢ_5gcK(B*51cÅZp@97a9ƟIY'D'=Q\։ z[҉\Ш o+؛iE&j+s/Zrmt3C*/q}WmlGL¼ç=׼zątdx+aKS#A81 ,=ϗW K%af棋=z_bby*ugؾ^WQ@Og਍KjwL?&x>d./4jYTN gԁV*C$9,.Q>~.V7Ds;oLe+*8>Cf彍J?X1 PK6uJC )5iUke+(vZ`25c;ϻUef,-lcTy}u~ bsiD8^d>ŋ/ JEGRE;<,wWUj ] 0N CC5~b]=G g YE̸!:Nʿe<踘W~=QnC&"7|ݾ/c"ҿ0t|_~FAmc"o\_]^\%W3 QMX^W"nS可p\Cڤbݶ7a?V__`xG+ y{-Y.O!P TPn֐ȪHn|9<ާMzy **X)U[e `0Dur}((J(%Q¹`Z0DEMb0#Y%402אe{n*h; 7+[eEJU,eM} ɰƎ iam i3kFeFnoH `~{nm-^R.z?x8ٺ0 c߇yUBe RS1_W"&aJ *B!iӯՍtIAo籪yg:l-p~bXUI}֘S'p;<;;(招Vx`#& ٮU"0wp#''zCT|^A~9e:<pa++B$NW>#ޫ7_"ڨǵI^m=|4v3EI> _!H8k8R$A>*HxHEBei5KnvwwGSCӊnKMaTu&~NRIϓSo H0yYEOz/sJ̫;(]<ت{`2}^lɏ /X/8CYic301 (|*fEKxJQ= YmCu3\0c KUu!027p8q\mۡvL#DܶG濔eRG>:t`3i AٳOEb+vIԛw-yT>Y`;zwzhy/^@UP]`25Un"qʻs6&Pm@7:⺴$1Z5ҿ@0 <'v]qӞRqgk&ܯ@*ߧi}usӵw宝yhFqWG\/AP3bċ,`+nVͦPEW{S>B60HVWW`vb TaɔEly9~m+)V; Սpz/pho^~.S`f󩃜$b]Q]{ xAm{)Yya[`X%}'`QR uġ"%lp)/-l ǖYt$=l!%7x[ &+UaYrfNBާr?N7eBV$@C7_ n endstream endobj 176 0 obj << /Annots [177 0 R 178 0 R 179 0 R 183 0 R] /Contents [777 0 R 184 0 R 2096 0 R 767 0 R 181 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2071 0 R /Resources 180 0 R /Rotate 0 /Type /Page >> endobj 177 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=ip+ru;d=v-axi4s-vid-out.html ) >> /Border [0 0 0] /Rect [311.22 344.04 508.38 359.04] /Subtype /Link /Type /Annot >> endobj 178 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 179 0 obj << /Border [0 0 0] /Dest (G5.402584) /Rect [214.2 197.04 267.06 212.04] /Subtype /Link /Type /Annot >> endobj 180 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT16 795 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC10 788 0 R >> /XObject << /Iabc2514 182 0 R >> >> endobj 181 0 obj << /Length 18 >> stream q /Iabc2514 Do Q endstream endobj 182 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2515 765 0 R /Gabc2516 775 0 R >> /Font << /Fabc2517 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NeV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽? f endstream endobj 183 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=9) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 184 0 obj << /Filter /FlateDecode /Length 4312 >> stream h޴[[㶱~ׯ#Zq f39{\IN}jf]\ ˇnaO?jpRUYS+Yno7_n:F8"ܲ4ɁumYcW{_nTYAͣGyNy?NNu'fNq32e\L.sJd{Z>|ԋY+0g>[5ˏm*> t[ƒ:u 9 UdFeeLWteMfYk,Gq&WmiVg0np,@O9 Z$e /*M\2$w7x][]䵣2[H0Fм̌;qDȳ1ا @&Y+꼄Ǿ{(A+XV 脄-؟+Wu J؃qLB }LBU "#.yN8(08<[s1Z&@U@$!-r젤ڝjDpM]\L҇ΰ".(Q 71f:EsĹJqe,!^ !ɹJq qWE/ !-d"Gk"+gb3k31ęWNCۀouV7bf5qBco 7JL޼1T25zaN%7YYJ r+}Ƹ"B1v>㦑 ,xBP_o!$`-,Nm0j_XK5/?Sm~,P{C+*MA֠4hkB--h5ج5" X.Ҡ5U.,s%?iBŁiB$mHK 1H Y7)pH%H >+|+/$)mmN%z>3@[_H6/UKKPa#V́ʓ< 's9*TM 6&Ģ/e-G Q>'% ,YOp K(LtA⶗ۗP ~[o*i]\˾> Ҏf-+c_Z)eZ]׿ ؃m Հ[TbAmj`*xCw=U75?|T[^d_<{aU*U0-®d*"[H<(ҥx,4\+J՞+ =e]p+ n–+bQ@m)fsʪTi)٨}3;I`eׅ}. tKy.Wm5i:PdU=@CXՕ @9$[X(vg7|"yao,Vm|ST TX}_BMc*WG[y l]#P@~P|wMxi4Qc 7_۾'WBI՞ky]<͕,U;JЬtmV;5o!eC^/G?I YjB낟< }F**e}>őRYҾ.od{9̰`j4 +>@gBt(m䄇?xTӤnp|Pߎ)%pt|Sv 's59D{T4R)h< N)HF͏ڷs.j8cL~OrPoW|ՠ,'_4cG0xܑ^ݼțRZuݿQ=5'B'q6ٟT;|`_ڃ@mܧHә <=R-jX ѓ)w` <3ga|:@ejxzO]σ>3=eOr4= =M/_xwGPڂǐlc)#T @nrI4/xO~QIN 2D.ϰ$/!k COI`ЀhԣS&x~b;_~@F~v$)ݣg ~)ǝ6`3M\'5~;I4Hp5 IKg^1i x`( IgDM=I `樃`5Q4p U![9gJ@8pSLccb8;jd:p[ր m׍ӎTH̻vnث{TZ2?;9E a) >-+<2-ae>N)fec$7E~ml+@_۪|{2n8}Uu' BeB a ûy,\sA@t},΄B,Q#Ltx`VbDmE+{"znr x w9?yĥ_tSѢmBTLʜn؆`Z7ղ/>A ; A%*AiĈQ -5]ァ⪝v;01A#{ћy%ꮪŽ_yJhI ǾɃcd4^ƃKQG'DI<ɱ< a <#A *;bL+"UN6h{hYpLt=%#/@~PrM E;O^Ψ92ưLqp^;LE^9=C7%;`q 4͏>Gl~⧔(>aw!$hyqW2p8~:y^8z肮Rg$ywL;4ʨNqٕ,#@, |_?*&(IVIp!'}W?#%Grk}!F|. YbU$@ntׁ ^}w(Fzsh?I/x,sJ>V׿~,\*sM ,狗,W_uJki Ă}sqlL2$~tنԵ4) )3'pQ0uRҢ%1'^酊Y^8> endobj 186 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 187 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F5 838 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC11 788 0 R /MC12 188 0 R >> /XObject << /Iabc2535 190 0 R >> >> endobj 188 0 obj << /Metadata 193 0 R >> endobj 189 0 obj << /Length 18 >> stream q /Iabc2535 Do Q endstream endobj 190 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2536 765 0 R /Gabc2537 775 0 R >> /Font << /Fabc2538 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫I>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7} endstream endobj 191 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=10) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 192 0 obj << /Filter /FlateDecode /Length 5295 >> stream hެ;ks7+q& u{U^;^bEV\49H~PW4Sntg˅׻"4NZFj&W?_N^\^zDI![V\N$Yɴ['𧻓xcmLcnv+ۈww|$^ד!c<[1"#WANéxl Ƞd>S&TU=`=7ь7 .hR!)YĤRd}BSk1UjLx,oȭl ?vul|>v[a:W*#[Oqy@x!F5;}\L[K6W٧ڂRA^[,\c=\fD6kO(n6qx]yc~(ޠ[:XCԍ4+-Ц 5X- [ŋ^)%h\Oz݄6MsWqj_w6vy˹l/MJѰ%^4J;'ƵV hژ6H@ZP7Z2-h  Ma78Zz#tAz Wk"Mv!P9yXA+Ȓ8 m^;iϥ|h^Q&mSsV5:yX)X>goG| 8v L&ū:1Dh-Wq;pߵǕHbÚNI Xq87*a%Le ooDfeEsW }Ro 7gI%C*y! A'5$JF$.IRrZ3Oi%Pmln&b +8!-qR{28>2#v*5x@kDO6O`nD63 K r;AȓG(o%,C`Ey! {^Fƿ f4:>`pe~$@%-=1H? **ݶ]7*!l!9T7A`{0AF76.C( ,># Dn#àcva <tO7^ƌBXYAI=>jөFą+rքq18C*8Lz hD%p͘[[6?>|y.fggvpqm)8Kv8vq.'I*nP'](ZqpqftŅ'<7n>qfz4~ecи>!~OoJFAVcVܠg֦ VNq}<1>ڡo:sj=OG@ϫSv %YH/ v-d~X!ntB!1 c^5SSHM{iNXlYp$sW!Z}^P\OS[Oi 95kjϜ }Hr<&i1;<@n!F$EU+JTLh\FOr}晦'dt]Z$.yN@G ꃋ󡤃$+5:b(d O F3jgJ1J0@ |kG 0Ru~P"h8Et&( |Qq O[dUx`!¿{ȿ (LI7(J~0f j=hNS8jU> +7y?9z@!w7r pNu[sHAP <9a6QzSTXxXx z2HJZ` {^ N{uū_aJO?G |@Pz:ӂUpk)mwj[ G0_Nqk##P:WŇl?CeDj5{AlSڪpGZ HPXq5[Jcݸ~wXϟ7t= ]LjaHI-#_UgĖ^0 rZmZ0LCOk[w;xfdh"-X6xՆLa)@}u^tNiϳqzuG}|͔#m{u^~sbk5L7 /jYyפ~H)>5PЫrau,ͲK(G黷ݮe/5e@`5XgHO.2 n]ThCN)(p-]~5S~ǹߌDqe|>YL-F<!x/{7 ;p]?3=_Ґ A_0bل%'kcguI|Sr,6ů'!9Cg9u^;[L)"iϗj|A#LX:,O XZ%!1 ղ pAƕ;12TRڜO=a?@?N ^ ;E'l!7O%ل]*watʩ Ö֜@b|l_9.Ics-AݜJ6gGS ry>M W7@~HS|zAc|n=<8+z/;EH!TT[p`Eqrsm$hg5OՍqtݍX1I9ǐLpu3z*& oAp&Qů \REuƒ %߂G{>LxkާPd^$*LvajXft>t͖oL%2åBWu|S`lMxwt's6C,^lE8Ă(e{~g1D'6h8O Ʉo8mT'^Z7qHѺlMT-{CKo2<80`Jo"Oޤx&؛7Ⱎl]Sћj_];wz7PFy =F_bDZ&ie]-b%1%ūr_~tQ=}Z\mHXԩWF[bW4cw, ^Qd ul9tu+[Y,Yj4X'ڋl}DƗMr1B7&3,8QUs >Rl%>/\Al40@9`!$&cݪBd\-,+w߉ !f~Ĝ4WuǪ2߃0mM͕V*mv܋ ?:5j]0EwϞ\SW[ai(j$|Zgof{%f\O$k?1O~+6ny!.8MGmPR YuW4ݚP$Rvy1&f 6a+n h VUn-9au/=}¨(tn7NV=v Dۥ[fAv^}51ӭ&O(H' ?azѤp}YdsݭlmL߃}1njGZ`Ҕmukr  *dzEs.q~=7 bB߁@=c-'\fxaA)=hʗnLL|Ơe4SW Q>B>ݯE<H/^e"A?9%\NOo*> endstream endobj 193 0 obj << /Length 3090 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\vid-out signals.svg endstream endobj 194 0 obj << /Annots [195 0 R 199 0 R] /Contents [777 0 R 200 0 R 2096 0 R 767 0 R 197 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2072 0 R /Resources 196 0 R /Rotate 0 /Type /Page >> endobj 195 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 196 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT16 795 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC13 788 0 R >> /XObject << /Iabc2556 198 0 R >> >> endobj 197 0 obj << /Length 18 >> stream q /Iabc2556 Do Q endstream endobj 198 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2557 765 0 R /Gabc2558 775 0 R >> /Font << /Fabc2559 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w3\ endstream endobj 199 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=11) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 200 0 obj << /Filter /FlateDecode /Length 4829 >> stream hެ;ے6 .~̞u|7sm*Vwj7WoCϫX-jZW2d|(&_JwtnQTHvQ_spi&RH`ɴ}̗ŅΊ6|Y.phHh -*:Ndkt+u$eZWzYUESj 8XoNU /ߕHͪ&_T m<>ea(#u/-Oӑ=hͭ.jEME?]mȵ<]+M'pE2"xabԻ\شy MxT#O lB"+845?Ȼ(ڨ% <5WV鋾=uA=smշ/ō{Roq-uov5l>tmu~Ӫ=l֍* īBW3COL,lS+]΃5M)Z0KCЂ 4S9#i٥5Ce-vut.AS#؇*33`4]l.v|.5\BY8p҅ u<(T,ԙ9.҅Ea Zq>s4>e=AGj&(Jw"IdT6\ /(&Nx9tsDnl1mR`|VqpBG3``'M$1ȣdad! ItH4 "R%[qEABP6c!]AzDj-epxp@kPHh Dk@h`OU#A [|+:vA 8ASDcx,_ ,Q%-iS8{ƒe=Cd,lc~ Xhi rQrA-L(hhٞx0]`(PvoѸ}5,4?PHP6zq"iژ)|"6P'"eg%ahJV#D5Sbpgh 3VPc%"!1TX?^ q> q**C\3& qM qc\E~68qN/#&n2'ĸ8;q& qfLLG"7m^ܼ~X~!-h|Efߴ)B%Ucجx@J Y9+0>#2.$`:'YL&@af*%,&= OQr9]N&r_!CeG:$hR M"A%P7N(  Ta.AHЧ}*j`5_*<&AG!: #i'Gё0_LA@Q#ɑ*đ(ITK X,mB0Uh9^ʘ3"ʁ ־^1/Pc!FpH*:3$Xl(&66wThdp"BSr05y'"H⋱JƧ99L!ao"(eT9E5$P@]֑B>@;҂P:TV4'ؒqq xr/6,sH{6gZ!N2q:]́P\`@7',E,M! rПoUw_^#xq,.p\1G1} 2z]4^5Eujގ8?Bx e;0ԟ/x w *:5LؘD00R뉊&=ȲqxT@.Ƒ-\0}FT9ChEiV{ oAdxZd8A2byBx$~fD/i|"\a,q8Kawfbxg<xph0Ms5FGatb$GsϞKc7s<*/ :Gs>9(0^vj<\Ba$ l0;u8[Zegh mj+itv˴G#qgzC~{";m{rۇEO{rk0b2fw#ҝD­ svЫMVQp(_K>_`eE1Y"ȋc=^wj/@PCո}kт9RwjY77OF~~3 n3鶋}DO}'l١jId& Q:P* \> 8{9w*ui~p3֭sH!)WpH̹ L)Ou_7)hG*s԰$Ve:5:ߓO9w#nӎ?5&FT-5j39 @x0nDn1pXybeF;m@{m6IB"ԍ~sf9pNfJ1vVdx-YuBnÐsfYGR9-b}UY Jߋg?G=s4裠{&ϡdi!%'skiD~'׫ݹ$ a2fv#eQ~-*tQf`Jh,htLSB40=F*_zB$ WHo;,@p8h$7A祎/=q 6s4mcA:I<V#-d 7rZwWgUGPÆ"1pJ+ f-~ϑmϦJ?TRzNgGT6Z>BAځy?@Fe[|٘JOzW3j!֢Ue2&eͥ<~(z-$+@zdx̠ QL %6a˜&}cl8j.^ H>a2c5~s{7w ti]A7<n*Dm<=A_s"^th&a3>t٫(w/RGcf$ qQȄz,mDW bF &%1wMyH``8 endstream endobj 201 0 obj << /Annots [202 0 R 208 0 R] /Contents [777 0 R 209 0 R 2096 0 R 767 0 R 206 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2072 0 R /Resources 203 0 R /Rotate 0 /Type /Page >> endobj 202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 203 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT13 801 0 R /TT14 785 0 R /TT16 795 0 R /TT18 205 0 R /TT2 2092 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC14 788 0 R >> /XObject << /Iabc2577 207 0 R >> >> endobj 204 0 obj << /Ascent 1000 /CapHeight 1000 /Descent -206 /Flags 32 /FontBBox [-600 -216 1516 1034] /FontFamily (Tahoma) /FontName /Tahoma /FontStretch /Normal /FontWeight 400 /ItalicAngle 0 /StemV 92 /Type /FontDescriptor /XHeight 1000 >> endobj 205 0 obj << /BaseFont /Tahoma /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 204 0 R /LastChar 32 /Subtype /TrueType /Type /Font /Widths [313] >> endobj 206 0 obj << /Length 18 >> stream q /Iabc2577 Do Q endstream endobj 207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2578 765 0 R /Gabc2579 775 0 R >> /Font << /Fabc2580 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nw/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 209 0 obj << /Filter /FlateDecode /Length 5171 >> stream hެ;ko#v+qX͙^I6ɺbidɑdo_u; ^U^ٺVm^ʨ3  j홆pE{*/>췥q/oeQ_װ]T펧Vv}2dcS!N^LcpG+m""T*\xxЯ?W6#gUVU>5҄WS1i9G cˋz}U2uahou/EO*ClXlEeOVW& tu$\hqgJQkۛ]i+_[e^G\|֒(DlKdݫw]_2K}CJHXgvfTHC/lB/j NSX6@? pKAQP96mޫ߽&[иaMmj ^ԭ{Ymm’^SʂH 92TDY v4ELC-]A[Yȴt&H!8Nf "omUg~-]CCjFh.HAc tm?8m&c̝dW5.*nt0 8ґ]0Me4sefvj2Up0uDa4Zd 1s02iszh@CVC0*@wmDJlHBûb +N<i)0R«̡c7MILjڦgs4$P 7g)&`tMڙȊ!A&.8ɀ qf~FARLVGјFDB)1P'W!=am2O28W:H 6Γp ƧaSny7Q5H"AGfIm~(o dA zDdۊ"2Dmk}|Аda=bbO80QR0 LeZF%$e}N{ "C-C~Yht !+[E@~AH"+(1cڭD54nu{F 8} 3p6_R<$JXM4cn0</wqꩋ3\<{4qp<\zQD5.N]8;uqv@ۀn<͜44 7}"3&VV7'} 2DC*r=kK;Irw3`&MΌ9""(` alcyI5T9%3u 8H TA&*)!2#)>qA)I0G ѿ#~X JYN l 1D3 .MK^j>̑z?Ns5.OU9e^yp HxK9A_^9pTJP^@ 5Tq$ȑǂ 0tB㥒njyE8{}]:At$S?KՉ0)ynv\l/tɃ& _JJG*+?2Nϥ|:Qx>YP}NdCOg ! (+j Cj tFSVNFMsyIt4 Fpg.v@:=]jPBAs<Pdazp UG` L@(vW>5F7kgդ%8@A;0bi P8*B"X]"tAL =/ׄ'A90o3U D7004m&=7?aC .^c &[A95HbNNYUIY﷛ՎLtmrd٦8z ߁IAXyAOA8DYQNb[Xb膋v\! v#UqbE.rx(MpFϼ];\&U3ܼ0~IQ.LG)P GJK"knJĭ\dA-fRߢt!D[ {]S,&s0`xm~ˠlSBھah GEPZxܐ!7D G ٨J0KW/sHPo VXWqrӌߋCߢ(I睐_F_^iNi? [ |W䀊N /mnr+9РKû7sZ8X7G5卒\s <'a*kb,kV#G:/O5@lu+x ;%f{|1d~=e:)L˹"sdɎy [&F|Ԣvǥp%dv^qS2XnŐbIe 8K^k<Q:(ӳƦ~bA|Q`[7CȧBꜫ .(_LLjqMۗ]ޔ!Wtv%/{,]]ƏGH*Xri2 |Kc3ˈ;eXDο=.|15 ;߾lm”|ObN-<|oTaED >n+=wHmPtE_(ocQrz+.f;6Wq,}t0DtQ Bbq$znuC}Z7f Y,6"npf-Ī-td+4#T96ɂDkgI$ڿɂ5^n' 5pVK~c i[SJ g|ʻ D26 †~i]ȇT2D+|V8< ƴ =ϑGv/ǣH~C1uKn66] 21P4!poYlK'~A\GAZeo[w\gܘ@Zxe ].WI*"K;:Eƌ ~u%G,0N~/FxϳFDL/6k6u @H1BFRxi&\Dbtw8b[k9K mE .0ZGPT{+GmtGHEx- ?HzdV]|@zNy^^cMSfX5Ex0k)GXvr;N)BIJ! Y (7"rf-#zD(mXO&#MQ: c@J4rM^WDc:'] L Gh6M T3HI0W7'鬫d+f,"xj70KC.bDYIƾƯF}5֨(B J!6sD­I>v%W=OHkDPjҤpYo~MfA Re*Vt˅T q`KTG1An_ѧi{ Gڭ狼R+rAVU~vbB.) 0[爇Є \jҌTq{ $q:/iKP@/q> endobj 211 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 212 0 obj << /Border [0 0 0] /Dest (M13.9.86575.NumberedCont.4.Vivado.AXI.Reference.Guide.UG1037) /Rect [91.98 653.1 125.64 668.1] /Subtype /Link /Type /Annot >> endobj 213 0 obj << /Border [0 0 0] /Dest (G5.402746) /Rect [91.98 378.54 140.4 393.54] /Subtype /Link /Type /Annot >> endobj 214 0 obj << /Border [0 0 0] /Dest (M13.9.66168.NumberedCont.11.AXI4Stream.Video.IP.and.System.Design.Guide.UG934) /Rect [282.24 364.56 322.14 379.56] /Subtype /Link /Type /Annot >> endobj 215 0 obj << /Border [0 0 0] /Dest (G5.336792) /Rect [207.12 114.54 259.98 129.54] /Subtype /Link /Type /Annot >> endobj 216 0 obj << /Border [0 0 0] /Dest (G5.336801) /Rect [204.9 86.58 257.7 101.58] /Subtype /Link /Type /Annot >> endobj 217 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT13 801 0 R /TT14 785 0 R /TT16 795 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC15 788 0 R >> /XObject << /Iabc2598 219 0 R >> >> endobj 218 0 obj << /Length 18 >> stream q /Iabc2598 Do Q endstream endobj 219 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2599 765 0 R /Gabc2600 775 0 R >> /Font << /Fabc2601 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 221 0 obj << /Filter /FlateDecode /Length 5579 >> stream h޼;koF+#XΓb{4nh7Ew(FWh=!6]€9: Շ^]iawj*_;eڪ6j]\|{}uPZ]\G-OCCW5g?^~[j[~~Z̻zs/u/vAv“!b8*85[EEMo.SM;XպAkS9U>VMQ}^,ˉumV Ѵb]2rM2^ 9Vk(ږz\պFMt[.6` ~|U#p% Wx3ۗ ŦTxm{M9Ѷn G`o6jW!T6uj"nvzW:`ھJVz _i!$Yꮛ-nJ ,feOy="/A?: 8Xdp4(&*h&Цε Z`PPWV~խ^h|ISVN׋v~н,7Ūo3-TiݪʀH.O+f0IeF ~Me%ӂfS{nU F,G*S~F8@X6灂Cn qL;l[E~c;~.`>D4<͑b]y0&s[+L轚]+oaIҘ!{ CICۀ {k7T5 H $4Mm!F߀cDCʁe ?7pd&1xTަ͢5+Z;m Av"!bD! k N2HDAfJڀ3'@KMٌpZ3IG!.#0BDjkLjDO.qA[`|'Q R;P GX YHYREiBрW(^^3QDH1&'Hu sn3%i[ŮNs,<`NՕ60l1 5;f@1p"#i@YO6" K  0KNLVc٪y VPbFgpMfp\ Ї05*k{L%D3DmY23璋sŹ/wqŵiR.qq=\98@GT=ܠN&S4q1sqvLřř5x8 o< 1Ohif 3oZAyWrVܠwI R+S8>Z\ڵz1J!sv1X%͚/aQyHlv uy腅~9h4M`Ku\8w=@8s1Cs0d 9A7~_+y(Ԡ>1Pd5z*3LP<|9XԒ$HrƆ Ӂxk+7+BàqE0E!yR~ǹ&4Ȃy\0`cg:a`(oZ灒&=ȴyP@g}KJCaN3pU4o(ufuaY֍! 5<9k8H8!'Д/y۷t05TS7S#fC0w?ƅZQn!78S7&H}a5xwWt/A]} `tV+70n0 m5^'5+a.*gmn&va17opvc ^Y (?»@U-Vҵ[NyMX PuTř\6ݧYߧB`׭72%Csz3F% 2].?~|S/k>G*4oDH0+,WnFӈefS,OxbK54l{4m~4kF(n%6+ٸ/^%^<Q+kJ;ܓ lf/7Q__v7 ?\PNE]8akwt =,ϧ`zF=<~ܬ?O=(/.jx[|XOvR2: >\*BR } &9ÎA_0/ȖN})p=%yPNPXL\/-vFjQ`[ͻlxtU WҘj=]/5Nnp0.JO:^Hx]vn.fQ)} XSDM( g~"yG!T% &7&H^UwU+54#U=RӓZA !dXg:Yu9ů3~c!a,-l(`̘l nnqa*˂NR{.7c>\3:Yow_p~_-Aד.wCͱ.&A"]&н+vb/{D-1f 8`Mv)8O 5}bē9h3^UŋbucB۫Γ:ٵnkw eDep!v@z?\}l_2X5BRb"0X{$;5d{~49w n=mdb7NM¯ ?D>WIBA5.QSDX3 c;Ň>F`y@hǍͻ=7@+g uwZ Tx֨] ܑJ/%~2R@T-uԘ\L#UzszSe&'eB#51'~wkL(fn7NG׆!\0/Ca&& խv][R n 3ŧqXŔ9: 0@Xh-9zKy+֔`?)o XoP5-1' *Cۃ I7Uw 3KwWZN4 u}u8Ifc^z"֒i|ڗ[&q݁˦G笫ƻ!pD/{ 閭c7gKeɉgmH7xLnKYX)GA$}M;({Ѭf)m`^)N*LluأcS-$*f9z\Q)@ΩnG7&ɐNS X8tl#•DDgfq,P.aLQ#oKS:oi .%,q;NCxJ}fRMInynSzg%ԷKJߏ9E]CrmꡞPmSTk!!Ը^g,uaS4KBJZY^.VzB  m#]5)#+hH_+JEp:b!uڹŝЗg1?ӹ0I{TK̻=?7l'L4T) fӔF[WP!7X!dCACPU,}@_hma;l@s[ftya\̫G{#)wZ@ot ܄3~9]w%mz[ ~cҴʇT1_'>kH6ll7p ^=~ 7^<-\0Rۄ =}|wX^Kph@C[Ao)^A=oVPRL/IvOcWM T<ݳCS>pZ@oD#'qNbC MNA>=)6zZniYi Zgqգ8!~OH!C@M^\3:OQ)OO2%cn8G[p6S{N?Pe=ۿKDz7[~dGN7_ H8:NNw9LWr@_a5z p]FUt-~ ũ= شiJSt~ǫ)3l #ى5ϥ$zQ.GF cX==heݞ:C?Ɛ S.0Cv+X؝8P&qOžl>;csDRn=}O[JҘv0ۑ䤢j#XZ(Ǟ({i pt&$)P=~wwDWa[0DJ՞;4qqB vJ$ ?;˺%YیKyC"A}czC/ ^{ϯ+zuAh3 1{ dUzPZ4(!~L?% _x@+Cy AY7~L?!,ZV+#FSo#~ J7v~k~3 `cy:3ƿRL ':=?cj`K+`E={'!B"x?P`Zt6ĨazbyfӍ[Nli{_*MoEG33z%DCE}Fd L[W:N IOv endstream endobj 222 0 obj << /Annots [223 0 R 224 0 R 225 0 R 232 0 R] /Contents [777 0 R 242 0 R 2096 0 R 767 0 R 230 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2072 0 R /Resources 226 0 R /Rotate 0 /Type /Page >> endobj 223 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 224 0 obj << /Border [0 0 0] /Dest (G5.402826) /Rect [91.98 374.82 144.84 389.82] /Subtype /Link /Type /Annot >> endobj 225 0 obj << /Border [0 0 0] /Dest (G5.402848) /Rect [209.76 173.16 262.56 188.16] /Subtype /Link /Type /Annot >> endobj 226 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F2 807 0 R /G1 845 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC16 788 0 R /MC17 227 0 R /MC18 228 0 R /MC19 229 0 R >> /XObject << /Iabc2619 231 0 R /Im33 233 0 R /Im34 234 0 R /Im35 235 0 R /Im36 236 0 R /Im37 237 0 R /Im38 238 0 R /Im39 239 0 R /Im40 240 0 R /Im41 241 0 R >> >> endobj 227 0 obj << /Metadata 243 0 R >> endobj 228 0 obj << /Metadata 244 0 R >> endobj 229 0 obj << /Metadata 245 0 R >> endobj 230 0 obj << /Length 18 >> stream q /Iabc2619 Do Q endstream endobj 231 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2620 765 0 R /Gabc2621 775 0 R >> /Font << /Fabc2622 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TZB9O_'Ŷ endstream endobj 232 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=14) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 233 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 35 /Subtype /Image /Type /XObject /Width 10 >> stream h2755G2/U. b endstream endobj 234 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 33 /Subtype /Image /Type /XObject /Width 5 >> stream h2755G96. a`Chz /] endstream endobj 235 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 30 /Subtype /Image /Type /XObject /Width 3 >> stream hzOMM!1$!s endstream endobj 236 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 10 /Intent /RelativeColorimetric /Length 38 /Subtype /Image /Type /XObject /Width 10 >> stream h2755G2/U,@ɋ endstream endobj 237 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 10 /Intent /RelativeColorimetric /Length 35 /Subtype /Image /Type /XObject /Width 5 >> stream h2755G96. a`CؔE9RT endstream endobj 238 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 10 /Intent /RelativeColorimetric /Length 32 /Subtype /Image /Type /XObject /Width 3 >> stream hzOMM!1$!0$3o endstream endobj 239 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 3 /Intent /RelativeColorimetric /Length 28 /Subtype /Image /Type /XObject /Width 10 >> stream hzOMM7 endstream endobj 240 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 3 /Intent /RelativeColorimetric /Length 45 /Subtype /Image /Type /XObject /Width 5 >> stream 755755755755755755 endstream endobj 241 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 3 /Intent /RelativeColorimetric /Length 27 /Subtype /Image /Type /XObject /Width 3 >> stream 755755755755755 endstream endobj 242 0 obj << /Filter /FlateDecode /Length 6145 >> stream h[o#ߞ",ߗE4AI3iQdNm9?!w9&8%ἑCjӯ^k;4(;e7jˠ=Z<7 z]./]ߣ-^ﶭkVWwjQ^_6ۧ]_xQP+ M N-s 7&^G mۅSmpTo-7wЂ1t.չhWs>:CځFuj;=xS/_67.wmBiiެ}Lncж7hlSMjpzw:bڮ՚ZV_|sfDHIȓض`iSVMkie+TI"DipZ &bm+uOtUA2$ԱC_T52Y >b|[ԧ߼A}+qLLu1_D~ڭ\nn^- YKNAu$Nҁ!̢7-:;DR 41URUV8!RH[i;UKbbLZ/@8Ӳ<`!Q2àtCX2y囥HtsNGC BuVLO ,z};Q ^V`1>NXG.`l EA12{5zL\v6NKAfbԿbGY"Enz?4q2qᗙ8WL*> j7Y9ֳƉ;p2pҁMO!XIL%hƥٹ33sgjgjpBDy2~7}2~3uR|DfߴIRK׽`r"VR:r!+K8o<{#SX R7J7d,AeaU HO}|ٟNMC]xGB?q4spp}XؗK9j9CPs0T 5A7UyZ$LI0)R(1)H9EBIH&<${= VosPV8MX^d09HN%2>pMCq (/@B(v&$V6bŲ99B#}}Û0 ̠c?X˅J.Dɏوl3๝V#<8tQp?.[9$N?7#^9p93h{A>ӄ,[,@# 04q1C-=c>b^}wbVÑ2+~N ē9) %xɤ8xة. 2 l ȡ1>KWù-| )K |KHK{ :sTu#]>h4?WOR#2/4sK C`Wy)@s:tiGdY*,hyq 7Yh`(PQ #4NOj '0Zaa r] r`X!j9g5X"KkÆr P]._zU~43m,+ೋk{a9w#4мpԱ!77`)UqqP.%/vNw{\{67LYbk0[qPjY0O,(0 Jz_XsAin494WM^2VfiIs`>ff>-WwD` ]ނ'@KΑγrFs6ZJٮ iyFrWonWjLNػArs9L=1;>7f+ Ij9tSe|l]x#}W;HGb,~yZ ?I^E;7]WDлn8|߿mriK(m50 gHnI3N箊4Qٵm&;( ǽ,.ϞY/rD&hAʵ(ԚBff"M$-gA,|gjV)$]lU7'-yן#~u|7Ndr̔#6YHP̀6SJ.S]]vYGpC߽yXvq>7dAmaGb1;sD%0L1=,_ V!@mj0UYpDakl߶bZסl[8ilCn5n˼}j)Nɂ2@{ ?8Q~и{5]/FݲWb$OmLAV~6S&Nׄ,՘_QbQzqH3qvՎqGNw)2I?n~jtM?̮m;2Au/Ykb#[}9ZkG;\5#O߂HbVl͗-mSl1</rj G"\c}DdXa@iZC|"Jt2[_fC>YoM`!؆1|t͐L6dk׮3ɫw6o?l1δ==<ȐB] atq plt/{a6-BmTVmrGA\qI(@l{}rsG]F'%Wׯ{*V==_NhG":8.F2L: #s8nj푠b=4s+CM\1|Hfrj3֌my _T*>nTj6g4QscڼU*5Sp8@iz)ipHQ NmGma&rnC5ШRTm39YmqGnҗQh;/\/TG<8PG;T @)>g*M>1B;̞_/pqхs^uxRHxwHB^ m7 !/w$':+4#䝢5|MA2xG7pB )!zqq> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\rgb_data_encoding_on_m_axis_video_tdata_x12683.svg endstream endobj 244 0 obj << /Length 3098 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\multi-pixel data format.svg endstream endobj 245 0 obj << /Length 3078 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\2-4.svg endstream endobj 246 0 obj << /Annots [247 0 R 252 0 R] /Contents [777 0 R 277 0 R 2096 0 R 767 0 R 250 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2072 0 R /Resources 248 0 R /Rotate 0 /Type /Page >> endobj 247 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 248 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F1 792 0 R /F2 807 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT16 795 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC20 788 0 R /MC21 249 0 R >> /XObject << /Iabc2640 251 0 R /Im42 253 0 R /Im43 254 0 R /Im44 255 0 R /Im45 256 0 R /Im46 257 0 R /Im47 258 0 R /Im48 259 0 R /Im49 260 0 R /Im50 261 0 R /Im51 262 0 R /Im52 263 0 R /Im53 264 0 R /Im54 265 0 R /Im55 266 0 R /Im56 267 0 R /Im57 268 0 R /Im58 269 0 R /Im59 270 0 R /Im60 271 0 R /Im61 272 0 R /Im62 273 0 R /Im63 274 0 R /Im64 275 0 R /Im65 276 0 R >> >> endobj 249 0 obj << /Metadata 278 0 R >> endobj 250 0 obj << /Length 18 >> stream q /Iabc2640 Do Q endstream endobj 251 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2641 765 0 R /Gabc2642 775 0 R >> /Font << /Fabc2643 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ p endstream endobj 252 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=15) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 253 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 7 /Intent /RelativeColorimetric /Length 42 /Subtype /Image /Type /XObject /Width 9 >> stream h:xTp+k :[ 8DǥTq4)M8 endstream endobj 254 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 7 /Intent /RelativeColorimetric /Length 21 /Subtype /Image /Type /XObject /Width 3 >> stream h:xAThT `9 endstream endobj 255 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 7 /Intent /RelativeColorimetric /Length 42 /Subtype /Image /Type /XObject /Width 10 >> stream h:xCG5+@\ M S# endstream endobj 256 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 7 /Intent /RelativeColorimetric /Length 40 /Subtype /Image /Type /XObject /Width 9 >> stream h:xCGAR1\ FTO9kޟd endstream endobj 257 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 7 /Intent /RelativeColorimetric /Length 27 /Subtype /Image /Type /XObject /Width 3 >> stream h:x舍51 lO/ endstream endobj 258 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 10 /Intent /RelativeColorimetric /Length 52 /Subtype /Image /Type /XObject /Width 9 >> stream h:xTp+k :[ 8Dǥq$1  iS endstream endobj 259 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 33 /Subtype /Image /Type /XObject /Width 9 >> stream h:D6V@?**NzL)> stream h:xAThbce Ap6`FY endstream endobj 261 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 10 /Intent /RelativeColorimetric /Length 52 /Subtype /Image /Type /XObject /Width 10 >> stream h:xCG5+@v\¥ ,@1 endstream endobj 262 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 33 /Subtype /Image /Type /XObject /Width 10 >> stream h :D6V@? C @LY!f endstream endobj 263 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 5 /Intent /RelativeColorimetric /Length 45 /Subtype /Image /Type /XObject /Width 3 >> stream <:;<:;<:;<:;<:; endstream endobj 264 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 4 /Intent /RelativeColorimetric /Length 36 /Subtype /Image /Type /XObject /Width 3 >> stream endstream endobj 265 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 4 /Intent /RelativeColorimetric /Length 36 /Subtype /Image /Type /XObject /Width 3 >> stream <:; endstream endobj 266 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 37 /Subtype /Image /Type /XObject /Width 9 >> stream h:xA0.qVq Q@`1DpT endstream endobj 267 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 10 /Intent /RelativeColorimetric /Length 50 /Subtype /Image /Type /XObject /Width 9 >> stream h:xCGAR1\ FTO8Xꁂx%`S endstream endobj 268 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 37 /Subtype /Image /Type /XObject /Width 10 >> stream h:D6V@?%_P.<{c endstream endobj 269 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 33 /Subtype /Image /Type /XObject /Width 9 >> stream h :D6V@?* I4)ERZ endstream endobj 270 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 10 /Intent /RelativeColorimetric /Length 31 /Subtype /Image /Type /XObject /Width 3 >> stream h:x舍51 lO4k#BFY endstream endobj 271 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 37 /Subtype /Image /Type /XObject /Width 9 >> stream h:D6V@?**G=P9 >Em endstream endobj 272 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 19 /Subtype /Image /Type /XObject /Width 3 >> stream h'8x0޷( endstream endobj 273 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 2 /Intent /RelativeColorimetric /Length 12 /Subtype /Image /Type /XObject /Width 2 >> stream 645=;<\[\dbd endstream endobj 274 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 2 /Intent /RelativeColorimetric /Length 18 /Subtype /Image /Type /XObject /Width 3 >> stream 645-+,\[\VTV endstream endobj 275 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Height 2 /Intent /RelativeColorimetric /Length 72 /Subtype /Image /Type /XObject /Width 12 >> stream 0-.[YZWVWwvw𶶸 endstream endobj 276 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 201 /Length 23427 /Subtype /Image /Type /XObject /Width 560 >> stream h[ \M J)%501m,Z%RهʾcZ)MF{Zs׽۹{=<}<9ijb 0` 0` 0` 0` 0` ?##/=.?/|.YO uO _[UE {]u5ha./LLD^#gb*5EoNԈb|}MEgGngK uCm>3/ia)+ ;,'זžzdZScb" 6o=6 LJ.v&{D4B!IӧO;;'>+4'>cK>v4;?me?!syOϓfG4gNYAߧ쳴uȱ7e)11˖} )v>>s~D {5oo RMJh=wC022rvv&WUճ~QHa`!q2Do?Çɱgee%~ŋ&% `P#FǓcv`UUR#GΜ93%%2:D\QfϞGwǎ>rG^`AII 9vUih zEݸqVXQE1/$u`MM|#~t;(C1mرc17;w}Jn]]]_]fxMnjjj$3OpR~5"Ԑ={b|}ɝ[SS3Hȱtuuu~} wzvv6D?RVV޵k>| @ . #~ r۷=ϏRSSɝֽ{w~HAA!77|6rO>O?222#oď޼! ǏC3H0Gȱ$DBo޼ߏ߿ϿN?<~C{Gϟ?'w:#HHKKÇ$oAJͷ&H?"Ə?bF`R ƏH#ƏԈ>54|"=$G1R#0i/TUUp1tiΜ9`?~ qi"-ZDTC-Տඨerr6m37@ aĉaL:\_{Bf@dBC8WRGws0G۷oǎu~ tRay 11ъ+.\hlQp=j?B6Ju"_7nGYyu~AB իWnj]\\,O:(Μ9%ra8@ Ao<9sf.?B?Oh?>]A*1F~NƉ4\A[[y>WhooY~k[ABYY&F:^ѣu֡ݻPcGNNNfcLq/,,D*~c#LQF'KT=_)#?!!!WBT&@C6AQa?x ?B3jyrv?矅͏p(I4CSSa wvvB^ByX⬏05|~˗9Ə'jjvmgRG#H[#(--rΝ+W<:?Aȡ[Fwqc#k{׮]0Dc#[[۝_rksCᲘM OB[C}~@ZWu֕+W` *(d>,?vJּsqqZj޾}ЏQ@7 qNNNc_={l333[<1F0,dPtR&BÃ#0ׅFMT6""HSRRSeQ~~>,sCaYYYAgDGс-r}hF8qI*'';ԏ0mllZ9"?>@I> %n;-u~MNND8mڴiƍD;Xϐ  9s&{xS$5"}ԩhݺk9נRGFLI/- `7СC\Ώˆ74}+#h&*2Xo(#ӧ[9G b#`LP.66u%n 2 I9}4a5I *˗|P׷X9F瑙X_t儸sH\1gKp߸qɕ 0bk/s{4 0^Ȕ8Q$@uYP$M Bh#D#0u\{W^"T uB_)#J@rS=,#GJM A򟑑!ori'O&';h:?jV@u`w+ԏZ~H ER#Z}*2A 0~#5Bl@@s a?bIhk2ABiAɝGmG ɝ^SSgX[[; g@ȝCӏBCCɝ~mΧEEE;τy/~DP֯_ϧ! `aa3F###>=b}}ɝ 6lɓᕕmDnnn߾} VVV ?m)=z$yu$ؓBmvr%G8=22;h8?C7v///Nڏ$%%S_hkݵrJ i?A"Ebccc~vCx̘1$~DHMii)#5mז, 'n$]СC޽جYȱcZwܧOR v;;;rYYYH& v [|;㥥ca~rҽˊ+hwߩ(*0`륧"PRT$&SNNQLWϞ4P+))ˣ>uuQFk &L@l())Q@$׽544Əu{vvQ++HJvmƄ%=~xT#%;v(]E[ǎRJt.ӭqWQQׯ_@@1uttݩ*'O!?gP===aoС7K.xjmll޽+Z~t+00???4Ν;O<-Z>>H/^$TeiMMM[l qvv 4pȑ*:88 455g͚L`PheeeoܸyXX/ 1;w^ݹ{#):"̙3Ȗ>}e/@FZ񣍍χ 6}p͘1c)))K,!w>||ҤIϞ=C9;;C׷nݒ ~:Rv'9?"p~1{n'':@0~$?Ȅk5/vG`= - nXUS`DI~1*t@糲mmmTU_zEŠ?vڶm[=N,Ąx, |5DN.]$%%* 5x:و8G{/\c\n'͎߳gO+++dyT'W0_:dLʴpԨQFFFcƌ)**N?B888,o_~~>Ȧ?׭[#q#ėX* >K|B?=?W^`6m رc@ƍCCBBpHX kJY~Da&mϛ7ˏ.\djw/,000Y3f@fcc3a„c"[gH퍑2guIII_@X{+W .&& E%?xW4{0 +҇QjG0SDgxdB)KhyvʊzHsFq~ݥZP;wgϐ sv@@2g†>}ݹsgРA,?t钎NiY'Ruu۷o;p 8f=pќ9s H!)Ÿj6qD-"ƺvZ[(B Iben^^/ p%8Qٗk֬ #RPO4^9>>&SMЖ-[veooZѷo_ϟ?_$܍7ۑQBxey;"7ynݺ}Ĉ$֫ BCCYknibb/m* ٙ...Bϟ?̙g>~,* Ll'8#\3 a,Gi-w%eee;;;^ }"WA!{džEVV0K]Cddd p"N$-2))i޽ ҥKÆ GRD\G=%%%jH߿&޽; C555 E NGC PN&2(nܹ***;(zƏ8rssܹ͏?8n8?M/_.X↏9~q=ԥNL\\[^ PN & ?x iqqv@'b_f =_|OѨ{x Ynч|ܼ<cccm--T$"G>Tb^x{{CLGAA˗/ӧ&'\pBno޼YQQƍ}]h\TѼ -\P]M 9¦Mbdyyה)Spè xX Y188 /?suux!=zDzZ;aa#c3 SSS"{}Ud_$d@ f͚5p܉'=F:Y%0X2bĈϞ=9+dLii?1ۇMcZ~k׮7n$je@0a_xeprrt ͛yLdr-`wqvNII!dDǏ3gh"CD°pBd>=P 􆆆"VV qqqppٳeSZJ-- &@*??/^<!==}߾}͛۶mxAM|\`{ddd.]BCCjv\,V{ ||p''O~!%P}?}tȐ!FFF1nܸAaڽ糲JJJDˏwu,:v(wשc;tԩ 11fŸ qII`RGn] v :ț%>؃f=zFV@졄]R};@AA`ONNFq}_~`|2x3T @w.ٽtRB񧌌LǛcDȏ}|{{߼sgfu Owwww0@>[Ww]l޿KySYb7 `\ k }JkڛXhj/$$cA==g\Cʀa`9 Ŗ-[=J<߽{7m쩩0|uuuFF .萣Lt/t?p!=禥BvhhinXrJo {eAƮB *6=ee'.5p?%*ڎnWVUvA_v zkWvܦM~9MjkC}e5] ``pWiko^Cܶm4--MϾm6+f!xv/VVs۷[044TPP@aBlݺk׮˗/sv CC9s>R…oPjȡM tM@]Mͧثnnn (e:Z u6>}8jj^_Gӣ¦,Y``L.++5jDZh``p'OzyyiĉW` zJQQҵݻwjjjVc03f44uUUU&L`2dǀ󉝋/^jˑf9sۛ7o?>맫W^|ق{Eoޔ}iUUi)]iiu[•O 9t=~YR/ "E2CN:$$$@xovLsdddޗ9x𠜬l^^j$ FK.mmm]YYYJ7o677_jJrdǎI@lllz1R(}»733#a#88xȐ!o&]u'OJaiӦy|wo/_4uԳgϞm7У=hƍ]JJJ&NX$f/=0%% QzWegKII&0JUWW_P|MF?aΜ97nzf˖-uZl6< S9vZU__]]]ь0{`$De\鑥%GvϏ#FqiBocƌYnb]͋/deeE>BWjzt=444v==ÇϘ1cʕK.]dXIKK뮠 ŋUXn͞={E ­B/_l}l##B znj)GGM55(쥙xX'>,yUVM<ıu5AAArrrw5Q .77+. J^O@­7}fexEPZɓ2v>?'*p8ӦMRn!2p""@Q؛`aQJK<}e0 ~]C)#.}\ ,z5>}Z^^ r@ eddLMM@2<<<衡d3+@A;x`E(DX ] SLʓ)P@2L&G;v @QQCS";w}}sc߸ >G+)޸g#f綴sw6lhѢfNУh{nnng ##/oowsѢ:E:Ӷ9sl.\SPP@K#Q߼yÃ>q===rN0kPГ'_a޽ 6>/ڪUXNxGt=u0{kG<;?36Ώ ~ J bssc[t+>ՉF;wԩBvNSm3J[>rQ= yO&cyY` {k&.@r5|>;P#y[} GF?" ^-L&ϟ7tzHH# @{Voff$\EEE8P ЩRʥ|8~0HbȑTTr55aÆ)((mKX,̙3UTTTTUUUUɇZ'1F*)+;Nve`WF!++|Vs9GNv1aRʍ*ss+zVeSu@QZZ_g(ݯ\/W99 7nPRRڶm xyIIܖaokmMJ';;HGGߟxAooo`ccq॓MwI?/\;{ޯ&jMq5}۷|]G:ڒoryHISvvaXwOHb0jkka~z='Lٳg?fUT8[WwHH0%rlv2⤤{G`3݋x=#g6gp]MssA EOvPx: ɓ^^X4pΟ?O5q60(hjjRH|3nv_zdmm #D{ab 'hw̰:>dGp]MAA K_I8^*~Zz^֭[QlK$nݺUYY vVr\MnnR~\M||{cMC:88^ihP;xGb]ɓ'IBF\|^fܷ~Khڹd,W^bŊ˗/Z p+00pK.ނ8!!!?CPPPWˍ7.\ ٳgcGa߳{74\q`|lqPaaܡCn RS9ƦMTUU巵?{䥤Do9;;+**Ffȷ},'Jwsduu7gؗ@l8vXx1[ϟ7o޳gRSSw(~aټiFE--ۻw/H[=c>8f̘wRW/1DFF*#rr)))ssHHx?YxY6eee$h+Μ9\\tIWWWI&A@7]FFPjmm=eʔz.;gQx' ƔyQ/p=%%%??8/^x̙ #Gܸas@~ G~mFFF`&P/,,,V\YQQQUUU)@ssSׯ__dISSL[a#n}]?@ф|tsfa%|>iBNBjM_xb].vÊ`˛1d=z-[;}櫓]@ҥKaJ^_ԩS0ㄮ|W#!(..UM˖-k&\reذaÇ~Ixkii mqpiܸqÇ_ߒ!9#$na/32ϟB 8;ffUa0~8k ]$peWV {cm-A/^PPP|2<.`o`?`юX}].Ր'H@ƏNgώx5YYYZ#F^xŊI)#u?غuF_$:o; fli]GuR"ЙﭧW"h{͚5cǎ(8AK~b2Z%_?tc_I{aS/[cj\\\.xWf'NN #CC3gtNŞ]xq̙ 4nϝ;!LU4=>j(F33M6KzsSO,} <ıKf='/Vaյ=3N,++{Q2\{Cp:y۶m;,X̝;¢l3 zZ@ۧK:pi #i))aJѣpMZ z=n8(=b"LX !pW#zTVVf͚W^uXXX@r!5kVѢE:s<ҥKlll@?'{mXZZfU#!G RRR0GG~OMc ԣ & :#,\Mg k,X@hJXWcmm-##CByL:Xvzmmm555pjkk++++*2z} Ux^C =QYY=sgfhhlٲI&zP**G644$U=O %3#4Ի[:x}*яٱmeb]֭[F$\ ?xŞ2&=ťWFAuu5̋ WCy<+uR!FPw٢+Ns3R4ZX݃))sRWRfkGJ.Ȉuv2?bcJv5666)jr 4r RSS.\3LMM΀(XZZ'''SF86tP2IUUUwmR?~aÆ GGG˹***$P@4"w/1..&{$1 $ gϞUOOOC q!\.wƌ .x@ɓ'DutTT5b<[XR^g3bNg7׋YVV&/'w^P7=@]],MMMInˎ$uGmIxax:\3>Soر倫ij/%%UDJVtz!:* Gms h3  ~_j rtuKZ[[O>~xBppM򨻻_:s5[[!;c>3'''F43}::7.HPգK{ԩ/ٳd/W* NpH軗zJnމ({x檪#ɅϿ|jAꏄعs'ÇCeee4#>:66/c0 (F?JaG귯oVWW HZ<;DԑX=+(,!qqqlq03 ѱ'׮r؅} KzTXX?w\𐐃nތ%wr> >A{zD"zX!0xh'-Ua%`$} ;>- }H#y6p쓈#,hJ$"mmì?bKVX 5葓>Xڵ( k6֢ØQx1'hǎahWclj>,D_u*si4,gϟcߊWnsԴ ) ίb {NTT}X9>] *Od"&"5TVu͛7...77\JVvxq(P ?ȑ#=z$$&%=EB2'&PogXOXoFo!9/;@V.a5foigfg̘|ٲvP$]PPPIq&q8.pmm\{'z{ǹcG<{+//OYYywOzqK{Tyf)}ML+^߻ƿvĉZZk'O<5&;Xs<.D\Bbcf!~"#aH;ؘAtIO43V-6իJ G= uv-HR ? gNsՐDWaHɓbo]~]JJ*..8"pBlnfbh=c "uPX@~Fk.2b#!z)=b轃=<<<ŎjĹrj>ݻwzjԩvvvW_ݿE &oEEE?Br{}mNTp?7)I=99YVVP䀀/Q:bX"*9oTWf8;sޮHF}Y|[>9cƌ֞:W蔟3դ=x'ՐDWC\ܹs/\CoooI&v888xĉqqqӧO ɇ#Ğ={ @;wNMMm޽D̷#'O8u԰į&zpQEEŜS NQQQPPg㣫 !?EEnݚ5kVSϊo3|x899\/_|ҥ|&[jդYH% bbb w@b= ^&MU7D?}:xD~gdj3f 'V# 4{v ǜ87[Ԕo+RDCU<_d">|ݻ eÚn%9x .JObGt5Dfkkkv:]MXXw!%/5g=ω޼yro9*6<.gΊWP[lPٕ۶5ա/[N8QKKvQzC|WoX숮{Kdd+)0 Ns3JAmm(oJK%0? 2++;,:::&L .k44\ hr5ܸqCJJ*>> |ذaYHz(P(ڵKVV) \8R  6;,<ȑ#HىOx}\9sp\D75 {{{;Mu<^~ #!nCP"_,Z[$qN2133y!G IfC#IKKcРOia @QQq_Zl/##|*a!|w׮>7Y,ִiӄm^FF>d )Y٧~:h4 ?? ';!]JJ ^}&#+K2#|||> = b$m߾pѽ|9}޵6[OOl$h.? EQI]{{ݻO72O< $SP;_t0Ϗd? =zlgWF?{6#I%27o>:}=ƍW;]M@{О=T@/t d=ʎߵ 8Ŏw5i$ztԩD//,vT=ratWCџ@#[GđR*^;v0BCQA'dfXd;QIF1t:,t {j( IIX/ӱ| 88D^թ%c2* }rA>ha~mB&hp:vs;xH`oc{g`Ȯ&:#ٺu+H!݉Yrŋ!2ڶm7o>w86 SwNxN36 Cnkmu/e0P[X,Gmm25k gp_^ҐD;N?CâPST=,a?zXH1JHGXO;G`$x%rHi&&HA4+9X=b0(+<QiffX -}?,Э]~xm/##Fh( 5葃nIf& ;ڵXG,&رp߰K2CC=w2^^AxL %X셩_cIH`%fEFbP67gaØc%UKK_cWyS^U>]  (P@ow : z] q: ] P7e?xՓ r>\LY+5շϞή@eyyЩS,Vyao(}ʺ}|MU3CllP:쿇DJhz$v\W&>pH¬?@Gq uڅo  qlW 70BBK&@2a \u80ޙsNEUzPӕ.'da%|sIxx|=IҷU9Z%WS ;eN5zC]*Y|Es@J҇>D ЇDuv>?F}تlޏê=#|VWRe2ُʉd8o=¤,,VGfԩS]r#_jDe:MDOƸu]Un{30 VaѶ?7I F-:f=\Pt~Mnxs{=U1/5ݮj~ endstream endobj 277 0 obj << /Filter /FlateDecode /Length 9916 >> stream h{㶑+īMHj/9I;KIdfGPZK]O7^I4Iq\!Zl/ht,yo߱FIU""U䴻[F'_UUr0rnoYV/M~w9Ldji1~;&~īJg3urn~fU!&"6dyoHc|ʫ1YssY:+W/t]aH%ͨ2Pxe _j𰺎5!Lo((5X%5¯j|@Z?6GbU"_Tfr֙ZuW?NI"}Vk&JQ<6`,+LJY+m+k\Zďz|H P%e ٥S泺Y@MT8/QVS?}^wv}*@6-~A@J :8|Ò}X Tp%k^m?軬yD1Y?cI R fw$_ϓ_ԬgeeYמWY~{u|eJiJZg*R/ Cq.҂2 E)$d~ RV+.~BԚYu59HP o@A7h"Z+_ԯ—Np+ed:$,`VS`<1A1\KloeR%,K˥rjD%(_?<rhwP^xQlYB`׵vd1?A詋'6 h E~w?<O ỸYݶ5؟ZN3ҫ6"!#!4i,&QhOeAZeӶ[fʎpJm h?fU lBfykt↝|3x!VDņhY @x*3S48Dv3_ۿDG O̓#&Zcۂ"s4 >,y$royp%Du5[f=[ڷpا6Ų0Ia*^U :Ys~x}ڃ:*5ß* E ym ,\8غ3n63@2"!-RA2|ykw5=QpCw!̰3x 3tO7~I1*1#1uaT+ĩy!p!hZ!WWC\Beb\יq&l8àCu!pxl[!NtCo8 qx;ĕA[oooH/@Ƙx^T6a}23ƭ̃M2̃v+\9O>!l{goa`ĝE%g~Ѱu4oh/5̭GO r鉞'<ڍH㟞xIYzÈ}x~rIW3GX7mZgD:"v5{W܋ۗWp8jxx|J[/~wN6[L>4buL6Meo~~jw.0;wg28?>nNOzfg0i?v9bU"鴳}ڀ; E]q.|8/1`x !\296X%0,V&EEo?0>?eXG`oY}{ F$,a7<ew$Pb!>@mTNgdֽ6buI\팏a ppٝ7 yy<'[~ڗ?6Vh)J]!MYQg#J)n_,acy"y]KF>lR?ٶ@⫇/IBm|JqoڠF8>wzj4@eQQլ4F𸻀e_ʂ}kEmzb=;;E{}͵2VEflx6h׍2f~XߛqL̆OwtWW^oEVޣgz{5gYBpHM"nUW?QY6t";+aG1~>1Sz rCvؓ dc#1nJ!f[W<5~/g0"!m:$=,S[Nf?`ɕ9}oHLRL̒ҭk #f jQ`/e2/hƔ6\յmyɚﴃaJ񤲌:KID*nHFSHdUH.8 s"toִ]PXǟEښ&|;c$E_ R]%;ˋz,-jNTr"i6lA "f2遂OLje{f qbү{l3Az g{˓fܦyge %KK9RY^XKIK \ٚ 'w&t ߂B&GǦUL`RQgxl"0zz.JYyUdo<qQy޷`{ ]1R/'.X(e FԚآ~ěE߂% v<%Č+\3^&QKʉOT6GpH%-9(mnRd=1 ׌m%I4ǒČ'қ/J/Z?XrH`7C)-6Y2:hF]<!))1F^GJ'K[!0dz_s7+{+jC,Fo3'(1Zer|4"u/O+͚{)خ)80+Zᓤxzn(*|'I]|ă;V zv\gO|9|T?|z.JYsr:G_TE) w%UQyӌ9xRo}3ZeR $-,=TE)Kl5T(e %hz.JYK~Q` ɵ _Qƻz>ەzMZ3[R5KYuD!`ԭ;`J_nsťǁR_`eC5/P8D%[.+Q&OώR=e *HD#1Rܦ2<qQ{$5xx碔%ˬ^ц胪U3 |-X xj࢔%i 1m}H-!G_Z9"@PoN*{qD/LKX75QUvN،n,0[ ĝwv\ikz'0p_i hajb{JW4:D$n8h9J}2+-pȢ D0[p_f4vx&B m䐴nR (} ׌%I4ǒ'Z6u7c"{KJ۲㡔5UKvkF6$ aI%Կ-(H,9$m硔, k ׌%I4ǒUK8]@Ts ~ޒҶ❳;,K%U m%I4ÒKUa3ZhTC҆[C) XRNx"d$I4ǒrZф2lfYa áUjF6k {^Ќ8)G<F r 0:԰.IX+31]5|M7hbea:5QUӪn-܌KE)ǵ&j3p!P8Dkwqڌ=;.JKk7q֌xRܦSqQ]aw|7(e 𶖹^f6 PU H>;K߯ӯf R/-(hF 2|>U7#IXaZtp|p6LKXy)]l_U%;d?a/>*?˿N/8^umEq&[פrE)󯪹(:P| DxT"o{a^v4OtاgE)x5pr| 碔%i 1\Nd㢔ˉl\a(e ;ظRq!0xD&v (e ;xK`AU*E>sQT&{GцZPؤʺOj"_X]׷{vwft[HN$6xKPf.dJ_U$\#>%I#J_Ul7eajb$ʉ4sE^jd*@S/-Km$k 17 zp<2,$W."ᚑxD`=,rhDL@`!i<,`I5)![/J (h%մ81oFK"o8De7C)-)'aF5#~D`Ͱ؆W)h}DL@ʲ`!i<,`ɉmp͈zQbDAs,9 3.ٚbNE;p-9(mn*9̲؆ ׌m%I4Òjbf\6'ND`6P؆ ׌%I4ǒ0b=FS1|z-9(mn*9̲d9 3 J(h%ˉmF3zDL@r% v<2N/{0ՈF9[/J (hF^aV#GAޒ#Vik,Qs+`E`U_CgBT+7c'R8pQ[wts%,8J!"?\~tt1p\2ğV\k!+fC\x5(.qQ>hFk|sQ' )t3Z*^$^MfP=,~b`(5KU@ˉ}qь碔ϲOk-A ,ZZ?_XսgK}k-QCr}]Z؀D@ylQʬ6E)=O!xօJ!"?奿o) %(=N~ 1w삏a@\mUӂ'0:.JY<}s]1R殀ܱ(e-,sQ[x}PU yf/+s˺c=P=,Io,6FT/hY|Ggn@i!tmP7cm-G5=eAŋB Fc6EPg.uۧqTRBzHc"U4E5x(e*UA&QЌq_[!QZ،&ND$6P ׌%I4ǒbn܌V'pHQ-9(mnR[+׌m%I4Ò<47[2*R͉THCyJYqܒ]0X247^dzjFVo8D\e7C)-)l+\3A&Q K 61Jfwx&B s䐴nRtkF֋$ cI51fDNE;A䠴-x2˒tkF6$ aɂMxCfxljKIy(ev#U8XRh0Hpw,Y)yKX%h 9dz~R8R(>@0O)2P q2fZ\O?JYC pI-:.JY@ר&jF)dL8TZ&R^ Fj%!Yrp,eviz>a惏u }~͋ _^*J(8_Pj|x%7)Cͽ|bWꯩ*@_S[~6'P7*> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\2-5.svg endstream endobj 279 0 obj << /Annots [280 0 R 281 0 R 285 0 R] /Contents [777 0 R 287 0 R 2096 0 R 767 0 R 283 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2072 0 R /Resources 282 0 R /Rotate 0 /Type /Page >> endobj 280 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 281 0 obj << /Border [0 0 0] /Dest (G5.322687) /Rect [244.38 610.08 297.18 625.08] /Subtype /Link /Type /Annot >> endobj 282 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT16 795 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC22 788 0 R >> /XObject << /Iabc2661 284 0 R /Im66 286 0 R >> >> endobj 283 0 obj << /Length 18 >> stream q /Iabc2661 Do Q endstream endobj 284 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2662 765 0 R /Gabc2663 775 0 R >> /Font << /Fabc2664 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=ΡDHIO]@ U8Ρ\j'|۾{w endstream endobj 285 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=16) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 286 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 191 /Length 41881 /Subtype /Image /Type /XObject /Width 725 >> stream h\TGOlXbK&X$DFwTc 6bDbJ@ R/;~e'<{> .9sTI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%TRI%T29edd:z4ryt+WL"ŋGHM5)ׯG޸aR/=yH˗&&e,) d؁9IY$FEԑxرD xѤ,n;<9ٴ׮ϱ?M%ʕGaRanR..ąErB­MOxgR ;w.S%M^rkWʕ&e"9LGիCv0)8?WWSOɓ&e1jc9֭&ZßĘ?ߤ,~Æe-[.]kR~nn6enERd'gG?oRqw9S㨰gO~92ԩfMSX"EIټd@')SLs_,Qddcʳ6m+ipNܥ"A͛w-yn׬"Aoߞ. 222f {ԩ\|}}Õ>vv'OV$Hlg@)S P3fWҩm;ckᄋ/~Ժn]GGEF5kօ Yjj(QaÆϥiiM?73VR 7yA6oiիWaE̾;E{„?%+W07wdrJJ۶m)]V ,nc#+PB}Uܼk *`Ec?ZjIZY +WN^2eʔ*U*::ZK?͟_R m>PP'+W/x u۶?VanܸQ&?9D+VLl)V%J-'OUV@Gр|>)\pNY`; JKZT󇄄s>cƻᅬ[FWFP_7mdaa~ 2ġEYcdJv]fOf2KEcǎݻw|K #kk_Uo߾-i@ԫWO~H&M<<< ABCCK, VeDDD O?9::*rGշ̸-QrŒ%K>s;z-#F`t:t]AHѷo_y)իWq݋E乌3~2aӧO4h0vXE* D"k׎Ja\\\*U|}}Y BR ?K'C-[ȳH\?hK,fff ,;!+!Zhfwy{otS7nܠi:d?rSwKU.]… %ΰ'O/^ 3f!xOޛl:eJOEiiG/~J)hժxJ ~'I,]~(jIRA#< yO)SrkРA[VgVwYMb4nx~{5kɉ"?Q\9"?Fed(x?97~o^~o#!///K.Mgy;hJζ[rzv޽{؎tٲe\x?Q ?(`3g0͚5K@Q|0!φVZJ?-ZѫW/Ln dEt2~Q#3̙3IAIIIo_wTER#*ox}odRLJ5kzzzIU#/_vĈ...D+W4h@,27N&&&~פ)ܦ[nɯTr[$*05zaDeŊe]zhѢ_JJp ?T!Go~$EEmtq ?( ,^2'HUV}ʮci7_ ?"ضm[޽G35`͛7vjAAAoV1kfBBBdqkPx~~L<;֭[4w;w;2==ή{o‚JC~ac[ѩ)]yҥ"Ezo9#/_|jNߵG ZJ5ڶEdjkӞ?߬~H ?yKcxݏL˰rĒ%Kj+{X`߸qVGT!?)y?x[n;jemt~HRQQQ*Urvv>|% d-tICYMTBRWn۶N/w2T!?^{'M gϞbŊɼB h/<8xfQT! ??=6|x;uy.Yĝ_f?0wM%XBy~={ -H5j?3LTrrrƍ#4K)%Ҹ4錔~ہJlgj`WR,3xĈ666tyrrBDUC~ȏ7~d~33WXlY5g?H:tٳg4nJLlrÆ K,['V:̞ۛ=ҥK͚5sOBSܬDUaj<Ǐ %K!Tx񓯼#T! ??Qʳgɓ' vuuJ;ϟHy ~4reFZ6B&GFEYXX `?#-C..) ?ǫcΝ+[[[SRM6ß۔*S*˚o9r{LLJZ({'M~TL . ??vǞ B%STp`L͛/ OaW*$((-GGGÏnn ޗx2!!_z5̜i'ND*=-lR)?=M{-bf&sñ &9%ǿLK3~`Eq4h~L8Q%Q|TG(يD˗?rMW_}OڴiˏR#9yHԩ̅M6-Z|?}M?!?B5~t]EV~PwdКe̚5k޼y>& #G2?6 e۶mŋGU'1BqthB^:f͚5zY@k׮L,XPXp!I bXRaaaY 1"'IiʕZ~s,fffnnn`tss(y.}35j|Ynɒ%󥧧^FRRuB/\خ^z2k%4yٳg[EJ_~VxV">;d(Qbx[[mȳruI0 HO>r< l2IAYR%dɒx޼M/zce…A2eh"ů{&M Q5 9R>1R{ԨQCziKpԩaءC\ֱc& U~RME(]ti/!LU GZne֭+V l7NQkڴ)O !Cy.K.-cE,X m.ԪUK^Wʗgpƍ 0$ kYXZHRvm&Hl'N>~P24͍U)SF஀ygϞUdt޽{˼Ԡ5E00TPh9K+'ppwg\A||jUpkÔaSʳiРk$JRpf+{'MO.y&888(#W|>a$p2$]zvJ4%mI{EG1Xǿ E+ENBQhۡ] 9bcyJEzFFB#uBBBXHaiđӧOc1%*6OHPIIINX|B*r'O*eˀ| ={4d%谐\Ye Q(FTѭRgJE*dSTatJ*J*!Z 2 J.FJN^ 11$f$%u[ kLO$)S5gJN'DD$Lw1WE\HHAC(5ۙ*ef|uذs4h ޾Uݺ7gϞ\hܲvv׷}Ŋzvvvf6~Λ7Oܲ۰qQqWMÆB9R\NsΘ1c暆 6ǚ5]܇omk۵uk6lߌ7. $3q r,>ݺ5\Y 2dӦMʹs 3\[v֛"BzG ky]W6XY+8<ظtlٲe͚B|G걱^^^3 0i }zӧC;v#ĈNL x e 헋-'k׮0WruֶOF%^yOz9|eVDفE„;)sg66Zr6Y? |BJ߾}{nƌF^' wǎ+"[;T>Xct&&&N8(s<?^=;'f͚57 7o ,(v>ԩӢE\`MΎVJ… ߿|.YQa&Y 7Ο??e"Sl]L%kkkKkk++#k2eZښ)S/[ AƍQF[7kE4aRJؤ˗bŊ7zE4m\ݢtwygϞz333Y3!H+j]6cB „ ڭ@8YQ, իckq֖/_|,E kK m>+WXb%JJIN.W<Μ+a f# (@%EW$ 4h{.L{??ܲe$9 #04B>[LJpdj.㽕+W6n>a6Oh֭,2"մnϟJ.{ǎWǶcBC34WLEY$ȠgiҤ 'L@MJJ0 իǣI۶m#r'G$4tpڵkǎn/9sYf)Z*T(Ү]=z`z cYD}X$6.ȑ#׮]CF4K=}`wrrB]t %h'Ͻ(ވiʕ|V(EQumm.F;wXXXڳPtBs={6o"E.^F>ٻwɳ $'իW6m^h-O:EܠٟMɵj"aSmky 1"_ţhKsqm{/I̙-b0VW^Me]*y ?6>weZӓ+VXZZ:~PeJJ  fs*UDG,^˗ktA&O\F >cE3f,UV))UU;ٸ!_h"Z0|hѢǎ^zI f} 4YPڞ{2It}%kȈ5k]^paWW׬k&L6l(sHHbD]y&1?njorX5nݺ2/e_pS|_|s֭ECݻwSgݻ7p2 ɷD>OM4hз~o>V~ {/=r9j(2q3ɽ{޸q#?cdԩOu=zd|B.\nMz٥KsNw]mEKJ'Yx b .Ǐ |.Mhm۶WE\&+ Ǐ| u˕+D3nc6:kww͛QuFW93gM;bje@V׮]3z@H'ntvQ*qmUPkHogyoEWVv111F{%"":edqڵ05zOoo :T| Iv Vc^ܜ0ܜbގ]]qA/YD_"tŲ4i2d`KX~u2$A4ƍ#۷o?{']9--4+vŵ6:|𝞑~0qXs6mjgggiiy6e1TC_ʖ-[f#F9_ӛacgoOu˻˦C,RvmӠLGIEQ̏QYtW^ e,w1m{zɩsJk4#G.]Ԫ{Bi5Ɂѩ&gΜqO~0ܶm]_G9DQ4TPtJлSjL,?22RXX02RGpoΆ0MnЩ.fgj+V=IӜfͨk޵e˖4#ϟZ}w"j"7a(5 q%K801b ُСK._ɥ`f:J{f?(_z=z߾}gNIJz 6mZpݻ4sp; ߾}{ҥW@:tpXvڸܠ&/^],Xˮ+\RǏ+Us>xzwDs1+ѣGJ[8q",SlҤ !>|QFګtqkkkRpU<SE~ӻOk'/{&M qcNfGG,pR5k6gΜ1cƴmۖ谕jiiI8{lvl2؎#GKvwA n^z奻*NNNXp,JHHB [:Zw֭ #@]-O8I=k|@uY”E* 5jS_ǏׯEXIv!|Lӭ[I&)R:88$愽F2*8,..y7F( ?3.: !1~٭[޼eiM dy湺r޴F:uJl@H3٥cy={CVsX[Bf/?H#0:yrVhH1YbE[^xݫW/+`tEhI"kzvuHlٲ(IWb0Wڴi7G_PطG( ѣG+yyi/rJ0kbkb,W'"D S?H Xlڴ %b=g!C.(("! N6G{:t tL&+1'0ɻtdiaA#cp ?Ȗh Ը˜ @H# /_yI믿‚_7n0xM\DRJzcfff[mp?ȱƬܹs;vnlpPӤ-Z&ϞFh%h:nhĈ-RFD ~491]#ؑ:(+J [(b:,~=|χݻSN;wEԅ۷o_ϟ?O:ñ_ۆ M} ݻW֕(J$~OMwjԨј1c۱C @z(MWYR H9BHi}MA2۷o,"z.7n- |>e$株2^{lmmq6777ӧjȰ^)%Ԯ]ȿ#m߾XѢTv܈Dn!Σ#d$*T QARm34E ?A4\4[z5,yfFeWl߾} ޠ ʕ*$w,qM6#c<@]@w5[f !S(%"(Q#wWϗ… 9ZDb9z(*ݻ&Bi6@S<g׋)ҰaCN~u_..xKb; o߹v.[A n:`tbHH?v?<<>y{t3i>|8.uڵY\<ٲe Uс6]b;ӫW%k]_ΐ7tAC@M<ȑ#ٳ+߈?S A\Zf "+XMwDAҴi<} 4E wRԩSŮpE}:awC9ntVj`Ύ:c!ZMhS/2'TTh<K5d{% cE@ ;9;! ~׏&8%KlLrJ2.M\b5ÂZc,~i='D=z"(gW;ф2t<}~k׮m~\nQǍk0tE}M~@4XSdA/ ]Iђ]~}EjҤIib,^XiWe_"@d>}i \!9@۷"49pqq C(tMԬ$*jժU01=vڻ[Bix3s7o` 8Jjta5| jJrHoꔎ+Y&NJŝ|Mkm6 7Yk҈aG0,]Jqɔ1cԬQClV5LJ@'bG4hС<|y9\ 7FiEL >i`&SѣGb(E߿ulHx8V<L 9*bL(FVtqŸ' `??NQ;֎Uą1=5:V쮋K,] FP,E}P_[YYrh4[Zw;<ݻ@Z6m*Yw \g;㢺{+ رwj{*{E1ޥ " ("*Etu(3C{\E9Xx>99묽ZY{0$uǎF ղ.T=f mEճgÖ&X{`< l]aYa:0) =[fj$ 툖CBBwδ d1#GIf܉ߓ؈+sǎ7n]ĴЧO{׷e˘֪P@;z!ғ{B"'&&^pϙC޿X/Eoxxc!7o Q^^׮]RX8`&˫G:::Ǒ#G@啕۶ fR07naÌ˹;Em5ofoJx>;RLԄ}Jd;wa`_(oݺ>\|5J ssYmD!ƴޭs|< RzA?CCCqfKq w4i2-vCfA7ߵs'#2'-s̺b Lz׮]^}JA?HQS[6j ,.Zgwfv ʥKXb*ц3hU"9/XP0'8qf͚]X)Lw+pV"tnݰT}xm,P錪*j;wP-[m&]ΜΜ1s1~8LJf &I~`WWSq Sc~$b@cB~~~`zG~Z E(۷/S#'U3$~C(^|98Έ9f͔`[kc–3"Ybccd@E!A;腌]TXtn~hkM6mɒ%RxgxW=_U /,ym!W &tw*~ {`Nw^rf"X~yxx\uĉ0c26d`;=z4w # @ Jq9\ U?շEA`Ȑ!xVO37tttDE ܟsd=- ;vH~ֆ;;啽PUi aFL2%=m[  HCCRbDN >6 gΜ9jX&&%!)=bjhHa_ߞXV/^#[k/\y?/5!J<{,&:y0bzή}ƂID0iZsA?IO`r&''#1EH0V6}IB/ !F,8|'2 sz+*^ze}Xސ@,0Puʿ,_KǻC 4)S0A0 mg(**79T&噊8)eea=8 F|X?l a@Hi_<W(? ӃEs<3 *0 %⢸T٤#>VRrpp8qgE?jBsdPKf|Lu?=7oބc:Nj*SX$I5+O-W ۘ PJ=ėTJ[m.c?ҏ~A[[zBD1*qCLL &@;[iRUUUl\xw?Z,1< Ȳ4BG6+S ޯk2BPߤ "tC%B8-o_$;w ڵ+Ώ {9OOO^*EgZہqȲ w߾}wڞ{^zKAҥK,룤Z,c3Zl#8$S3f̘%=K23$,\hdd2E :#-jP6/ݺƚ8pY(=[v1rH|ذad#0W̄`2220ŜKMMM'|nGa+џ4̌b߿@XCGB>}uuW\^z%fVJJ *)Vȹ@nAUbF0; dsWJMMCnVXtrɓ[nܹs@@@|B844bF,]:{l|3gŋ`HL¦… ***ȐܼG&-pРA !ͭ_][7ĘZ믾zav!X& 8^>wBC ҥKzz:޽{O:i6zQ..F 2,e99ΝX'2GS_~fddTQQ)6m0;L8s3#anMH*6,*.l,d“&&>ss[/B 7\S8u˗/||Ċ౒\xaUyY&8/O328:E29 gsqMMP Ȍ{~玤WC~ٜu@:Ёt!Θ:ЁܺG32:u4̘={ܹ(a8cT Tdɒ%O>vBj¬:U2͛CCC/^ͤϘ1giĘ=oތ3۾gFFFkҥR'..nѢEbwhPd:|Xٳ}vmaz:wAyMEQ'W>hL8p {ˠNі@'Np"(#ʊڀ=wLhW]:weȑ#a12?c"6l!Ύ:__| Fd$9D8ŋ~8Z[=<@9 ϝuT<(Rض._~ܸql2]v}E_[I)HNq#޲-tF 9p@5006X G+4R)iܼTJOnw^OyĤ$EٛSÇ_z{7$//}nEZmRھOAA!99Yx<2gJ^L}̝>yԐZ"jkK*B#I.^hΜ9JA t<"pÖc޽Fb-LHYv 33o[d… <]UU5}bHMHP!s&g͐מ=⯙0j=)yTJ̽{O_'!ص ATJKKjZk,عSH|:0;w`NMMDD۷wlӧO_xB|aH&@֫W7 D$, 1((9RBsnɪf[[!Cs(套Wq^UYIܹs.X7_R釹)S<={LHH?BBH)HI?9x0j#5y(R[l:e!5D~~M{{6l7"ՐBHy9ufzW vL>>XXl=|׉i憈C44q"+"rC?TSS+d{9O&#SYY-OO Ɠ pppWKxfEEۙnܸQlf˗/刍500X" 0H4}<8'_x1Ϟ=aۜCAAΝ;4EGGwڕ4!źz *SB\\ Dw1yhT=z4UP d+gΜQTTaZ޽m'E> 7l6V߹T\֬||:sFJ\lܣG?H\vmʕ55 XvvnAAӧ~1cDdӦMhUGՆ[p {sfqs_Ԭ6&&FG`Dn^M]lŮY[@@@=8:_LMS -[<}NccQFU`]t<{vi+.sIE%@~ 7wpPQQɓhNN/^$Un*Bֆ 8tl$6ayzzQ#G XpRΝ?|{TDNUmf7U$:u*˓zzzw}: ;͛7)Ѕ~D p!W5m70J臣e;Џh_fCr۷<{NxMLL|AUUbQ7~vpuځ~K%@?\ll,RM;;#''$zOtszaoeŒ~`@*7=m(1Cbہ~[G7f~J?6mڄTC\?裮P/:zg.]266155:bccBuD]SC"F@`oiYF:E*‚~ =yEkkk]]]*@?nQÇ%Xa$|ٛ[SzT^^^-[qZ9A֖T8g3QPP0ŕgϓ*pbaQ)qYNN.**C)#ŅT,#֯_]v ϭR;YC`8DH|S k׮;EFbbbȿ,UZ x=B!˗iXUED+ 22rHE`GJ]e *aic& P+0=˜%¨R黼3_d9v( @uuuHF>V^T"ilX0"`taUU[=-Nx"jcPWS+4KJy\4!M͛73Ϣpn"""9+&, +9BY^^K<"Gv3'OztC|bHȨ(dv'r_߾;477|J tT3h |PyEݔ+I]2W(;v֭TTDyxԲKm޼S0*xJJ,۔-[6m4$%oƊIۛr)7))!(TETpp\4GE}^Q5//)RIIIO>ͭ0> Ayy'ʴׯ+))8ISPP` r/DX)%QaƠj›-E"nJ :>ڵח-_>sLndCNJX(ھ ҮPﷻoڔ̺Z]X!%&&v҅YnR=sݸzF,,rIE8@*BPUeonޒΝ;Hy՚r ̬,yyGԩ]k&&,KOBqf͚Uˢlr=zjWvwTׯ,-'&KRAA۷@q?^ڵĝ/oC㭓u;4>uphy ύW4XmjjFK++CMͅӦYXZ~7ǹ懅joúoݱc; :zRaAjDmwݿggG*B;B`СÆ zKՆZe0d%HKi\3g"oA?ЏZD0p;Ktt6o|pkL@@@עE,--e1^tggQ}:uqd#ƌZ49@Yyh&Lh:a!F?vd{n6kSRRiB?Z9ڃ~][ܢ8v옶6cr@SKkp>TUǍO n8jT k֬sXt,5(aI?kj~7tVzHꓡn7S%J? ϟ?~xlƫmG\ῇ/Ļ_@?n_L[QXhobRKAw+ooR׍k;6m.+J s?|̥?|7pO=KOMM-XţS_kϞv彑oOfM JOC^4Ȉ|M̍k§׮U&Q/v|~w^1ɯ,.~BGյ<A "a7o옘hbY_[uU;=<<)0Vg7n=%<|A*B5!&;wHEG00?)酻{}:Ё 4ټȨI&;vƎpܫ3Ǐ_:PDST81XrEƎƤÌ"zzlfdĉfbI'O EZc4(B Pܐz K'P l n000۲eKEET9txh'O 1bt"0nHѣ (HMټy%]d%,,̘aanN'…+.$Ubӧ[5k,7o夊65]lh ښH5g4]ҪM666_|![[]ZZ Ft+Μ L`̙ft37^|ټyVZ4(2cFC  h|(X WCy3Ɯ]KJJWRR3 ۣʪTJȕ+O˟xB~Cj}瑑]v gџimCmԊ8[D*R 666rp'/,.v,-%tL$qU3/7Ҳېyࣀݺ~Zxv-mܘ|V${ejBk IDyxn pp PD@w(5QA:;;wJXu߰$)//߿٦M )!ˆ yɤ"臝v'@?*@?ٳe99Pqۖ,]`6tswWUUjEkkcj7tۼ9%09$ě~kDGۣӧIE~8ZXPӏ˗CbA?̨};WwQ9ӏmR"EDD#09rަ ֭ԞlcKsCHE~ o_?st||" jωOA?nIlѣG8@M?rllĻI{ /_|ERcDLHH\aWWW2_bbbpCbb"s@|3233_amH/_&//A}AmBM?Μ{TDqVGE}OaQQ޽/ J^xjcGAj*@,}۶\R)Q7n,)A<,+#|KOOR[7K=|t钲r^^fdd(((6=3zpXBP"oxoBzޝݔYqK? mm+WO6lj@뫧믿驢yn۶tΜ9yqq11_~ 8Օ7zTJQ/?ZR>GHM "u -;:YW"^AA5/6G6r $Eǒ )Ԋz2'unU:FƴFx"5 ҥ`AYbExRXH1!SǓjTz^|>6;rȀ[-[&P---ƪ~P3gBJJJ@B6o\/Xcn,!fk׮TgdH33+KNN`ǠuI&,8}t޽@H"`QQQK,s(A(:KMM ar&sBBWUUx{yM<׷Zb߀:M!}2ֶ"<̣<.]]#·Ì 등v2v #N+W!.Srboa;Xo=7pB &XYYᢛ..^xٲeEΝ[reYYԩSpek:Ǝ繻VR253k>55'OR&G5APYqRh ʒcDŽd3'0Re~ǏS; k,V:]XAą #a}[5BS0TDya!#sBl_Ə/OnL}T ʥzQQu VWO^PS褤{>>?J~W0QptMM˗1\^!.OtX]].ͩ[O nR?p,/P:D]z5uz{˖OyΝ߿/NPnbbέ]* P'ε:U{jb2 29 ZVp@2# C( SP @~ٹH֕_>}\R*b"ήE8SRRΟG!Clmȭ!!9np8VVB:uի0T=L&( NNN@EO~~  _ϞIMEUUWmNN-Oо4Խ7m&9e˖h46MR0 7_R ޏ>4 ܳHQöprjiAH~"~;8`<(B6H|29Ul*P}z"+Jg}6_VY."]\ "jӽQE$'iJxxދ B.;lj͛eȓtvP 4iҥKmll_Sl:l [QE4<<{od޽esssp}ž={n˸:8zovVVVp7'EQöħeqqNjǦyjgQD.`S"#ã`h&?8Ju4ӏ'ѣ:i/N?""r+D#ޞ kS ٳՃ I.<@UD7#/N?rhl{?7%" X֦`v} ~C![Z*fZX`ӏ$K`ӏ(7B.&Nd~ĕWZekk JJtBmmŗ_~A#Ɔ\|)?MTB'R\|A%!5/jK{]]QU=77?y%ЫT抑gٍ+*PE(l9rf[u5FC!AZʰ9'BP{7<~#8- Ν{U"7n3cjjzgW̙3喙(w'6Cz^Aֆz5HҥK'~rJ2C1,#CW_ßFC=xmbl@dݻoۆ]]f6Upj@?\RL+2))IT#E?xKc|VmO?rt@? PT@?@ЏdTp~?Ayy,* UP!˫#Tz3 d0PFEeqJ\|)   `.BR!T'^]5h*.rwǶ0;vKJJ FBёOqqTfx~DKC[Y/YWb_zLP{8_0ȑ畕" ~Oȼ~. QEDL__1WJR"G TJ+pnR.J"#KJPEC~ܹìCNa7ntR)d1xɗ/w ؈.;nܸ_ qe]]CcQQQoǽFi `._VۿkT*U8D"њ5k3/^|bbb:g-`K=N`#T'HooO{95'\.ڝ4gfݺuBba|AWD @A萍zx3fÇ}]F/ܟb Q ?٧<=-[F!H=.Gb`w.W^L?''N,dX,SSӳ'؅*]K 1 |}}Ia w ?M9yڊ*29z51F\XcccIšSϚ=x8 ݥȡwȝ갩ϏXt.**kH$=Μ9yYyy/`+1lљDeee\}}Ν'EL"=yrP(ܹ=P[D=={AA@@rS2"^~==zdhhH׼~ =7Ub ӓ鐋OF撒˗,Cm=Ru fkܝ>}zñB{99FFFդjMLJB*}ĉzh=xX+ϟ3g wAD?mᎎ.tT^0H$Cୃ! }>+bk=xs52U~;sss"79s5/&;WF6ϫ\LNN611ill$ED}^^K{{iB*&`[F89uJ)˹~UQ믿޻w/YRi&ill H"P~LNF A@F~@.]yAƞ:m..li4"]ɭ$h?prҤ>>s%Mra#2l*~"#'''yyysh^LEΦHhڈvwo '/^ HV7<(]YGŤ(333KVO#"" [#PHa:~f aoԼo͐2hC#!/^|i2fgM?R/]]fkN?<\߰añcvv r9pC 8..,)%WͿktv"2ޏG5Rγg:p` vڲe 4k򖲲Qnn$ewO32]2䢷$ ʥRPki155%QQw>+Qww36ȿ}<6U2"hjj4iR$.T*7mڴg͋/?FU!?E{$spbbߓgcaa2_7oyt;wฬ?}<t<oD-J`7-*] rϣGHT! ^{'$!(r.BPHE>!2~u@zfqyM#Y' 9+.'Co\wG^$%>?D` ڻ+pxȓI:(R@ nyP&'#_Xu;v;wF4S%V12ULD !L:5$DCf= qEkkV!ʐH$ǏRM~Ԙ>>> 1'>|hB{1zww""TSXXodeegԷA?{ŋD=F Ad1Ni???333_D@dM ]\\JBr)XWG qpJeޝ;#䢱 yB&y+٭\f<+===7D{zr~V߂}J@عs7|Jٳ+χ<ɲeTG$x+_}D|{w^ww7 wbk܌;QQș: --5'_ 1cFPp0Rrozy6-[BhŝꕚnGYl.臽&{.R; !!!SN$cu@? HhRA3[olZvEGѣ#-M~|EG! hӦM"K~~T O$8EɪrsssqA?\][Bj``PTTDaGuu5%/CJ} T@j*rr}HPZZ ;B8a/\ b `S:M?;H`NNNׯK-XK*99YAYH_|hy1XBA/_^.ʥR[? 3k֬*xtMj*"P_k_LMM;5уx3g޼y﯄^`lV[T\*")i iT*7nxʊ\)-eeVl6vݻwI! +>T O}`w@n/>z%(J?///"Ozx}U0MU))BZ_OĪ*CüԣRLJk.޻woƌ$qOTg%$&%T)ljjPEKihh4J81ϟ5L 0;TǏmji՚kݺu}z ͻ\9k֬`Y8XlQ1;vٳg?r3y)%T 8NQ$EkJ1ؾ}w}Y@;pN"H8|:QX3DZO'M=ɓ'! jp8iS޻߄cL& Űl…\|`.]ҍ۷oϜ9BGooﳲ¼k~ǟ`՚5>j|~=)+W={oBvK-~7,_WE?`>kKA}jE_:(rj"+[պm ]b5uf:*Q!d߷oDl*)n} ԁ??A5CBf[Zccys6BѣG@q nxXtO>gfǫVz=Pfk .Yd6믿C)G8:;= lvv_oذur[{{k$Z:vΜC?`kk lll|}}dwP߾88XzccIxgs v.ݳyYa~6{6DE/Z/($TkjI2V};wnXq~ڹs7w_,]: ∓Sٝr$Ύ3\A'&&Em+W׾x7fOZukxkb =F-?9qℋQթlZ|pxgs;{K?}tI#%>}={v*y,(d#GzǍ32JHj#xyd sE~~4QVww"\sܺ:T\:/`+|T ϋ FdI#ٟВ*FOQX+C,7_߶T l[4OO9!v NN]<.. TG889T)DУ#^&JI|6(<= ZxA9=;4==U'ă21*8T|+A|X"⺋+>D`>ۋ*%رBD*%R$X"jRRRΟG!CB" CBroB!p> $d]$s8ӓxrf` tw+ OD (|\ @ִ8Ok66mNNϫPEOUGWO{;, rT*\ ]8s!t yHpT"vkD+2‚ptPPЪ+9/2i̚kװIt3T krarPZ< @0TA|޽ӦM{gԘ={S:K8!sd?VX;JHo߾<b7iiIAЀ*Yyy顂B&Q{ ZlJޅ.˕BK `'N"{u\nJ :'J%abbbeer8Y333W D|oww{`#.>~ 233u{{{fݫ3C=8\Yb裏zpvj0yrN~СC7o>E``It+[[[|||ACKvqdT$B6ۯMjE_T(nxIEJ("G % ?ZMF#͛yGǎ vOQ"<?ğj{͘1bfeV8Ν'upDhaȧ SRRL8MZ"`N`6CLJEL&괴ǁ"AO? \T)%eȝꂮSINegබyx`K=y<055')<`[b3hܜ7QEfC ?T$& x8OO1j*I'Tapf/YffӧOITfǞ:5bpDn3sϟk^̼~KԔfܹ:TJ>wl0{fT)e11YWbcg7۷c!R(5U/--6=qiI&J~W0>:8C:d [y{B羍͐lM6YZZ%%ujD׶6v0#g+WU?644ҼvMz:ahf=R8.&_DbqxDĕ+WRRR̀G/|>8РŰ-#î804T>?~<( EEs/W"EG'D#`&Dnheegi?2Tm96==yvlA ϻ}U spB?ٳ}}}ɒ{fYTWD;wDExȈ#"<ĤA055{/ t:FҎ Ϟ{!??է eɒ%X_wQ_ap۶m&~쇘?r~g?ZqM#e?]kjF\rO~QfP1:,>I$ُd?GL\q˳gdI+L?N> ^]L^W?#291N䞡&&& G# ~$uٲelUW'Wjt YXXY&77Jjm8Ojv_cba3BU s[[tAPD<;DIjݰ`Dky݉iFgH%uu؜SԄ*W݋=H?a*U9s< !MMMId8.O8E233,u46 97" uO@T @;ϩ477O;w\r77;vi{P(s9x p#G0P=矧O#0W^^>a„$CCw޵k8srtP(\03Ů@хȄY1'/H>;wYK't˖-RD=2'OF``W_H$ޙ3gPp\!w.\C 4iĉ DQqkU5ăJYXXY,LbŊ va뉽JeIxE-OԒׯ5,IIh9oVH`~s4!!괴V2!'(%>6sέ[ID 166VWXlE(Tm55U#rPOQ4S(=3ӏ( 6FE"@.૊/#… 1Tc"J,YEd'VZ(T%'cqS|p8Xd2ʕ+]>2||Z6=m RDKFFQcc+y|ܹso^D?פqS|7ŗQWDF FfuuSA& œ%rf W,:Ԧi4T2>IN!kN<9XgM>Y?['Blheea+/]L[+*QEE͚̜93/8Z O>q#?! ooYffDJ?4 *Qщs8:' U7 --ii}zh@*J$@P )&+z{%Z*EPEHUH!W*er9泂Q >ߛu00aB d2.J`o-E7ClETWFL4B7J:thƍb-)N`kkkMMM$y/^sڈ q*uŊ-z %KC[YS[ٵC~@*ɭ)嗤o;tUҩt|SnwҝJ:e`LBf01`cHLbIb IiniŽW.}Zk{;s7{y=# }_Su̙GȩS~ӟ/'?O>tZ/pnHߑw97$5`iq /~_* qqqPoO y? T*k+G{_ixj7oΝ;cggnpptdݻp--q@D׬ŋ~Fu֖#םoa" < oߦZ|,Լ!0 ]h;AAGay\tˋzoI;7t-Ҏ9[[#_O8RPm<56?& 憸f"LDD$<<|3]tvMOnyzڛ&<]]V ._#T33RyKMi:]%}EÇ3ʹVMQD q3: `8qz`d ws?GK9Eyy t91qBPTo'N/62p|Rx@]x۔*!Qj2^FfgL::;% 'om!P޶nYxKQBBP+YG|y=TS*RDۻ przCG-?SR$ٴBDGPޖ_. /ZjN/?6VVr8)+?B%##4Z~,@~Exxxa:N拃âLFUXXOܤUmmG-ba!֖Z~T>y%CU [yyM`NzzR{b. ^ %@|]WWjԖ#~#pT@`*\x2?acC-?Xu(FF#n2OJ1PoRRRjۇm4 `x2PUՒNJQqr](.*"*A'eA^<]mtLjQ1KJ1[Ig !b}R ::TZZZL[Vj: ШT'k׮mhvКGKV*n2Wb>ml$X(( !lo9s|||kkkV6yF`ڢNf0Pv:FO, vuG67sBkff&#^ cxK#|- Ow+0FEEҏ oKJث``ʮ^  y8 ȡɑN}QƖ;"i#xcYYY>>><\xDAVzQ_p\cGmZax= (ƈc /$99ƍ IIopڢ^46WPS#ĵzZ{:299 w/-x訨8buȒ45=mkk"ڎFES~s:VGcz=y<1|o<D|('ED\G-,| ;ڏr71Ɠ\6?O2TWF\0( T*,ΌMbcy܏TiCimlmq#-M==MJ>3Ӟ?=<<,-- *iG}u{K<诨 Fz%NHhN'&Nz?]5bee533c J3BA˃R]cK$)Kg~S"VHuza! )Rʰ0ꜷE,ښӓ[/P &d8:*RҎ TVVR@6#|$;[DCUlRMP'?;R6OJ[[YąO6_^Kf&)G NbYɲlmGKvWL&S*]]]_|uRӵr99"WPR{{jі#zboΎZ~z_t/ ($[BoO`󥡡mEi<=8THmaS<pLk憆V02ٳW?=ztr77??TTTX[[Tx#vD18]_'}Wk]ѐ@Rt:tzbattWNNi/ _iii===666&_er3==/_+9}}}oh戅 $NQ-,wNNNu z^ $%%aJ9`|||P``{{;Ο9s`C,///WVV"ʪ`(ȌR_vʕ+ӧsssSSSZp{hh(r7޻wÇBCƭ;w'dx}'pzz$99> isrr`MƔ'Dc<84uD 11.'pP+5})SRRt:]MM pڰ?SFIrO!~]TFGG]XXi5<ޮŭQ;JFutt\x ..go PVVvكD???5 /P)1118҂e~"5"  3@akki8d}ѓ'O.]珌ݽ{3GDP9:"_~V o z ?O II?S!k  0^5¯^ ekCfC@6s/aH8\2LB*|gpC斖~/-0VWjH bccΝ1@#_mmmzOpL =eeM--z:eB9mTtЮzRF9v _ڀkj^V !cZ=** *55+C'ܸq rzC.:88 JoCGdߺExwE"#S "  BrJt%ϟ9gߕB*!DO4*)LoN"LY8;;o^$^YYB~@s 0ś7oBCA.F\]]!a0cV  C#{Q"I2u^pWet x5gF;\4[ oN~~~1W :󅠠 !<&8_,-!N>CF;믨XS(H?L6?-za2mmꢢH)2_"uL4 ߘGH$: ] I?ǖFekAh*H23H)6O6eOcc;[Dprq,((7dmuu5FEXfcc#ʠ"Ggg+WOjFhS`fff𦚚n vw99ḹ6-4822266U09 |nn@_|_|OOO~#J^4ĉq<~H,VNχ%Rg(?r+_NV@PfJ%:B-?#"**H)jQϟGɁt޽/:ấeHH$d_ <z{TѦe~ Y~R(h 3/㦧1C`_\\`^Cܽ{w8J@, UWIjsb&4ފ( ok󜝹ڀhwwn Pϟ?;w> koo`p֬2+W8zG;ܼysN.[[YexeCc#tYYOVUT5111) ᖖL]TߛuM.17660YSTɎ7~|^$fdH$]0Up;@T\__YJX,J8k=d٭NO6K3|AWpr.mS8)T~\I ,'g\~U.Όqʸ;1UDZpV* F`P#3ť%P.iQjZX ###p4`mjjz;aXS_[Bёx#c7)KCrr lXZjK˃zH)''jQNV4 jO`7Jrr4//zƶSnj<Ғt( "c:C톹/%]1RS)Ni|:y}]1ҩNR ,Oo##ƔꜷnN=Q{i3jX,`Z<[4<|M\UX8Kg:?߼IRaw,NNR///Jި:b )~kK91AmuR ZM͹^%\]]%N فǓEFēU:[nH2;Kh#n(5j1繺.R7SВ&RU89HYj++I)bqb ,ԞNֆkkk##I)tZuu~#/:hceEL=6$'wRrjAXbA*v›7I)ZZ*wSԌ7ĪvZ*u(긇i]yK``q^勹9wuHH)&$\jO̼qCՂGDyy9qGu^szxR4 ں,RssjQ7@<gPޞes؜F(!@CGƢr:HE&R ZݜJ^RV6CJj&.R:B8)rbx ddH)fa-zs X:PmD[)jcJ u 2kryKFfgScA1 endstream endobj 287 0 obj << /Filter /FlateDecode /Length 3150 >> stream hޤk7>bEo<R(3Gb{7?f4^o0!Hhq;{-n3D^9a:/g7ofOfPːWZ8몟ͥR}qj+]\lq'^c߫^ALZ<3fBS~Ctzf#rzN5ȣT:"*{ƇO26j4m'6B1HPFHT{LW0Gt1^9ٹ(ZÄ~p0] N!q- BDo^6ڹ4^'x۹ 4ŃZj!Skxs5jnuc5Y0z /]U!䊕bߢwwQyW7V}E~Dơ"iec>̴X `Q7؂c2RYs:aĹ6aOr{Oxf3aMOsi?Շӟqu|[_bKi i`Kx'&@EoFKE~D4ƒk 삷vyDeC#h02Ei_+8hiٝG :`JY 2 *V&~g;Etp|@VxMII&*X%~p'E?cLKot$zTS4fѦFأ†^%4T;pM@IL*X$lYF|I^C(KQ;M9фn5L%kJW }J  oK6m ]\y1DpB4 &3U"MYde+zߺ3N'cxZ 4W@^,#] GdHG\kNS˫Lӄ v  ]I< Ot,c9:bx*pXQѯE*}! Q=AfD7Ϟ 1cdٞG3S'D 3/rpKa3:C7!#}X,<`Ne2 5h;g3Bcd(z*eq0|ޱB8.H_:32Teժ@D!- @I={>Q(lWF'iCc QT c* q?^ q qυ8WB۪>ucוɸrsgC!<* z؄0d*Cg!!LCCC\|$iH}O77[HuBo+7HuF1J[2%*' dd# +q)SisDnvX'K5-w Xa6<t1;HBP:B?j4S Jh:nNm҈S4`4j J֔5J1 BM)BH9MI0:R(H9#y:7?H8pt$G98  %skKYN oc@ɡ]2|"(Ȼh^FK04P% ~] r⾬6TSW %ZY< |A(Xt88TM)Wl@RLUiNb|G74 5dQbc(,fxA y>Mz`?VQc5.Μ U\>L9j3$ǥbdk7EΊp0 d)bAm: *pTTèZV_aWȥ4#EY.,DBLE yyPp.PYE|. HCz3/k$\Hg2GHIk1{S"ϰP,ӊ5Gtr6#9W#6B/,&&´|4Gr1g!%W[okq8,Xlb}ޮ$wltዬWrTD拧U~mbn1KH̲64U~<˛~)ӛpWD w@d~i>-yb}x_[w3琮y:!I/q"\/XFdQRy`FxVPr4g,iS.xY뇼Na&NߠF(-:Tg;M(8ɷXBVCi^X{6ěvsquiu=k_WdKs+qNUXOyjF!\t Ga]vYc0 3϶P. 1 so`[\&;fk#o"V6"Hhab.@Md$c.Q6 OGъ˪"f}R-W>flW-6F|:z1ZlrjնA~\eT 3Łmm_o~E=A=,[*2]$Kfg/W- {<%DTVѧ z ħ5}ؠcܢ4vVB,[~s#Z|A/ 歱m5赫VWw-32 A?txňJڎm9i_tˡ@7Q[h*olƌǓoظm8?OluX5;t`o^w8Z"F I nr&b3L8<)>2MLvV=~y%&`g#Y endstream endobj 288 0 obj << /Annots [289 0 R 290 0 R 291 0 R 317 0 R] /Contents [777 0 R 357 0 R 2096 0 R 767 0 R 315 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2072 0 R /Resources 292 0 R /Rotate 0 /Type /Page >> endobj 289 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 290 0 obj << /Border [0 0 0] /Dest (G6.350782) /Rect [390.72 131.7 443.58 146.7] /Subtype /Link /Type /Annot >> endobj 291 0 obj << /Border [0 0 0] /Dest (G6.350782) /Rect [91.98 92.7 144.84 107.7] /Subtype /Link /Type /Annot >> endobj 292 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F2 807 0 R /F3 818 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /Pattern << /P21 293 0 R /P22 294 0 R /P23 295 0 R /P24 296 0 R /P25 297 0 R /P26 298 0 R /P27 299 0 R /P28 300 0 R /P29 301 0 R /P30 302 0 R /P31 303 0 R /P32 304 0 R /P33 305 0 R /P34 306 0 R /P35 307 0 R /P36 308 0 R /P37 309 0 R /P38 310 0 R /P39 311 0 R /P40 312 0 R /P41 313 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC23 791 0 R /MC24 314 0 R >> /Shading << /Sh1 817 0 R /Sh2 816 0 R >> /XObject << /Iabc2682 316 0 R /Im100 318 0 R /Im101 319 0 R /Im102 811 0 R /Im103 320 0 R /Im104 321 0 R /Im105 322 0 R /Im106 810 0 R /Im107 323 0 R /Im109 324 0 R /Im67 325 0 R /Im68 326 0 R /Im69 327 0 R /Im70 328 0 R /Im71 329 0 R /Im72 330 0 R /Im73 331 0 R /Im74 332 0 R /Im75 333 0 R /Im76 334 0 R /Im77 335 0 R /Im78 336 0 R /Im79 337 0 R /Im80 338 0 R /Im81 809 0 R /Im82 339 0 R /Im83 340 0 R /Im84 341 0 R /Im85 342 0 R /Im86 343 0 R /Im87 344 0 R /Im88 345 0 R /Im89 346 0 R /Im90 347 0 R /Im91 348 0 R /Im92 349 0 R /Im93 350 0 R /Im94 351 0 R /Im95 352 0 R /Im96 353 0 R /Im97 354 0 R /Im98 355 0 R /Im99 356 0 R >> >> endobj 293 0 obj << /ExtGState 2090 0 R /Matrix [0.675 0 0 -0.675 182.5346 323.5365] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 294 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 182.5346 327.1366] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 295 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 182.5346 319.9364] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 296 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 297.7375 338.4993] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 297 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 297.7375 342.0994] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 298 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 297.7375 334.8992] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 299 0 obj << /ExtGState 800 0 R /Matrix [0.8426 0 0 -0.675 304.7705 233.1967] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 300 0 obj << /ExtGState 800 0 R /Matrix [0.8426 0 0 -0.675 304.7705 236.7968] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 301 0 obj << /ExtGState 800 0 R /Matrix [0.8426 0 0 -0.675 304.7705 229.5966] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 302 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 177.8097 269.1976] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 303 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 177.8097 272.7977] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 304 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 177.8097 265.5975] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 305 0 obj << /ExtGState 800 0 R /Matrix [2.7001 0 0 -2.7001 145.8589 346.1483] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 306 0 obj << /ExtGState 800 0 R /Matrix [2.7001 0 0 -2.7001 138.6587 338.9481] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 307 0 obj << /ExtGState 800 0 R /Matrix [2.7001 0 0 -2.7001 131.4585 331.7479] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 308 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 379.0772 309.4738] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 309 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 379.0772 313.0739] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 310 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 379.0772 305.8737] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 311 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 473.0172 272.8537] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 312 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 473.0172 276.4538] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 313 0 obj << /ExtGState 800 0 R /Matrix [0.675 0 0 -0.675 473.0172 269.2536] /PatternType 2 /Shading 816 0 R /Type /Pattern >> endobj 314 0 obj << /Metadata 358 0 R >> endobj 315 0 obj << /Length 18 >> stream q /Iabc2682 Do Q endstream endobj 316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2683 765 0 R /Gabc2684 775 0 R >> /Font << /Fabc2685 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{2mEQv>;_oXo`@ǾwЂ)< }ߕ9p:൫D|h|x8t C4i_rmA $査ț.~A$V-l!x˜Ti, $dNW3W( !r&H-'Cf'j2@}giBxg(eoܷ1uGxE 飄fѯQOj3Ră+6+Irn6ZoK5>%CO4k.dKRG"+5JVVK2ef[X]M` /d"Xm뒡5ڟA) ;d#9):c dT.*I"dx)ED=nOLH9;;2FKh8Ym^m]3f endstream endobj 317 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=17) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 318 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream hzcr@`5 endstream endobj 319 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺q *!t? endstream endobj 320 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺yM*!{? endstream endobj 321 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz#z!l_ endstream endobj 322 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream hz#r@Yn5I endstream endobj 323 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream h޺q r@2 endstream endobj 324 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hz FO9 endstream endobj 325 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream hzR@\*! endstream endobj 326 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 19 /Subtype /Image /Type /XObject /Width 5 >> stream hzr}T`şA endstream endobj 327 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 20 /Subtype /Image /Type /XObject /Width 5 >> stream h޺~u h?X endstream endobj 328 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺~ur@ 2 endstream endobj 329 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hz  N endstream endobj 330 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream h޺}R@* endstream endobj 331 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺}*!A endstream endobj 332 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺~u*!a?0 endstream endobj 333 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream hzR@ \*A endstream endobj 334 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hz*!B endstream endobj 335 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺~u*!h?b endstream endobj 336 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream hzCR@*q endstream endobj 337 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hzC %EO endstream endobj 338 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺q r@2 endstream endobj 339 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 3 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz@00O endstream endobj 340 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hzc ECPG endstream endobj 341 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hzc*!B endstream endobj 342 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 3 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hzc@R($ endstream endobj 343 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hz#*!cB endstream endobj 344 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 22 /Subtype /Image /Type /XObject /Width 5 >> stream hz#$@Uc5 endstream endobj 345 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hzCr@RH5 endstream endobj 346 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hzr@K"4 endstream endobj 347 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hzC %EO endstream endobj 348 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz FO9 endstream endobj 349 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hzj ?1 endstream endobj 350 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream hzr@Fr4 endstream endobj 351 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream h޺} GN endstream endobj 352 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz  N endstream endobj 353 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺}r@C4 endstream endobj 354 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 6 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream h޺}z!'^ endstream endobj 355 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 5 >> stream h޺~ur@2 endstream endobj 356 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream hz#R@* endstream endobj 357 0 obj << /Filter /FlateDecode /Length 23071 >> stream h{io\wt]s'`w:,d4岭GxsۋՇ/~˃dnލ*nn8?PCAa ey?ק'//N/.Oח'}* al4~`Qp΃äFs?Ψ`9=zgӃC 1Qq*E=Nȏ:y0MLw;=˜g8Mf0V{ >VH=?Q@Cn6~=:~|DgUCɵ)aZQP֣XdЌ7u&g[.pQSC7+@RA먔dG,;p20M< 3M|MƓMΜ60妐z2wAj$PnPB&ZDD(Stbs (\mg3>X)?yR(i(*P|Tm 0peuV*n7nz4x_Ѯ&V6ҀRXmѤϫ!Wb>$!iH5j."wU26d!EZe Xs&7/p}|xð-h:.dVL%_QVu*ؘeDVs4vkTѠ 8bu,(Ò/nt(Sҥz 1ȶX2rָRԛ! H3+8YqJj(7Ć|E&*tF`2659J@%^)WhDe^[ʨ5)*+C T%[JA&q8RjY'T*>yVQ`hގp" 4*P *Z`LSy3$t#-[vtHy@TqeױdMkez(0 .1?&"u)FnhZZ:ٽč¨OkT+F 7 Is&L jVosx2/ }ˀ~ `-'PphנN,KWVOO lj0 DMƌKkV>-Z7Sf ofd k@s.X >mJ{ldcA\sʵkm@nXMn7Vncm?!ւuM;-pw y;i [[E%BTlPvB3ݛ_GbLdͥ"j %fǢr%C!d+bIR:%X N :)ȱ"z2P6&NQ8QK)elC A0S馤śv~9囜}=j b) #)?$E&DbQG/pЃ|LLC%OMA,W`#vr{|u}ybׇ?*2?i„2ayK3LaW`#X*Ci_'/Nk\]DˈaE'IUڵV4_1 (-t<pY]d$K Sf*iOl\/v!;3H2yx ~ff> HLj,>0p5\9 bCnxM.0^ $0U%8..ջquq~^q2F/>\& ;i"EeB>AL:>{f8 !j=ޝ癀T8LfY1XGw aqx:\/ W<{74\usJ/^'g(ײ -BF.xz5~q=®ҖFՇ T4e~ex3jI6烱o`^^__^+sy)S9/N.@ xrEÎ]άpr]Bmo&Un rQ7σx3+\M,iIq1u<C:eO nOY~#!xD8!m!"zBƋ:L-I931)}Fy'_܄Ԍ//xpN&$Xhy_[Q00`*nW9,BY<ь]挊UXfC.w_.~w|M \=9\~ :nCk](M˂*2 +x$Su8PZK;3zY0-ۭ)h:ٚAS̕ +Y61\H~yYN?e&sFCLgt@]ȭȤIC ^,ͶMnHPH0rWNnX얘,\|wz| tL񈥕sO N 3;ڝd,{0gmj(@A"X- JaL6覩\\"ʿ h%b09xr}3f h1T.;\MO 㰄 ;:`L…r5ESW#M$=MeIf9O8̭;6`e7kf'7qwZ/=8Mlk`~ kP+rW4Cpx2Ga,eOǪQv嚊7FRFcARnM$ewmVc$e˞IY@x`>up jʖ;w߳E`ӱ:@o4D jXk ]N!LggtaZuZcP($H"1$k*CJbZQ'*%U#]F(p՘bO ˁ5mjITQuG-ʰ K  =Y2BA'buvu5,EXݸAng0 n3?Q"Xb}vJyYݑҘjic-Ds1zAm0Tib.,Tܫ-5cȶ1Bw;ÑPpER$m,&[$%r W?Ci:ܟ0Lp5_㡣([A־5&O^x|n{+D CۚA~I vqZx $ݙXv!ttJej#{ӻ33|odGhpsLv2B^Z %'57p z*3^X7]~fD{Ou8^.?ysOuӗ-A'j ¡mm7Bx/467S뮅-hJJ P(8T܏03(+3[!6 (X]+ִ_9=(Ȯ5:_]R+5CMߥu\j\wi;溥>{ci>kߋ" O|-;bX=vFWFwF`qh-:U0Ʒ)6S!%Y'Simycw*$KɔtKj 4CvWRVj#٬vf}[ [(+53˞EѼ`|633\\݋:;mϗf/IIE q .I&s][[Ha۴ET_A6do3XR_ae03ȹ >e;)5^d:+B&xxi#RcAXt (٭yNAEϓo=뙟Ov' zfUjb j1pVi;JѰNRN{{,Z؂v@[C .+ڮw@g {+SW6fiwҵ^;mk;R{M1z`mr>5x6;S/ۻ1^j/1g=5ZCdž|ԙbj<<8w:\sMS<8:up1({&]J;ݫYiXtτs98T~3m#Cv,=?<.|/6uAOPVZ𩹃OTcb4QQ|"Ȭe %:N]`zJwkڨ2 \vѵI@TċKh EjbyUĆj)iYإtR=t%VL(;6ZךV?QM'T&JIڠVq6j<ްt-$^}z&PG oetA눂 5yq2zkF/f l:: iքU4a!Z-2Qn4 u!'MX|]kV+ ˋG#s0=K] tmiX KIǪQeHGYxG|:E|((u$eL`#4,%2jS5 o+.)s|w k"maIYkx <(kX KI٣@) R5thw4JBIh6%`{$ &Fhp,xCRgFY" Q? aaIYiQ.#4xQְAje2WR)縒2|*)˰x{]S/YD&GvΈJ浠oRPAiDcRX9^ UWekҖ o|6x==J81jp$U8CF t +NeDf w*ܕ+p :طgZ$-тGS 1c`SMFҲ5%0+5=ҧma$팁 8`y5g8LFgqh}34qF$-ې{zc\4|Hi  8+e%ى" l+,K)2m Ot g늠lg{R9~*a'} tmi+na4c𡳵4_`9`F@o/ޘL6'a2F&OhgsvZ-l6~LOf~.q&Itzzbl'aЧg13ٙs'+?Mm.ӟ+v lf&LBj2cٝy >flv%&Ԙzqq0o0'jli1{SI/֌q>YqD5&!?8i[JDs2[nZzmaw|,P*/JԳpA9@%m>JO6YUXsJg[wAC'e/X;+v+˹W@b:$c^M si ?@LT``M0ECR6!ӡö\xyUj?򭂳ngW!X+;O"|)яRYbѣ ^qmt_9zgRŝ.8YXZoOZU]ޭ[7tnTrKC9#؇sŻ[CΫnG7W93_dH4]!&%8)\74w-4q8^eoYX&c/K zYD)ƣdu=jTtvH@QϞIj5$OXIzX`dEA k#ͺGZ4!;'0TuEڃ>OIDo$KMiWx"QrE*٠L U9H''E:j衹!w4O;݇%=A0>E*rG\ڇYKX<`'6B}ͺZ5XKd?j-g=g&O=ҷZpf!rEJkͺG#gJ,./5p(oРD>߳sHS-I !F>=&UTBxj&Ɯ䋷^{61:y*U.V:Ra*/WBz]*;w@ r Q:fLy Zj.N0f9e1)QMHP.W*l~W*5Eg7]wJ%XR 5+x@h\w:ڋ"C`d6R6TGm\jZQ*QR?Qd4Ym! )Kʆ:^K#xIJ2w*SZS}Q.֘sYCVq}F?IZq߳Jnt,̮cNj!g< rPpbR/^Ћ8SE/NkЋ>3趄2q̆#l5 qO@|);CBѦrrVb31OAunu{CK=:0mzܥP#{D'9f*67N%Щk/*j7gU3QW%'RWcm"5==|EoX_1DIJ=,yOZ*B(Eh3My(KD))d8*Ew=Ua:CpESwD}Ӊ"She ѵJ= Uz|:уأFMħ{5wnbAϩL# 7q#6}OZy5~8i\tƩ6 3xn- +D[5j֦8AC*(0m J*y[A$X*{f˱߰ -纇ӊ.g&f ;h|-*uyLQl5`פ~=:|ۈ"4ɍ*?"%k#5n3XO ꊥ1{KwpY,FT댯3XA%={k}X(BLҩ$q@e; ߚhJF$Zw[4xu&lh~r]yB 7%:S!:N`qL-YsߍtMCFO?ȳK$!ӹEyxuIFe)J y |5֒edSh kia~͹gb(s$-!Р QܓS"I"snNߔUN(l-Є{1}KGZG"Ht8S&u0& 4/c[&zܑҶYDSuFr-JE_d%/Xq͡.@ߛ0S^8XxW2w jnA-zQƯf堹ϱ.ɷ ,Ưv8堹kgǏnH9]1QLrٚ-V!s 5)K V5 PHP4J2>/ŏ-x/^YǻlBkmL bn"`ts9B3˿?:xlpl)>QE0='zWΨeV zx[uը>^_tG:O'z$4Wc:#{;Uc30Fn ߸Aft]֢Lނ">xA/?7{6f8ῦ 3_~zʛ?ڼfw}Y #97Gj.@(|j}=|o۱[Dܛ?|xoAho[қ9&7?~oM.ߘ C d H@2my=ioL-)ls40܏e谛-ns.B9bhS$=^zh_R!m%.N$#IW̥ ]k#vZ߫WeV^Vk=7V;Vት`hR[䡙$/q/j警RE4ʉf½O7]eeU_b𯊝S}]t}PK6ۘY+%}w9{ kQ:âkm!Jk[zn?}`wTj!_!>{t'p<:b'yG8hߓ2W֯ӆ?w'߁~?c(al[Pgrcccsm7kZqcEcA 9l 3̲ucF$=^~[bu0(+sI ǠXVf&f6p@X'9, 5906[^D 7y/V)M.hY^"]Ǔ.VQ4nCev6-rqY銭C?xCyWΩ]t}0^ V%}w9{ kQ.[kQW۵6k|͵V-Y}L{Α~͎+oqdy,rr:w4$VR tS`$INെtrF) !Ηn*^xO>Wuc{\<7zox0ߌ8w`\ؿڠ'w'ɭlpSM'h+4%y5c fux>QJS ZvqV/QP4p:*M՚G~U2'sclt뮛<]˴uluj]fY׉a۽ނ& foneD'A'tb sH}4ZMˢko}"ׄ7rD%m iF_#UhwjCSEIĽзlஏ\gݥ;xs;v6 niy_I sewJS_hm ~Y樂D /bnP&UQ4nZ_f蜅 scl_w탳wuhwzp[x랃 L7 a4F}_{%^SFbzЯij{:r$T׶b~0/~7n*6S -[axѰ.Ɉh}( {YrƘClr Џ0x;}=3N”/©!QP9K6g~ғ!oɴ,F:!DXe'S4aBۆ5pw:P͕$pV7 &ɨi/MثCqڨcf`<ފswe=kt@^g|b>Xq<*t@7FܰϢ>ݩ>h>䩶^xt}^SJo< ԟzY?g-1oY?ai8}o9A֙{M )u܌z]y}N$:^xTʤ4ȠMꜟat҄L$gL{|wGɸIGPMzRBh[=jp(EJ'%4=Ep?",/pzh\ >rg{YZΔ}UZjU[UVkUZiU[UVkUZiU-{;`~u7 9 * @]N` c*(s-Z9!@R8z50h/UA<=o5  S 3z1yD-t=GHq XSOPG/ǹJPL'ϛ|gSK 8返8~')^O2Z8~k֩U,Mjl#z&B63]$C?e|6yw(<% >P{ף |v}qv;pbb90S!FXnaz XǶ_{r%$y;@U6{gOSn{m'M%w:XbҖ{^b9/34aV_/HD ?dw&' 9b|&~|o=o|yd#:o?%p>O;&~>~ Ng[ɴy=QB{xS+ρgBJ:15;TN]3b^ѓ%~6{0pghh;!˦?饯[ =lڿDi[i8jrҧ-6=p uUvlwXEvNviCqy*m4EpUya#J[IkH1gR1@Ju.I6)K7mQ_oZَ`K<;&iZAc/ '?B /\Wܮ턎h+vIG21B7#j@I{mW0G|'D7 OKI=O!y9 ?[v\3 )a%=sU,7sFioWj8 F >c?R5Lz݂nxgX(\q||=L̙P!VP*X4%lyac"[dWM+Imh2v rV<x]>u?%1Yqe҉"W*U4Q,H@3O-HcE|\ވ!+NlC1TΉBpj_ tǷ`bcH'j\檡W ?QiL;ݫT-XlMBs~7,؟ MGI2z2(;ʄ2L(-<>c%税%ߎKI39]<Ŕ-b*˛`1Xu'?E,G "]䅕{DM,|D#U%֊^%1]·9|}a5|gz@&`w`az1fp2EȡŞp}╴)…Q;R1|΂4u4Yl 4w0e6mA#| bTkIMD&yS8NGGRH}B΄ZӍ(:Ngrs`מMwEEJXr7\b(,^˙'nQ ="b5ʓ.~`d P)Vшm, {OMaK&F+`zRJ`.a A0 Y,2e= /6|(&1ud}S5Ϛ:J1 58z?%Q ZZW\&:lP/_sv:=$-:*LEhd84+dp7f "`7ae.2&KMUnц4jwYWb,pteձXYYWڬKL>1E/d׬du.("bd؞Br e6A&F4JNB@l`jV%Ԥ=RL/S<)a5_523cYѱ?d5f}*y4?JAO}Jǧd7Y; U)cNnQmNi |OwtRf0'O ~6qL\#*q7j} MΪFW w偣VFR%oHS,goﰚ ZՐNsa^"oIsUMclda62eF/8$ePF(nl-6,4j@'lQ$Z}g9{c=lKe)<6d-"O $#=Iul%55x6H6PjW9Lszɐ boN-GM8$Q. P\\lddcTr+IA Sf*Q&*8~WɒSi3MK#MH' syc8}cS3g!4zd_j(4>(QOiK/uK_#FZMBvBVόerIW Aq2:(ɩZLb[q1- zL3Atr%<0P:Ȁ[HݟX|OG3 u6%=ҞuО[>Ⱦ|gEw2Z_W1If (K'(%,=Y=)ܔ)~z1!0;AK4D wXWFƧd @2|##EWQANp 8> A cFtUDC~8[ܣU[U-`'8;'µLk2k0ĸ&gtVW&5OkqD4Z+qʍN ɮ4 !H6i+ʔ]y/hL\ 6άd;Hxh]15kf] nƿsOPtt򮯳ȻB!l܅5e0$WVnH m)GEcnj`ٶ) aX3__?}ϟ{:|Ϳ_÷?@ɳF_4 G. >|??͏ Zy(dzwnpd2F *6Fkz<=VjO@gd)i̽͂Skr4T4etkZܲ(1:^]ǰe*^h/o<& [CQx`vԠrX| A{iw`}@ñSFrXH)8Xsh5 9,0zixEcN\ċV K"3e$g#GNOѱmBSZAH_a`,jL#z?t2Z_e_g3xl}3xo 7ڋ̦xY볡q:_uh[c ޸Bˁ)- _EGVH<ؔրwl0%CmKL *64E{5h/1Y~%@q=(>x^WSPdhC1M<:ã8ՠhCS\iZiR}O@ISѦ:S~dYD S Z"} 2rew/ KwF KNZeDeg i֑?g+;xVBwjg|n6`Jh/V;3[_!y=ת.?]-)c}0mbNG ns6*d 4j`ٶ)uKǽ[ c"_xĜ2`&-@Ϩ"σ 9fG ,64E{5^Z=ŋYs@DlȶQM)9rmG6QEs0:ioQxvQ3֑2^4_GfoF'?x5{blF_ Xl^xhg8>^4~]q.PhPAcEc˼rJJGU br25\-fz5^Z=^$,Ι h %c-uOrј 1~yxQ p3ҙy633}KѴZv?yKyF< |{$!Luaܳ"93~^G˟ oUq[hw:,n 8T}fB;ޔ2/p>x=xU k/%Gͭr qǛi5Gz O:E2ZMB>ݖz|Ei?s~ :ښHzG8o7={Ch%N?V|k<cV)sMzl 9M*3L_ŇVuJ+,LJ̸B `q٧C?~z6G`|-YSMl|%kR1m[,1;"R};7(֌qa"3n-^TݸӶ'r}w"$+%J endstream endobj 358 0 obj << /Length 3091 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\pg044_3_1_x13199.svg endstream endobj 359 0 obj << /Annots [360 0 R 361 0 R 362 0 R 366 0 R] /Contents [777 0 R 367 0 R 2096 0 R 767 0 R 364 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2072 0 R /Resources 363 0 R /Rotate 0 /Type /Page >> endobj 360 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 361 0 obj << /Border [0 0 0] /Dest (G6.291923) /Rect [186.06 348.06 290.58 363.06] /Subtype /Link /Type /Annot >> endobj 362 0 obj << /Border [0 0 0] /Dest (G6.350782) /Rect [91.98 261.06 144.84 276.06] /Subtype /Link /Type /Annot >> endobj 363 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC25 788 0 R >> /XObject << /Iabc2703 365 0 R >> >> endobj 364 0 obj << /Length 18 >> stream q /Iabc2703 Do Q endstream endobj 365 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2704 765 0 R /Gabc2705 775 0 R >> /Font << /Fabc2706 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫|:S֯޽\:H/ JcB2h7r ɋb `6P\0&U$c"Kso"ɕ jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG /_I٧j gVĉwlVB+0=knT-=&CO4{rCod%#5JVe8>϶F5{g5ŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 367 0 obj << /Filter /FlateDecode /Length 4893 >> stream h޴[ms6r>ɫ. okپllUvEq(Lr$OGo 9ٻJm&~ak0nl*Mse$5jh7/n7oo~S?nYJu{ؤ0m6$M1|4:Kj߻]۫oOS_ jFZq <2J'\5z{$92ߤ~7?jʙ$22o/&URDɯ>;&M]`ʷtctU]j,92yy:ѥ_"ead?m1tD&6*qQoݵ)#uoux6BgiRsIa+Nju7S֦XkAaO(n xlK7=U7LW0V"twk IAEe4SN6}`00YN S&/thz͍/oš4ԙ(e6Wl{no}?tvF ʵ.Ub.JyuEґd5kl,NR5~ΤIiYy6SkLB \-[#N]LR3 g E5S˾쨳e & ] .6"pX%K*ٚUz64Ȭb49ES sbЮUF( .@cyѠzu^SE[S=.5Uq IpߍCIL Hb#|[؝y=Ÿ, k~.pʡ,W6-VeZ[B㌎fNt$ m/Sb s&iEDYNeJ}+t%88lrߔŘ( D!rZKaxrE7^eEW5HAh`kD0JܷqAMgp>KJ(FG' 7qS׀"S>rKjsAf'g#YB#xlE /ͅuC`s%]T,C7!Afs!cA{ЏITAи]| )TE,=uH+6z Fy,H4>7Lgcժ#I()#GF) 󬘁q1&_Myiڿ2*ĹĹrqχrr q8McDbpspuf64`-isDD=P>/$o/UsK!F@U >О\gjC59PUxţ zBJO9GjrdO\E1h/bj>ahBwkxII q!B";"MesK-5S'K X#W[2e^upIiGfؗkt\W5qQ͡lK,PF)a2,L.*ҪDT+q,ًV .r4#^*Y,DBصLTAm.ybsEߘ 2OϥzT|gV8}!r &4L/w<"Stj* A F0r '[?'>S٪UT xJr"s -tW f.v`u!To5Lw 9ߴO8ׄ7-0`c?a`j_RgJ#B,.BKަJsaO3pCJG 5fn&[JE!+fxA>=y~&xmh`9+g94}#C3>">&7x37kJ_ercegxTʨu4è 𶓮]'yéM+D{8TeaQzZtvP8PFu M =їgJEﻣ|ߗmjOQx~mzi;~`!0s"$CS{bZGzᇏȱZUApWtAu&[hxaG}Iu#qw{"Z$lQq%|{XK# /^hz:M?cT@}o^NZjbӃ,ÑB?fa?5lD75٘HTHb YY[^U(#طݡ_g| ; 9v\8jU/Sujq|s&FW‚uPE>p"ƗA.Ncnkw;_8;;c姯&]5OFƦLB4yO͞$C0\}e\&r„;K?=< Vax"'t7ۅEݺب{xE68]{qSwܕ.ȏfqktvCG6n\\CvPn+H+MZ_OD7uo%$'ً[VP KAvcAA:n̪[Zl٫_=,|.JNX"C~#`UtdWaꎻi:SDdu?`EN(%x^0?@,jGx%K&APD} Kfp@JZEq}Zgxl}OB{wE #5ďHtZ"7M<'8ڹ."BxNbC'^q,y#u33ȆFU{p|&4vIB2rR"j7[g^\1$/iݵdN9+^V,U\9OZKzvEg_4J^L<$kh-rid*w{RtskXH@}j8C?<18t؟`=m`zr(*B{xTgnҡC ^Bp;'sg %̿aЄ/0K+^h8ar(D%~ oJzd -vB 9HDSjGvTUnУW=Bqm8&!'Ψ?gN<): P *@D]]nAR{Z' !8\MvXW f+rr]ˠf. .aSJTzF20'E? H40AbI~w:w3̲@٪EVN`VT.ɲ (.}yҁ*Qce UiYjTa#q ;k _ g&o8az3o,..T jM̒. TO$;6?x BG8d}3ۀuuu5/7x(۟E?a;5]F4' Ewfl(ai\`> \YJV/9(A-m<By h}{ ]a`'2{ىnvOd\GD3uG);dXQ;Ƞ':R4 `/l endstream endobj 368 0 obj << /Annots [369 0 R 373 0 R] /Contents [777 0 R 374 0 R 2096 0 R 767 0 R 371 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2072 0 R /Resources 370 0 R /Rotate 0 /Type /Page >> endobj 369 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 370 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC26 788 0 R >> /XObject << /Iabc2724 372 0 R >> >> endobj 371 0 obj << /Length 18 >> stream q /Iabc2724 Do Q endstream endobj 372 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2725 765 0 R /Gabc2726 775 0 R >> /Font << /Fabc2727 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XL귆G>x|1$ɾ d_ EA2T>eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽? endstream endobj 373 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=19) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 374 0 obj << /Filter /FlateDecode /Length 5882 >> stream h޴[m6rBv($A2|e˥)7UE+ w]HpvW|R F Z_gǫ,UyT*Se(6jzuscV7wW:1VFCmnW1Y7۫MzۿM7?OC( ꠦ^^;MѪȤո>/-#F6U==\E)2^O%8 Qsd0fMOVFy}npƒ -|1(ĕDFEi^JdstY^J,)2_O֛44W" #EaȂ?m! ,ʂw),#&2m=Zp4k/xG6VYYiyq[wVN:)Hm BuT򯎠_}t걙ju?%ҪQ6A19JoTKʼnڨ ͆M([e(~}=nRXA5i5Q^xfznYWQ'k+-d׽hy深E@,vbJ$6ĵe3rLN:^¯β^e;<pTLJf%۴Xb57hH|,ܘlvY1~j $wt\$q,O+Lc%?gC?PmRהŸS!Cڦĵe⺫ٽUV'!@ xLJ 6ə" ƧbEY)=FG'vtg 7cAx$}d!#7z=[<^&ƿ[QDfg2Z:1>|ʬuɞ=Y)HP ݨIJgw  +m:. Ԡ'6m;i?(R`^ҀdgpmdOQ1Y,st@ qփ8A\ .y~= >4)c\Jv6cC%Q!DEhqqqf qƇ8C\iKglM??~dO7y!~F&.*5O=3Պ,M%U]ĸcR07٬K%X-1 =U~5w&,aQyvѩ6WP;Y0[$h| 'A$X%Kgl ˵'AKzEy% BYߐ8L Iub1$1 .RL{ XB'Ie#`ʼneuRc#(ӗ./eKKWRb#4P$)qUW:gybC1.9P.o2u$p=k9|Κ,gdlb.5;D|G07+aD96s֐A8w"["w3/ێK^oL=џ$&c9.\u^ʤup.2,˞jR\tzc/WLEr5,|pV@6` %XAK\/r<. DU"f R}ž]i GܮꙅhzOS)^)xK-\|VRZn# yN B2e# H>\ Hg . vPDIR w<#)j)=D2#<LӅK="ϓC!+ˋޚgd3yyW`eg8Fuç.;|cj;'1Jع7o~KiU,z`Y.P\ע@y=0d_ԃQׄ'#Jщ0po7rg (_Dͳ]-y+YCWuseєܬ2kҖ+R5X&p Yy7s%+O֙ YN >Ӿ23M9>ݥ}^ɋU⇿Or˥篹J`uJ|gH>;*( otF;+zhv2Wͨ~Rm 7| 5r2_~7C2L([PłyKf6{ʺ:D ̂x6#rwꐇ@S5 x0,'~bekjTSwj?n[;>~D5$ٲ|&W %z;;qPDli:,^eHt$ILw(;qɡI8'0s#z 9Գ 慇XWIR i+`uj5ʄu?@[$cGuw BOЙcWR {}מUƦoWh::$o~2/Rb32ܨnK $E,E|nj90)/rAWڠn{=pi0.<ݧ9d:U䒜b<ѨP"qgIeXVD}+hǶxQ ;ۡ{/"3;Zݳ Ʃَ7 STFu(cɜa%w i"23 (%5/P(Qtơ)@ (P96kbpڀEd #5 ATk:bV((NQBn@)sTybsF`ZipKc`'DP~;65{"ͦY Lہ Q)nh|8Aö:1D5yk7.%d^kO#- H'>sxsw[|h4kU?FHegX~:jhc< (8Mf\n>_4yGBeW(v a /l2kVgp8$`Xtj8##Cԡ*nΑEHJǛ{exg9s G7qߟ,} jRc,HV\[PD@a w`+!Vϑ $៌wN2u۳X2拓,'/ⷿe' &ϗ}"*뤒tP YP]ެp?RHT i珬l?X!@C \X">Cg;b-lwvNIA>7bߝB]F }1B Af8:3"\"D/CiɺEFoqX"UmlmOV9&Y%#!%WE)d!L>z^  p 6 W(:\^$yk_.b T>}p̰Z'Eˣ”`z}Eڋ?Ne`ï )}ﳫ0Ih ;+'鱮^,nt%%ǡGԦ= ˽D(I0 Q%a!--{"Df~)qu^9 qS‚?x#b2gk1ߐo7mJD`YjȆY\48P_ |/,+GqxXn/7z"ru$WE!볼zέ?jBdy+xW.M;ɟF]d_8PlHCvtt@oeC]-@TQ9;ߋq*,*JTQ~0/0*K8G@(N!D@c\64F-\y" @sGK[yLuWA]%7CzDHΪC-CL17)wDO2(?[{&:\j-mp 64Ko*? du)BfDf/F૥7;`H`:cIB7I2'g,> endobj 376 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 377 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT16 795 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC27 788 0 R >> /XObject << /Iabc2745 379 0 R >> >> endobj 378 0 obj << /Length 18 >> stream q /Iabc2745 Do Q endstream endobj 379 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2746 765 0 R /Gabc2747 775 0 R >> /Font << /Fabc2748 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}3b endstream endobj 380 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=20) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 381 0 obj << /Filter /FlateDecode /Length 5031 >> stream h޴[ݓƖ_я";4 jlM2囪>0XK04ch4N[[|9 ~?ku?LȕRa^Vޮ^Zn#?jsu{\E>u[6ai_K7?}0]QzlNpojPTlyMUuU"#UDwK8y #!lLX?u9.4m`SXuGǨ[ц$Uf*IW.KE:EqMyun7~^dx tA2#6YLDfC5Eh] ]ݫF2_gF'Qni:{/y6VYfyYg]+}/6ZBu U[Pd j5?iS3ո XJTqU?m j_4q%Xm`Lwx6<IV U WotQzkiOzaˣY/qqPc;t}sǾT[HT\֙UMZ\FV&$Yf946agJ?ybf28aL 晞(ċ=ΎlOCdưCɊrx\;] \ ccA,% .ɒ@H[(liY'م(49Yø<`H~fzƨj%MKg?Xq]TXG/tE[Rt7w=jip EIF#2q:+xo, ﲤK~._ \Ia1LL*LInt/RnftMtnj[ E1~!I&tT&Qh֧&Eّn' ]NX0)t e3& $>dH۔2X'\gwwY;Ϭ%@ xV&&Jɘ$ݐxSb`iSn,&Z1(2B QXҘDnR1#Th^^+qDȳc`dXx.r{X(A+\1t$&bܽ~ +cP:c'6b )TE ,?M vz 7FbndHT.ɽ­UD$ZvPRƴ+jDD(&Nl.&yΰ Ϋ)2 :IbyURq̓!.!.!.wɹR .. B)M0 .YB\C\؇؇P1W7eo_/h--~~چqT\T*=J)ZJr+]Ÿ {#B03AfB`fN z3kX\z|:1jC=Ј ͬ``i0v̋5ȃ6ii&DbAit`)yIЭG/4B$.@ұɺ@|1@‰s T@-&s κD27sj%X*cΈ'Bys^z51$%rGɀv8=V)w͑ ̑,uB8ZJq6uM 6&ĢkyG "#ǎ2k2(2!bu,2QM ?>/z?ID z\|rAI$^mXk_]k81jt$.?>C1)M݀JsX nB( ˭Uݎx%[mplk"nrE4#N*]lDBL˔AeQ&H:8RQ3;A5\gT=_/(@y) $$?@o(^NGTU=T2[e_`xe1:.tC<ϤACGlZa,ۡO$'rc;%KDMO|5Uǂ3l29BnˎC1gOk•Po;&lL"NN&=]ǦYR]L#)Cw'*TєҬ2º-y)? x$CZgJgi\Fg:F2j2gđp*}Dy|^]oȏKG_sAώ?{V^Zh}Kd]e56Hj|dתXR=j|o{Tujlu<дekjtmqaۻC~/ͯ3Mz;/ R OjyXo~ڱ< S:)-ƚnZvӾF/^}!fRP4EW_xė\?wa7R9! # r# eibN\~`$~|\|Nr g>Ɖνn):H,X+wU=0F S7[<9  z'EqM"hu{V)?,PD;e^JH9ܜu~#_K %p@ oytE|PmR\6DɔJk;P{`. J[q K5 8$xg)#~3&`" [Dk9>}q ׊:7@ 8:@lba=PU€8ӡ=i:kYkjd ZN$G?p٬&zt<_JnaZSC#dmu4hyQ: hyI> endobj 383 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 384 0 obj << /Border [0 0 0] /Dest (G6.303801) /Rect [91.98 185.04 144.84 200.04] /Subtype /Link /Type /Annot >> endobj 385 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC28 788 0 R >> /XObject << /Iabc2766 387 0 R >> >> endobj 386 0 obj << /Length 18 >> stream q /Iabc2766 Do Q endstream endobj 387 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2767 765 0 R /Gabc2768 775 0 R >> /Font << /Fabc2769 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N tweBC (cμs_|۾{wF endstream endobj 388 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=21) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 389 0 obj << /Filter /FlateDecode /Length 4705 >> stream hޤ[[F~_o*D&-YmC3^n.UPw-!XPDW.s2VøRGe8Ubf|{y{wgVw(GmN·6c=m|_$J:W?W.S?wx7ZU<n~2'T'zD)2rw+rzj(923oO6'+<~m6 mZ5ch#PWy +y*^VcN=]o4*\mu ^x%> o.H|#I %BY),#62}32íN( IZemgMluXݡ:)hm 6܄ &OP0>tm頦C0]TZEiT]߭Q@yi8QV1݁b&yZUV,JĿ߾˩&w`o߿_פWB^ϛk>6c?fZ L.Tdέ&02 KYBc%EtgBH41  8!/KTfHFEg B-bO6Q&*VH^rg9P \卝 LQC!dB%if}ZaR+VX|ԥ BIPc!ACMk-uquxq]jP4XЀg5`bvJԍqA؍g>/J̓%D#<Eh> K# {z)-'Z)L1اJ2,,7 q '{ fQV%LeB1t$Y*D{ ;\WƠt=xITAOйm:,(4SX$DKz .'gYL/ QD' 3 TrkAI|4%;M\:Ên˕7X&Jxạ3SV/B k:Kkĥ_qqq8MRwppA"Ns4҃d qƇ88C!.4T%[u_ߌ_/hͼRim#/b *Lͯ^y݊t-MU]Ÿ"{4cR0 A],B6b?fϝ'Wְ2:Th+tLT-4ZӠq,ʒ5ȃ6ki!DrAit`+yMЭǯ4B$.@.d] aXA@)@@J=d,&K κD27}z#̔X*cΈ'BysX^|%512$% G΀v䊸=Vp͉ ܑ,u#pL>wM 6&ĢkuG"#GJU2(s!bu,2Qϼ ?z?IL z\|sEI$^eXjR]k8˃+b5隸iJB{98+@ !TЦ& `B{T[f-Ξk䋋}\qT'ST/,DBdk?.S){[ ] okEq/Yp=_S|ʳL8}.r% !4;V%zcGt:Hv7'9ϹI]&(gz]&RAht!(ՠ?deyCqMV>O0KuP6{->cȍjTT8Jj<35 D>%Դ/:̜~`.PR0~`( |?ȿc_DM@xk0ac_?vwb~T4Q)6 hbX \4S-3TfǼ![RoxݐpA2]yB,CI,L2T˅) HBOxheC5C5S=jqj*:72PoxvR|~{'ը*@}34]ݼᓱ& nkWkIH'ۮv`:+WCw߉? 7G9 ?=K-. wF]o.NjjN Cĩ Փ(|Vjf?y H$xZB8 1C9  Yr3V  AQ 3waC)Ŕ`,oB^TU;k,6_4, YmS q:B=GR1ܢ .R7g.ar&xꞳ|?_Hk>bBϷL\Nqr܌~^AGľ?'xoWdC}#Ίm=*n[S߄\F1`cٞ'p`/%rR diyxtLaf.؂ƙJ^cXM3WtB|H@#<_PIߓ1L%}ծD~%JeR,)-(\&8:Ӡ?U5iJVLCr~5uN#+К0?hf!c ,b%XB%e?~=AeH" uE脞tյ /8%DP>#Kz &3 SQq9(O#b=Nw9jYЁf >@ܾAjA950Vxq65# ZQq: ?!՜B@+ݾpz{Ey 4fsI,p c"0 %DHy&8'oqt0|= i?hYV&/E}t7ooa.tZGT?@*H} q:bv`\~qe?}߂ŗr NKˆ"@{'O,j *4PV3$y=ˍ>"kFHkbqnO yO,7`Ce6$Fg,V%硿c  b8s>}{<"t&8<{ [OY,ŽHO($\Be-k8gV$6o0+H_P^r-*ugMGt.P]s]I)IA.ס `<Лͥi(3tN@wPx#2^NsK+P ;8IB9`kwye&I]¡m 7bNl*)Rw3*K;5i^ C1 H͕[;6GzB2 &Ceu-'[ZQv@oÙw ;],T @m B;|sn9&q-:pEqFS|xInêa)?0 4L&$\5 qK$Q~ s)l=W켘+pŲ JIh4ŃǏ?ou.e_IkZJ{ ¸uUu8R8}Uep.ZJJ}a礊a\K5}M_RR܁*UN #> endobj 391 0 obj << /Filter /FlateDecode /Length 473 >> stream h4ʵQr>>???(((,888pppKwA .Ru-V{/{CM@[#2H7N> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 393 0 obj << /Border [0 0 0] /Dest (G6.303919) /Rect [91.98 155.64 144.84 170.64] /Subtype /Link /Type /Annot >> endobj 394 0 obj << /Border [0 0 0] /Dest (M13.9.66168.NumberedCont.11.AXI4Stream.Video.IP.and.System.Design.Guide.UG934) /Rect [425.94 365.7 465.84 380.7] /Subtype /Link /Type /Annot >> endobj 395 0 obj << /ColorSpace << /Cs11 396 0 R /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC29 788 0 R >> /XObject << /Iabc2787 398 0 R /Im108 400 0 R >> >> endobj 396 0 obj [/Indexed 2089 0 R 152 391 0 R] endobj 397 0 obj << /Length 18 >> stream q /Iabc2787 Do Q endstream endobj 398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2788 765 0 R /Gabc2789 775 0 R >> /Font << /Fabc2790 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 400 0 obj << /BitsPerComponent 8 /ColorSpace [/Indexed 2089 0 R 152 391 0 R] /Filter /FlateDecode /Height 222 /Length 6927 /Subtype /Image /Type /XObject /Width 588 >> stream h웉uLJ)"X/-$(ʄD^NWnflӦ6mMG6mڦGܾ A%Q,>/{3 bX,bX,bX,bX,URϾ27oyUe$-NTKV*K)̪E_]FV} ^̥L5dt uWkz *^Tԉbv)Zj7e Fb.EP}bZLWOQ*- ڑSPi8ctdj2N+P4*jŒQ^4 |ZĔ5(+9 +MWrд@ixtq(VnMTHrwjjв7yUT<6==]Np)U(W4jhzUP8A4 ໊UjSjc { 632Zՠ@v3Q鐥)Zl@F oZI@_V% 18))Иjr%2#0|lR﹆hzPT2%iؖFlACʒmQ5F]bUUUhI ^M+SҫH2X)窚\&49XIY"l(`ͨHJdzem >, #'[DVEB%hvt^dVDf )")+V˄2z֒TʵAG5;4y(?8v9HQ,ִnZZ+ѯEjȢ">F2DճP*ccXWTxg`-M:T7]aJ  OU#aT4 mGPԽ:>^$=-&=UB֬hWW(X$uJutEu jr\Zq>pQjE(2o[ub{gi,tK,f,=Ko,Iwg_sү0KKf=sIj̾_~YZ6KKX ,1K,1K4%K۲]wV˛,1KY"A,IYbXu M!B?DGcN8a \_#rAfYJL cKӵ\ѱZ&r̒%ÖrR ,)?Bl MHm Y8E,Vb;xEX]XMo5Ѽ?Ȏ$6坆%axY,4Bq0%oR?j;Vf3!5Iz;?, =y,aS,ڊQ24 w|ה8t\{RhB|BLF2iϔkc,b(2E =XSs(~Ѡ6Yd~|,"h#n2wd[RÃTkYK+Hd, JiYCAA.fuMY~B,Q-"3Ҿ KNs'! di3ONw&[|b,)ﴛ1o˷?L$'@HQl?fGrB {'^ RRR6eW۶=|u];.KIM(~h8Qtlg, 10>[Lb5!h&Kf]ydz?!^Ro%w^0zp㡸o(6,g`ё`% J2}r iK8G?.ۙodiıh0MFRADd`1msC~SK@a`Y_ Y0Th1<.Ko5ei<,` JM.X`y 6 `fjv8fe͜:d7n?;MG'dzu{MDp08]טASPw > r?;"&2FƑNhKLDŽf$/6y^,}X װ1۰,zms"=:Tm ^HO=DQG$JY!Kk*E+pP)T}i/ vAsAfQmKC7\aN&2D`Tdst['?3Ήk6]mbpA] c.Β=eiYzDאcɱ$"K[R+z`N1Ї59y丬?.ӛq,Lh < !d#)Hc$Z:K=8%Q,9_Pb_wzWt3 PLU1%p֏Ǟmفq|@ Plz\0 `N&>Z'zsr1,A'"T-K&1jAu&|,ĒKЌ.fJf;vcdz+JY*h\4K'XJ۽$I0dV,͖kÆ/: X %bbdI {Ngt0ڙ%lѸE4fZ=XBEj,אQXxG9xzFq,LOGB^grdmGWDM;KslvQl6As7(nØ`z,#taK3P, DKs|z*# XII X'GMu,` `X"#4ԧo)%gdlaLc !UdtK7'K~jv&޻`SL| Yb.Ko;Α.MBK{$q2@WYo |cZs3Nbpu`,,6i{vjz@|ǽ/'KOo 5Yq Wύ,,}cƒ6L+Y K;gXt.,6[]G>Y:iW?#fiqtKxӴ޸,/`dFw=e\XYb`;aob']Ϻ}݅1tXz|^c,]7͜/úu<*YZKSzMd5K{8ғ{ z <fҰ4o hMFY:i4΢_/²\4N:~I ͆Z[@E>NYBm,8,>$hD y K^%K\8Y:=KmaJt pj3%ftXx, X-BH7´\gufH2K׈#7Œ*]ݦǚ<{ %fiK'{.KY$Z,} <fYbÒ>:ƌo΂g}At-C2Kׁ%j7g+~{tg%d(6~ABCAMX A RہMH 2j,,ymf,m%a5gܓt9fIJs-酖QZ8Z_],UK`CHi[XCr.̅=Qq3-p.J?YYÿ1s}z!4-Ihp㺮iX8H =%$ҒR6:={+ƒpZc%tlMεK_QG_pF~Oa?HJ}\~btYj9$Dx$(N!ɱdn`MRF8OZ283,}:;?gϾ\2$,V,uV bor=thGL Vx?(6;ڹ,_Gخb7>t\]<7öKM,9F ܱX'|Y:ϮE5?9[]_ߺ6,-ie;o,1Kb%fYb%f fY: tX{y&3KXқZh=,l׵Zw?͖]Xz2tb嶘%fi%Hv~Ձ )<+Xv xrK, t/R_%Ƈ~#-'bySe)';;鞯JTXH<7c 8W:r8q:pXFE!%fiJ20Bj㺝 fHK-8$^z,<c$Q?oϳm/xIc ,1K,1KYb%fYZ.K7to,1KYzs7cfYZ%q#7%fiqnׅ2K׊%qtXzx~fYf,fei%gxobn>')}v ?mKrY,[xxs~,5?Qd%{*ãuql׏^4%f)ճ}tmᄒ'NRg}ߚMfY#ɑGa4>/&,K'3dzٗY,5?,1K'OYb%f YzsfYbb%fYb%fi,q,]b1K,1Kt~,Z!аӏw%f餒.M XrDx$=fY:Z.$ʕ11%f餲\cBbY~,=yܧg1a-cƢ,]]o<[lK3ai\X ƅѸh1i3evDl\c,_^ک0(ҠGҥEQ_:}Z~g,&^oظ,]C{gqoqAMf]l7hOݕǺX,%Ud%!>mΩ -f*9YR,-X~l3[4 S2K؇Y6hn7KE[ԅhJAi>tY,ߗt\ !#C)V+Pu$ypS, N͸?a/ao'$8`FI^#cIX$m+@^ʹ(ttaMΚvw*G0iVktɛ7aS nS.ebK #``׊p3X8aɕb4-p` ۆTKQ&fjcv%K˳4Y)K;Uf gR}a#H-dfyC,!W,eJv+Rj -1MH1O)C@%}ϵ,O"ao7Sqw'G[ɠ,]=GA&u\Msf@ie!N_ƹ㘿L n QѰ?jna4ڂ{0~Q;lvvB&,]AN;?'v0KH$]fYZ%m]flXyҢ%:؛Yh":7bX,bX,bX,Ra`]\TUe*KReV}Za:U*ъQ$jZM'qL*(H^&2*ibE#R.!$`Q/(8 RYd%!(VdFM2E& )?XC + +y*j bVeK5tjTƪР} ^jJ,/,ub)T3i%*gtQ-iUc%ٌRI+ZShKP rCE]+5\'3 f( 'Um*TTX0k _CV_q{\z(躡 [t+h 4u^'iQu0OLB| zD[t(21.V׌eZFè ^YTMމH!V%L53 )W ȅ s5nK,XˀM~ajrmGÖ(JWeKneRHDe5Ԉg5dXZ5JHV+hԲX-@X^6 Mqc]?:D ڳLZz.Yj+z@"xNӋ*Bg]Vu RG:t?\-UMWx"/ȣ׳ eҋD$F+Y k+(hW2b-B)> stream hޤ[m8_!VDRl20Av'A-#HINf21KzyJTkuz{nǕUVrYLfF f׫+Y gdGR]V§&2}^}\|8$ڦwxPm{PNWx9zՌZ~ ~2JQ&\5;[9 ү2fwR>|Ԕi 0c>'Vӏb~dÅnx6]4p)˦FyQJ3]_$ԬAϔH6f=Xdm{}Z&^Or1 (lP/(),jՎߪNZ0Zi)OoQu7@_j,*fVymtngÃ)>k4{e\jſ:4]?o_JK&=MZ&>K+!>{h_5j%C$UuRuU˫(ԕvV83&et9SZ7&5Rx* uHe#fgv3oIz" aWT5ˁ:d%aXK8bX.߻ =k[\RS:5U1C0mrN5+t,.@`L#_4^|9-)[3*T'H3!#I܆lFWdtگ5'*K꼔ET\ǴXeM5'L ܀ƒxMt;'P f(!x&YEM>)ΔVz|ҕ BPc, ACIj-uqxq^jP4xj DtJH0FѼ̌qDȳ1&اr@Y ,㞂=YtLY*ntBr!"ܳxp]!`P~oѹ}c 4SD$ĭW4!'g.H4!=L1j<ɴѸFDݹ-&brA{ ⼊WPc$*!1G.S 8?8 .ϯ .~+'+W\q9Y8K+f !Mdf&W .!!,!!! i+'7e̼~ռq7JOMV=1T25?zfN9ZKr+CŸ =b.L=媒̃ss"to"$`fcp6>ť9h^~OV@{С:QNT& RfA4XVkp-ji"D\R~A?נi5O̗3%?_ tW!?$.b i@!0_L (o~+pH9''|${YVf( c|OЬN9zס2挈zz/$:i%1@*ot YPMehlvThQ!-D`5y'"(ؘ %C35|R r Wk(:+>DeAj>RRy)#qNZ[˽חa\RӪ 8zIqҗ "t$.~rh/ ?mbAmJ`7Tr"JDհ"6ȽkEžc_YĮ4#aWL]=m- |"٫M.ul{oskWT=g*0#}ft֠;zhw|i}APApUuA-Й&.ʻ'$Fv8I>h㠢| PRG*n̉oұs1o#OP} ~`( ~P|~{Mj4sIp'SLEcqxT@.HfJ~0uw*=hP9S^͆yE^φ:! 񙆒y7qtK^љ^ d5LrgP8lwb-]Nt1W b N:r3U82rj]Wϡ:FX \8T( W7CGsP,ԚrTe$-*dקvxFFVXhId/M#c6m/y$@am,3n'09`ځ cf)4I\YcRM= /fG* F1*suyajׅkguQ{ua;NֹPh.c8xc+WjuΆ?>6^m,JOE%ytsy^.cEyQbcY,&鱯VjwضF>6mp(^]8͂Eu96׼@@ճn~sc1m5SLh ߣnG= 5usUe,gY! "EssgUgə|/캯$3{L2 .>O306X'8%2Qy2u  t^ \ib 0,i ; KSTX^!J `L :oq^/O pQhW^.Zl nîe-1z=x` x\-& lFyHCt SG&Ḏ_ƨ޶eNkR_ZINõ"QG/¾SIn>֪o^VO^m۱: kT~d!V됨WU-C%┗@ihhF VM@:"ܱ<x+HO[/Q܃n,ͯ]m7]gx1&,PFtAp3؝c)Qw`@gI(tJ̸r[(yP43_7[ d@Jr"P(~sPoV?$dhP=OXq+YS|"aa&6Uտ`X_dG_ثI~h?Γ \ `-dKh#g^uCi70aςˢ$>6x1)}~+y ٖ|WC5.9k).o۽\{Y慛KϞyhʟ~98@ Vs({ 0TO_Gyv󌝁]^S 2|*&_0_2o]PX]fqo^T%[u-i7϶q=f)k y7waō)9hC'N bꤢ3{[IEѠo򺌵4qRIw5dHQ]Nc+2Q" b? nRc H_AuG, %~]Vs^þk85`⸭90AXXZY/2Xdž/9qШ6񰃍4\aluJi3*]Yա1˜|kh5u*I[pc,I[̪)"ߩx=BqXC~"*(Lv2e'_ZqnkD|^R*lzw8N x[TS?!p2LW (01 eWbW/xW߽^l 1-zQgX7ߩ;|1PÊR*4Xop[Pzǰ^B@\u-?'Rx [a)zPGj _F=л~"TZ2!FF:q3u`$MPg D1C/hr vJ6qw 渇$c2saZ @;Y GOy0pnl,S ֻQ^>Bٷ ,Xf׷ 77+1_gSuG)*/A޾`kn{f0[?$s[8c?}7_U) tH9V X9R~^gYjsU 91:J;PoytG > endobj 403 0 obj << /Filter /FlateDecode /Length 668 >> stream hq￿駧嗗㏏ppQQQٿ<<>>___ooogggwwwIh(M{C ..Ar>Fi9Kd7%4wA'V{/ ,7! )4 (2+7  kkkxxKKKhhhCCC IIIBBBYYY$$$uuuGGG{{{eee===OOOEEE333!!! sssJJJ...444 +5 &0"+2"hSMn*7Nl׫> stream h&￿駧嗗㏏ppQQQٿ<<>>___ooogggwwwIh(M{C ..Ar>Fi9Kd7%4wA'V{/ ,7! )4 (2+7  kkkxxKKKhhhCCC IIIBBBYYY$$$uuuGGG{{{eee===OOOEEE333!!! sssJJJ...444 +5 &0"+2"hSMn*7Nl׫> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 406 0 obj << /Border [0 0 0] /Dest (G6.303962) /Rect [502.62 359.46 555.48 374.46] /Subtype /Link /Type /Annot >> endobj 407 0 obj << /Border [0 0 0] /Dest (M13.9.84217.NumberedCont.6.Video.Timing.Controller.LogiCORE.IP.Product.Guide.PG01) /Rect [469.98 317.46 503.58 332.46] /Subtype /Link /Type /Annot >> endobj 408 0 obj << /ColorSpace << /Cs12 410 0 R /Cs13 409 0 R /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC30 788 0 R >> /XObject << /Iabc2808 412 0 R /Im110 414 0 R /Im111 415 0 R >> >> endobj 409 0 obj [/Indexed 2089 0 R 242 404 0 R] endobj 410 0 obj [/Indexed 2089 0 R 217 403 0 R] endobj 411 0 obj << /Length 18 >> stream q /Iabc2808 Do Q endstream endobj 412 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2809 765 0 R /Gabc2810 775 0 R >> /Font << /Fabc2811 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3x|1$ɾFxy /K"r,,dXy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 414 0 obj << /BitsPerComponent 8 /ColorSpace [/Indexed 2089 0 R 217 403 0 R] /Filter /FlateDecode /Height 208 /Length 6870 /Subtype /Image /Type /XObject /Width 625 >> stream h웇c#u$K( ,*O&Qab9yϒx'ۊR.NHIزtF$q؉{8%AH,fggg3yofv1D"(:Mu@Μ: H$D"H}tϽT }T$D"H$p?iD"H$iĵ^:| $xw:Se[\o92BUl(-wG!3z&ܓҗ-] Rxs="{R C&;Z`B.%/Vp\ Gau^:f{gpcXc7`̢ÿ5iPByL!NfV+9E\f\TXQt˅ YR BLd&VARaa%ɓTBUaD4684(aJGEM,,KH,1d3\M5aj Hff!NB$Yb.J9D.d," K\ ,(cl1ÂtX>P1ͳ<:,Z.TX>9f5П9TSRXH h҈-); q" p]`cgAVXqiyzn#HNps(/bI'qpNq(i%s8|L>2!" ^QYA1ɪ[Za/O折$frB""jpa-F_S<y'4隫/IbJ3RqT=դ(x. S8 xyK غ!PYb]Z+qe%\CbIY)@B._1B͠)O fX XrVØ)3b2ü f' BE sy͆3l&_ W*vjnqƂ*#7Z)C5<$r`x=HRRH$i u)J rBnJ]jɡ`ASLQV0ȧnKufL͕a-d ,+{l@)P> f,7&bVHMKMR/G"pܽ89w4<.MR9xU7{[,7\ɿzP}GpGM! 7pJ1xua2<7!.=:ֆ0;MIwq%4&ܬq&8j2 ʗ?niZ]^ůNp Ȯd^3 pc^McZ_^WnkuX?1[0Ε |)mA7p3[@W_/UX#W71 i4!H$)#pL$~3?pKK r86#6ȴת+W8 ܥt`cHt@)bXnׯk,p[VM9[HYnYp8RJc-ND5c }ַ֨K]ڨ_][AQV__k#VU@sMe.\3/p>ۧAJj@rw+ybK4U$,;8Z7U򿐼^57Idnq4pʯ p'6ࠟ3OQ~Y&ڗ~ݚ}Nњ4NԐ߄AC|z{[G:\;p'6+0)8Oo1_?Ց@Ek"jP*ݫ>Y_gIFԳ[p~b歯?8pp5ߘLv"ׇ ]s_)߁cB eN:xrv/ gPаcCyZ#l7p\; < 0XN D80=' #o[󭚈'Q~"V?tq]m+}s2y[Zŏʲ,-wsV[i%m?>N|is~82@󽑁؉Uazt:(#&cK s{L7=V5CD?fa1\4-84^*T EW;R5MU!Toh!.&wp m55^ †4.=x\K-zUWiZX_Y٪R/&/- K.C#Mfz'QVI4r4/4a7y^aZsLz0 ElX n[D'qM:}zb/uplWI[FVpdkW)8 xԃM'j1] ~C[hn4 .\]:!.Vp5jc Kj7@V_>`l ;p cLoqkk~ЦZ\\Y_F7p룸Ά/.(\*</}ĮTmlj5 *ԝTuBp1KS5(N#4>>sԁDsXܲ nG.תU8tSAJ$0J.Ԅf*{#\ FcsZlq́pJInҭM'pplằ6p" u8,g ۱xp;uzʹQ}};;AΜ=nގBpͻ x n.]O*O7?{*Ѐ=}6/ J|p qnX-vK0hpc_z}w=mai{>pn~w#?}#/p/pn_<] GcoG>|z[f9n(W+==~v] J1+8X[Us6=꫻c_l9m?z.$s$j*;dvE.? 8nZOyk靯!&$1g3;`h±!ʁDg:G8tə%-a-o&aYB0]p0^* nq5SZRD/ s&ɸsNNMs7z>r..L+p1! zpgz X|-,ޞRw%D$!bNw^0)n86YCnRN=\}qf v)[{q~ZcQHMsD,j'~.$Gkw8pGpGp79Kpi p]"8#嫧SL]ku=9iٕ$OL$cR6 a3Mt&[.- J01p!p&Z D)wSliʔ8΅h6xtP .̐s9L= ycjL3mC4w;^uZ=Pn̓IF4t| 'SJO#xL}8 xQYv(-|Scbp.'?8?^Omp[Jet1 Ȁs:JVp @5]8R5[$:E1-3`a.a.=gӗA?L?x.X?L[_ts?7t/}x #եwX=tǹм,m.'j [.!ꈁa[Jẚڳ9~'?y(O|/{O^ =K'_~W~uluPrY8#ǣgڎ4\Wv_}(n_<7%{ 1XƖYߚ=ಈ\Bh0R\!%'-9v4~at4p_wM7Ǫi:KG{9j8څH8Gqwqv/DpG$p GD&\8Gp#pש HK#86)i !׎&n=9K~o׃youyE{n~c,p~5)~XG>Kw}gZPO?ڮ#I=VpϱKO8Hwh#_{t6w>X%H'ۋpì/WGGw͟ 4/ 8$k\*i5;i5ODpE&X5G:4FJQ_#HW󶀍i0&Z]/WC7/)-pG >,njuj` XI 0̲l\XA0bb.V*Kpa.W* <˕*(|@LuN(f E4n.SiV*Z)+PAp`Sȥsl9'hRp<ʪRz&R(T(PeEWIgw>dɖʬ;QV!U.im&g' Wd|\ C4li5+F\eoa.*b9ʊ#2p U&tb` 1MJL@IJ ' /EYrIL+4zE#H$D"H[: MPwD:j endstream endobj 415 0 obj << /BitsPerComponent 8 /ColorSpace [/Indexed 2089 0 R 242 404 0 R] /Filter /FlateDecode /Height 225 /Length 7891 /Subtype /Image /Type /XObject /Width 653 >> stream h웉c#]f7Os>Iь͞ڒ]wcmR$@J&!mIhˑBhZhrr/%&Y`o ތeI-ٖ5zޛ7O>c1D"H$D"H$D"H$D"H$DViHw]D"H$4":!H$D"H 75 D"H$Dڴ[ 3iu/4fpEkV|΍ӯ6Vq6I&ջ+DRpjT^m4 t! zZǹLUY9V>nR ;` `:E7R<)hqc!p"iNi,骰uP0MF6ψ&](ZSTEw] {p*6+eXY5k\d \/a&v.h?& rET׎Hr]Du씑pMDVHr!K0݄R@LZ")W $gP\v8-O%)U 'ef X+\$WUF/dXfh$3)̃ K2ofKb +ɤ [݄>.޲", 4hW˵);6)Pc=k`cU-ep7x&G Ž@EmVr..C3+;rtA*afl|J{b X\ҹ|Lk Y?[.\P[+pACAh](60LWT݄9aaL%<*$:aUbLެ6Jخ}7kp[YɼRdEV*90a29V C^rYV 4)& n B4R\Ӎm EAfɬbNBjBN( OP3,> %ArWf*Ѭ¡;q^.=? X&D,HcX.c)z\|6(`ʥ0LDV`8DN$X(QKN /p| RUeHBhp0O2‘BKb/OzBXX0  Ke\}A'1_Fc @A\|T=2D׾ep)+-!+"x˭c²dy0VʗӒLȊa&X6 d|"8Z|JW>C"7b d,h`eVfr_a?`g +,+ɵl6 K^Öl34 0+bVHMK0mD"I!kk,e]7}/_0quc#H0#80x-H0 A0Cc_"w V `5N0Gh:pe`-41F0ZC x@j#80z #Vjujd?[% 6J-:͐Gƾ0iLWgSs2Xэ\.sFMa `tDBèBNXq0Nϣi'| OAʉՙZmfT [6'Ad3`< :~"$gF \a ݰmCGcmff,yss'fV`lL sBM:p0{Z=9KGVF"]w#yc3`4Z L}AZMe$iV)+&e7NOvKFCF_IXeuaL"4VGMunC/` 0fG?Az4/:_2jq2=Q#Oʠn]wtpE e]8Q5dw^tPL; Fp"Ֆ50'J#_ m\F{(zq{*|~[(T׬('pSrx29lmz%kk޻cko##4b;P0 `X 76%?c+u%cg t?p[SǮ\b=VGTa5khGdTMÛV qV5Wt:iUݴzׁS5l c-Wb{Hsu0$uf7߻Mq^0:sS: é#%K[uK)6Nq\H&6쭲~kOpt0|DZ0 ]Z"xx3g{`s6EO#7 o{&`ܻo{` >xgAx@/tدV8ē`Nr?`0o`Ƈ,;xu;zg[z'dq|Aw}xH!|w`\C0CcF`$ FqgO|oGxCUWº9 `#DIȄݞ&D3Sy{媃[ ƝNLUNFÈiUF-dBQIbQ6J3cDMQ 7`zy_n' tL>0ӅmL5,hꂱCFnj #Ĭ26mw0X\fjF%[0b麉7a+G(871( GZX1011 sӧih:`D][;µt:k}(w)U>11>.n Jic[hKȆ%FEոs+nZxF'lt4$uzOn~8:R>O=H*uRсR~zOo ̢|E7U7N]F_ru 0ȝ%L’Acİ%DOBTgcQ;g>ȶc=R?R\u'>Wq|f0%ԃ?3Ñ9X:$tCFC]{?wf[]< Kuzvv/w uvTB\>#*g?Lr85k[xŽ@: oT> t4}yF-hv-hva]-1-&ƁH" F`$ )*HA0$`$ FH0#ixa&4$0v`$#H0IzJ5qL0t[^ u3|^OK}W~ͯ}u#irl>:k~{o>Y]Wup4~6i([e|sgWMԷnJ0Uy-KV {W FʳwuMmgxϻ#i[垇F;#iZs `$mK}4$rairӤi?I0U2F`5H0Un#buOF}`$LX6ju>U wt4A04kahg# qj1#b7`MFF0܂?j0Np˿z:;_}5H 5/t|,#i`dvĤ\Y>#i`cˁg-9-g;KmK0n+-=⮁ڿ#d{γ.͋ťKKϿ.B KKKH^:l^[f뵃*7mxճK셳4rtEs9},h cq':`/\t` $}3XWa2t!s. {En!3esGF>#5 -ƒm%230Z+0/elJLKT5=]@~3"(P %BH$#_h,\iG|К{`a;Be}J.,e8=Cׇ`Xa!Ӆ0fpi3B0Sp8Qn|{ G XP,|\Ѿ\U|!+X#KY6ϊ2V s<2w/ G[^ 䳥T`M|J "\DUyYI=V*f% 4DGy|Je:tl}> ؒ|I7* c^f})-2@X6c ǂeO($5Pf; I` \d>0TKӭ>rҋ1>/D e9,G+3a:-F$RC$ a2y4Kˍ֏><=Ha&ډ"+} gǙg+,1 Ll[t.]_~'\y0s(wdWY\PiNJe+,W 0 !֗oҸ,uhAZD&3hM-@Ӌa<1ftH޺nOY}u&G׀D"H$D"H$PF{__ endstream endobj 416 0 obj << /Filter /FlateDecode /Length 4073 >> stream h:َȑ|$ybzz06f<.AbUɖjQno\I&Uk(̌+/~V;Qv4Umԡ[/|xq{V ]c54v]/U]#ak;Jm+Wt:Ocۿ,)nVzxVSS}-*l5_9fⱪuDkCU;Eq->leպ%FPleTb 3ej>+RcvRalyLKEԕn< x7-vQ jU?e[/)vSFX.@Q<xm]:B}xrSAVqT:ڱu%(AQp-jx_va?:>v?-[hQd&MTUAZ,V8ՀA_Y/i[?[礡Tq,zxK]7ﻛ~6xجLPNFUFTwcĶM ɢ7k,+Dp 51X{aUVM#f3_{uTm840- /;$ASnp`cA6Z0#_; B֕ωNWY bnW`HGXkT!s0)ʮVD Bq i"$"H|ȐY' !Ƴ̐g%V %DQdnEǚ֠c%$J':XQ=21]!2F 1?`}ZBv{5\)4C7! k,+0(|1 5h;gA11p"#Re8`d W,Q1>N NN 3mUsGD()cGZ!5 )t; 9Omh 3/ X&JD7fhl +ë!.d!.Rs,Ĺoq<5)i2.qlq.#\#&n2'ĸ6 qvL<ę<ę!\!:&1"#r8qSy35߶ő3S;im-e?= Ӂf8m'}8CF^vsbs3`{97@"TЦbB< Sźm08[Z"85W'Tʑ$cW+~.2E'Dg%4#t _GLY I.#J>c#Ny/6__KׯZM]Phq3m2ri^7Z|07Wq~oom 37ːnxt ī=^+*[ ;@+pfC2o6򉮵zT;Xg`wt[V4)eC_7]R4ӡ_QW ^=m" wzBivJGkUGM4|_m=Lqe$~EzZI P{Z=П&qЄ\!i6$p+,3C2p͡#`Ŧߣ$LZK#zM!.uVm%\ 8d݉ja8q=}<4'D Tha‰Lb+@OGmR16w!'Nٟ ŢO'Bי?;Z{%D @@ҩ ŇM;W[;|DQBߝXxv摣9}y`x'X~1C;NN6ߣ dK!Oy,Fkjǡ &ݩ) N{[2_toI“Ab=Faڸfr}ꪋP¥||k[أé\$[ wW8MːPuJ(#$  #x=ۀ#̇ OꑗE}쏇~( !*?>@Uq@zEm'C'О?f95:pG+<+i8''ns j@XzإgyX?ڙ+YvxE:$ A8$@q{bނr=B:0ꛟN%-S<`Ų{HQCo8c ; 46{)x}U3{ aĎOٟڭv EݿNu2J|ROJ\i͊ˌɳR)'I **-=C ̽E[bc>AsE%^Č4{5:.Ž_{pR)F3 <YLaO67?gnߝG !6rkbwTk+N(?GpQO^!=TP%&c~+v*$a쿢?F,y!F V^dUt#Gi̗  eaimɴ.%lyJ, } GGN%тHL1HPF˗yqWC^cB~ L%2p"kSEl4+_M@e%o-bRx Xl KKI;N0W hJ;!`.V׀x(mc;:9Vq=i˩'MyL8gn[q_ݗl.s}њ#vU>g͒eUz3q}ьRBGDžJnVCf ]C]P9\CO07~]Gzފ- ?¬wrG.N(-)K6MwH endstream endobj 417 0 obj << /Annots [418 0 R 419 0 R 420 0 R 425 0 R] /Contents [777 0 R 426 0 R 2096 0 R 767 0 R 423 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2073 0 R /Resources 421 0 R /Rotate 0 /Type /Page >> endobj 418 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 419 0 obj << /Border [0 0 0] /Dest (G6.300912) /Rect [91.98 592.08 144.84 607.08] /Subtype /Link /Type /Annot >> endobj 420 0 obj << /Border [0 0 0] /Dest (G6.300965) /Rect [332.76 186.12 385.62 201.12] /Subtype /Link /Type /Annot >> endobj 421 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F1 792 0 R /F6 833 0 R /F7 830 0 R /F8 827 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC31 788 0 R /MC32 422 0 R >> /XObject << /Iabc2829 424 0 R >> >> endobj 422 0 obj << /Metadata 427 0 R >> endobj 423 0 obj << /Length 18 >> stream q /Iabc2829 Do Q endstream endobj 424 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2830 765 0 R /Gabc2831 775 0 R >> /Font << /Fabc2832 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`OvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"*pm(<8יq|mau3? l?k!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'Ŷ v endstream endobj 425 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=24) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 426 0 obj << /Filter /FlateDecode /Length 6545 >> stream h޴[ms_1ɔ9K6vT6T{hH~=f0CJuF#ۋšƷ14VFVf+B j!/,7uz]-.fT|~?~4n*uk&}s6׫?_$]اbs/r%\L!F\q:揺 Ax<6?6~{ 6S}aZKiT*<%+1%&!&LYzfLof[b?Dj^oĭ)/Wma[7Ng|\Qә"8nNmĵhpq6D\ WV}s7H0-خѿ/faIrݬ69-7*_Y5N肥3IfIZ'U3C4[}k\0u(߯n͡y-?}]hrWZrYח)Wxz~X}Zٮ[-HKh24һʚ82 [[՛Ƭ74?A۾Z4 ^TYhLR ^v5lZ Fe*P0:rgc_&CgT\@ԴͰ4!TTt=|-u!ˆNcR2EZX7UW氰I5]9Z,.rMzz+&$ ԇc6MmXkԿj[tc(к+G3QT̆ѥ'+297~.U]g k!? #3je&*JN4]H5H˒ ݳ,ۺBz!e"V "@"EdIҤ<]f2 [4^G15C )L,U##3̵EI*.QTvNV !H T&:>Rq|↪`ea|ȿdX\KHTe9MZ}G%!crj8TSX TwiȊj60TO μ,FsG1KhdP*&A7)!ぶ&WI}y>:lRH5)3ͦ M/H,>#71)}‰R&i(Uw L, UTmsIAYx\^dA FRuP9HEg9IY$HfJ듥-Eg!UL8S? μBqP Nr%3lg%#.u`*D׫-c\ N!NjS5'N"bͳofɳ7ƞoz"~ҵJijCBN]i$*19HV++K8tx\'zcvp,:+Nп+`-y夹݀U$U/lt*ƾ@Pv2Y%TU`1I0Y'%k ơ]%AWKUtL/A3|.[Ol\mH)L I*+D7ِ, d8CRQdPb>OXB砬`qkɐ8Y"IOմs˴"o b$\RI'Tc~Fb ecsρ/4=AR[Rsݮɱ>]le3UCv< ;G{xU9 BlhU)|ᐰSeB\Lqԉh m{\9.6yNf'jrTaWC`cՆ|HanV#F(r '3L^69|&'/\DXJ-(^MOs*F8)BW"(^0y=Plg+5񤕴D-k MN$Th&{$w +姢?ưfhVq8jJUE]̕x`-Mbr* OJG:^riW:0qyCߗӵb[ 0}$TdJQb !؍чa:氺_mnI*aaYDM*&J^jdIWCs;o G^Z(z- ≷]R=jZp3 >Yig)}$(Z#͘P8h*lU5rL3'PEN!1XyEuGڗբS|kU܋=Ж2֦I2%%6u܆s%9 r܂:4zܭn uV : P y?i?tϠv[О&/2۳=W +)ʑagu>@6>$"Ծ!{$|[7<6fz~g^ ..\dSBs0Rui;Mns(vωeE~wƄ]EWڕҖN"MdI@ L)r40b /\9޽*/oV:^Wid~˥%qoꡖR0ϣ,0 fW‰i}1Mx䂻KM6tf9(g#?yGf6 \ة% 1G+YR%#>(a2+ ޙ&H(TBTmIy]jxh/[^eTm?oH͞J ٝ '۷jDڳKL2dJp:#HO#ۡEv};Ղ_U$jfSin  jr8՞ 򈥕sO;>H֍[#S̤5%=yd:G t+Ch3O.Z|{LkbCgѳWYH'- Sr]z+I&܏=ǚHb1@IR"Q}]27Ŭ-bQŸeV\ԓH=g+.޾2]+3jlZ|ntSWo}0<0c):eϧ\yp__\v2D5 rfH+fiq!?";m˗Fb萮DLeS ғ&daVktX46aNQ<7G \x I3E 3t ~ɀH(HRcUh]@1.IҾ;:ZJ-4#F4}*+55^ɛۮ2Ph & 88Hά'R# D.,"}R}Χ?õ<]k!l±~%փǦJCrJ6:RP_ "v4t F҈u-0":Xk҆ l<]u#cUN -,@5)i:I(Ati.vO ;q\pe2Ŧ&w4Sp[9Jer?nFXN~󩼙q'cӛn8Jqn`.^^~4_c)<1!~=K3wHY-U6/^@BudΆ( !|lK}L^"1&#jWRޗH뽤="]JFpy)͏u w]<p'JHjg= ?-b |x 9π*F*PŌ/RC GT$͊vjξ&) -6c9fs$Tw6Vʎxn:!TTΝQoYUKe~nFX 1mXOb3.ɢdy&%j"l>) IC7}&oӭ5|*9\Ju|h?ɥ*y~{/~E˟ߥX~p!k&|kT#cgDM+UYh6XM:~fڑC|fڑҜCMTM97P1xe> PfS5etE_G:!9ȟ2?#qlwWaјxn3xLNiP{>M ݕ P/wi3ܯVI{&IQe(2+?q}3wM1S \F*+Of|Ne떁ds-8F4Z$UpFBuwЕs<ҋd4:xg`\> +ȧu}.=: qu NNד9yH2ѽNy`id_`Fu}#=M72ʊ!.]MPipEn~?0^v SvrSNuЧ{0Xr[:_@a k_|23_Wӕ!}ȼ=H󒝽 ;{Sӯ3Y{Í)t;+ya9ea`yZ>,?ҩ endstream endobj 427 0 obj << /Length 3109 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\pg044_int_vid_in_to_vid_out_x13200.svg endstream endobj 428 0 obj << /Annots [429 0 R 430 0 R 431 0 R 432 0 R 437 0 R] /Contents [777 0 R 438 0 R 2096 0 R 767 0 R 435 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2073 0 R /Resources 433 0 R /Rotate 0 /Type /Page >> endobj 429 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 430 0 obj << /Border [0 0 0] /Dest (G6.300965) /Rect [241.74 422.88 294.6 437.88] /Subtype /Link /Type /Annot >> endobj 431 0 obj << /Border [0 0 0] /Dest (G6.301098) /Rect [387.12 346.86 439.92 361.86] /Subtype /Link /Type /Annot >> endobj 432 0 obj << /Border [0 0 0] /Dest (G6.300965) /Rect [136.56 398.52 184.62 411.72] /Subtype /Link /Type /Annot >> endobj 433 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F1 792 0 R /F6 833 0 R /F7 830 0 R /F8 827 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT16 795 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC33 788 0 R /MC34 434 0 R >> /XObject << /Iabc2850 436 0 R >> >> endobj 434 0 obj << /Metadata 439 0 R >> endobj 435 0 obj << /Length 18 >> stream q /Iabc2850 Do Q endstream endobj 436 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2851 765 0 R /Gabc2852 775 0 R >> /Font << /Fabc2853 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w endstream endobj 437 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=25) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 438 0 obj << /Filter /FlateDecode /Length 5562 >> stream hޤ[k7>?JA@bY 7,df3RV#۫uf=#dUH^|CgT۫ﯯ^\_Nv׷WRTԅJXuZB컟07\ˇ~ܬw_?^DViq7rOw[=Ӈހ+oN  ۧq?Ѓv?jP-#ĥ{G*\1N%&K3ejd0w `+"S/oV̜ts_Wyl7_jn̷/qsLh/L\ :zoKĕpeu]^ݗ I0ZI`N Ax i@_֏f{}wnOLG*WtNCtByH$IU/tT74:}o\hSY_ڭ><ŏ/tN>䮋ڗ)?_~y|\aYu{"Y,FJJ^*k0|mp<3o`^^XGAWJP xVwkQ탗F[{NkГd(qm3T\@͸B3TNUpP}3TTȤ,t,,S%F*-|f*do5 I~bBb\XAʺR׎mڸo=շ P+X*̆ѥ'+d97a.U[fk1?叄&fjӵe&*J}'Z8H ҲB}eV'1WML$؊s !I%I'ydOnG15jcJ1KCZ*ɤTGcy*;'F!HGhVJIdJ ``g:aX\Kx*2jqx"A , ͒˩ ͨAMbxBuMUVTcͶu#J6d™DR=!fVAFJ%2hkreٗw~#e:KUTA5ۙjIR 0"#IN2&O+VFQPYG)gb-U V{璂0x\^eKn\:wPQxUwM1?GE0T?Y#2< q8 3C\ . IVqb\)RB@AThbqz q858Bj!_@8Is$<qf<6~s hi"~S7)]D<1*/uzL#VόAF*ZYY"QsYt8SXU*N0*`-& g"s<JE顱_!CYd( m$Z U#AU$bLLcyV4Tc+8k$Z F$hE.Iuq!r!0Tn0$W b0ِ,ͧ C2!)(x${K2 VWF#h%2Nrx"~a(#"'<DbP*ig@r P|qaK%;kXRƖ*M1bIqN?TsDQ50R!SeUyA-.|3u?Z0=/EQR&-Ne2IӚtLUI%Zk熣JJ3LXC`(pÄ4#E*`BR4"j퀯!Ҫճľb^?3+HUY!ē9c#%of+x s_;2qV>1~0|J ϡx3۹ HHR\ Z"z'/zhʍK- 'GU2GeseIyDZF3wσvi[9hII] 8R uVF@9{M{>W\DXJ-(^Z݁jE#V!^VEkP(6VzX"M-[ÆIe!ry#{䠉s[nx̮.j)?]òhVq8jJ5E7+MxZ:7AKx lj%ґ7~(y^̵XBk蓧;#y%8{>1Wo-PGn:X|zc:YӪa)}ޮD[Mn7WfۇCzZnawr{L䗻hn{Xnf;43#(ά'MW/f{~'Nsw"35=AE]ǣ4kjDa.>$,m]֏0̖m~ilA$pl$|zskagzhtHODme9lQ|%.eǧzXtܨcDI%((>B($(#=tSdէԍcn|ןPy7swHj-іvx!Ox&IͺzՎ9#>T fԉ+ҧ#ƣ(~l_6xg[P##׉mxH+f!蓪G2 KvȮf{X>AC2 NOm67.=a˼)MN//p9VDw? jЛ9,Ls,O;LmQ1c& q?, (0kpf7 uU[}r!&E%Ѳ!Z*.HͰK@KUMav56h)ps<BP8l}3$ }"\i#7p{YC??ͧRNF * 1l>B$邏aL ؈c6|B*mlȕgGzɿ19*dekFQr^Fk =( GB4bԽ%k ;x!#"46">3R4-O  և m%# p!߆g0tLƳ@<DC{l<47ES=Ӣin3Br%̈5Ar~L\ ޶ yj1,C䈲Pd$ɴ툲L' iַs/p"M#2N Ϭ9{-"z"szb%ELQc۰59qؐ y31cd@.{>OAK'C/F$!I<&旣1Z9Y;=5d4y!\abSEkSfn̦q[:Mq,UKT)@zD=qg*tw]4- ݓq+6ksQǫ4 aPcQӀB!$M~v,_Kq~Ҝ%?ڟH0oݾTNF^Y/|jeSYZύwu-ܶI_׸LpqBآY?Plw0C̱Lx MV%mF O]SR1X{6#ROR=EB%n!um3-FUP- 0R:-,.4q ' hesLRb\PjR8Ȳa1lWn`89%D/GxmV;f•=ivVq"-"6 F8<8>͞ݰ6;l1a`螖tݞ|8],В iqQIv㬗ࣤ"o} BmDiE$[&|AS|LHN|4 jݬae>_Dxpzv☝y! Vyr҅ۚXᨉ2U-fӚ xb7GIROtg S iuhGl=2^<=צs )A5:mjtr_ GSMZ\6OӷWuGQKsM YR"]C}:-غ0m*ٍ33Y#gVi4xi) YgsI|Y^0p2py[m\m*O'j8)g!l;.fhvrjM oc'',?KM4+R7_T endstream endobj 439 0 obj << /Length 3101 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\pg044_int_frame_buf_x13201.svg endstream endobj 440 0 obj << /Annots [441 0 R 442 0 R 448 0 R] /Contents [777 0 R 449 0 R 2096 0 R 767 0 R 446 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2073 0 R /Resources 443 0 R /Rotate 0 /Type /Page >> endobj 441 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 442 0 obj << /Border [0 0 0] /Dest (G6.301181) /Rect [310.38 347.82 363.24 362.82] /Subtype /Link /Type /Annot >> endobj 443 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F1 792 0 R /F6 833 0 R /F7 830 0 R /F8 827 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC35 788 0 R /MC36 444 0 R /MC37 445 0 R >> /XObject << /Iabc2871 447 0 R >> >> endobj 444 0 obj << /Metadata 450 0 R >> endobj 445 0 obj << /Metadata 451 0 R >> endobj 446 0 obj << /Length 18 >> stream q /Iabc2871 Do Q endstream endobj 447 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2872 765 0 R /Gabc2873 775 0 R >> /Font << /Fabc2874 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ3l endstream endobj 448 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=26) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 449 0 obj << /Filter /FlateDecode /Length 5610 >> stream h[[7~_b k^ `7@,&=)d'"͖4p XdX;Jzk%OQ8ibՋ/RzH-]}7WhjKI__v3ez-oa+[nُ73xbϨ~>D~p["d}%շD)}4TǑ^@LۯRz6x<~~ۇJh{Z6$*>+Q)rL*K$unznmmsի0u(HtvˀuoY}{ GKfsedܝA Ond>Z?,v)D櫊0C"Wfy'~]ދx݁T\),뢣s؀!^s ^@1&֋{]o|x]|xXn7/z4CC,CX?vݭj!vjBRQZED1B] T/j@~"5ڰҠMl3`>5@P5{-O| 15Fu\Aqsmg˘Ssbd'f1S๳@O425+N)AsUN`+E9*aǤ" j+<ۼ)oh|@[)q^(оkO+ѩH&Ú.) 0ԝ[p{\K~J,S8th1'j<3Vm,]_Nq$EmɍYm l(v]HXb` 0!dLIZt/DfKQ~}F$"?$Hllm2eUi/2O*8]e'Bb<8dkL Dn4C,XʥeH橶+;goM)k.b*ouz̘lg֖ #7X1Җ#=N88gt3*F(#EΒ; l"cX9_~SZ)Æ汸] T3&A> P9e7|47Tyb)̓AI?j֑K<ZG. e}u3Cs}rq¯,NF-\J^>J#7R96r0|vG=t|N\#n8=;>;\lw3V:UƤ~gbwQW][ ĊVBr2Vcf҇N2PS.uz BVn1;AwЁ9t pV>47+B&GUHbn7 6nD? Ø'Os܉}&^ݯkR4e&3y^NWVvmw=vyO)2K1daX>TO@pbzy7J!h , :ک̟!F`U(Xm,gG0l֗^} U26#J'Ҷ}#^ܼ/:~`z / 2 m [&D3!=gdA9kfnLBl=}[햋(9ԸWWRCt M/gt_;}797yR}܇䑂D$g/^mGpnжoaߋ_nĭ(۲$n`]bGJLcU^h;B?wފa݉"6S4m4-y'%Tg{d:,6a)]˾vte!i6-Fbv1tg 6Hu88KVim9 Y.#O1vz1Kt?t$+HEE!q8^_y)Wiː%J3,Q饘5Ǒy6e^:1\g͞j8"HrYF!Iʮ etV%Y] {|>8ČBNEL&e&>env`ԥ˺r(鷊!._1׫~uZnUDa»fo# o>7sԌ8ӛawй]=j\\lFeܜG*86+=GSuFmj*EP|IDj'ڦn)4X5\`[qR"6:_in=B6YUYTV" .2Ƅ\ ""(>%l\"KXlRޑOv 󅘦)h5La\"F K˩Q\DcNvIG\x5+:J3&ӥͥ3ՉSÆiwcd2xS )P*|llqF'቏WF?G*%HK \;u ?/8K:D`y/a_#GͰz$:K<'<53MlS>ߖ{Н'\OV'P[S<BljI{8 ηyZzڏ8W"Y~>1n񹚓es "׬Gmaq 25^xb L yhxPE5C'MpmQo}"_;6dDKڦPny@ں!Wȍ5S0D{pby!sA T"P&BaI-DYO 5fl&eE*{{)1Z6"Hc|GfpX⣞Dbٴ Z~iT|MkS<}h/ɻMo|ɴ+(!_ e@\gᛤq\SFK]:aOְq WzFjX*<c @ON) p=zZr}v= Q+q?S-Uwb>@OkRHƃ,n*>wCG X '(a;bԗQ2 #b.7awt D~Z>엻cJ&Bl2ܨS՚ HlMOⴧRy~PnXr%jm6)'4xf5\/Bε,.Ӣ8 ՓuCYA<$#4N.ϨSu"<dZ].t)ч؇ɝ)O=Pto }f),۔81{&D}դ϶O,Z?fuWUt[ fiSQv:x0t5)CQ<&(wZO)+]S}?NQv)3\6"$G= Ukʓ؇m6=%,Z7*3]{r'EVOz}Z?DbyJ)GAOIe5)Vߧʦ0t!5*~)s51ȠN_%7|2b~nN5/- $ b2X$,IV组[Β|(~t'vlCdIdFsQ0/>᤽y> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\pg044_int_de_int_x13202.svg endstream endobj 451 0 obj << /Length 3098 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\pg044_int_timing_x13203.svg endstream endobj 452 0 obj << /Annots [453 0 R 454 0 R 458 0 R] /Contents [777 0 R 459 0 R 2096 0 R 767 0 R 456 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2073 0 R /Resources 455 0 R /Rotate 0 /Type /Page >> endobj 453 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 454 0 obj << /Border [0 0 0] /Dest (G6.296984) /Rect [245.82 210.06 362.58 225.06] /Subtype /Link /Type /Annot >> endobj 455 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC38 788 0 R >> /XObject << /Iabc2892 457 0 R >> >> endobj 456 0 obj << /Length 18 >> stream q /Iabc2892 Do Q endstream endobj 457 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2893 765 0 R /Gabc2894 775 0 R >> /Font << /Fabc2895 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{wF endstream endobj 458 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=27) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 459 0 obj << /Filter /FlateDecode /Length 4792 >> stream h޴[rܸ%HdvuʩlmruU H<Β?I^{S\A`ۼGM2+U2U5vkmt#RǭJ]6)·6ISl=m}C8Β<ꚃs ꧇9_7?WUĬ7/S d@O$GAm^ʇj1Iu,S-z)ꤌ}A- 8ԕ%F%yYLt躾Xs)2e|yTy:U/C[ ZY0'BERD?s\'6mbnTed.p\ YZemRMjZݫ砵9u1(lTٟCQHSf `Dk~]7wx^FV_7ZfD'uMILYm`bfe[Uҭ2E}}78?^߿[sҫ$e%n4?vW~C7}FyBRZW*1ZV%y}eY\cdUt\ʊ419 4˴&l^ [ TZ=NmLRΧ3 ̐g5\BcQT)x|i8w&`q9y+b ,YRgwf.]ZgMÚuV^lS&xNe`66U3uQv#N X?رT#_i^SXG奭)-aހbI n,Ԏ$1̵e1B%@& j/kᮐXV³ZG.ܤ&EMՙq]!Rgt$0ngې@qKPtmȋ!Sx&iME>)NVY|ֵ B]S&c*!ACIj-uqv}<ˊ8yk4X@k 7JܵO &$38JKPO e 7qSׂ"S>rKjXAfo+Yv!AqS5mL, ix 0gQ򃻠k`eJ1tdE.D\ ~ +mP:cj34n{e"q5 Hk6z FySH.I|vܘ@jdRZJJT#"Ņk<+brE>4qx+UД&Jṋ _8@ .w˿*q1.'?wpepA"bN&04:l q&88B !|4d5[u_L:Lhͼim/b *_=3͊,%U]Ƹ"|1vq]K=ֹԗj[q#Ua-3 gְ<;T(ta!*, PfAh8 Vu=ZZ`j^kmAj*_4J~^ T+ Hős$#1#7H8pqrq$c  .^rpuf6Scˌ9"(*%0@'ڑ+,}6TS %Z#yyTAQRp0,WlLMEWLZ"|XGJY- (NK'!bu"2zY NJ*lB=,%c5."^uq-4KJ[5.Ǯ^Se:_9 ,(#Ă T :!UpT TeZWnF<Ƚsyɾa]iª4#nULza"ZD^STAǕQH<(֥8 B\U%je&x.GՀt`UeC72tJY՞#:%SIu԰Nfz]qiPtlui8 (Ԡ=u}AiEV4U] -^d O$bcj;Ud̬t $Eeh6Pv1uC0Cv/ہ!|Ź&Ӻ W3KqoG+}I#ތZViR[[]ՇްuY#V2 Jj/?EKi4]\)e "3ɻRXSt-ixE-V$&_H2~nzM؟~8Nr3ӗ+Efi#ׄPE}!GbL6#38":<=kJa>=n0~{B1~j?7 氉*FSs:Lc0f?0pFm}o.e 궙?FOؑE GG2CLp B;M$IJ܍l&;2 u}/`hx/kwPSwnX3&{wcc W;N *U Od{j_n&E )-=jQk1An5ނA Fh#(3=-'O`9d%4w$M:.o_pѦm$|N#n'hfܴ~V /R4)8W;S91k88faNlx`=6=zX8_g3;G8DDKz,&w`'9@n7x&/ZF!3DK]6πLW2և2](ӕm+ߌ4qI *S@ ,D㡙c W( 3/ lAsA}?Vϊ.ڮΜ |Z1ŀ;Y˸#?lq04{t6Øߑ5nށ؀<ؽg<טJX6vTZzseA! }'4QRɔaU׺Z" YEY9Q| s1] +x>l .Y.L֥U0>dC G򼷱K_pT`zSqipbphy KAGf߽:J vݑ|M{w0{hj<]鰄B) X;u-guܳ 9!H`hUg`omc[7K)aq( GzK53mdوcy4vIjM6"g'p2ql±;I:}*<`Ty-#Kw95/aJK6'`y'F,> 5:5C;AD:Pl5P0i%-؟RY0|#On >0<\`M)?C8NzZ1> endobj 461 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 462 0 obj << /Border [0 0 0] /Dest (G6.350965) /Rect [169.26 175.08 228.36 190.08] /Subtype /Link /Type /Annot >> endobj 463 0 obj << /Border [0 0 0] /Dest (G6.350911) /Rect [104.88 76.08 157.68 91.08] /Subtype /Link /Type /Annot >> endobj 464 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT16 795 0 R /TT2 2092 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC39 788 0 R /MC40 844 0 R >> /XObject << /Iabc2913 466 0 R >> >> endobj 465 0 obj << /Length 18 >> stream q /Iabc2913 Do Q endstream endobj 466 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2914 765 0 R /Gabc2915 775 0 R >> /Font << /Fabc2916 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`#OvջCp<)k.@Br!sw4_P%c I`2(.X5g$𛈺ʜfU}IRG"e*)sm.\gy4;/d"Xl˒sKhf>eV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽? endstream endobj 467 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=28) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 468 0 obj << /Filter /FlateDecode /Length 5956 >> stream hޤ[[6~_GibyKxS̸7٪<)űD*$mղc'* nVwV]<* ũ2E54wᄍJݝG QW[] sn1~Y}oiX$JWMuTS~jMޝv,zyj5ݯ5ciMU}ǻ(EBw1'RzM5Lc &'+|}lM nmcTGGF$2*JV xU|W0F5b"I+SzQj#]d0{$(3^MV:TQ,Vi]Fvկ7Y=4J_)#u$.,u@ -đUFyVZ^֝}N650lP_܄ &O0.o۰i n#\#-0$Wt\'qO+D c%?G;a~Hؤ(qCMj-y⪋ŵ*eA΋S"S"PeVBK}@{[f1<]T(nBIJfy][?0ƂJ 5( Mr7kȊH$yĭ!.YN2 'f1Y>/9:i3gJ7W;b5ʭe%f\WJd$ W܆°\*(wI.s⨋MggKKoK+BW8IƥgƱpy`x!p8@ƕK&΄&,M M M\ 1 7[ö͖́!^7|im#7m 2^xŊ -Mdp*1.*&rsY}9W-6WDa3,Ve\?aP0Eq^~.7ѩ6WP;jLX4!͒&q,ʒ9ȅ4WerApІmȩt`+!Z?P&xEΊd"(RF~sgEJ "KVE18Pʘ'3(9V;d@xO_|9e /i412$% ẀvE"m6dS#Uy{Ad"!YK>j$OEq%(.`*(_0}4!wcxU!Rq(Do\=-X ˎJ^*,_D$&#b\|*I±짗a\״U؅;}鸨xZ3.+WP Yр7m *Z,Ъ15Kmpܯ7}э]i#nW\m"[){1"4xK>Q$QbLGkU=kzj 5J2D;'00_.liqxM䈁M0vUD[ƃ~^2,gq5QըЌQȋ*\ϐ DƆ5Jt#JKš"o;$v@t`Ag f1 |"˄:V jjmݒ5={jCG$Kf桊` 4vL xO\8OkdE_5Uܼ]'ةСD[qFz#7IOW_A87$Njb(Ɖu{:yVW]Oƻ=áAE'mN:U<S`8k /Q *'\vNmߍ8/sXNia`m|هeSF/RT٨g폑 Sʶ7Wf~b<)yY(VN;YDƅDDޝ'T\ɀPtFtZ :X.vJcH6KXv>5Co%S"## R8qqf''Q{޺(cZQZ%_e;aޘ;P~WB9cv O!U4@ bKXYiVwTȁ9Jp)f]eHۤNJ@,]ޭK5(RW 0H~Frي{AԋA/B3u#>SyMA9OZQik=s`x:+hN8=vۺl.Y/Б\vά5'5g7zi;7܈(Z XƇ")>2smrz<͈|l~=Ki &Їc3Lz4fyJ IPb ,y=i.!7ȧ'fzE}O]Ѷw8WsZrerd Iq ] '*e$kH&J`@ )z_=^j#Y7&*)L9u4)JN^#vc33r-Џ`,-bYCf$=BN8C`JfA=0)C&ZhFdDIdqafV]B~Sg̙;g;Rfq1Kv/ݸ#YurFN !>$-f)lpwObyEWϓ@Hi܋JD5m=D4Rz\B(th( pcσڞkiʄ/pqId4ձdfv@ltG:av<##oPt>XD aۜILR]Mc<0-[ݮ[1Jaۡyjr.BMoA>V r3z(Z8'U74 P\%ے&G9&a Tπ@Woþr ݥ߈S,^8] AaZ<b:S@1%LpTMܵg7pܼxqyùy{lf%ܢGJNMfM ̚Iq Wox3À|9_ |%mN,rx :Xv7F+yl;ί]nL޷TB+APnC_ -K_K|tMw]SDyxW1:qf7~o:^+AWk߉@[X !W'c'q2y΢c`F%^\0_5|}.WbdZ \AlF6 2Nԏc@͉fb pK-(W=5{mŭ0ͫYi[C l~ FiUs*YÙ7ؾtq"[震z;q~7Bj氓#1qV't27#\I]$;ϧsT_Vo؆=X'lF\agLz8ZS۶zb:<3M M:&"e-˲%sMyKf|{xGI ~>Y1e|B7`*2FzOI2'/-nђIި xY Oth''vE!̤WGNtڂ6;0v[]Nink0s[ل luzKK02>PiE+ ^}˙"]/L̮"mdE|[vɬz8#~:q2 s&߸`C Q &c=4 " 1:+mgJb5ϊ5/Q#8`ls|z(!#ip7痮A 3ooA;Bx `'AM ?e_%¨_ N endstream endobj 469 0 obj << /Annots [470 0 R 471 0 R 476 0 R] /Contents [777 0 R 477 0 R 2096 0 R 767 0 R 474 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2073 0 R /Resources 472 0 R /Rotate 0 /Type /Page >> endobj 470 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 471 0 obj << /Border [0 0 0] /Dest (G6.350927) /Rect [91.98 170.94 151.08 185.94] /Subtype /Link /Type /Annot >> endobj 472 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F5 838 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC41 788 0 R /MC42 473 0 R >> /XObject << /Iabc2934 475 0 R >> >> endobj 473 0 obj << /Metadata 478 0 R >> endobj 474 0 obj << /Length 18 >> stream q /Iabc2934 Do Q endstream endobj 475 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2935 765 0 R /Gabc2936 775 0 R >> /Font << /Fabc2937 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSoU]Y=t{E'W9V&Y)Ɵ2QK2/uf\g[XL]#?0$aC-j}'dH5aSq ܟBd#S:q|sLѨ\u${x2W4@wP"]@ U8Ρ\j'#:A/}3h endstream endobj 476 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=29) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 477 0 obj << /Filter /FlateDecode /Length 4959 >> stream h[Yɑ~ȷ2R]i,Fn^@аp~:l5ccfFUeƑ_DnZu3TR|iڨC;}s3{ysV73]5㖧OCn^7ټkl}Pox(\.W^ڽzp,~ٷ jЪ[f?/S& e UpjYPͬ?aW 2V(`Ͳ}*JÏOU,֛rzWZY y&>cM`’ hAdD@˃R)l/_ 'D Eu K5`(4z'Ē9w~Oו6bc j-:wp}2J#1p "#:3N6 3G1> XD' 3)UHV1vP2F?ՈQqMl qޡ3LӬFMye<"ąą_q.C?Azkkd\q8s#=Bp; ƥ)ę1ę)ę1ę1'NCŜ7/o~Zi/of\4.h|EfߴEATsحAϜ"V^qBhpi#>NI07NYAnw!15n'Z${5Vm(m'X΄zNй2挈vzg_H^Z9 m\^x12đTM&xg@grB ͖ IVV>TLWMWlLy15j|4 ;ʇkxI) >\B:f Ce/ RvYۧPbl='S+im-ef68t 9#8/N^Vݔ8[y;X^@  %Ă 40R!S bDG=+ Zi*GVLZ.0"%T'u"qq<R7_JZV~f0Le0.-=m<Үg5CYL, וO$'rLIm^!Cpѱ`8`{Gh|!=R0e?0c?gk“JYo=vLؘD7005n7ʿ'*h)t'IwѷigX &P Ҭ٨ 㦐5O3RJ: :ʱVItss*x>׫O|ѕU,Gej"MiQo[>[>tzG\ץ/ޕUP unNiK% ^!d(\/%V a`N R⩧(ّBV;4O~صű])N^u*~]-K.c0Mfm1 )noQblw§,>d;ԆPzǐ2{|=agM}֝Rǐ{`◯;1+0}z^ES;!v-S.xK(q&DF@ w(0 5`Ok"+ey鳻BW\povN%oվQ t!8Rw-U. I cEQfi9 oM{ИʋŊ"ͬ#*SMsjЫP`pM*NqV?#g}[Y)a:#/PȄEFϠwRlp p]G;! 6?h3 CwpؠOL7'ra|;Pm2Ă]gliHjҩIMp_E\RY,:FdI4zű.$$z ̏(w cBUJ<dc|o&+9M }CS ݊M#?dcF0ub/ xePg Æ|W0 `F?ᑘm}+H0$WtEQs}WJ͗m׵Y0j#V5%Cd8o}Qxظ~TgH\ Q.+1΀h끻+ :Fr#j;b+DB` ЛhYr$ӨX}S5/%B>_6;s45q?Wwm- kkʂ[ˌ̡e 鉶ڮ;իrAx3'5ฆ_jҙ"8aF7TSy8~GP,,<ى*Yqڞo wKrѲ.ZXtT]P6' ](FKP*l=1Suy> .$)RR8D3/e=0pܡb3Ԋ_-Y˨ϲgy,v  c&VR>?ɵ7bjt RJ72--uOe$cOnMiHCȇ᮴5g ^(X*7].p/u  ʈ+Jt~),;MhQ($e<| k饇t%C $MڡsИ=(d39 XV~xȢR?.8'e[ oy0lI ՒI6*@ 3i) )>`nŎ/gj^MK x3 P*WFU(N}cx5zA&VXMe,&*ݰyVlV'.oz< _LV`GD]ˡ/Nt*")zdK難p~l- ~e.Cj♱wG~5 1ݢ_g(5 <pjx a Oꌞx`p/D>hjZiOwJLӅ˒4ĦhOX: ak \tb,ȥ9~ o*nl6?Rn24?~RZ>72ǡMQOqN _o|XOcVN# endstream endobj 478 0 obj << /Length 3093 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\Basic Synchronizer.svg endstream endobj 479 0 obj << /Annots [480 0 R 481 0 R 486 0 R] /Contents [777 0 R 487 0 R 2096 0 R 767 0 R 484 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2073 0 R /Resources 482 0 R /Rotate 0 /Type /Page >> endobj 480 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 481 0 obj << /Border [0 0 0] /Dest (G6.350941) /Rect [351.96 242.04 411 257.04] /Subtype /Link /Type /Annot >> endobj 482 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F5 838 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC43 788 0 R /MC44 483 0 R >> /XObject << /Iabc2955 485 0 R >> >> endobj 483 0 obj << /Metadata 488 0 R >> endobj 484 0 obj << /Length 18 >> stream q /Iabc2955 Do Q endstream endobj 485 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2956 765 0 R /Gabc2957 775 0 R >> /Font << /Fabc2958 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQN?dQHL_%ee8>϶Fh^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lMF endstream endobj 486 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=30) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 487 0 obj << /Filter /FlateDecode /Length 4318 >> stream h[m_;x` 0'fFYI^G"h;nUŪH'/Vfm섓V讕Zw?L^xͻS߉Xf>RRήFNbY]O/7|)6^7ih?N~o-[o>LZKO$ngt?ꪻV@ f8؆i}3x|l<~W2o.j1SGŸ1,45{뙵mgVu^] `{Iܚm!eB4uӟ&~jfb-W)#;ϟxF^z/o>M/^ڶQ -(l-̿2M0 UrY\>;[LG UD`T9widFx m~ltl ^hךl/^[5/`K6t3WN^.[\Wb^SOhUV*x*ls[y,8=Ƭ5]5`?q[ ; A9# uD]P=W3 ͧ3X qot&n%vL@8vcD᠚Ŵ31YGA ʄeu`UccaH5S};:'L ?T4nnIB]66m o++E J$E2֔v&.2s7A&I,cj7g#p4DOnu'FW5ѿoȑ`iYRu[n]I{1B M&BȚ$>}f2+[S[GT1!AfcK3O. !C[Z<餐#bWޥYFn!ࡁjDo'[4NHI v['d%R.f*#Siq򌛴CݒHT!Jc1P<bز]:`DX"/@82S=YDUPC '{xnnC=|HR&7d>/Q IȘ>Di0be0;|&AjUC"}2PVF?91!IpmM+#b7#cIATҤ$7NZ B q~VAq+ae?1.A>… RlBp92 t qz q8]C\8p sm8MtX'40oDoG1KH6Uj3kslVNqDxܖ7zc+z~gT7찎"u;Yn?`% g;*к tUCU ZzA]iP v1& Ʈ<4Y`kW}A_kRSD.OBv&􎤴Gx18RHSDd9#i(xP${%49)+m'O2,YW%3NDZLahSyE. b\uH;#b%RT9AsQaVYĸLT݄4usM8C3$MO֎7ޱ\}8yS,ލLt2|ʥc::#7B)n!M-bgvfZn |>2@2Kܭ>>xXb{oܔsF:RR< ܹքzI>kNǣ.HTY 5@Y3Ɵ8thi06JMdy:QmQKLŬt*L_5nlaC/u|ehSQ[EhHW N 픔'L?g&X&MN@ \p+fd23F؆ &!wFQq?ZZ&`7W:?6V\ns"~aP,n.Dʛ,A”hg@ &m4pJڶ(` fsН'<Ϟf^]Sns}'G0xhqi~-]PtA8B\.t} @]]6y+" *N:l94xx,cDB Ҩ-jLrG.`"MiAgy gnX Z- b)ا#jGLQ.YaꦘCQ/W Q+^bSvS{]KYv8F)ßKlh&B\iv\Yy3y5/gPp}wVkH:IUCRgMtdͲXm'ΒޒڲѝRB˪Ft,#[SjE9mO["Bl e"Kg )]쿤^=? " 4NEB8W/֧u㣲#Җ/!R:Oh%edT]r+^Q$zܥI4YL7jy_ 39žGA A#btxr <߀k)OFF&!ٵAv}C[%{,$.ⱝ /^ҏ&W-Vӛ̓n! 3ι'ufS'L*gTxu-res`RHsl z\8~O.^/@tV'RdBȌ>-,3 "l= `\SȜ3SP`r֯d;U@΃rG}1(kϬOQ\LEHg'_I?q#kTdxjKzy~_y:Z}2䂠"F$mGۡgR3?Tb#촍d?a#ƒ>tʠ y ~pꥊ)UܭKAX3@쿼ZIVSIÄ@<,4m[ &SLÓoD6 y̅$"ǜRnkYaYttDRZ>^(V]X5> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\Coarse Alignment.svg endstream endobj 489 0 obj << /Annots [490 0 R 495 0 R] /Contents [777 0 R 496 0 R 2096 0 R 767 0 R 493 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2074 0 R /Resources 491 0 R /Rotate 0 /Type /Page >> endobj 490 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 491 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F1 792 0 R /F5 838 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC45 788 0 R /MC46 492 0 R >> /XObject << /Iabc2976 494 0 R >> >> endobj 492 0 obj << /Metadata 497 0 R >> endobj 493 0 obj << /Length 18 >> stream q /Iabc2976 Do Q endstream endobj 494 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2977 765 0 R /Gabc2978 775 0 R >> /Font << /Fabc2979 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{wZ^ endstream endobj 495 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=31) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 496 0 obj << /Filter /FlateDecode /Length 6412 >> stream h[msǑ_1XʡsX<9Wv>@D2JF~==/xArR"w祧Yn/V T,J)SQWϮBTğ̷wj{רL* 3X]DwSKH2֦( ڨ /hXP[ Te|a~?{=7˭z/K4"Vm#,~xmnb7|2[BQ;+UcP6{WgLcR**]>4?i c, Ry,,[ f{vG`)hi< 4ٚXwTj7ݐ21(mxd;$&CȲӘqotaC-ȇL{5H.EÊM!kԆXmjߖ-:(J 4Sgl8i2Iy.wsW}2vC~&3:xT̃W}}W#CȲH}d'1WrPlp1~.@$).k$MZIإJ?uup"$"qpDp|Đ֩<dr@;e@B hr ``a|LdX׉t$xQqVYҒYrY`FvQꁖW:YRDX}0bLOk^4pe'@]: (fQVAT&%d<%b&; PTa{'$ Ԑ[RZ&M'EGzZ^p"a(X @,; soBXT$!0U4+`c;k1N nbCOR!BNLO=C3}3C3}3}'N#bG7?0~G7ӏBhaf֡0e}cHt'=9Q+)3R!V+s8 wy*==䀥Z~guսdw4} MO&KkvY+H = CO/)I * D"$aBkHڄΐB6$! $C7?fHT3$19dAc.YXMAY.>"Qɑ#c$'d)˙CW91 I}HsUeBvt&.s3) g" mX$`cWL^y7dG ߉?M&S('LHF &R1sH2BZ^32T2u?dy9.2im䲧S:#zHOD˫nH%x>WB7!ˈT /*dʣð?T,P5HOjBv<:UGf9ɳ@< ?sbo+x) \=u*8Hy"]"jYg^}Lr5H. 3 k+y)zq;]rTH)M5N'ϣ23i]y7ė__).s՚C YaMg>۱-#u3ŒynFm7f-[~NMsvLyhI9aC+ LP`ֽ/_q/ۣ Hf4O.X*uQOc`8` oy*eY)ﺙ^nLA߁1^pbYLw͵zSet;_- uu̒+/lM4Z~ӛ-/I4j iЛ:6l<0ݻl`@;5M"XY*`êPI s0bxuj1xƭyM74^sshz }/x;c =OM]u@zw2`Y͗ȌoXAPqIa0vv@[(u®z\6?m8N:]c6SM' TLXJ*|6&Fy@u/%S*cA0+.a ֫@ !@Ҡn5;8QMJ ٦.BqR%yNO@?5M)QQ ߫ɫ@e!Hk9MLQN %VaU="U6]12 R<41 In si; j Jw!c"pK @d"4{K{ aX8l3[# 7ndtiYV =)z@jRq҄m[Pk6"FuoT!ХfkPfsiZo wSCϝشrq#LV6=]gS4I#)4mWAO2 z>0yKq&Cڨk %VΪUVٖM :|,;Cojw,J$z$=o6;d=E>6ۏ%ZQ7"+DMTVXdLPbo?4=3`2rPaчiBH 0–~[gNCh/ ʝ"~Z"90~'ׯRq ķ,!EY;6+wH@<ˉH(kQgphsZֱ_jB"'93Q~*D#kW XxBuQ4j7 v:f tX<4 O&t a\eOc}ԜB_jnhoK .nVff@W5\PʴDM^⩇1cvFB!fS}ŦHt'qP{EDQc'fIVrR\ЋJ.™,'[QDd<D u᎜v:{I 3 i{>3sL&@R=fbEJ'bF]!'  XTaj ?[0>.-Cz:_B9mVtA="Din;U翎-@M$1v\j ǍO ,? ے_ɰ'ccU't @:SɌn6ҥceRSYYў W޸ڼåض[U٘,l?J<{$ kLb[^GZs7MaC9-~.FLcg5?IqꃺhD_pjC0pO>u7yݻ)# uG ڵm_:*k :Nx*[:7b.Wm̐i=+o"\rulVmA$gKZ]Mg{3Yh3[}bȕOsHPNդ}NY'1)1]F Pmr%YN't3"z (+lpZJ{ܓ<]-@, < 0]K h\B]1iP2Iдt1=_!u=+t˃{#/2Y$Y )n>Y\8HM ħbDl[LΠ#D>|[Mdȗ 5\tȧ3$$@7tޭA-͑LEG$'0GbPl,ylfK]`OhS'<$ e+.i)t ݜt}TrG BMmdz H弽$(t!UK't01R @DC0^na63tsqsxsn<% Nttq/YYyv4Nƛ68Xr0hQO+D'n(uK4ƞ{6J{XUڸV޼R(J7K*җ7kN:Dں$b{[w`5 =aLyh yS@"!E{Ox8+Tjd,eO0B(J3W-5“ @GJc%n#qkOkDo}I=  ?6p1}`ECwvί_xΪ'|;Kύ c' TtU {R)ʊc) kstH%ͣ`c8z4}sڭ[ٳ gF. g) o[#4d03-}V:01w 6AcF Ί9ܫ UX `!~*"BЊSsS?iG\/aaxN0|j ==ŁOPbc( ?K[zd=&8żOR ѩ` @iE?S{=KW !}|5N2}еCSY gvԬ^L)ѣt$YIf)sc#{)]ҥ`=͞`NҮ~A͏zqGVy| -Cty}@\|uxb]9Kr;_d9Yȉ҈\)~$ $ScyeHv.)c͞qd o4s)A7~%?& }'_m?ʔQ.ي4{Fhw2K- q3ϓIod{Xbhi0ǫ4G ;fEW{ҍ_cUty1?!-v霓^  ا_mcIN5@.K ~ʚtBOrq~  endstream endobj 497 0 obj << /Length 3089 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\Fine Alignment.svg endstream endobj 498 0 obj << /Annots [499 0 R 500 0 R 501 0 R 502 0 R 503 0 R 504 0 R 509 0 R] /Contents [777 0 R 510 0 R 2096 0 R 767 0 R 507 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2074 0 R /Resources 505 0 R /Rotate 0 /Type /Page >> endobj 499 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 500 0 obj << /Border [0 0 0] /Dest (G6.350965) /Rect [281.28 532.08 340.38 547.08] /Subtype /Link /Type /Annot >> endobj 501 0 obj << /Border [0 0 0] /Dest (G6.350898) /Rect [295.08 518.1 346.62 533.1] /Subtype /Link /Type /Annot >> endobj 502 0 obj << /Border [0 0 0] /Dest (G6.350987) /Rect [91.98 163.86 151.08 178.86] /Subtype /Link /Type /Annot >> endobj 503 0 obj << /Border [0 0 0] /Dest (G6.351001) /Rect [163.98 149.82 223.08 164.82] /Subtype /Link /Type /Annot >> endobj 504 0 obj << /Border [0 0 0] /Dest (G6.350898) /Rect [501.12 135.84 552.6 150.84] /Subtype /Link /Type /Annot >> endobj 505 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F1 792 0 R /G1 845 0 R /TT10 782 0 R /TT12 780 0 R /TT13 801 0 R /TT14 785 0 R /TT16 795 0 R /TT2 2092 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC47 788 0 R /MC48 844 0 R /MC49 506 0 R >> /XObject << /Iabc2997 508 0 R >> >> endobj 506 0 obj << /Metadata 511 0 R >> endobj 507 0 obj << /Length 18 >> stream q /Iabc2997 Do Q endstream endobj 508 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2998 765 0 R /Gabc2999 775 0 R >> /Font << /Fabc3000 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 510 0 obj << /Filter /FlateDecode /Length 7730 >> stream h[[#~_яݼ7u8$HVG#9f7*͖4楪XwUswq mFm77_yռQztw}ᦣ0fvJo~|q?U9]q7-AnͿWj0f7 Ç`l;`'\0iLg۩C4["iuiVS1*su7?UY643ժрo~љF-?fbԑrM~Xtվ/0)=Z`M6eq>SKT;NVS"ؾ1'L h5h"d7n5xj^tuu H;-Q2DvJ73*@M[5 uhך_|[<>_RYz݆> gA/̏wWn~XE%$*7V*Ux&!YpzYkШsD7nVkBC9)9BסAd;o`"Դ5ZWu%]W9KeAcTjF?W dOʄm]HrU`6k>3UѹfqjuD?ڱNaTX\N嫠6@{4|jkהi`#}+đ 5IHe;|+&ʸvshRgb=e^,?ՕkJA"6mBiK+7ҧK1\9H%ȔBOLS[1"O5ءlcs1-&>!" J$WGS&*8F.HR (PQ2  n05]`)SM#S.yP7P Bv>K.K(AMf+"1Ԙ]*Y>hi⌀d{5I?i*n0!l,CfxbT&Q?|o Ha DGCEO2&˳hPYdCigbM* {aP&FˋdոqŪۚ0(.*aT9 MULpI1bU+YٺRqU\?Vq}VqKte9+:NTܹ z A +FK:.V*ΌUU8]8]S1Gͫov쿩7k]o7ouZd&+l%n69R`r*{JƓr׼CsK wfT?|*I`,opn*‰)S>zJSxh'PeBCH ꚂzLA]QPg 1 pmJC%Q0c (kJفv5) R=ZM(gAI@$vC Y#Hڳ=B 3)e 7F3YpW3:?!9 e<_*&X \UN雐 ds`VUA#+)58P&$Q TbLU'~|u&7rE5 B~AʢZ]PRu4LJb\U1>༦5UƢCF!>L4u>,53*l!V5`Èo0H./]O4q~sKVd 8wQJkl}(޳4ͬ[UyRO7̥2*vRe 6tBzɧR:qbr9f.R,OBw(F8K:Rc7#7Ch>s_5|]!?Ӱ9] ŽrF[y| {=JȫӶ+),vz{̏ 9T;ә8T aqX/W{4 !sYT5',l> $%mWLAsn|e~\ zȧ@m@ܸV F2b:fr}Xq˧HPcR;{vqXoA.EΠ5PN(W/Fg ͧ&c̈W#L HaY^ھ1%Ӣ׷vJ%0i/I=b;g}̓/ -#oK%p]9?OI=CbE&ki g#TciHW\JoZMۓe& 7 Ha 6!WO3 ^Hc`#JNDp~5}MdĈ%uvW?@ؼ'5|OBcw; [+4@a˷"Yaɑ ͮuS8v3e@nIs?0:Vgg#l^PHbU$$},y-SIfvR{ܵj~Zk!ȇ:šlܵE-;8fpW:`P2?oVL[a^ r.у3D:ՆAST W\$fh5E%F}ړM.n *ם-IU=+9f4#kW>Ce@A9i_KLĜvzʖPC:_͖+n)7K0\"NELql"ɊḂCʃ يg+[ DwIwLͿyXې 9V՞w'LON o,nxeȽ Bަ9[v*wnߦP֑@^'b?|>fPQ[/~q"rLfv^ve Hh:x":?ǨvMywq] !8ߛH$9VX2=?'ȦQ$DT~qr6(r W!˞jN^+Dy-7FK~8De7wjIqWU5䭚UUlUN4ľ8V,+9x=IЄBK-!>윯.Ff==[^4EVlb_[s ;.C%<p(◙']~)r_&ѳ]!Zߍ |?)'2ԃk&{Q2p!ċ"q8>YXX38vvSv%)7Y=Ss9,񺷗I%V)L:+Y!B%Ǒkw'Kb',}q #7Guq]uH°Rm4pd 1Lat,it`j/%qˆ;~S\Lڛ\޲^{LcNlӿߧXc;Q 3)bS:v禍]ٓuR't}DJ Gbf[<F'ϴܯ:=E]$ `(>Z qt MdoC1W*]Q:R! 3`MG4lx`vNS<*o0ʐJ뙹($[>%8^K &'@E~;mQuQ^sΰ\;.Oq^| O^| O^| PބM0(%>p"  CMTz+ՈytMTo3A_2bQÛC&rN] Jx'>8cc6t eJ!o0]tʉdN< #I+8sg6OfGp8xI:#mTk/&ƞ|6A#k> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\pg044figure4-10.svg endstream endobj 512 0 obj << /Annots [513 0 R 517 0 R] /Contents [777 0 R 518 0 R 2096 0 R 767 0 R 515 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2074 0 R /Resources 514 0 R /Rotate 0 /Type /Page >> endobj 513 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 514 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC50 788 0 R >> /XObject << /Iabc3018 516 0 R >> >> endobj 515 0 obj << /Length 18 >> stream q /Iabc3018 Do Q endstream endobj 516 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3019 765 0 R /Gabc3020 775 0 R >> /Font << /Fabc3021 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w{ endstream endobj 517 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=33) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 518 0 obj << /Filter /FlateDecode /Length 3097 >> stream hޤ]۶]Rǂ owIM}vG"(#.HPcgnK!--N D^9a8v777Ahqs g(#穡7Z]R}X{տ^Veى vaX毋oŋSHS_ OLHe 28B:dP{R>\jIFtDa2Md\_jE_x"îZ( bNlktJSڡHKkW7lvN6.%$ YEvV0gD7J2,4pτ:ڪ&Y2sdP!d)sBn`ᄌr`a5V`. Mh kZ;bċN@MB^ Mx6?=X2&#A8D6,Fh@ Cx /_@,<`dlxz\9T?/n}=]7Ve֍Fƻt1I߄YfJMZ* 5 ~'HclN&TUl02E4dΦ4a\JIFA#Ԙ!rPq2 .v^.>$ 1 :k/ܔ9iiR*X*AANދv)thѼƇϱ8Jͱ<GhJy (M@ML*Dd2%g}N']hF&M;t:"MR4։̒5eF1%Fa@n!`|Ƕ7x",-j$!ZlITXH6\t:}H`ظ23Bl|(.Kn&!2F\fy&#A ¥!*cPB<#H @ٕYfH>}b#:\7XUk ]/ei hTfOt3U={=[Drd{bLO);,rke{N@4ҍA91 X tCQ4t bjojf-7y:HFͮg(&V^qc8J)LsB46!w j"w$Xg0qڝjC?ÆP6lB?Y4s ʂXI,H4!lT[0-* ڂ`-& {%ᴮ8:MI0%R(ŔHT8|7?H8qJ${ߓH&*Pz'[+,XrSV`N.J#'ӎvyQro>9L0/3XbeЗ]r12)]nbAI|#DBț)xSDN6B*s*<|x76 B ȨVH? iGY"%\GcU.b_vŕ3䩓βO!\b:d@h?.G9F>O!^9rq87x{o4&lXA @0\*5XU G9H=F*K+Z܎Z]asC էu}PŸ\U }#"y:51jYhϼ n|r *!4Р64{]VX8im>2(Ϥ}-;IG7B/2L,.>yY $o5> l.VYq$aBl"ai(m F;%0bB@|jc0Bz: l]S q`rBq?&]d!ua&B򅁰.#J97M򆗍bkݍXrMnG]fuitSHTyW?1ߗ$ә@I:oG? d1'<#8>g;o~͕4uwVn­Yޯfى~8~~rT~eW)Kvuqy:ߺaÒL8;ឩt݃A=e~Kod]'~ם]< ı?/G W_ W%51|&x5Cs$fa> endobj 520 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 521 0 obj << /Border [0 0 0] /Dest (G6.351001) /Rect [91.98 136.8 151.08 151.8] /Subtype /Link /Type /Annot >> endobj 522 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F2 807 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /Pattern << /P42 523 0 R /P43 524 0 R /P44 525 0 R /P45 526 0 R /P46 527 0 R /P47 528 0 R /P48 529 0 R /P49 530 0 R /P50 531 0 R /P51 532 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC51 788 0 R /MC52 533 0 R >> /Shading << /Sh1 817 0 R >> /XObject << /Iabc3039 535 0 R >> >> endobj 523 0 obj << /ExtGState 2090 0 R /Matrix [54.95 0 0 -51.9916 278.4272 700.2776] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 524 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 277.6367 634.3535] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 525 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 277.6367 568.4294] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 526 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 277.6367 503.2539] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 527 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 235.8323 444.0584] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 528 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 232.3485 370.6578] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 529 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 320.2323 443.1743] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 530 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 319.4419 370.6578] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 531 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 271.4602 305.4823] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 532 0 obj << /ExtGState 800 0 R /Matrix [54.95 0 0 -51.9916 271.4602 232.9658] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 533 0 obj << /Metadata 538 0 R >> endobj 534 0 obj << /Length 18 >> stream q /Iabc3039 Do Q endstream endobj 535 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3040 765 0 R /Gabc3041 775 0 R >> /Font << /Fabc3042 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛa endstream endobj 536 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=34) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 537 0 obj << /Filter /FlateDecode /Length 9133 >> stream h{rɕ;"-S266f#VMmUCIYu?`@ 5 |>Ϲ3 VQ"i ŗw9wqݽ;_݇y:zeN~7oB7?h7+/Խ:Q_9;YJ/'?.ƭ^.M?wŇН}(]wήO2!SήI8%:g9?~j8^ 2,W}\uq\9ܸ^Wvs5Lt1 M׻1RCVFI1n Wn{<>O/+BV]%^_itKūefv:SqV sys >2QaPw?.v/AJ &ZR|wﺿ\ܽޟw/nn1錮*tN3X.y]H_uנd̦W t+\<gÞػ%,=t7ޜ}:ݯ}^Z)T?]4?|ӻ?:8n1 }vZ7Zx7#ާ +ތm O~l+B˨|yY,׳٧-md=Vvr< 4,VnqIvl7m`qeT4>Q촱(irGOcƍmʪtorl4XƪЇ{줶t-vLi[/H^ОOҦ-yGӦ~Rྛ<42 g뺘*zk?r=۟G(&޺5ã~= lP\Uڶ N7 Qs=,Dab}@UJrхN[uݷEֹP[C uHɬu>+42iO`Aj@kĚ)Onf?̆MNj9C:U)X*ڑia+S=*B*n u\W 6#BnoUF,-l_߮ LĘA>?gـ:pr=~#fwAgteR&>Y8<7[At+MԐ-;̖MWZ$,/\×z1>q6䡷 Yuy>PTOϪUµng㨸JsҸ&Ů *I%Ÿ\]>nUqQq0{sU\U\jU\T*:Ήu\Qq.6 | 5NarTřVř33;4bͭO7oZިUǐғ+lU.sd a+8iIZu휫.ý5w yfu*%tuu}pe~|ߊN{ ꁆzMC_IG fJAP L9 ޚJcR0S `h(ZJnz_ug᭫Ph iFA ^T@$/v1A⋣ 9 8 1xRqBO􀌋E$OݯͲ9t/,UL$q244Jd@I>+d#\ ЊC,O<?4 1B`k Oŋa+L5I}x34+  ~h ֦Vq!uXg빰^,CI=D=嗓.OZ[[|ltQmh{NKQ,Bϸ%5 LI Rom*O<;D7Z;U]{7:,Im,$\8^<VڡFCL њC+'+jXjc"Po /bl\$JCbhú^DcZ3le,[>vɇnF00]##|`D Z>qM5Bu44"w0V{=r8r }r K|3#Y._TzҾI½x| uaWI1]4d~oKE _vJdnIzq{zTxyɇ)lWnb#?n>]>{{s}onn{WpC_m1# tiӓI]BIj-DYjwߖ{X-WLg~ 8_XOݛ%]R%p$bD2VjiG%nZ\,5%@^,;_j^&h{ѯ0,(uNY5`g5oϻo[󗌾sjlS*Ya5 [lB[ iLh ^waqfUɾ^ KM'JF%yd:_Y-o/Nb\u%^Ğ/{SFO&̢!{fK |m?n̼{-T3֯͠#j\?`{RSNxʧaˬRFi;N8:3GQ(x. ؂xd%nrXhriеsy,1r(JO8;ܟ~td6:YtSL3Kh%nX`P !ڄRm$*ʇr)s<~t\ Ol&{78bW=js2W tLs}sfgӱYOЛ)O7pvsz܃ڼRǵa>%uU'$˫Nc1Kil݃]zQ3]#6Q$lYXьܛDO!0 َ`U~qsz([|8^z9D`[8xwͣRSſ/xLſ/P!E)b!JJ^W!FE x.e7Ҷ'`b%pT7^Yf6^/ ~6SP\h0F bv~RQL9kQcx,*QR1`y[pa Ĝ/e Њ 8ۃ@XZ}cX=ĺ}Al|%NbbfĚhc[Oq.K < ĚAl>b@b}H3+} zYÌ(V0aq}"/ <`(5(%G/b!"0! 5<>z BZ/HF9ZBz%BJYJD2@$ӕRk,1YVb;7I,1ٗPJJlG1Y"- D2 LrĽ;L r f:'=rPy;<$9㬟Y1 $g Q QU[_㬳!Q#_Km/t`k59 Ke`e>,>ڀAX:di#qåZYh* qrCaC엇#"f 7.OyrGbFa5\.Tr3ћrNC+#u˟CCxU01w?F4%JOF>xR0e^u-in@(lYrt~~X8&3 @g<ѧ?Dߏֱ*ֶ-4= @2ֆu5YjhR9-´Q^~WRNdc.Dڀ5_JBl Y<^߼zes' lzxge؏mxb7FcK>_1AbEV)?^ֽE8NG#eaR6܋{л˔:;z<6}h< xM?[ӣ.Fb4Xpbff6݌ w3{>*lpwaï߯mOyh1lt!SoO^\UoTmYK/hԑQxyWu 3:.UO!rW&'%SEI ,/$eV P 9TTwsXx'RGYl52[pPeƠ%@ŵ:{8+)ycf9X'\z;yN.=`NJ0`Ɠ0&FaqH *7 h@&/>Oϴ{O8=A}'&,gLlT}?Q_ۤEs~!sTȴ<#N- NU*0n;9(oJ5Nat?=k"3[??&$^$?=,֛}&}^s[ǭP9?ԍQz%tgMщ & eqsf5^W5n ::KGh* =*nKQ$CMUDiyo; ͌KEݪԕPX*VTԭ*Ojd{32TuGLtG{#ˁ_c=?#6g|ŖXlpJvaK Ky<`8+31lux̋%WwS@J9;?q^5Mˤ9-:I4>y568>i`ʤdeYXU,̜W|DKуG^zS=z@F2 JӀ]v=<9.R /Y,,>Ի,<t ]-iUg߶'#zc:xk9 QB} t1`듓3qP+!᥃ُ[`k^b!|W6W0ʱ:>rf.nB>fT}}=Ԭu=% H]V?LOt-L- O&עk )]Ԣ -fA.ḵ?M9Ƀ{xK / \:+I}6537⠚v җ܈}HuZŘ-PG}|h6,?Ulk<(--Υ)(bk-(` &<QgE2XIm7:ϗFRGE/e%90#Y]u!-u``;T1F(- Q Epܴ}~d;x-0yRkw_#G0h ..>ap3zq 9YA=sSԬWԮ0%l>řl'Ǵq]kca '+Y"gdHqUϊ(-sIV{9juL-H3+U i|V@BԈ"wVSX‚ @YV9PV :\yn,rvH*ڇ i+9ݔn 9qCNI-vS [E- W`̯`SyLn[QY7#f]YH2% nzXNS]^pE %%!Cq^=Xq>Ҋa8V{g5O0Vr@^ʑ2Й_iɈ A>saZ%)Uˌsy3\)H(mS{ XHF:{0,Ee+v"TP8?p\X=cT4$oxi:9JS^C]oJDb|bIBPĴXӚ`ie yzʝfak҈>=x`yR: c\QNqz+0s(`1 >oÅ#l :!A"+/(Eϰ#0aS:SQ:B׀a 롙,cDWfھcTTT(:!%p)DPP lƬ,1jȣ@9'Dz;@ N 7HjẠu EOVP@I}r GpG(BU+J|dъ$p5twK)URjFgVX`[xN]㵐K^ϡtC@f% r)sg4䭁X1bŖXf's7nOԑsD\8A$Js9 3nCq6Jr%垙{j P;9E6ˬ[ݠ_2dž&.rRP:Cpm[NjT*W5ܠ N D͇p*NVV2Ln*LPMWQp7';- nx' o"Tr1[+\_]^v?]\^.ͯjH]\|A|" %ɂˮA2~]^TX*czn7c$1}/O,9OT`.⽼ n`iҧpL,T_=(p:昢d7H ֺJy.A?4[lV0Q9m{@T^.^+Y}!T$"Ui&)H`{iP]:nMY࿤Mڧ qM*9Rou8E&R=1e JJ@wZyم$RҊ 8MpRaIS8:Y k'TNXޗ`eX (sq$i^^]piOX‘8jt))}"}6RkMw6",~X8`YO2p5 mQ,Y׬hzdQ(7 qE$تYAGl9UK2J((~#r#KFe}Yk{\`WHyx ?(ڀ!$"s]bݛ0'!)rfSr'5c̑3OhH6Mi@_%9YY+rm|v`mm͌a*8|A3pd070t&zn9K]/kz%b6J8dVrQxTt '?/%P#Z_.)_v&2Uadϙc2Gv~QCM8MM/%(  G2z$':p a_{eZ^#ٰĸA0JsL' v˝%H)C&ɔ5#L%ʃi '(݅ҝGw~| -E}] endstream endobj 538 0 obj << /Length 3088 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\PG044_fig3-18.svg endstream endobj 539 0 obj << /Annots [540 0 R 555 0 R] /Contents [777 0 R 556 0 R 2096 0 R 767 0 R 553 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2074 0 R /Resources 541 0 R /Rotate 0 /Type /Page >> endobj 540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 541 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R /GS2 800 0 R >> /Font << /F2 807 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /Pattern << /P52 542 0 R /P53 543 0 R /P54 544 0 R /P55 545 0 R /P56 546 0 R /P57 547 0 R /P58 548 0 R /P59 549 0 R /P60 550 0 R /P61 551 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC53 788 0 R /MC54 552 0 R >> /Shading << /Sh1 817 0 R >> /XObject << /Iabc3060 554 0 R >> >> endobj 542 0 obj << /ExtGState 2090 0 R /Matrix [53.2368 0 0 -53.2367 279.882 646.5563] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 543 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 278.5327 511.5516] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 544 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 238.0316 450.9387] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 545 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 234.6565 375.7804] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 546 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 319.618 376.1246] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 547 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 319.618 450.3776] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 548 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 272.548 309.0435] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 549 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 272.548 234.7905] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 550 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 276.5069 576.061] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 551 0 obj << /ExtGState 800 0 R /Matrix [53.2368 0 0 -53.2367 279.4988 714.8248] /PatternType 2 /Shading 817 0 R /Type /Pattern >> endobj 552 0 obj << /Metadata 557 0 R >> endobj 553 0 obj << /Length 18 >> stream q /Iabc3060 Do Q endstream endobj 554 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3061 765 0 R /Gabc3062 775 0 R >> /Font << /Fabc3063 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokWABbth_{t Mr4\H&3\MFd ZLB.FPBDqs9NF8'$])ʜf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"^G?+QO T>JщwlVbVa֦b/mk: s[({L̛h*$RG"eSRj)\Όl iTN`^$jQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=Js(O_'Ŷ endstream endobj 555 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=35) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 556 0 obj << /Filter /FlateDecode /Length 10573 >> stream h{[oɑ{ڗnU`Z3Zf,a\&)y~/"*-uSf4+ `W%/_tv^ʻ.9u^ΤAdf'/_Nw/߬˕WC^^A)\z_\m輻eO/xzk麻>X~eB000oV?`S9JGLP~~ӏC\?=n^l}8<=e .fUE+F缤SZ[yֽsCrSт)݆֮^6~7<O:Δuڪu,ƦV AЅ0D nT>}w#lN6Da!&ZP:|ۋӋݏ7ﺛw'+t i,H<&UwA vAk~B8%Zzl'\??|7<%^Ob*վ+uZAR T;7hqe\4!Q켱(t}N64Y۸ئO'':= hcU':{JKRבG;4- ,m⧑hzڴƧ/n'  XɵAp^Ŕ$2:ZQۘV"[,?-`qLr1u5Lu-l\ m Qs]"->ŤbH%A"() 2Sz2BlՕvRY"'BiAu`W/`H)>LHdzXhRm}&5 E4@k4)\F?Qf&&@bw9T>HGz]A+C<*LT>>|-ЊS?hڌHR=`{3=.(-l_. 1|dye. jHmb+?+R'LzWϱ>CbrMDGI5{ nlh.YTs};̏;|`Û,0PN!h ,Mb!dS8:KOXB~6.5vYZew#4XtQmZ4DWz_^5ƹz捅qqwd^0-Ȳ"muF *ԖrrT#pP=zXcs`K [VUISWe ^M⎋+$ jN..d^Ly)2T۟%x0/xcqetF.I `m'蝴|a6-N+FUgli$:2m# :gt@乳: V55V8vOa\b"PoIO (yEή+@mm[;6>$5VƢc|!fCkbn;>-~M3hl[†aV{]2hb۳;]WdVo=[)0@c5Cy~sȥ\ޮKrwP.ՌWp/rwe0f٠oy]e8x9BvF/aoCdҪ(W Fb𖎮4IX~# dcH+t/7룍뫷5xAo67nmHFiX}!c}ˢƵ[Ƽ#Cx=ٮN:;vmK8 (JKV6_` p<֫v4[/jtp%/Aeb/Nt/3 ^hGW듫wGW7݋w?" ވd1e7OoN6wĚʹޜˋF_6ؓ GR~wt~-/\#ڗTeh( C֋HĈaQv:tFe`omAB7o5i3+^rOV>*rD(ֶzhp!oEBKʃ&-,ǨMKqMAq8HHUM::^:P$eP}p)g=KtLr!̿ZvZ)_8$M 8OqZ(,g/5c vbr_@~cmA d`b<;6`gN/8^ϷχK$b["м[X1X,.vvNO)A<_Y1lSPeuL(/[V|TǓOH4OL2B_;Π/,q]Yw_.WX!L@-Oh$McgW_#% %BڟY0"%YA$A` Iy`ܧ+,9\8PIO|~Ⴎ_ j/7ݳ>>nH*"g*n h'^qSGN6 yK0w쭇-Ff)YCJow-/g,L~qGpmf9X\G"RVn?Ctl_'÷#+r6ʹMm^R:-6=cP ,Ms*Ϝ`}5j-At_G x'Rk9?c㤴H+HN+yjrJJ_? #% =!*LKI,;5Kć%'`f=qˎ7Zu'1vc h`SD4B!įCi#>ya\2[[0Å~ОxǷ/oL_ ݆_IR =^t/7Aa.˿{QBS.;la)8({1q@i6lƊГ*M5P" )Hږ!!"90Ȁ,K+9^$'|Lx8 |dy'PШz$ lg@G$D@$TkiIDDp {?Т((3Qf؊2JC,', Pg+͵v6Jc?p,\VG9pA-`԰w9;ߞw#8[{g 1u #~@9#'N7Q~`?3vmfDZ1p?&i'M Z/?%8;,wR˨c2l]r>_Ⱥp5#YrIۑj"N]?~r}9Bcux)A I5%@=U*X#ž΋NH[K%/#={Hg8E62P+BPҞ3{J#PpkZW.w?ga|gq ҧH;cD'$zF5Y/gT ՠ *tC6({A4+XH>w ̥%Ks[6t&v#4Gtiҽb`_QC\D*aKDuۂ3:ĂQW7$ I76k$>U3^//N:NkBuuą(.|>b!uC$=$6Tztʃ}b~(f5/PRSZKjQ;z_Kqc{#%/WE*- ENL8KJu.ChĿEN'`yϵeh=x9 PFbkPn!Aޛ: .p EZ:tC>VI^p\Ȟ8ȃbDy-D"5&Iu r\Qj:ʴOL'h!\ώ;Mh9N8$4ϛ5 9dvXvQv)/;kځY;UD'de) @.G Z_AWd 1;ez`5f P) %P^?4 d*M]O|%MdCj'Z\\> q^aoW'4]rb3 wCj ?Y gtC>ov!ZZQuк*0-T`G W:lɳGqzGiWooPm׿@z@uەרQbتW6^3*2H$!"6E%##+2-='q@VϞbs D{Q!"Gi iސ815q:ТMdY3't9%?3(wsPu!oD=BrLB$Iqg& Vs|Z uopqq\&cm0:0j7)cb'%v8HC^lgT Mlh;|,h7*)r-}nSV*!ؠf$e䠘=FKǤ4<-\n'YMF4>33jC&٧  g Cg|! 'VPy4)Z֜2ä svd Xp\9^Ymi_3+.zRێmy3|N&|j餉s;C Z0hkPVf*kzeUDҀ TIpɬoS”(*0Q{C - f˦zimOe--SCtf蝁J' iHeb+:B #m1}d.5aTzc8R(f?[VDФ G!T#u _AΜ%8˘W2X,{WyZv(b 2Cٓ@Ir#b-E#C]18(؅$oC*EARّp~҃!f"r`3'z{k?^b "A4$ƻE &,؟QM伤ҺbOQ60PȧvP;>j3’]HE;Os3:H'/7,JӉS,nHC&`;Yҏ_pQ{wQ?$  T(YQIO`0c5b$p7HRD )CA!6 cv_p\ٖ\*+TS;^ߘ{N"큩DM+f$+c]bPgx-.o[`IvT8LL#ޱ#23$00M::Ay.ō@$SO(ߞ "  vXGN~d/+e9& F"cdNqC 􎳐&ċC@K~&< ''FűN܋537[d?l!ζsQDdHPNTf$5U"5&sҡs)bfR$[6ǡa X(Axa3L6`1 pL8bC yq+ăBR0,[P WgɊАԛ逽 \Z0nG0Y5}igQ`B`z1iɎdǮU! As򚅙K7x A0sXL' pgbgqЬo]!FDG:7z ZNPm8Q L{7g[Y^0t#[e׮ew 4FbIP=-mɥKC_i_PgΝ:"P&6 mp-#n0DQ`5^6zҟ`sH@= )7HŢ.j 'Ʉ6맨GSRMj2_iVؕGpzu)wσ")(tp,6Y%T.9O< X@epYQ"ěĹcSX3]̨6jNRFP Q( A ^yIwuzR#X$-2$-.I'>hn Ē0qr}'XmK,Eu HFd(OX>"F?XGYs w`3?x)4\ljib!\K\\{5µrfrhOk\D$B \'¶fB秖@ȲdFFoQP7s/a}ݽM-PͲ]BX'?C ԃ+3ucqwdnfan]mG2Hh* |SBT#R،IO*ӋӛӣYA\{ԚG^S5BdU'(h"&h"i. IBӉ#xd(h/O=pQI+ С($Ak wiv)\B8m^!Q)K4F}D'GWf!s?Fc3>zω.QhlZ"2 7x>E  kL>sFh-iPhiI3N.5)8h2)Ύsd84m 璟gmvU+&j!)z/XLUduw gJ 9SRk!! :Kog3Rd  ,}`QᄈL`\iu{2sۥDs. ddo" 2{>e g:Lo0FKpLJTdbtX#2L0yGOIZ'Y<㨞Tl܂v2j]r_TDqdU8x'$I:wq$,gL$D~MOBdw S6" ~t{GY!CH d/rۣ͉bsQXq@XiA)!>7D9}H8B")d)!Z?;@F&d$3hQ!?4 Hm؟r1dNw:0 @9& c.؋zߘ~~p3d5gyqvkn=0!K*?.ɞSm~[<9we250Öei7MMqjnV&Gat`Bui)f畎M $CKKs1 $ ˥cSH,},*<~^/FyMTEKA EH lʵtyՂ'!{ymh5) (F1!w-  //_M#S\#L'J@PfG90TOk%Y;T9\=I]ǦquĎ8icvVZ/hZ֟:kS1P" LPYq$go"Wʑo9޳9@aRp/VBrN†2i602px6IZ̮8%SᵩM*5EYJVdz# ?.PoY-UAf̶NUʲ/u uQ;|vv;پՓDY<65UBC 4IT#5bS%݊MbIVR%a^RڒYs?/qWvXTiUid=L&LOQH[T?hyS! ѹ̹yCWRҷR^qݤ =) mQRMװcy KȤ< D X^ծJyRĺJˑ%s[*rۅ|+H*BUť2t#\LNb^"K:~QE :_P!ho#݂u5%Ӈ&U(4Urk4]i:=*:II5QO?9@z˪0O[}Z^w< }~(ų~i~1~Hԓס{vhOvuD߿ZO(^! A/In$r@BxpG1/qjI꒲Kj}tviX6m9zO_J[?M(G:E (܇%$2JDZ~]Q%T $sJЛRPRgf= p s<~pZ%d%FnUhA=jt, KG {gQhhInܔ'5zӌrrD ;6 N!aڄb O5p6J8Fz r]Xf.W^f_>@7l><˘qDxB2mrl'51L /-3!Q&an8 mrHJ'hGC4wȢ 1\@&2l&jO =TH$slêx.1NQ@ZlTu% {&]-{)}@[g6  <hZufk[bOwQ;/wQn8OK%Vjڰ> 톰Bo{J y endstream endobj 557 0 obj << /Length 3107 /Subtype /XML /Type /Metadata >> stream C:\Users\rkang\Desktop\2017.3\PG044\graphics\pg044 Figure 3-19 revised 7_2013.svg endstream endobj 558 0 obj << /Annots [559 0 R 560 0 R 561 0 R 562 0 R 563 0 R 564 0 R 565 0 R 566 0 R 567 0 R 568 0 R 569 0 R 573 0 R] /Contents [777 0 R 574 0 R 2096 0 R 767 0 R 571 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2074 0 R /Resources 570 0 R /Rotate 0 /Type /Page >> endobj 559 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug896-vivado-ip.pdf) >> /Border [0 0 0] /Rect [346.38 208.08 346.56 223.08] /Subtype /Link /Type /Annot >> endobj 560 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 561 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug896-vivado-ip.pdf) >> /Border [0 0 0] /Rect [346.38 208.08 380.88 223.08] /Subtype /Link /Type /Annot >> endobj 562 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation/sw_manuals_j/v=latest/ug910-vivado-getting-started.pdf) >> /Border [0 0 0] /Rect [473.94 194.04 508.44 209.04] /Subtype /Link /Type /Annot >> endobj 563 0 obj << /Border [0 0 0] /Dest (M13.9.57894.NumberedCont.4.Vivado.Design.Suite.User.Guide.Designing.with.IP.UG896) /Rect [387 208.08 420.6 223.08] /Subtype /Link /Type /Annot >> endobj 564 0 obj << /Border [0 0 0] /Dest (M13.9.54996.NumberedCont.5.Vivado.Design.Suite.User.Guide.Getting.Started.UG910) /Rect [515.1 194.04 554.94 209.04] /Subtype /Link /Type /Annot >> endobj 565 0 obj << /Border [0 0 0] /Dest (M13.9.62815.ReferenceCont.7.Vivado.Design.Suite.User.Guide.Designing.IP.Subsy) /Rect [491.52 155.04 531.36 170.04] /Subtype /Link /Type /Annot >> endobj 566 0 obj << /Border [0 0 0] /Dest (M13.9.62815.ReferenceCont.7.Vivado.Design.Suite.User.Guide.Designing.IP.Subsy) /Rect [91.98 508.08 149.88 523.08] /Subtype /Link /Type /Annot >> endobj 567 0 obj << /Border [0 0 0] /Dest (M13.9.57894.NumberedCont.4.Vivado.Design.Suite.User.Guide.Designing.with.IP.UG896) /Rect [412.86 487.08 446.52 502.08] /Subtype /Link /Type /Annot >> endobj 568 0 obj << /Border [0 0 0] /Dest (M13.9.54996.NumberedCont.5.Vivado.Design.Suite.User.Guide.Getting.Started.UG910) /Rect [400.74 466.08 440.64 481.08] /Subtype /Link /Type /Annot >> endobj 569 0 obj << /Border [0 0 0] /Dest (M13.9.31258.NumberedCont.6.Vivado.Design.Suite.User.Guide.Logic.Simulation.UG90) /Rect [408.06 445.08 441.66 460.08] /Subtype /Link /Type /Annot >> endobj 570 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC55 791 0 R >> /XObject << /Iabc3081 572 0 R >> >> endobj 571 0 obj << /Length 18 >> stream q /Iabc3081 Do Q endstream endobj 572 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3082 765 0 R /Gabc3083 775 0 R >> /Font << /Fabc3084 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!oU櫶_|ݝCvhw%TKh8rݛ f endstream endobj 573 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=36) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 574 0 obj << /Filter /FlateDecode /Length 4478 >> stream hZrƕϧ74KFj֕I$IٮEA$ky=FfS-}Ѝs?iZTenPZ R^tö WB3%ZA6Ul?n&EY{#bc,ym6C߮D}wbymJ]\ȕVE)+X(!?%j8/jK/E^8%T^X-6Ν3#ir-a*aQ`R'dꚖI{UBJ*:`2R O)D9\Ck3C ;|'QʼnatRFy]h(܂&V3O\[#Q[ ZSgQ4+UHavB\J%?Ẉ D[*yRi`QkoCc/S)qs|Ȕ:N9u4y$oV T\J9)THt8ػ&IT2&IL)]CؔZT%m5r*jҫmM-ߢ !eЏP <MNf[ybZs)q s8N`!B9`H IJ֔b҄LM/t+iU(hH(4YkET"[) \=\S" S90kA=p Ǽ!ɵ 1",h?)F'|ZՑ`>b(bo<Y.Zy|L0(Ƒsep f+6gln16J11X$"P3چ,BcHKA]Q UoLXLr{͘@=*^$"B>lSNr X:R8sU:Qx8œI4xqiT^zXӼO礈8W}Mh~+~)%?Cgj,L+I+THmXZ:kFW%؏ կ!Tr? q@zS_hlB9J<V )yWL D?!b4%㈱ |[Ն.Oj1j+j+1K'"$^/\c+S&^0%‰%Ry =YPId̜y3_ޣ&sU= 11(RRq8O8`)z 2i%(䀎,T$AvN@J Kap8jqrⓇX.<;R'gQ\e ? +&'*iF?d^|)HOlXe "9ZQvʃTMS4hp{LW#ͻbFKa'[soLmo.8!tLPCbKuJƸNZi!Fjξ~vq5s@5˭:}qq.V'Q򇟂;EȠd>!Qy?9<~W60vt9 =TYItէ`Rf]/,$[[TPO/dp)[9,DyWe!&~*I&8`1lY .t/@E: αY?_hom8K#.6y*>ٷr}Wpʚ}d[>Zk=r w|iv/VРU֋[?گ#a3:0?tMwV_6mYysN[Dl:zxjjXCs{%pg(pXIdyֻTZ)~D?6WriF WRb١Y*ѣ:d:IZʗ:QO J}uWc=4X`sR]6,wuL?)D'Goj_A5NP{ -pڿ͔9#1FOS\Y"D2U':<{`uEm۟0PY\6@ 0!8: g+qО;$# PhWmA+,E6\rp!!8p2 0lj3K*㤊Hã,0iDdMrh -8P,SOuj v `a۾mF̆A6MCJBU;uaTz2Q Ну#rs+÷#z\mwO0&+a/ x>}jT\V/'_D xPcc`.h#E'Z`5 @ҘVM7h wa ͬBbXnu>*&TXm;>S3]vn6biW?nB[੍?#R >9H~ V/Pvo77˞v EtGၽZl{uCfe\5N &V~Mp JM _(j[@D޷?Ua0_[=9h'DN Gpfwd1"-Ba]@E6H:V:F-{xEQP-`Os 3/^M k*)Y+56sTg߄ \1$@?xo(n.J`:9[3X ӭniϾ82L/,.ȸ_0]>'nx@( PFWr2}`N)0;w Fߑ|8ܹcKl{gUn Qᕜ}L8>h2#LW ԓqZ8=oLG;6Pqy ](Rj`lxD4Lalr!R{y#>N4JRP)Ci>7;oG}.s,㍒1iv^|DK:nj\FPFK9if7-s,%  Z=Hxӽ{_@i<{T% R'M9奭=Azg+ÑI(7qU?{4]byٲ6Fh`}釥(]z7> endobj 576 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 577 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT16 795 0 R /TT2 2092 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC56 788 0 R >> /XObject << /Iabc3102 579 0 R /Im112 581 0 R >> >> endobj 578 0 obj << /Length 18 >> stream q /Iabc3102 Do Q endstream endobj 579 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3103 765 0 R /Gabc3104 775 0 R >> /Font << /Fabc3105 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=ΡDHIO;p%4CNZu^lM] endstream endobj 580 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=37) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 581 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 596 /Length 37850 /Subtype /Image /Type /XObject /Width 1221 >> stream hytU{-}>?mv#EATfF"Q 2 ¤ DPFAdTfA L1d&!sNp /ZgTNx]{U8쪚0-٢>X(ϞjSFDD&2H4)q"|DDDDDDDeR }W]EDDDDDDTj K<>kI|mv(U|ye;F=rr 3ڊgY7) n3 ʈJH4:*K䢣GlI2>7ytNȬ _GDDDDDDU̫h1Q8jkIP^vvzuYZ8^N0j2eWj*Nc9Ggjv͒m[m7Y-+>;N#"""""תdx&rTsWqǂo5_{s8nʻoɽZYi+mklk^hɧFm![U5Qmܺ#דrme*3,evo2J ];vμQT9.DDl*NYT?7ڪcj2~gj*N>~ɾҽh [HkZi}DDD}y\0Iթ;cNPU]u~B>Xqos6m :8xV[cc/\.RV%T>RPyN18G5[U8m7 * ~w6yOY`[F۵Ԏd{륇onq4[}:ۏX7fuO ^r-dR*WJj&]ܲ3CGrg,\h~Ol;)ӻ|]UU-UPo݊>z13vfkյ5gmIޟy*]~] s dGRgɾTYͻQKjjfÎf֯>;uˑK鲚ߪ۸N18’XxhI5-]57'56@}mYZ[.'kKITSCAD[Qޝ\%uaG 2W,=xdȄYOٷ֧3Č")ٶ3߳>7dGaY[w!I0_G}k%]Q0ۼSvy>ZRj5`Ýz{Yfa9|ԦVMO-cwNMΜνڏXuQImRMmIS]ɮsO9:9͵{2^&=bM)oU߯9}tn>su}'qrƖoghkIOO~J}ߠ6V%>ےl?!wqz_g_Z}O=)Q1{~x1? 1?>qR|sV^|"p﨏վ:}uC|Ï_7\o۾S[DDl*N\xVJU5)U5w'90p86qۤfZ/kOiJGDDlWR$ܩϫ5w9>u y]z;-LOs*ν3'Bt#m;۾aҎjvo;e_illKϧ8PI;{q3;rE癇nkj҃G7:&Uۼ4+4e~8-3 (TtUTM7$d'3MfW77n}5.lvqYCN#""WSk'K}ߦ|m"iKŲzV#ռ#G/$Q) tI׶9xthOmfڧ>D1N|Zяqr6}ڽgnuω.tzwkw'o,sG._HʒcyқdF4NF;LnI:wT?:ڪcj2p]jޠ8JχFaZ zC}[H!>DDݧG}v{|bJYYyEe嵬-{ÃV%VVV'~u)+3;Wꋩ9KVIlƬMMϬR{Ov%ߪKPm_ q2dt|FHHfդ[*d_ i#ȱ~ewqRV*у8uI&$dYGNueW~6=n}[uopW{)e+Kν aG?ܥԯmҭo>k'nP9Uo3v"=HLD.}>1xZu@YgՔIcx[Oem^r渊)U4Y뚼UU8[wo?}Ǭk?reKk?j߄m~#VHȕAkkoJHQ6-kϤI{ڏcdkvA;LR{_IO GZM~*YŮWu7-wF_kD$:7UDDDOdYGM;ir@SSu)w&͒+ں~|l_Y'!ѤRYUJH4}[WqrfDDDDDDDS{.XɏvqR+k~@KW!NIIp$qRYY38 tاCBB[-4ANI)C}u&Ðqҥ_ҿ99Zy9z^No6lX:dAV=ۿf4hPDDMaa\jlaaam[/#hB$&~1foKWLگC{jrg gΜQ%Gվ}Z&))I wTtCm8qL/3>Hp֭Z:'s"1_.//oeSQQ!Q?@)T[^zI|#@Su^_jjoh3b wǏcǎorQQbQڼ;Xڠӽ{w|j pnjco1#1Ϟ={ iصkWeУG)MU||TXzMddl *CΞرCf)]=$K+W ,[ٻGdNNz̵gl}u>c :A_dԩ5 ;Xr\\]J,vptO<)D=.xbmު.IR$hԨQv8//oɲO>Ǐ/,, ^zɪ;py_=)U<,㔑I:Y/ έ$00PZM6fU08vC&WJ\=7pQQG;.H~̙ͥ\ 'o%xd7n;p h"Y^~aÆ| ,Zީqϗ,#H^MdTTTx5o޼4]8jOϊ+\#m 6I>U3e}:ӁIRs|RU|Td4sLW666Vghh7hHb}jUt`5 MkQ( *\ \Jd|;7ϒN`:Gz;2!!G[ѿD ՘[;9Cߛk/iֹ/cǎEPPq *P3qR费Jeyҥo>WG(u)c7hHݺusP6!Ӯec|Jwx-vYUTd\I)C<2I5)Ȳ5mnذaf]ШwرcjPE?OZCc4gGZuu1hAX⛬il^,KUTTsn~P_4FNָ'/=Ng'i';IM%PUeKLܹsɇiuV^-%'N:u,YFԠ8i_P`_4TPLڡ^TAi5>"WtܪB$d)<(GeйsU*{>[ ߝ,,,lPTehޝ;vV]kxi,YoT(Uqf9jis[U\tIN(QEË/(W\Q=44m رc*.}׎a=KR(133nՔk.\5>N=Y*FSDD’%K777nhpTYvNN}ZcoА9¦:TVEQVIttt߾}ء=}Mddl3f|vr5CUPOe.b8HJy+>ᅊqRbWlD٧OY6NΙj iͲd#Nx'&_`U5I#Nx'&d؜r$R8 k&7܁ Nx'fdj~g SokT'zqʪ҂/nGT'8iȬUUe q;1nvVnV*Iq;q'nn ohdT'8yX:,jdI.N];U#Nx'8#Nx'Z'6K' oq܀8 n@7 N' IK~6""""""Ipf' IDDDDDDtC$""""""a#dzf{មC_]w,u/bGfe%eqy6&N&O^}`ӱ.k5Z~CZ9ng8Ʀ|w&j$Gީq+SG<\P?s_+7.-<;ŕcŠ?'ײm9y+/ S neAU!&hE 5NMI5DDDDğ]d>zL;lUH&\8+l䕳3F,iJhmOEkxMʁ4 *ʛ jxXط`6k͛ߎ޾ 3{{ Eql{K5<|B\OֳU+| t?N6Rk6-?bv 8we>ԽZL_0{ٷ7ƫN7B.%N,N$iFqԅ bԚI B[8u۝5<{~f_ǭR(f%6h׵Wfz=] : %_OOii{hqU0O^[mhSi9$óL?P;5i'~{7|5r?{Wʲ*LN֭{rA_qC7oZ-m.zZWzmOVqcV')_8¢ERK+XA[p:x^?bAlSCwiI~NO˿f~Nwm»ovy i1T8:UG9YrSdbY hef0lNexe{+pl~jix]w1w8)p'<*N9vҶw䔽>'ӒFZ-xL@U( rﭟ{ru#-wN )?Qo蠑f 9R;{zıkCQ tN8ɛs^V_]}ӕyy6,c/NJnxRo1I{<+K]UMJ5[%mmۺY+0~|ʲhaĨ9g}efPӬY3 Onezzv,v1V܎j6jn-MtN8I}~WuJ,kJ3|Pmg 'A|~٠Nsڕ_H[lz$6j#3ɉz`5L_=Kβ,%E23]s7yٻ28N/-!7zxAݎ%NvR'#?k)qrGgrg)Vqv}]w`|wmqH[ z=w}7oVV]JoU31߽K̿:yžfqqZbpI~"0*cWY?:%WEYM}>>A9;3V*0:L_W_ԉ?VBm𥇈8fî4NF9.N~9g3nզi687;iR||?:NԛZ|h{Hl,9UOu*V|WcSx~NʢoF_ þ񼔜OΑI',WMwJ5cԢ\KeY~eY1ҐI2Ͽ޶u#_z)ݎ]ޚ6R ?~Z'||}>3 _\'∅<Ů7ЦM FU83Op>u,rs4'>]{ε#_FwѮ 7N||+2w_#=6x.N|wvVNo6NZ-/fɓ)kNwm_M=biDc߁|~)ѣVM@W2eDZ +&巿ҥ߬%JM88_!8u7wz&/zL_ݧ#H<슈89 dYQ:OXa-NNӶvvrϓa{6a哙Y a3Zq {-#ڕYuUo㒟%r """"znIe}[XS}[Z/jdGl>/Ɲ;! 3nѓernz*N""""""J$""""""!qݐ8nHDDDDDDD7$N""""""' IDDDDDDtC$""""""!qݐ8nHDDDDDDD7$N""""""' ='ϟ=6~\pkw<,q~M'%? 'pL6[l~wl_ W] {⤌^-G$Niv1#5yz;*(f%322AR[ S^'nn*7m6kh--.).$N"'MF-J/4u:xct㠌wWotGv]sXh1n_Zq33f:"K^^kf*ժTS,N i-)*-a)uw{GĚ;'1W8]15MIA_ Җ:ތi&1W\6视8 M4m:REHK5h)H5U߫dMI},˒%Ņ9vq#jM4NOW'W0K RM{a,-.,)d^O7'}ܫ{0Wm]8a9:Vܝa#':Whȝ mA9n>Nָx$ձB]\H$@#iqҪ},.,,ȻqîN_7~\>VAhuvx4΍ DdCCG6IvuUIOaak׮^'%7J,%R|dP~;P SmmFhC$68vs|pt_Xsq1Nۚ*!K/<322jtR%J)TRM8_R=*Y ?''zjJ8Iᳵ [ݎ8i0q?7 ?7۠1QRCdHe> =|@ױot3cْDU[ RM8c{UdnNf䤫^'k܁84%68y[':mz\&y<5;|? %!! dBeکɺ =㚝!YzzZRܣ;i~ ߝwSI$N'ok_<9J=Rϒ5^'%HHI3$'$'],w]t^aRߣtÇT]nx?73s 8IаlyyyiiiuȂjϸjxUWnRA$>._4! @=C1^'8IyM"""ʕ,E}>> DpaD/oIռ ĈzӤ^ȅ(ƐB!#DBv?2vtZ4mt:k1yWϚY]gk]szq 'Nڅ+kDDDD]jHFr2)YNu:qt>NLdAV}˒sz+':Ƃk\dNɘ/<#,x/$"8'I}.V}5*J6dUՂ=s2< <\̯E)s\9N}$"89N9;389K[ %:k!HJKZr2CrQ)'v"I")L'˂ %Nx(YՂ8 H^쉓;} ˮ16_Q'W/ʩこD'+d\c ٴ׉\lI8ITcN~iҁD'$ . %bUYN՘9M:pdʜLy CJI8I)N$"8,'0|'$ $$+'21guuNL%+_p=k9LjNIqs3흚\4K;vGD'FܐcɑCIQGz 99zSw %v( '$յzRb]Ԝ+ܳڸ8iQWdH^59iQ+0-i\r-.$#9ǡd JʎI:vy`5KmODpZN: iI5 ɶ":Y*ʒMݏ#%!9|S-H><"399΂k!HJKI""""^NArrs$'}wG閌K4\,Jx9_(9.%Յo(+09h5i(2a_pȒG$ )'ϒ>N BRP7KnrRBnt*R%u3sr4!NIfI'}xt*2JXppN욋͒RCIZ_pZ;% %M'O";%}48S)/@W8 'd%!)ӵZv众"8^m䉂4.ߥ>B1 pNpr'MH^ϒƲg.jNSO^^")ptK@r@,-Y$HKr N,-,97;hNnOM}R?D',Y$ߩh(y(dU ө.*KIZrr]NVI\JWKJHIzsߜ&8IDpZN&;d5H '$54I"rr$"wW8p$Ʉ8iAR] B^{7KSץ\SJ9!y99k99⅖~}sMaO=Frş9a8pd*t %{e/^d!HܚK8iQ<_lk $˲$e'?&!k_TNeNwx׷>)yk׮g뮣>uN燮c? NLWI'*"?̜Tlƃ6!Ճj O'WQo`4QCIᒍz\'Tzo3\vU5'{'py_r Ւk#^hyqԩ={o~8s̚9w/~}q>%ρD'ϹI'uTJK,x4\iIcU=i\HB\\>4Ƒ\dW 8d{@YLj_4Xd9ys }up _.nEQ?~~xQ=NLoH-FJҾYRWOnE9Nʒ!kci_f55Xpd }0,oj#^hywޗ_~ֹ馛Ν;w^;B^ڹsyNGX2^8IDpe׷pҾYs(i\; ir4PRt/tH: 9 %+_p]x5%d_9 9ɲk39ys -/Ξ={Z-淿W^yv3Յ8_908IDprX8iC~NeW7Gbv\FpҶɱN dCɦ-\ +/I8Ipl֙׾~/™3gěbuu7g>󙳜a8pd|KAr΄nVڛKڷL'8-i,Է[u?:!iXg]ՅBb(˂d\ْpwN=v~/]eC89U^o77я~#g?|P}_U08IDp2eN|sI͒z[#wJ˕'Fd)%ʱRYp]Je5dpƜӤ'NH:璅-$7K M]pdCɫ$+'Ost$5$K~X2JV:]WeI8ITcN4I"j&'R - %Yp|u&Βplۋ˜ƜU8ID 49Y$Zp=Ăk!H6aNIqs3흚\4K;vGD'd\^pLpuH18IT~I!=wQssjR?D'd $Nx(ٴש\[,v[Nղk+6רY]j"r> ,d\VdcZpdh C1Lx(+DDDDp|NV:22R KGJoLHJI8IDDD'dHB >N@R|lCMNÂt JI8IDDD'I'$ Ntӏ#[DjW/,R^_ţ6GRQ%T:6Փ Hn>ؖJ*k\:cI8IDDDDp2#d:'}4(WI'$iIc"+Rn|ĆHij븱Gy|ɄGJl3pܳ{j(뾱"Uq2%3o4n;Tʏvc9sȡaI8ITcNӤ'NLH:璅-i %{s5P/%{d :'c,)J<$${S9/N$"82'K- [i qʒ:iI}:)|"T_(ޒpƜ'INL%kHÒeAW:' {8_,T1ׅ}T{NӤ'N&I\KJVװ"sL\:xryIzUy9)~o#U'OxT_ W]yΙݱcǍ7޸m۶۷W8uٶ>WIytZ,ގ%Ņ W6PpC,YXR1gagEWLpžp]]}ι^{5y /u]g9uG0$pKI57zlީq!N3c8IDpBNCr?ŒP\KWH&s2%,}Uq2s(! 'ۛjO=)dg.jN_m\jGdZd $\$%u<ɬҒZR*(Յ6O<ʒM#>TږD5jV矈dBt(Kd E撛.Nq|=T椎J{(~,i_YQ鴤I""""E8Y,nt*2>EYҩcJ "q rRI8DDDDp|Nm(y(DC,3qRB/ )Y`(o9J 'dtu( %uK*?F'';%Ü<Ic(\ pNɒ99T /@p?Ҍ!յ{ݫd׵v<,KI}(9}>GiQ$${>qRxo_!ɂk,iy@3< W1\ i,EoI8IDDDDptN8)PRࢀ%G@ ʒj\ZJI%XtHIڵ0?7?Ԩċ.^z~NVIR1Mt(׎"%'YR|lPP> s2dɖ,ɪ,y|+8IT+=wQszlާz8JMr} Iܨ%O'mKHy}Ŷ* ƛI%7߻JF^tRARZ%Kx(Yؒp~oaɹٙszx7.#@DpݑN*Q %uQڄ]i%Ԇʏ)kU)R]SH{UѾP÷ '$՛{vBX x7#@Dpr(8i*Q (m6:ii/CIuƼR)KNCIR %399͂k!Hy( '$՞4鬬I"j '?CȲk$'}wJ[ӌ7J;%MNZ7H8k(sr\gj '$54I"j&'-N yȺ)2}IK^Nlq2HuQPP$Q]9ON$"8 ' 鳤*OI%WÌjȤ&J%Iu|H\c Y~58ITWNӤ'NHK(̕.GWe&]"} 0h>bYR<%~^'$廌k\p23ۛH@sZ'5Re\c +3;;cǎoq۶m۷o7px$t8CY^1H:Z,ӒFeApC 8J8)KT4?P,*Kq}Yi{>[UxNf~\/s뭷>#.n N$"8' %cɂ%q()f%Y,p,79ãO6'e1<'M̀ c!]s4>3/~kIIHiy=??/tCױ'N#9Y% /o Y%c ɮ %}I5T %Tˮj$'ݨ,)999p2^̜=erH0'_=pI|ž%g(|cɵyo_}||kZka;%ρD'+d  /NkH+F >NvM$O_gभK}(YD$d&Jd3}r'/*\19 wyΝ;|~̙39Cx%}OD'd _p5J<=W[}wJ:-'CI]r'A^br/l1)s|/۱cypa{$Ɂ;C*'JV]p-iǬ4-i1ݜ\pptr,>,ۘ2'uu]wN;_9N$"8&'XpH*KINI58'(HIq)Dyu 'r]8Ky=r2h2 9.ؼ<9vk&_xᅻ,NLYpbIG 9:9:y_14ߢe"XNvJodl_k<9}Cdž?0 Hϖk'ïc\휉{yZ*NL,)>9>HfZR|l\ƒHfV̒;%sA2T%$^䤵 SӤ'Nɼ Bb(Y0 %aɲ Y%@r$8YWN4I"p2S:m(Bk\ЧW/78-).: 1lU4Ix(Y%$Q9yӤ'NI ?]_6'I&'VX\R礴SdS\c 9PR$$+'21guuNjtr;o=TY6*R社׺IM6'Yp͆d\$77zlީq!N3c8IDp2N~ow|Errg.jNSO^^")s2١$ 6$˲54NeYRWga4, 'I0nOM}R?D'xoYlڂф\g-}SPҝj|B[ vѐMuݻVE)^5LA%mK P2ǀx$d% \EB22, 'jstVVV$9)ͨߘօJ%JJ: Yk.N@PXC$,pޜINLNnI^ӒޫYR>2WURQ\ um@REG )ҢH}\ ԒeA\KIs&8IDpr0YMXxkDi#7'嵎J{(dP2b 3 /P2ƒpƜINL ѤOtAA8S:%PRrgFc^)/Z,\U^?߻c>gi*P8ye=z';t_~#.ԃr۶mw}< W88IDp2e,N[7E:9i(r"b(Y;% %Mזd!^C,JHd^ٮ=G<NɍC=3dC;oWӝ]w}}嗾311|T$"8'ǃ7KNDprIώF'm?ڜp2k( '+Jڻ%dy$iR^CR{fZ⸓j#~67^{M^/ַnnAS_ǍOOD'+ϒRNHMZ)mUe`(i_nQj4hꏨ;%7, ɪ\gYp BN&IѩK'B_ɵ#~07o馋/kq!4ꫯn߾]dǎo8>%ρD'd%uHu/LSH_}ٳH#9Sd5 Gk?Tǻ!)1?eIdM\p2NO8y۶mߜ뮻#?я{9rp%}OD'Sdϖ&*`Ic(Y1l%HN>C{W䵸~333{91>''N&ɒ\+d!Hxu&טVP߯qJ*?nreI}:i2lIρ=:3I8I'v񃡿?y'N?ѳc|*N NL}dYdWc(i+͒aEIEHC [Xv5d[[X |N{^ 9IKj2'W#O~'8'NV!Yr 1|/d͒C '"8I"d\IJkC*8M:pd"d(YՂk+Ӊ.8yL<L C1\Prp]^ XFR[Q8)5HNxANJQ*NNm% K 0uJfrRdU<^WeI8IDDDDptNNvMۭmN:7Z NG"=HfsCJ6mu)’p;'e ';%Iߝܼv4 i %E';I""""RNw%IےŒNHNvINNN8J.&-\DDDD'KtBo6W}Ajכˮ֝]N*HÂkC\:, 'Nq dO!6wo-ovğ $ۭþ*^CWqR>^ւ5pNYRWo49ݳ%b,9Cلιd%.{{sYN,KY2P%g^pPRИKI&8iR>_=.(_UK'P$oo""""8Y&' %z繤IHN9٨ iRBRfprY8IDDDDp2i %Yp-ɪ\{" N`t*9/"8): '>/ADDD'ɩ\[,nЂqdPR]7E:9%\d&$YviM8IDDDpr8ɂ ,=%MNz9~' 639I8ٻl L3}> s2d~KDDDDprLv(y$dS\S4V[?ߏ~w"(N, 'IOhUrѕN6{[p=܂,z:!}\ trH.wdw=r2[""""}䔇r YR3cK'*J&<,l@>H\%9>-NSY!)?dk&'}u([CIݒ'ɼ$,#\gqRGX(?v=b-J*TTgDwU/~%$epQd',I""""8'( NNwO' ͒JʏEKq{U]H3*9/α YN;jSΞC_-AK"""p2욋vaC'79yʧHc(CRP:ٿ$ Ȃ+,I""""8)qJ\<ԇ:PRdCي,I8IDDD'IF$k~tpRJ1t^ rR^ ɦ..$ZPNI""""8?N:h[ҞHwJNuV]k-,,cLH'p8x9 ,iqlNy;QPi 'fI:x Q<̀x$ޒ8%OuDDDDt,NjnHGUY2סJ璊qRIY.KI""""p2s%c* %Xr\WaI}(G "յzkƣNHZqR^p='$,'c Y%S^pтkE&' <ɭ2G >TO8IDDDDpNq(Yi\c +R.:9%}HIEH"'89iDDDDprd$g+JՒ1C9V*o,I]ۛNCH:Cɦ..`I'uE8Y"(;$$"""d%CVC.:Ei)DmKwGjOwYLʹYNI""""8WNx%ɦ-%SHfRٖ%OiY?N'Ni'e1vKl‚P %{1,%Zr܆N,AN|xԧQνVU7|ŵJ>f [p ~X2, 'h9s1YQi~D%4hR %$ QLv(ِ(HV 'ۛhH9+uN.9iR)R^\SIECE.HZ YppN곤ɖSnNr]9YPr$ $$""""8N.ov:$38yϾGq _$C뽞5Yķc; 01vc% kH#H]@;NPTS=]3yZ4-4X;rRi}6%r2C)INdZ9I;$7%ի#3#sR:aNljuX!zK 'ɵ-Hdk$ڴsRՀ5\G;p$'s2VHF5yفKKeԒՌ6%nIWf8vKfCR( '6%֦Z ɦ\sWަZ9TN~QKdǛY y0zg/R;VۗˎG8!)/(J[E^ؒ$Dr2񐼔Ѧ$B2ހZ'9i'FQiidDT,rd9.!oƦcNrTE螓vQIr.'9ǀkk!9ڦ8'FwsJ6ܚ,$î~8u| =?8xr)Y@NvkNl屢\u/'oJr[s 3:ڨ%WEr-)\xT㬁3򏨜ty䤏f*%Nw|^͞>uB|RwRUPE{S7LE@N&iIȖ)*$ǜLw$ A9i,&Br%I?z]ES%gg*OyɣZ.ksϼ?̾&rݔ"KH_bKFæd`N2#$oIcS2㖜ЃtQ-Ys7mpo{R'Ӷr^?C{O_#/Pq 7ޗ rss򒕓vT='IuEC-)QOH8ju[r܁KH$9{}6WUsL\)O*?sI;^": ~V]D?|왝/=c~$r6llx.o/r 94^)i䤊VNgrsOIcSRQ>+k$ޒ1:k[=$UN^]^cs:;cTiRH$'Ӹ OŸwq=uˣOܰe[~@{oһ89q9idXN 鞓)JȰaH{)Zrb97[rz$[R8-֜Tk6o{`߿^nxZ89UN6v[20'K9iTd`NlJoJɮpz6%$978Oɖ%g~35'ս&/ʿi{0a_#'dd`H9dXNvNEd+䝢aS2.!YtS9'g+SmT3G~hl0:4'c{Sa߹'^"_ ^N[Y>xhN!i))[ؑ._)ϲ/+2(pm"$w<'k۷m鎧aO=}s0qJdt4M}ڴax E\K@NrRG99것WGi|omW}IJ_)ɀkŖ[lemٴ-}td89BH5!VHfՒ>d\ɎI9 9lN)6զdVC 6[9RN&Ւ])yMɮpRlQƻ$s-oJmu}I#'oUK=ڔ4Q&Wr'd3'ϭkU|v$!9MI(הCNNZ%ItbN_ůuv'pm"$: X ۝T-9ɰ+:6'<ɤBW\G;ppIItnN3M>7%ppUZ׼r-%ItnNz5oJ2LHvޒfM2W>iiK @NG%7ն\<p-g<-Q.!IN7@GdaW*'QR!y},/_9P-yx[Ւpxр˦dQE6lIrNThFNٝ\IBYJ+T6!TK)Z iIrd9i XwfNNTa`-'ߔxSפ6%9 r2aWua!cS%'}I;'r2d݀D-INL*'퐴sW ɀ,9y-'ﴤV[NjuX!Z9HNI;$jBE)SQ4ZR:Ɉِd5bv%r 'ەR_)lR_ڀFN:+\]BrMɄZ9نג[ C2l1$3p-gܒ.!TKǐW$'6d+a\Z2dV\gIMIr '\a9xKd-TH2W='6򌝓X^`q9xNeԒ])9ŵqRROHu`E2'{B9 r2FNv̀ BdÜ_,>Ss7I 椷ypx5M ||W4 sRI]@NM$ Iޔ ɐV;'eK '7%p-e<#oJa50'qv6îr 'ۑkCR*.kQt됇\ &jU$9 @NA.9a\ fܒvH @N&jؑ+RIuoJ2k@H֒$IɕBT-X2+s)9?^$9JN JcUH}2d5VH<ޔh˦$9IN mJF|THmuWѦd-INL&'fN^q~U 2ǀcH3VǛ->r%'aWcI/y؇R.!9dN\irCLoX]r>:kזB2FK-Mil\v~}X'6?8 dsJ{[#\=p-3:YK.y(6Ւd9v}r2mφ_ IdǛ 3.-6%srz-r2t;K<'Zd9M!7%ٔL%ΑGu0jHH}6@&: 'уd9IK2+$ oJ-zWF"2@^VdO"'}x_1<9jN&ypx%$3jKVkDNNa;-dk'Ts2W>oJvـkXNFlJîvBî^^|9v&pxU?i-]9^N{8Zʸ%]BrMIO\r䐓a %$'=Drs\<p-3Ld LHqpBڝ,$$ۅ쎜nIlIqk6%3pM%'Qh:֒$ɤreSRUdtNf 3iKH$']cX,b,rR I5rf$)~R,ՉX?ɦǛclJd)FK"' Y*'V` $'"ADQzjlD{j]ed\!I lJFz֌a9$gS$'ɴsrQNθFKH2/$6:rK '3k`NX2l5M\;aSǛp%'Ir2"# 1kU '[2d^\]Bq I.CR$9 r2MI\B lI))s=$I 'ɶnJf=#mJ<ޔl%ErT/5 WnJ:*+$9 @N3'pd%$=psRD(H.װ~49IdG 3)~pw2raNVFNL/'I\d'9TEh^2h5,'+ݜdd9˦d)yMI#'EIk5,'+֋%$'@N aSѦd[Rňԧ[~{&d>6RIrL7'3 knJu%$mIj7Ԧ9TN&)ӓxH2 fҒ!IN79`NQ1]mId3;03ٔۀDFd-9CNt^=!KRml;hT86-MI=UZ'p &Ғ$dsؠ4v*Zk&IX$ f>THf5Z9Ȱ/7%d\piu$'[N^kC9k'lJ^xS2Jk-INL0'֫Aԇ]M59ٙ.!YhSW$'=uRz͛6ܳ{'f%йa0BiQɆ2pe5VHNz)TKܾut6W^<8Y#dGdR-TH2pޕyB!-)/I?TJ"̍奅Ņ|}^͞>uB|R6g*ԙ|#oxdR! _\!ywqJ;u)q@Nv>(\3o?O>Jy';yӆrƥ"!?BN@Nfpu !-JNKVs3n9)ݫ˫~sNƉ?~JYi˯nv]QOs{NF%\ꑋ%yإ#-l_Nv€(Br2MI5J }$(׼@ruUţ zKeWJHr󜜭L=uo&LMJ'Oyͳ9㑓]woQL!ɀSHvkՖ,;شH{rƁGْ9)]۾mOw\K 'vuٔ4rRp ;ɐM,)礷ypl$'lsd Q%#rxEd㜬ϸpz7ZǀR#'@N&Ckc4F[e-BR Wd`QT Րz#6%+oJʖ$'@N&`TˮKՒ/\mJakMY$'@N&--bSRcK-TH^xS2oՔ[9ٞL%cdٻMI\;tU$9 r2t t[juZ.!9$9INmLB\+.$ܒ$rMɂǛypxْ$}F]?ȕ>W?rҟl5$pz7Zf$'DKzm[[6O/@Nyp-z<7%[NN]GxJ|mEls%$Gؔ%p%I+sȫ.8S9aNc KH^xS2oՌZ!'ruVZ]YbQ+NIrqS2,'[ ɵ-)J\gpM-IN$U °I!r9UN{8#W`KI򲈊T( IQ,7%peܒ.!9$9阓L9>d7VrR>cgyՒ###!K|H/ʈ||eE!쓄}ftIs'dE社)iꦤGn1U5{AXKZ(".!B[L;'M0rۧކǑvmݺuKKJ%=$ջ5ﶒlڟ'wsV<pMI%IttNigN9nJZR~P ;-*!k7HWOZ%$klJ$9lNFWzdЀraEʃbHNW서.T9߀kjw $9˦>jxr9YIm5Œz$ C_or 9oJFCNFTzd1"'g͜Z20''rYdޱ|9 I6C^ ;\9nI#evH*3Ʀd@QΚ9ӭFZʥ.5.-INL6'ڋWPj im՗ bЦMmJauWWՒ$4r2,$MI ݒd!9Ѧ$B2Wr肜0'jVC2͖yk'lJ6ے$r%$ oJf5:̀ke))[9TNsS2oe\9p]Ԑ '[I\cTF :d$'@N&$ d\ 'p-1Q.!HK 'SL6%p !ђ$ɔWdSқ׺O-INL*'ypx8 ɶ$9ɿdEdV ɚ-INl(CW\ Z!i$9 r2sKdn+g@gdqL0')J-IN,M ~$Q"$IxӥsNX,rdezR 'Y,9 @N63)dX$9TNVg9bIrUgrbdS99_ $"'ɦrra&, 'ɥydX$9TNX^IEN$"'@NX,r$"'INs+dX$9TNʓ2*];<뢉kĕűOlظ V'\{zJ 9 t/>>+,Mǰuw ϋxOCSOIr.ڵkR1 7Ξվy'$9 s?'?mUqeez2kW/_j#<S@ԇbodՁ~qLe*kžc_91OIɨ?%IIGot\xK'~}ՙ+l9rsH'w)xOGI*$b/dTۿ\V2'˗ĕL 쯯tSЉ;r y'!)OIrֆ]o9?ܼ4/_\eNbq|5Rǿ9~uv9@J<`<%g$';'oi+vN_]='ɋ_]W.bf_#%G^?%.H@{Y)$I!:Յ/ĕK1_{^_ %GOaW ~=A SRoI)INŜKAŕ7cf]/ߒaW ~=A SR~R>%IICsrV-M}'doң.Hko`N?%IdskVrr`x@z{ S@9{o rr˃+ю9 Go>@{9O$'zJ2$];/_M\#G6n ?dSOIr]Y,V~V9bX,a?'M9 M/9 @Nb '1I@ $ r9 @Nb '1-0FNʐ"'avV9 ܕK?ǯ~ o0 ^:{C2$oXI DK^xvu9#[O=t]džg|Cx$@}tG}G?μ'nS/^6!JUJ_eqK uBN$r>-ۃ׆sm={{{oIq/b}] Ɨo9 }'nS'rIdN~/Daj?m0'odQ驓@ɃGjs~'nSE|+t#$w9ރfֳ&Kܦǣr~wS|ё!r;x難gg+T i8 6>~""'Oo{  ܭG{^=4S ;cGܦCǎGJM&2.6N]g[448@Npw''~vρ ;) 7{豈\>o|쓎G;8ONp's>ppjjryi>=A47ꑣ9y&S߈kO6uY }9)@wT*.-#'}qfsnqԲ/n] ~\vKI ?e jzD?d_,qf>n.q7dL;vk!)I dN;P>>MMqɛ7ox&#aNʐ"'<9ڻ06\J4Nڗm\^O~;2fV 2&I dNyLOt=qfx5"'jyV~/Iエ{е+S'nS#rr^÷BwIdNλ/jH)n"r67o1'{zzI2'|+}_w=qfwskϱ; ݯ~[ھyW)n]/=6;'oP !'8pN=?=tcrB= ߐ녑Ǐ8z ] q/gN\Zr@ȕG{m_f_ݷx}x`OcG7=s 9AN1k"AqAI-"bi!66( @S" b!XJDo ut?²μy2/$RQs]}[Kꤪm8dZrK9 8س\r`ZNz<I=wp$V3얓ڿF9 8J/'rr'폵7l*w{h8wu$I7EN%{c.EigcdRUUj BVˏٷyP{"yyiZl\r4t_JEQA*8ZϦfN#cAb6PhT^/hBa\F{Z>:졧L.sz98ɛ:g:- a7M% CI 6_#= endstream endobj 582 0 obj << /Filter /FlateDecode /Length 4182 >> stream hެZ{6r?lkvmc컝[3\uk$)g絃FUMXǏEQF=,^"xUWm6j?-^EehᏩ@]cv pzFߊ/?Ҹjc˹Y| S=E>huZ?9 uUj_wʣ"ۅ?Pی:VԨf>P#U]T?r~_Fx\h+0|L[51m{k1mmCl7u4N7`nЅ&w2 *ƪmmrq':Lq.ui0͛$PrXһ?7'iP¨^QFFl_T{iJ;UK a`c]]0BT6TN"g!?{ Q礡VeK3&~Zs]a{]w`ʔUiTe IBieuc,+T]@$5.u>@:0i*r܀l&stnu[Gl3fnGnڗSv\A!~<м3,j];9kgUW߸U07m EpVltZ/3U̫jx  F?箹6稵.jx@ۈ+mb "I -&؝+rMSf\ 2s}n ܤI1MjJdi62qD0`0NT3HӄN a6!`Ifnɒhg%Zc&ִQ8ܑOLLSÖ[ *d'kIbg5,3:Sנh`@O>(fmEv+Oiybb $HGxCjt b%VzrP={Y?Qd- (bmOZrL,o h'{X(yL l(n B0k:9x+4r1;CSxPRr3N$g%)6ȬF'mMҘAlUJ^ˮFƅn1ױ a\9j5!w,$Lb3}E|8?mq!!B\ Pp1yʳq qWOH!d'&N !N!!N!N! (t[0~3/ovZvZЄ~~3&VV/b \C,sX1Ay/EVq6.VOs5sd͙flI؀;KTS#7 WH^q}:5>| M6 b0ZN-h dmقL\oJ##ll ƩĂqj)?ZJ gBqH\&D26S"~1&$R} ;䩦5d#CBm,`$yhRe;"ځ ^:94/I^K&U~d;cfC35`BN,/N!1rH\9:""(٘05suX?&V rbH WkFICTdfuAnJ'-y)}+,~&mg\9c>w6YӰ n9iV0M_:Θk0~;x{ph49B,m Jou uLDu+/N 2TU1{WD0_SkE'/5@A/]J#+A~Z(syMMsyʳb(r- e4XAS9pKv l8g4UU[:(ը}. M;t->LE.y:=0`,l]c RBL85ʠnظ3a`nJJE=~aV@.2%j%`f༻5 `͚(&$mc+̄.(Jy̿ !S*OHHϫ_/E5SWz1t++3s&S#g2>ܑ!wx3'2l T/ߜ۷?膧)E32FG`™<]F'a?j;rxu.!-ⱄzn[?n z5tǫ1[l"$c} i=J:)KHIGݩQ^#Vuw\N>[{U+ i˙oizZ ]2bW";W _@{UtPc^b ރ=ué?+L19~ΗV7f?և}x/ W< ԭc(lxBоuxU m ѻ.({%dDF,w|ڗaw o%PPȦD[U.}EinXe6LB躳:l:~궇c7Ct|k cZATH7Vnۜ`pyx (!}f0eP'96f>Cpx7+ӥ_ vbtʳ(6EV$yΏ+j>v -Lii..P0w{36|ﺊuL"Zmb][?޷^mqrI=l!| Ԏl4IZ= ?uNJ}\9l׶?Q4ƴ)(=G4 Be=8Xy_[Da=|o6ΛUVP3R$|;x{{?Bq[:; N囊7%⟺+=lUqbuI(?A'.6F\v6qUbZ^e:9eu,q }!`$,rsI t|AY:C rpXm,z'ΐ^0 O*KWWc8d9'(("g $0G#odD gZ0?9/2n{R?jnDW :).Fz>w'2,19r>^$mxⶻ>9_tr VHg6ȟW%U(ܠ6ä?٣b˛֏@%}Y3?OΕxis8"ƞ(>@J3'J,\G>[)ty5Mai^)O=(_He1-N~>a/8 &_,+ey)|VY'5D\U8elc M#oL<>2\dn=RFM`ȡCCwxk,AF:d4 ,/[x`]r# !}RN^֞wbʵ]Dio T6 J/'epyBҁI(U:CȀ;՞m~M{3x>#@[܅SX<ʯÐp;aKU&kD(*6`fYoh9Opʴ,( w/Ζ¼9vEm 9[֥4yD^yϯ> endobj 584 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug896-vivado-ip.pdf) >> /Border [0 0 0] /Rect [187.74 398.04 222.24 413.04] /Subtype /Link /Type /Annot >> endobj 585 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 586 0 obj << /Border [0 0 0] /Dest (M13.9.86575.NumberedCont.4.Vivado.AXI.Reference.Guide.UG1037) /Rect [91.98 681.06 143.64 696.06] /Subtype /Link /Type /Annot >> endobj 587 0 obj << /Border [0 0 0] /Dest (M13.9.57894.NumberedCont.4.Vivado.Design.Suite.User.Guide.Designing.with.IP.UG896) /Rect [229.26 398.04 262.86 413.04] /Subtype /Link /Type /Annot >> endobj 588 0 obj << /Border [0 0 0] /Dest (M5.9.99213.Heading2.Maximum.Frequencies) /Rect [440.64 125.04 558 140.04] /Subtype /Link /Type /Annot >> endobj 589 0 obj << /Border [0 0 0] /Dest (M5.9.99213.Heading2.Maximum.Frequencies) /Rect [91.98 111.06 155.16 125.04] /Subtype /Link /Type /Annot >> endobj 590 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT2 2092 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC57 788 0 R >> /XObject << /Iabc3123 592 0 R >> >> endobj 591 0 obj << /Length 18 >> stream q /Iabc3123 Do Q endstream endobj 592 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3124 765 0 R /Gabc3125 775 0 R >> /Font << /Fabc3126 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTwf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM endstream endobj 593 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=38) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 594 0 obj << /Filter /FlateDecode /Length 5056 >> stream h޼;َܶ|]Hľx0A;@R5SR]٨; x$ g/_kqVY˭2Uulnn|sٛ7^iF8#Ǜlj1jխ7/CƇ ij"!ۛ)]҇KM5ҘDs}rY\$nӕ>![vЈg "3*e&!,%k$%EWf-Jgr9_ @7/sHl T2|Ӑ䐮2m*#utW#{7BE]YMT.4o~O5l) #D1=o^+TK^N8(njY/PWDdZڨ -zU2.+?{~Xv;W}̕=i.+Yn>}<;lvve,X+K2m )C*OΌNʊT:˝'K 7&3 a;p*]`Vz銉d,p? >j`[Z0Bӹ#U^\rOs0f6f<0Lv)P?إ 8A{ ?.ʅB:32uqpNoҙJh `5Gx%h|;xĄEcaFWBį #':Y?@DpM\atLWS`xapFG3``x&Hn bWqd!bH6h8 $y I",O/Ds%?SUW$Nx0#)4U ) R %,΀s|ǻ̀ A1"&Z"{qxJA$nF4\~ѱ qtB&jcN Uܒ<8G<PF Qݣz"v2[ Ę)@6Z BqV/J~P :*SUC7!ŃYle|W`2<Q@vYi  (,H6zq"q1;
s]:,^Ml(y9_NFѺO2ԃ Ѝ4S D&J %ȃs|6HiDa*0HO%'SIQvM *ǻp 2RGX 4G*y<'~؟qq xE۰ kQ-DZCe hu΁Esg(B{9U "TЦdJ9@[y08SZ!rkn |4#+*WW6"&ܜ'y* Eɡ𪄐.udSYwGFFU%j (Ϝ n})r"!4ʊ7w8BNTUm=T2\D3ɔj`i傌K' C zPA{p!,9:wdz W B %+`_g>sȍlET DHj40DSjXځ/C !i<9>QI=WEC ~䘮wzMAa$= )%x5뾅+'; ᥡI.؂g'֓x́I96GEOJ)$'xN.IFP/S?'߿HO9z/nWUU ^2LoKW`-~ ! 7l8bI]aal9'T Zi*Qap7{hx[j3Ң var&!6?'zeQrt vjw =D Ęa!othGֿzLa#C^FVyAvӧޒ{5xmMNݠe84ړEW &$MOX6|~CĦkݲ{qlP| E/Ӧf/ú! >֫'!@]1Dx87(eaUm}0/Y_=^ lv>Z.Ej5DpPw` 5N@-N*YwkPke'rݱ!R @].`vF 2:e#˦_ Q:2ӹj%.GFqسot DͪЋa˔u LCtn wͻc %P?EciP e1I߮yݞOkFjfT`|pw%NAXh=3VaL3r~y v E B @n HՂ, }^|7P0Fa}%k):QIxH5S(.ȜTȌ`aመt!%gA`W݅aJV׹c*yG>>T3LW5ȄsGm$+OgnZ,mQF9|a6>;ѓ{Զyl=%AQpwp=2f`R齍4HBBi -фX=( ͱ[͇ݝv)(}]RÌElB)L X*t}}죳ͮUe[Bl!I|'B7Wn4=fT+wPv=.meM %^RE,BHѧqzӋ?%H$nѮG v[kY3FMSaeJ!DӀlWvBGV,2\ꖊ-PvMR2!qoio:u{K;Fj~`σ8dzs~pK[VOHZR"Ы9)~(pd}'nAIfGw$w{73tPRMK9vޏajI 3NNJgzIVZUE5 ohm(9t_JK9}G=yN׏1%%".f+-ʂ{}?w^ׇ*Ǐ𤴸~7ف9Jپ]z/4!3*5QHva({DRMY  ~T;T{#Yմ2ʩ=Gy1Gز6 PG 2Ȗ {:>~`R2RLcyM ᭺^om%mi28zii"u^:|e~vfsr>[x"8^o 6 7uߍ33JúG%YLjm xRNĊh4KXH &hO>K\_ ts/-!˞ėF_9!]FWsigP6n- Yj_46 jAYuWK+qz9xp<<uffӡfZYL:6!Ǖ, 8ֱxlͭ&ŃX_OBzf %Վ7zM|\T7XDb$ĉQrg~>Ar;{:Yzr oJy.6z9!@ {wSs'UvÄ>t@tr_w@~?3Eܚݼi}_5tYGޯ#Ӥv C=9yFR9R`|J5I\DbQH[h%`?cm(FaA9j##)pk%._> endobj 596 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug896-vivado-ip.pdf) >> /Border [0 0 0] /Rect [403.8 205.08 403.98 220.08] /Subtype /Link /Type /Annot >> endobj 597 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug896-vivado-ip.pdf) >> /Border [0 0 0] /Rect [403.8 205.08 438.3 220.08] /Subtype /Link /Type /Annot >> endobj 598 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 599 0 obj << /Border [0 0 0] /Dest (M13.9.31258.NumberedCont.6.Vivado.Design.Suite.User.Guide.Logic.Simulation.UG90) /Rect [259.98 304.08 293.64 319.08] /Subtype /Link /Type /Annot >> endobj 600 0 obj << /Border [0 0 0] /Dest (M13.9.57894.NumberedCont.4.Vivado.Design.Suite.User.Guide.Designing.with.IP.UG896) /Rect [445.32 205.08 478.92 220.08] /Subtype /Link /Type /Annot >> endobj 601 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC58 788 0 R >> /XObject << /Iabc3144 603 0 R >> >> endobj 602 0 obj << /Length 18 >> stream q /Iabc3144 Do Q endstream endobj 603 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3145 765 0 R /Gabc3146 775 0 R >> /Font << /Fabc3147 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өa^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM b endstream endobj 604 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=39) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 605 0 obj << /Filter /FlateDecode /Length 3600 >> stream h޴Z_s6ק#yS1ouNf>(2c*S$;}x AYNv:v `aAPٳWgJ\fΊV8inŶ}}s>{v~fJb L9[q~= G/gFJ>~v~4b?.xs>o0e?i7ފ5=5Y$05=vԱ*uT]P5ƒU_{YnYo]Ѣ!Lڇ|cTʢJ _ϭmZ\5u0F dW5#'FԮq՛徎6n+WB*sed;s.41r7Eϓ뼸(|}45Hi3@`,h KzVx|: e?ΔX ToЉs!Fs a`cMh-8 "ًٷ߼xQ5IC=X٦a,8{}^Wwz]]wj)0eΉ&ZZhƣ q'˂c<$ DRkFkCdIgsMAҙ"뮰F&)Q 3Xi qʾ`7-vʀqh6cL4/bcgv> q()IުFP`k::'ĩA5+Dj3?C+657J11 =Zcf "I k2I ؝Mrmᦒ-YaG@Gi=3V4MM%3I1L$^&gɷ%pCj*lsŰ( 2B(ɓh4'%H?庵GDN$0ll&d̴$Q!-iddvr2au# _'oM)hOb :XbY &(Hx1 C~6DPQ62)a,^4G #yȞO?թpP >TهjK.tuA=.<كLK#<KƩ}A_zA;}.Eyȃpn|H\& DbL$H%vRMDҞP!!c ξXҤ,`9KH9^ʘwD奓HE: $KZ7@*m6l e26SxS%3r1 0U#ufSUwj L!kﳊ,]+%OU҇RHwő桓2ϲO>8攧YM{~S7q :ePӀ 2XPAA# s OdlU:)SQzؓ=.ٜJQ9bZub"2Mmrߦ/RPTO5%˷ p* Tݗg1@8Дe#k“FHoUnظo~\I)&:{$ C @R`fz*Мr6|V 7<(JypAuP"].OHH^@0j@?3@'=ȡIa/}7#|[.AP񍛖!]YzE|5(^um~oxaJXXFv| bi#4zwf`!]V;lF_sWKպx˧ۺin/mp,wWb]0h_u|F5_/_|ӰJ%_tNv$vOQ'n͂ջ٬}݉SE5!-gv4]ܥ|^,;:KW_X9KҀey j\~#v7ra<#5дZ^aoWnt.1_EslcPf.~"h};inޮkPl7Vlh#MSa!Z!Uuk~Vw5d8ܵ =>η47jL1!Akj_S#rro\~8Vb@P+ K: !8XƎ3>=SP& EofŎ5w[Jϱ/'=7^'%zx1W/‡n[7OXfyzXmzn/v~osg;"}qvO=n\Hnx ᇟԸvW][ubP44ĺ'' 3$7'=k@Ls4Ʊ^,ؼѹ!d20fA6(v!`]# uzLBv77- }LHٺH"nA7kjpHLץn+M7~S8#6۬/ɛ/:Vi~E}8cOF|m=i3T]]t_l.Άu-n9IJT{47g:fti؏w`QVė3zy}%sQD B?ERɧ⡶mbD5\J3kmYu5mf-9@z,tStdw`0E!:*Wi=TlE1p\R# 7;;{4?-~H/%+{dS[O8p`st4)@((/^CxAį:nWi G]?W`i|yRYC#$zǕ+ 6\X ?B> endobj 607 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 608 0 obj << /A << /S /URI /URI (https://www.xilinx.com/esp/video/refdes_listing.htm#ref_des) >> /Border [0 0 0] /Rect [109.98 373.08 558 388.08] /Subtype /Link /Type /Annot >> endobj 609 0 obj << /Border [0 0 0] /Dest (M13.9.73882.NumberedCont.2.Creating.a.Video.Design.From.Scratch.Tutorial.from) /Rect [91.98 437.04 143.64 452.04] /Subtype /Link /Type /Annot >> endobj 610 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC59 791 0 R >> /XObject << /Iabc3165 612 0 R >> >> endobj 611 0 obj << /Length 18 >> stream q /Iabc3165 Do Q endstream endobj 612 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3166 765 0 R /Gabc3167 775 0 R >> /Font << /Fabc3168 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ >)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7} endstream endobj 613 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=40) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 614 0 obj << /Filter /FlateDecode /Length 3106 >> stream hZnG}W4/Å\N$]\Io7n]{zHٱم!MU_NU⛷ZgމJWҖB#vv3x٭w^F|rLiIUi4UVڈ.޴eyh/mwY,zqy,(dc+M Hmq i(ij܋ՎwZzgv0,ZiqD6ԲA^3k2VMC^cwZ8ianak#JlYCg[0lc*OFRjmEnQn[65 ,HNY;ܐzQ5'܀A;RTJ@"fmmQ'8H^R+$je@`bA5A A'rfH}ɔ✺<7y /gR0B'IDjc0N]M&pJǤshJfZ ,ЄRss b\!BFa,eu`Vlԗ3C'0OEtj`+8H X_و.B_)c USE:F7adDGJd" ! t\9FDrC2(YCGvLq\#xK1`G'Hٿq[dui5 xL0( Ǒsfp G =N:֑far.95.B 30f3avE##QTgo,fV#5b̠5DLHC!lIK[z10;a8*QSG[)BLYP9 ޿:.U(랃&}sڧD">3gja_q eg:?sc6vl2@~4v,pYGy5(p \,U%?8-lJ$즣ѿ\xڄI}L*񀠇CD -b*6&pF,LaAm'vb㚿ld6&ؖ62+fP1ߍo&,^|·)^t6/Ic0|JJ6ޟߛTR"~Pm`h"1 pAc7s5|rɎV$K aH#j*l r!qXVpv L%ox~|SXg>a"L #()0 Ģ( j3UDtH SaμXV?z0z>eM7{䢨hf;S:i>et'<AEf?^֤ܘ+ H2 v4 [-NZ|tO JLSmVJe"zzϭWUAwଌijz6q$`?_/_,![6p5%h'p@) uAå4_< T.>q,f7 ==|SyoBg87g,rWS6u@i=JոKHvO'ܵcȇ]joOY„N%ezĖ>/r|x5\5Kqi{0UutHDxu \N%g6TA((z@`2MRA׵ndU=]z K' 9e0!N$*6|E \Nah'jmd0o9=׷0#\&#c{ar3󱳾F]\]%W7g p< B_+.rz+kxh;wuۉOWJ8NS6eWDHz*NwJ2BƫP@Ų=!Yf;B%|UK )U![S)p0pj~ `*rKnp0[PZ[9 Ĉn(n1Y{!L+ 6X&IL8a WI3S|K嵭rA7˿la]\f^WPrb۶e{i7ߘ>)T$zsrF/MуVݾ_no@<rO5duqYtOU~ ө^s@4a? Rs_ s~^`S]\wt|$"8W᩠ئ6 o7}ToCߵ_Q/c@炙ʦg>{jD&P"YBӲr)MO\mDy'RIB“bX7Am<;(%γ5v wy @iCZ N#'{!;]$ +V\HqRh:G8,=פ\e˻fq !0(37&~0 -٢K 2Ye{>6e¹Z W~A}~MLI`rB5.GvwҖpPl7\Dc 5#Hnzdfq۰R%DYp8_5B=<461^tJ!QrZx{ 7< Z=?O ؄ߢ'ǤhRR"yD'{rC@[֜cwXG0 {1׃ /:cP#=UNc"A'N]]P!Dߠgh.z Vy՘G(Wz| endstream endobj 615 0 obj << /Annots [616 0 R 617 0 R 621 0 R] /Contents [777 0 R 622 0 R 2096 0 R 767 0 R 619 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2075 0 R /Resources 618 0 R /Rotate 0 /Type /Page >> endobj 616 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 617 0 obj << /A << /S /URI /URI (https://www.xilinx.com/esp/video/refdes_listing.htm#ref_des) >> /Border [0 0 0] /Rect [91.98 511.08 403.56 526.08] /Subtype /Link /Type /Annot >> endobj 618 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC60 791 0 R >> /XObject << /Iabc3186 620 0 R >> >> endobj 619 0 obj << /Length 18 >> stream q /Iabc3186 Do Q endstream endobj 620 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3187 765 0 R /Gabc3188 775 0 R >> /Font << /Fabc3189 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=?dQH\WIkp:3ϳ-nQG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$o^/jj;'9)ivPBNs(I NЋm߽?3X endstream endobj 621 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=41) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 622 0 obj << /Filter /FlateDecode /Length 3915 >> stream hZmH__nB"8:'Lxp2n t*wjZ-~Z㢰UJfVeZ5va'u2S߾|B^kMڿ +uXdj~&8:'YǤrΌdC^kM/9K|<6H }POk123RQ"<`"-tJ+d֒+֐fT|TѰ.nuApzl-<"+H"e[5 ڦEm혵x%ٯR ϣ+Hآ=g!*yYG`dZ&U}eF5"8#$H_B6# 7  'AHnX +[ zQB Ѳ )'a VU2U xk: J6K~XQd=/OS ZS?TqYJez-ՖZ֞6a᝜\naY.BgǕGDX¬{v%gÄ!?jH,+KrOR.X sxM,zJ0%P)+*oeʍu&}3_T 0π}Ӗ~3@<'-T_hM @q/;@wC a|p倫ُl51 <<7F蝩@ߴ9=E^`z$У@31ça`j GK}f@3gv]bc(mBඉ_q[~^ sQ/YKEai7^h>^*mIFL\lx6xhb1\n CEa7MY˖OdF"^w=M(؈95q\5'c1XMR4]hB09}uTAtS-MAXiha"<EZ1W,l/'*Cah2*Z}P'ۅTOif"Yyv=/KUUhv_rϲ ȳ// `"--\M5$*\Anx KAkx9T]|^n&-2 .orUUԪ:UC=,Ὰ8.JٍwD {)s\ל]z2YxК͢9/_'埊OG":. o{n#&" ~gͽHy ĖGd/8a) il,u,ʟ)4LeqMre%?קq IiP?nP8-zoCJ>^f) Se[ϪWNAGtDK}3MZ%~A>?У"_UIM{,CA"_,V5KRnh3ނ/؆~yb؈Q"-߯OKe2,QM7*2n&q4fViZ6Up/=\dӒƂ˕EUB!{JCRlLewkq(` [$k`m0.{>4&ؖczs mTmļUrpq? t*f@~ımX'9ݔ#jQ*~Q"@(ypNMwXo`Hc^v W^"WE~`m-_?PTwx K pw`9+AR>ܱ֍0.ߏdBi Pw8mxwjuOF,@:.32\jޑshH߹w_Kxr.29~nSn'.ĮFa%j#n^Ipj(`y%7_u\rt{a xǠZ<5m8-W2suw#d%j1^ygiHWWo߾%pW Lq zA WKz}$ݞ7xqٕ3M˥1` 3t©nm]QhK?YʦF c;6פîID3uR v3fԽwY]rMiӞگyhb=#3vIyB#;(AFA'!)KΡӰ,8'"ЪqItHa2@ˁzInbFAvSc0P@֗eIqذݮ)?hZyџ=Eoզ/*\d}.%0D%wIACǎs,pM#Gn?)2T`7rh,q KNַQɬ,/K(8vb";r({UHΪyߠzv-EG˩]EYH^oyW7΀n/nSkqG%IvN &i}"nβvr:&]uJD"ƧztR-QI)CH%2ut۴ˊJ~R;閡іS5 x3B%3cwHb8$ns)sgK4@-n PHLxS)T|g0ܒ}l:40_ Lu0'`ű-.x:\lz4B{ .]vvcwZ\7Fa'\J͗y+!^Fnop( e c6t'":AwNr߄wwS͝nw: щټqz{Yܞx b$[V0 endstream endobj 623 0 obj << /Annots [625 0 R 626 0 R 631 0 R] /Contents [777 0 R 633 0 R 2096 0 R 767 0 R 629 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2075 0 R /Resources 627 0 R /Rotate 0 /Type /Page >> endobj 624 0 obj << /Filter /FlateDecode /Length 203 >> stream hB000%%%QQQ̅ccc<<<棣ttt󱱱HHH@@@888 χxxxXXX```(((PPPppphhhmmm>>>!!![[[  p.Q1 endstream endobj 625 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 626 0 obj << /Border [0 0 0] /Dest (G9.313306) /Rect [91.98 681.06 144.84 696.06] /Subtype /Link /Type /Annot >> endobj 627 0 obj << /ColorSpace << /Cs14 628 0 R /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC61 788 0 R >> /XObject << /Iabc3207 630 0 R /Im113 632 0 R >> >> endobj 628 0 obj [/Indexed 2089 0 R 62 624 0 R] endobj 629 0 obj << /Length 18 >> stream q /Iabc3207 Do Q endstream endobj 630 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3208 765 0 R /Gabc3209 775 0 R >> /Font << /Fabc3210 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶Gwf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ endstream endobj 631 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=42) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 632 0 obj << /BitsPerComponent 8 /ColorSpace [/Indexed 2089 0 R 62 624 0 R] /Filter /FlateDecode /Height 709 /Length 10979 /Subtype /Image /Type /XObject /Width 757 >> stream h 6aW$H&i6u}mwٙXR۱eK6/Kd$QC" MJs zz=A V8)Sbm:_:נ'ޙjj_'SgMk}X0z5(t4QFɧ1ɚH F_Z2(ؒ/czg,M-sC^*[NiG Gsw&TE,5tNxGo&}nނXzZ{W*N;u͍֕b' w壉)7AYoKc&VO/7h\' z=A @OύVv5z=z=z?]͡p.Ѓ'.EPo }v[_+U9\%|X|+U5 [MTTݺDmĘEczЃOvo7r*F2aFt}g2)lS7FЗae0nuޟ;ݷ搣hXQ۠s`WdD?Z{Wݏ80 ߋ6.6UM줟>X_{R|Jiΰ}nh*Y[7v>Fr\Ϸ^wr`K_l@plkE_|@8]]3u|+fK_OnQyMs{5qEJQg]- uIzWWOie ' =cH oF_OPE~Hˋ]Uc7܄^M+A,*Ƌv}ٞvs_zmx١Efב>\٧ܘ=v-3{}cGZ^\Jy ckkY2v1I?M8Я}=`WfW?%zM7>;7byJ3rOk.EUkYAP)6AMy͔.d)z;Q7D{Cz?(^_ =Y^$=ME|J17mq w-0Ѓ3ś[beBӱhd)ڄ&ͷcnZqO Tޖ7zqr@R%s@RI-z_֎n lNR#swqK1|`jn+#"ȂՌӺ# GD`B3[]ܽp@R]윫|odS9\?J)οfM^OqtO}τ>]ֳFߔ.Kz\5zo؆zo}Tt΀G,׺5.dт*Fƅ6-UԱ=UޔS-z?\mC4}8v=V޸N{>ic@c/-WlV!s5,Ki[Ѓ{&Ӄ)Wc4&x`4z\&ܖ١Wη$eo}ЃZIͬ ^\}i/i)}5yÕ[7^L.j9>k]7 kA_FjT㕯T[7Net&پWM6_-zךbco[\g>tyq%zy7O}jяVZcr+Ixg1f\~:]S6WZЏaEomOPJ[k/}R)X3o&_/z+A>x+?@Ʃt}&j׋ksfӵ !4sGU~mdJ6}R>ym@Z:]K>ߛFBRUvgy̤/466 Y>/D?t׊>5>ui>{F5kAf藇q߁?JѶth, ?z?(8fqџj'@u[}>O2 fq]/%7ICW}鲼6`b gMѦCHdW*%>4ʰq% %SЁ~US=Ҥ]e?vz} -Qm ͓MU=Ot5VyO9(z (Y4 B6^ z_AﺅuV'tIЃF{^&$A'tN!_4mO&Aբw5~B4 zЯ}c+ $ARGЃ=菏^u%;34TߗKރAZT};z`w52YbBA|Ck׎sWu/+?&wGnHrfiQ`l$ -TejƳMψ'Q1CM+Ɣ >QCT> b;oR;(6gHXK,>_d|6hy]"*Doki_jzK[4վd8cЛr (TzW˗3<}Vd@ tpзQJ sѮOx{DT o&A_[Dw.#|g/{b}9r :^I{j賰~fۨ.x˙SMܣjy hJ#,,ŝϯ!PZy﫲yWzn\Ї؍rؾHYyRؒ1Ky-}+=.@ߌyJu齩jkݗ;9%M+\ !:S.$ߣUTlD*TY}7 ڱRV+U=b?][i_*+:QLGe:kz N/-M5k4PrUz#d\kzybڍ󝪻>%]\)kǶ(5Ŏ?oqܹi8̃~ejcmKa9?1{MWm+ekbC?m&MFsD?fS݁ߥOE"{^\joRE%zlwtE4W 4Lؖ:rjm<h9[:yi3+z6d7Κ$WT}8e Ok)S~ܑ|SovCwj EWׄ{|Rr)1g^($*EkE {>e7_rq%;Z MUHߞ}n6% Z7 \^r |K.-|۞}erN7Mi^3*;a+I6teAle((}o?3>_ykЖ ]xFp~Q22I'A?nNƶ*v є~.\tlUV-7% Ѓ~VwiAo=gލQ}zAY=AߜOwGx.λA񇟮gyvzbb}=O?]G_:$12YЏ|TTSF?8/6/24Wl?ݴ˖o_߄1j>qx8y^& elgBߨ.(:w&ƑcBw}=Oˇ'ݦdiR^cUɛJ- Iޓ!;b}=GP߄^8)[-4LY^5{觑Tl^ﯣocIOjH y}k)f{}=O\]k;ךAR2}iK"4gGZg~)q3}>Bp4ޕ,7+q0M2AU2ٱ󝯕Ĺ ub}=Oo'Ѓב=A5A1nA*Ѓ~蝠we@bʘPYAnaP4oru?>'Ex/i1=XzЯ}hsMُ5_=*`U ֪CgA=AzЃ=AzЃ=AzЃ=/\?]߲?'Ѓ^=˞耟@zЃ=Azo 3uAG|g^zЫׂKD~sџ}-[ z/r[xket|}ZЃ~_=T_ z/^_ z/=Yq=AzЃ=AzЃ=AzЃ=AzЃ=AzЃ=AzЃ=AzЃ=AzЃ=AzЃ=A I?S|=8z8<3/At7g{3WЃ~7_@U/3-_g_яuTO3A^_5e_~՗}=->AzЃ=AzЃ=AzЃ+G@m zo}kv{iRӷz}zЯ}A5A_]zo}eL=跃K[~[B_*yЃ~CؐmW gz\s@|=跄11FSA%ᬦ[B/m&A_K2,o }S$Ѓ~;C=跄^wПm ч\t=p,O z?A=AzЃ~hb{Zt~VbkO{;7I;pЃ~^]F߀Bv*3A*|sk@AD=跃w A%uCA/mzӂA/mzG%跄^+@vwmUE 7>WYw7| Wo}KnS'YR?&O5s>61xɍGA[UE]3=:7\}C>2:?l@C_'Kc|MָL޿RЇ1ї S sћf7SM zi\/@rz/}R+vc|?{9-*6}+3.{AF|'땏h/օXy{ٷ7 c,Ak;C!?)q}\A?3 jAOMJ)[n}/qymA1*ߕ*{㍯U| zЯ }nYVz*W{ɳ"=OɳkU շ;|=W>[J zЯ}cjmPi\лO]-x0EkS O Ud뭵պп?ZO29A Ѓ~|/[@l~3ޯZ=A/zo[Mثw_F??~.H-}$*r1GEŢKMh Go/>]у~\_YpgEŢFrwwU_,z>zs@\\\恾=7=跃~8A)1+Ѓ~;NE7,m| zo }bAU <&Ѹ,}[@աVW8\%ߌ#!9ɨ˯O$ƙU>3Ʒ{MW%]:m\:MǙĨz>n@ Irhzļouw軹Ax/ƙ6&~3}n$*1qʛ9lm9^@%k}>Ggx7C Vv[3nA5v ې'+U[55O >ݭ4㣉tW2ɡtkꆙ^ l!,_ҌwҸ2s=WImqG=ؒz/ŠȂHrCw zЯ}QU J^̀A_Eo|FB_~?Ѓ~蓗Am A!%zro@%MA5 A4.ѷ<зui_=Av>)zo@-t+J8{O/@|ߙΗ }-Ozob AP.5*Ѓ~;}7DVt# zU[YЃRwEWBMHBczЃg捡M hb zo ,A{z?BS]S]S]S])o=#@5=&=AzЃ=KT}zo}c4 N@MRzozo }bcz A 5*Ѓ~;}7DH-wNY%@wEWBM6}/ʲ겻J5 @ =&Gi0`Ay4ƷJW_. Ww˯ߟC+6E~8.s!UldS'Я}I8ywڡx#۶*IM.WyQ]M_KCX*doT%ު wkG2φ| _:s:_:k׉ZRѿ_WZBѧ?y S_kiKEiL~\@@4W{zT=7 roNp;tՉC|11)vҦ_`EANm 4o[A}_ՒtK&=WDm}ɯMcƄ|Lsk&=ׅ~mzzkؼ񾤘*ֻJLzЯ}4~ST6lɱ<_%8/-A_ndl!蕑z#-nFQC8KQM㯣-A?D-j$>7}wɮϫȗtd҃~5bkЦׂ$W "=^ A!mb0AR7,ЃޓZ -o=Au=7j# g} NA?iz@=!~kICГ_ny+20<Ѓ~;{\kQz? ހ~kܲ"XV & 4o }:HC+o)AzЃ=AzЃ=AzЃ=AIg Ѓ=Az=zЃ=AzЃ=AzЃ=AzЃ=AzЃ@SӃ=Az=zЃ=AzЃ~_AVzП?A^<+~GAŠp~_ zq*zЃ~9GAwiw?xO/@١sZЃAO=AzЃ=AzЃ=AzЃ=AzЃ=Az=zЃ=AzЃ=AzЃ=AzЃ=AzЃ=AOAzЃkoyCem=ׅ^*Ĵ˵jރK@_:'j[+C;w'˸ aPZ߫*z7~U|0]pU΄VZ[n0MuN5qp1sFיTZ7Rٛ>qr7rAtyšѿ9^uɦ9Fs' BfDojПǧJ2Rs^݄DzWjȂ~RWb_7R,8!GثljP$jT2+Ѓ~y[Ly^{T_Z-ǩґ# Zإ_y_Bzo'0z}0zOc 2zЃ=A?wAZvLэЃ~ui<57N3/u|h3IbJ!T*.W)vȋ5-qz3r3\lKMP1L=J d9B]'cIFޫdRJc> >sA26AtQ>ZU,Yh۸]Jq^f=W^.JV}c[7=mJb!ɴmuK4h.H*7J} zЯi=AzЃAOdJ =AzЃ=AzЃ=AzЃ=AzЃ= Ѓ= ЃAzЃ=AzЃ=AzЃ=AzЃ= Ѓc9A?;8Aώ8Î;8Î;=v@z=AO8q͜w@O' z=A @O' zz=A b Gh endstream endobj 633 0 obj << /Filter /FlateDecode /Length 3104 >> stream hޤk~?]GP$H irIE!;w-v.Wwc^IiqzZ\Wމ(s^9aTF՛ճ z G(cАJ-uY R)~]/߸ӡVn\߉^}=wW_} ,^8nvwē,Q ;j[IܮJz)N5iQ*Q@Ų^㳌m{>7UA+ \V!]UzV0G|i19_L.AKfnlY\43qG`~KqɃ_T"^Z#`cbrWc?$!$ |stWkMinV3vN&ObfHeEF St@Dsm.`a0 w g/qwϾ}x8ē#A:LsgInv}ھ_ox:l7,kNBcRE,} YfA#pdi`Qy zDez .m78ZE~g3M1X;z )PvKs d;.Vf~]"߇,0 ƋeJz읖beNwV ج @:`ŔFؠy_b穗U[bazRAu751"$.Gȯ8-tu5a.K켔r3$ݒ.$ IYvԧ1 xYڊm[ō`U(a?@),ɈV,J=C[i-u.y" 7-n*X1"ZK@:8Zx69>@ q+%5@j)N *t"K( iW|B/`1=UA,PoT$(, !Q=AbDwS1l_F1O0.l. ?僫32PpF'|`+Ȇ9> u F؃qA EnYFPiL)Hg2;= bqfȦntȠrU@De 1#xSv5B*)u;9} 会/,Xq0a탥NGS\hR\c)ڶ&ŹOOqiNqMq8M9QM9SeM z a4Vr\nR]8Ӧ8LqMqMq 5o>Ze~~3mr[>͆mp@\m@x?G%ƛ~@&YvKpw(`4f,e,Mb B< jj@q52|D+MHՊ?#H N/Ru\l)A T.б"3y>.HCz3/{[$˅\Lg- 4Hxg=w%xqŘVTUHRUu'iȰ4ve/j|R<DRäՅ X@$(O(o F@JmI!hecA#$fGO;kv  #5Ej\" [ 7Z(Qg*YLs bJ3 m򽛰@QG۬eXrA"/ 8uPqZ,?k3Ag^ ut1E:<)8>E>/.2raz#(kUڌ iWCKP|7`J;t܈Oc°xycFT00URM,ɤ7,2gy"o!H=(N#0xmzXL~rCw/#PChO(P yBT!v|?S_nŸ;mOg,O#HC+lF3Xn VK;Ok z0흌-( u!{GRbnV/a~. /v&Z!U+ 3(?=&QDyln_7+1SGO\6U2Zxz<leڪTLlkT-]jbM[bt}ciނC+)ʊTT~/Ґi8?@k7`}4PwoULpQ;<k!0~C̓.{؊sw(먀L}s?񇶗3)?&ʠ25E_4 Q֘i _t ,촱8ӦbAQK&[宂!;^ FIZ@e>g c@7Bapt  pda<|VLWm͝y Xf endstream endobj 634 0 obj << /Annots [635 0 R 639 0 R] /Contents [777 0 R 640 0 R 2096 0 R 767 0 R 637 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2075 0 R /Resources 636 0 R /Rotate 0 /Type /Page >> endobj 635 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 636 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC62 788 0 R >> /XObject << /Iabc3228 638 0 R >> >> endobj 637 0 obj << /Length 18 >> stream q /Iabc3228 Do Q endstream endobj 638 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3229 765 0 R /Gabc3230 775 0 R >> /Font << /Fabc3231 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өl?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 640 0 obj << /Filter /FlateDecode /Length 3094 >> stream hޤn#]_Ǚbþewt MMQvjIFtDK2Mdlvam|~nS-sYit1VK9:s)P꿕ERw&C񻄃a؂t+Ƶ0~ [l ͮ]J|½&ױ]j@Q= s D2ٹift@#86Ck^?"^Z"`c䮆v9.C'; |tSlk>b-(E;w&'d"h#St2(]a@^a{~x-ȓ#A%ϋWvwۯ7qXe#ӺhxVQLw4Lh)mXGlj+ ji.uiy-Ӱ pp6dӄcyUOt փ}y99Y2+ ΑO߇$0  m)c1=A,PDczT׃!s-KyhTFOt3Y={Qd<:# 1e}O8ҟP+{^ H 8ucR>ex a8FѠbp7YFPiL)H'=B81@/]32T1f<QC%cFB5ng㔸!as;V$ 2f;eXs%ŹJqS\7Nq]Iqsu68Nq.V 'P!n 'SZqJqvL<ř:ř:2y~7}~3uR]ͼPiQbAy)9+͹\d0@augG367`Nm >oBQ# >sHOX>NSm,gPц>O4܂)Rb 2p*m&$[0Ls ʂ`,jKɂnޮDdA8ګB^H\& I0-PB$}c N QM) ʂ jp„l. "c@a]*cTO3%:`4$ —D3lhl6ThłztG@)P<)#b9LUi.G1|x7eT950Z"!PDdeA̪OsŏU~gΐNZ>˾̆mp@\mw~\s7WB:V~~Qd"G9.R̋ ^|! aK :;<ٸ`LN+xKgFH Ѳz$p#$"3M躴:{yY36C+80ju&P_d bʛbb`F6بDzfX@9qS 5Dq "5u c5\z(MtҒ)otw1BU6nF TyC娣mV<n )#xZP :(8'Dgڌ Bn] d|"|93`)qrOVwO3{qH|5|Ĵ&4FXu"Ue7oŠU Y#o>,tcts͖ޅ7&1w4By;lI f:B~V[`sZ~ S֭l@n@ćUln+p"i@6!;ۙya_m/ CYͩD :=?nna T9`SԠl@~ul}~u n(G0jR3`Ph6ba"G`̘-,Vt?C~۽[wb=f;p'h0w}~y<#ǝm ɱG[<1~[4bmyk/GEt:<˺Z$×Aqc>*,& E)wkJra`I]6+UIEV7=%[)2h v5c 2dY<*tM~yQۋÚ 20c% ;nŹ`bz[?܊sj﾿{!;? cVwnӚRmn_ h*5dT7% }?pl# WǕ#f ~$s<&;H1|ïv`(ڗ)Q.. I˅>AD԰m W[fEC7pvgKFP2*;ŶG¬uw> endobj 642 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 643 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC63 791 0 R >> /XObject << /Iabc3249 645 0 R >> >> endobj 644 0 obj << /Length 18 >> stream q /Iabc3249 Do Q endstream endobj 645 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3250 765 0 R /Gabc3251 775 0 R >> /Font << /Fabc3252 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nVOvջCp<)k.@Br!sw4_P%c I`2(.X5g$𛈺ʜfU}IRG"e*)sm.\gy44/d"Xl˒sKhf>eV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽? endstream endobj 646 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=44) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 647 0 obj << /Filter /FlateDecode /Length 3950 >> stream hYێ}WL /s˛ b0 'AeBr?9UÕl! Uӗ˩^VETJSNٺh:7q.77UysBe+6,[u:,JtnUZ snu>7n8m]6}}s6Rj(Uk,VZO,L˚WjŲjtQ9v)j(Y[0.0*t[ kWN+WX'5Դh1tC̺:@9InRϵ[ ̜ڿ.*/MS~L+.AXԭd]K&@gMatb'dvsc95FV#G*G EGZ:\jd%4[9r@谑pu(1@Nj##Zf8!$jZp8r:xXّ=b*do+TV%jTJwe^KY? Gѵ:Ђc&"\qRD^-9tkF I:-(#` إ7e"lBN].6)8aYdKot3ftLN*Dץ`JSTVo>MzTq}M8)Bn*+M+TH}FN 7:]53\W;]sxZ{6BREC)/l1A!KDGT7)ûϘ z0(ǩǎ?~o.6/B&EM*tL'2|)$_/Rc-ZD$M"@|&iJ~poRr# 4e7!bd[c}_o CEn7%Mb;2K-P6@j*86aF?&ưᤁp)vL%zn?)MW'L_DsD'ޅ۱N\}TdBSْ \f{;1kw3DDseEKm=n|@-wU)-v / לmu7n)C5^`^%\+qN$'ρsSu}Ҕ6 MIWs43auQDV`޹>,>W ;pƢ@VڿQu 0S?^._ Fp-`t׀+Y:ha=e%⿝x4.Q>a.U7 =wײޏԭg-|o\c8@\٘IZkzҪsu(v'Zk[X.^ y5"mN>K,QG.9'^OAl] !o/e=ŋ^/U95Kpu{jTuz:YXD¿x.H;ЄQxQAHo?cl(E'Vy8qИ+<׉H"&4IKqN356br\H+_I<{aғ'`776V?KQr{?S hx*b~An%W~_N92ej.a%*8NSw.%:hz-E8EQRnɊl{kX}P4X0 tتj*BݵJTʜoz0hQOnߐmG 3|12򪨲oח@ 9r2{ן{X5OA6t廨wQq:@46WWRDT; 4@xNj%8K 3Ww$z{V0 F8#NG2d* wՙ!~H}82Ȝū|T!7b͖B.BA ^|;~Q+`2 zW((CRδm'R!M]6F"D][ѕd8 O̬rg-/A=Oׯ8}Qu k[;k=[A ÅNi@,VF{99J *l|NSt1KxsEtL(.Q Deqe_՟kqu~bիr9*#Rp{S|qQulj_DTɤyxQ`K񭃍M+tsg㡓|ns-!}s4  D˚ Qn#l|fPtv7zXђ%sn|[5Sq?v9@o"?ޯqIQХSFyDT1=<2(Aq&B PljH N}曷W:uVN=eǶq|>VϚ  ~q5% :2Yo#O1TF4梪t7App:A-;݈@ދM>I7Vr7A\2G2n܆^Kczo>1;}gw =>Lo9|-~+;SsJ7'}e%!`3~ ҝbϯ? QK/, }H$znc&E}Dnvfl]ȑl)Gl컔]v$clA4jٰ2C;j#֫˔,nX[¿>H 62`B)x.z~Ҟ29MnKU\`d u\ Gj%%ȑ7 u=9s<> endobj 649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 650 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC64 788 0 R >> /XObject << /Iabc3270 652 0 R >> >> endobj 651 0 obj << /Length 18 >> stream q /Iabc3270 Do Q endstream endobj 652 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3271 765 0 R /Gabc3272 775 0 R >> /Font << /Fabc3273 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[$6o.\gy4&/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/} l endstream endobj 653 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=45) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 654 0 obj << /Filter /FlateDecode /Length 2460 >> stream hޤYY7~ׯzaѼ}K lփl`B[hxUboO~ۻw"ʜWN$Ǜӛ y G(1ihHfP gT ϋ??­^O-78oQ柋`gwAwZx22!K)DB:dPf+Jz)N5iQ*Q@Ų}V^᳌KeV.*h$J#Vjl9_ZLi"-nzL.:yO,JkO@t^/2,JI'”:v+mU 4ՃAZ(o"}Qnewwx9n^8:\n@@,{[6ώiߜO^`Z2;Fƻ|i1~,z3J5pda(2(dezD[ w8]-! l> c9' 5Pn@941ҬbeOVs@! Ldb^NKcll3={/ [`~cJ#Bh^Cs4Qo=z4*1RA}751HJe r0'} ']& sy.?Cb. "Lr0։Ų5c#1` 01۶ō`UFpfaB$dU&KlP,V<}KgdK&m\%b R NRHGRBl g`} 3q4%5@k)NN *|"K+If>K\gGLO|ʛz0-GaI4"!^fϾ8"#l_FS'LP.㯥=,Dy. LJS7:!]=s}0'A EnY4?Fh "?Ny(08> endobj 656 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 657 0 obj << /Border [0 0 0] /Dest (M13.9.23876.NumberedCont.2.Vivado.Design.Suite.Migration.Methodology.Guide.UG911i) /Rect [222.36 448.08 256.02 463.08] /Subtype /Link /Type /Annot >> endobj 658 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC65 791 0 R >> /XObject << /Iabc3291 660 0 R >> >> endobj 659 0 obj << /Length 18 >> stream q /Iabc3291 Do Q endstream endobj 660 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3292 765 0 R /Gabc3293 775 0 R >> /Font << /Fabc3294 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [n6OջMp)\Br!su4_PtQhu2 ALF  c̙h;IHt(sBD* ^Sl\9׀0dDP|}yԬ9*{braXt(~R@F QD Guţ}"juZG):JJ9Lڴ[쥭}-UaoKey͞\w>?dQHLJ\[-<8יq|mau3zg5!H5>S~Y2ȚC^B 0)xpĸ!_Ԃ F0t)QXI*d~yQUh>ΡDHIO;p%4C~j'-:A/} endstream endobj 661 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=46) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 662 0 obj << /Filter /FlateDecode /Length 3712 >> stream hZYsF~篘G`˄gk9ֺrXIEB"7$kJrJ\-ntɨ; *Seyv*/ʪ^ꖧ]˷We|u5ufFѲeaݏSVUsU@ :{]] vffzձTˣʚLSXST긄( jtfkҼPYQeYmV晵xd L*2Sڈʦi~qpF,=w("Wg5 .w~ϳ. У*&ɮ -SfTb2+`挩Jla+W\efL*v쬩qd<(M˙6ԑi\˄XSq`ʝ(Ԕ;i`pa4ڨ>Dgum&-LU&~XZ#6DM9)wsX0I C>DZ cZ⌉Th @w]1a(ɒL6U̳9t%3,j*R-6R ǵh oZ14a]Vڜ6,+a,f~70|rϣ+T[AZ)UB32J;G`h,2>3 ZZH]OD![ROc@$5zQ>|`H $5ZUØ_x.l„1A&GÊU b.yh'L@ =,c ŸMnÐ'1qFmtLw󥰓|u/!_LfuN $@|))&n ]l704ٍ-AB.8^xֳxoS3юb TM+ӈt1gcDžZcq@ o[xx> (Gip FogO{iFБW Wsz؂"1W ,^@* il@՘ j~!kQa϶ ZL[=VfF`P\!6RȘQ=k=vpY1H%Vf繉^)g2px{`?D8^t9Ƌm/ Nj¥8UUX:Uz&Yi,!_]iJgiE?EE JPuMDFӕL cNGcs!Nʿe1|E.3o'?!7||}LO6'_2%bNY4?_suVitڲeVƿ/qEցR#T ԬۇEUIfb#li ߲e @ U5 )UJ,Bͥ42OuA _78V/Ȇo^k6O\ '1*-"z9IBN&7m eeR@E]4٠ +rPXTZ\WҹE;/b*iC rJQy37{|S,V]K?Ɛk&% uKכZ~jfTmt#99ו8و $^-nӠvPwnn{Wjy|X| ?l.!RH$lwge}i3/@J\ 7R "18w(Ї %Iݞ=+. */E) tv+ }!41],e_ae$f4~z>A 5;\x1"7gǨ_7 ( 0wp6q]{ 9%ϴa֒~ K4GA|,tp xf:/RxeDf &ö.p~3z6N:B<H1*Z LHG8CAԛAGn>`/)=BM=<4DEg7SIʐ!!t!C6" o OX_g=MubSTusVaXbi]m/mx vu&鹣ffk.(oZL"+h0j8Km]cM}U-Qc6ʹ$mS\:RtƷ527{i3P:29c4^^>KArHᬻ}jXK=";'ws1ȳkVsT72 [e/7gFrxZ|T 8iF|f|C%e>6_orÁg M4OEUIf؍DVQSz'pzJ?D+VNV@%_;ϬV+0tŷLl~sܰ)H߳d9ib{ qUhm9M]KJA+K`Vv9!ET~8;ݭyr Gvm"WZ endstream endobj 663 0 obj << /Annots [664 0 R 668 0 R] /Contents [777 0 R 669 0 R 2096 0 R 767 0 R 666 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2075 0 R /Resources 665 0 R /Rotate 0 /Type /Page >> endobj 664 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 665 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC66 788 0 R >> /XObject << /Iabc3312 667 0 R >> >> endobj 666 0 obj << /Length 18 >> stream q /Iabc3312 Do Q endstream endobj 667 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3313 765 0 R /Gabc3314 775 0 R >> /Font << /Fabc3315 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w endstream endobj 668 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=47) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 669 0 obj << /Filter /FlateDecode /Length 2581 >> stream hޤYY~ׯckѼ,`ɮ  GFc|M$x=^P$bXljv_x{ND 2]\/ X_/ ~LyX xfJ!iŭ~9J7l ~]m]/3kq]'#D NlvY(^5=4(b>-W^᳌Y.<>a_wYit1W1_ǔvr+drQÀ~F/ȆP[;%tb~ڜY\I3g{O”:.Wڪ;An!z>nj4fn{{ )>%À-W5y O\E7˕1J&u/s~NP>MW&tQ1ڈ 0PX " ͇$" &#c*CWm,I&/ys}ܾ8tmD%": iAHmg+&fS ˢ7ӚYIRk+4h1VQy& z2EA-w[+Ѣ< Kրg3 1O+x )}vs9ٌNنߑbkZ! \AjϦ)+wZ`b`FgXMEAV?1o!6^Cs4V6m5MjJ4b@*8&%&WIj8[bL>B.doCd 7`8|3$ؒΖILuIX6)шO ]mPn(M] aDB I4JG?o)s ܠd Eء`GDtp.}R 8SAmRRCtJxrF>A @ڕ3,> Ύ*] 7q1=*m s-K XT{O|Y=23~nJ 2w1u}díZ9{Ō(IIp |`+`k ڃ~ ѡbp,4#t)LTNlFWڠPrcn!p3?7eW#pM8%.30Nm 30.#{Ke̓NKƋ).t).js.Ź4ԧTSh)<.J=%0Md@+9.w)SS8ӧ8ӧHCl^~sM_L_4afPG74*_1Tj:2'asLPXy]+hmļJ|9 k9j:Mm( DOs6=KHOX=_T87:C| A{=h:3{k^@`= Cy0r9~!q6aZH.$/I,$Ovk j=k KRU? l'tyGD?P=_ O/&/SL.qU? g`,m6tS=ZB6Nr(Ll@ħZl :zqG 1~x72+j ,PX5 6MD=]dQ==Εz?VQ3.NZ>>.}pt 6ޏzx/g굛C0d)cAm0F(*0*'̪U"~xrMV=.%/XV1V]DFMm!|7U^Npz) tjĞs~)R̋ \Lg- ,H-w%xqEN+nxkcFKO*ӺvdFHUg^#T/Zj0|ghVYЬ:S /hCYz &`o3(Q TTjhCC :Ѓ/ep1s ɇ)``]C 8Fj" [6n"_tmQ>G*YMȱlQ1 o(*мr6C֑7\@G Rܑ=~*$X3egk5P6jèYDdgFod+}=!G .JPrKrLx4Ép D=0Oxm4*K]c]BrMZXfq{%Nzû3x9|ݾ{tw]t.2R%X$KHB4AkE)Wls#AQP`$^_oÑDA-zس;ܱ\?{ua ^|u+ q}(n@qzv>0hSW %:vwzwx}pz}oO2h~dpo0Pn$Imԧ^4>^m`E'WRa"ON}\ W< endstream endobj 670 0 obj << /Annots [671 0 R 672 0 R 673 0 R 674 0 R 675 0 R 676 0 R 680 0 R] /Contents [777 0 R 681 0 R 2096 0 R 767 0 R 678 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2075 0 R /Resources 677 0 R /Rotate 0 /Type /Page >> endobj 671 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [527.7 490.08 558 505.08] /Subtype /Link /Type /Annot >> endobj 672 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [91.98 476.1 186.66 490.08] /Subtype /Link /Type /Annot >> endobj 673 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [178.68 361.08 304.38 376.08] /Subtype /Link /Type /Annot >> endobj 674 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [224.16 168.06 348.66 183.06] /Subtype /Link /Type /Annot >> endobj 675 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/download.html) >> /Border [0 0 0] /Rect [383.28 336.06 469.32 351.06] /Subtype /Link /Type /Annot >> endobj 676 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 677 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC67 791 0 R >> /XObject << /Iabc3333 679 0 R >> >> endobj 678 0 obj << /Length 18 >> stream q /Iabc3333 Do Q endstream endobj 679 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3334 765 0 R /Gabc3335 775 0 R >> /Font << /Fabc3336 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{Ihy㮞~?va"6> }0P(z4SokWAD>.W^.6qS/ Jcȅd2n~A1H[&!#((`aԜiJsO"J*sBDQ@\Sٸs@61 Ej92;0/5"]zϐ&FG'uc*.BlH,>Q]h߯}j]֠gQJxpfE3Rӵ6{ik_KaRGcbt{E'W9F&J[_Ikp:3ϳ-nQMޙG>x?1$ D<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(_|۾{w h endstream endobj 680 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=48) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 681 0 obj << /Filter /FlateDecode /Length 4001 >> stream hZrɑ}Wc!z#G {"<~h-;FݓU ba["Yy9ՠQw`ݸ( U:w2Pka}zwU}nQ["MX+uXhUxTeZ }~םwn8n]#6}nzTyj[ܴƚVQjunּT=?/VyYejXټĺli46amy4^FÞ{lnyȥsw0Re$NI>nA'sfRj*/?/+ja뢼rUnS5¢jIv64m29 XbmAc:1mk2j3 ̹Kj][%Fg\͵6*/8?܈qt&x3liNe03aj?߆i&RDȜչc,D>Myư i,eVP"$D׌Ә8|zGH*tyUdJa4Y%,U7D=HNk 2‰($MC KF.:Qxf-Vc)s c߀V#-@J es!҅ # 0:,2!3J+ǵb2EE,Uc=G@nH6Nܲ#>0eROZaʈ'O3VhvdD4YĊ"%>h1@PBV,k6Ghh1LVj8.rRD^-9CkF I6l[PFf-58+o& Dbل9aS]ls²HKot325d%T艒+H<\':/k} Vq طm ?k8)Bn* +K+RH}XM 7&]5+\Bk ]Sx?-=V`sf/,1qAPH>Q*̇b..63&pB/JcGy<۷B&%/ 2Ds# 4e7!bd|_o CEn%ZM,@eDBkM؄11\5 ' g+g-ѳt$Mi P8a*|r'2WAt] ։RиLh"ػY{=h'B&2WIT꯽ޓfKLp.J6Oicxp͹yMw O zyFDH ʼs\&8{U]5B/ԆAՔ_!ju%kEa>ZL[?V&_\uIij&'N/t{d^2g6, ղ5\o'Npuz!$8. a/4⿙xԆ] |\n6n-zzkZNF~n<9E}r)jsƼNX1VmVEb?qׂ]!vRMYalL^UsV=/ij۾:^J=5Kpu{UUSTL⹔#ZoA^2ŋ"/ĆRk^~bH]=_/,N14Vd,a. y=׉H"&4\=җE8%n#&!/ i~ͯgIl7 PMf#,,)vU-vXNXXi7\@ej!K6 W+HCsmA Mb%s}դA?Qߙ~k֐sOr6f.>CE(Kn,K)裲뢽hݔm탲rpouߓ iEP @QRPٸ;(!' qA"񸄆bzTl׳02Dn.}Px%XZ*#"KM c!+EM5T`E @D$SCw{IaaMMc[s~BCNsՏELx^߫nTG+T.‘a؜'Y䩌~c-pxv}70G euSCX-*uwxkΫp܌onq8Y0<$q< OPP~KJQa5/us[|'`jnHqEO__hrG+Y'B3&G$I{{Qr5Vܐ `3BNL~r@K9W$Ltwi84oۦw|>iC>vChdqQxћ/Qm?cYHnn3\GPr bB͗K`mGJkmDz~)c_ k}䳨 6Pl}Ўq4|d~>vo$w|="µ{~D}8ڣQB}~b1K`;JI_W,l]y";w ) p樾r t^ҽ }l\*-m Wq]E(3U3%$RWđjKc=}Qa ;Gt@^$O>w\E]ZWaãP2SOaV:x}1 mQyEQRnpRzp|{RbF\3긭B5)`.cB-N"q0榋j{UH9۝o+}: 1fTG*D㫁Sͣ{կ Ok}tcqy}I> endobj 683 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/answers/54517.htm) >> /Border [0 0 0] /Rect [91.98 659.1 558 674.1] /Subtype /Link /Type /Annot >> endobj 684 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/clearexpress/websupport.htm) >> /Border [0 0 0] /Rect [91.98 441.06 446.64 456.06] /Subtype /Link /Type /Annot >> endobj 685 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [296.16 600.06 421.86 615.06] /Subtype /Link /Type /Annot >> endobj 686 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 687 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT16 795 0 R /TT2 2092 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC68 788 0 R >> /XObject << /Iabc3354 689 0 R >> >> endobj 688 0 obj << /Length 18 >> stream q /Iabc3354 Do Q endstream endobj 689 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3355 765 0 R /Gabc3356 775 0 R >> /Font << /Fabc3357 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nw/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NeV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽? endstream endobj 690 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=49) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 691 0 obj << /Filter /FlateDecode /Length 4619 >> stream h޴;ےܶx$7e䤴G5e;pe4C~bq ȒS[!sùV?}j_V,Ṱ2Uk?\/^__;BFxTҫRpfGK.?v.2:nS͞Q}] 2eΪ枞/2l9]|@Nåyry.,?e|=utiAM/y,TfTL]L|4x a3T9q\|J˜ŘsP)PߠŔ/n[{m{M!JroufD)+ơ,UHge=v,׈W ה.s4̲hsf=8;\ hYnJbB" [ı#@!,$( OMQ2Ns~η?@p&U1 SS`08#`x&Ȯ5daw!ItH(4 \t*+'@kC!@!@rCĵq: 892N3vh`k1 ݌xSc2SG<9s׀"s}4W@!!;^Fƿ"Cd٥-0bL؟P!,4g ԹS^ {X(]PR)a{qXte J߃Dg@11"#sh87%fcd|b ISԼ.V@ h˯С:ԃKQa9jL5h4 * N٠ \nA7ՠhM5eG \K<rSG2ap$mH.:ё8#7?HHj/q$( >kb=V^HQx,L-XsFD=P=/ o/uK1#8P%"g;:3$s6TS{*|Y< H !(9?tM쉻r6&CLMƧ9;!ao"(eT9WkȠ:C.@3tdRi3)r bŕ3NZ/aCբ:8zqҗgk8k ^@.+(PA *hS2R!B% t|*"| %bH+ʳ Ri*GT R] DBs/ǃ9ޥ.uЄpDQ[Q=s|R9ʳR 7ˁ\Hgx) $x(79UUjtjT<L2#6B5E~j *heC0FCtCL\dSI CqU=QD'l$01H~*GZif&C\`2<-Jyd8< 7^vp\1:aoMfڕhupN~]w߳TKhbx:Q@ccߵ[u܃?T^]PmB7 7uUѮF1'mDM>ֻz{h[rڥz}&Lw+CA~[oڊ|:K[~cUoOUd"lO@m\}b(Jnrw)ƇMK}9@ UȆ܀Έ]P}n1P}tD@8Bg#_!`3)\ g0 ћoW( %zd7$],>c>S8J D(fhE'wvvc71l@*m7/w߾_YLpGy $ Y!fwEŖrI 7^ۦ?n- |!gT'sx>@@Ut '^:0ZPom$/%pu640 Sj௺eV#CcX>J3+d phf޷ꆿD:^ߠ5lלBc5駖>ZZKXL/g@#jn'o@n~Q^{[(fPP;𰗹ih)*ʓx0AeM;6͐B>AY,Y]!bOlTs]QDCNݶOO)5_iؑ@U_C1?9sd(4|h ]E;ɢi Fh`?I:~2 o"ZzSA , &xc2f,XEB(\AGw-%;uU Xh'@w[A"t :Ѕɭ|2+FhkƂXDD;:OP9(o6|B7"x P\>G5fBK~8F#'%XmU$EAMQ$0o' fr▿RU+}Ĩ@+]Y`|ᕰ>UK8PN{Аef;&fU8%w=/ j-(`$/EQy1'3L;~QLk+{˶|EavRDAe1u3M,{wjr۰fCV#?CLǚ]eύppw/|jk,[*ľy%ܩFG"XDxaܳUZPEE^rي/v̮TL .R )JR@>l {b,cO5yջ-l=/o#$()db)^5uB ECW ~IkXŶ('WwxD%JUW'bV nNRH]<ٍ#wަ4kt cwh/2O]ylbD?Gr#IIE~x< _fZ\УaJŬ mЖ eS+jnoR@!J U-X.=t3$G0wCydV}TU|Nfa(ϢP2qcWccO'~'P1kCY.5I^c,Jη[ӣlfpPݐB2+"91/_0?Z|>Сzj74CO^5z Hc bޱA$vA !8h#M (<}᡾?-; endstream endobj 692 0 obj << /Annots [693 0 R 694 0 R 698 0 R] /Contents [777 0 R 699 0 R 2096 0 R 767 0 R 696 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2075 0 R /Resources 695 0 R /Rotate 0 /Type /Page >> endobj 693 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 694 0 obj << /Border [0 0 0] /Dest (G12.298195) /Rect [91.98 118.08 141.3 133.08] /Subtype /Link /Type /Annot >> endobj 695 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC69 788 0 R >> /XObject << /Iabc3375 697 0 R >> >> endobj 696 0 obj << /Length 18 >> stream q /Iabc3375 Do Q endstream endobj 697 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3376 765 0 R /Gabc3377 775 0 R >> /Font << /Fabc3378 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQ-~C2k,`O6OaePDt / CSi ܟBjdԜO\0s2*WLj$sx2W@wP&O;2FKh8ݛ3^ endstream endobj 698 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=50) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 699 0 obj << /Filter /FlateDecode /Length 4287 >> stream h޴:r6 uf7~]k]䕧Zy;}V3nk )7'ug]x(uyτyKUrwA٠/PKW%D%hZAq5Wqp Q#'X$a0fp%EN94x90qXiIϹkܤ&j>&duak:qNd; Kq`!bH#ig@I2$L<)Εǜd*GnIc9PF Qݣz0~6b e;-bLOjR Z3Bq%J]Qn4B4leX+x0]`(Pv_q{}dC( $="5mk6za)ƕdd>i X5oDJˆѸF@k ݶ(8Mkh ഊWPPݘGg2.8? q q68;8!B\5qUqc%?1Cy+g_#&n2'334q,g!,C83q NkۼXefXyyAo+7BL^_1(TR5z,hZ)2x@f.\}麖̃S9VjZqXū-78Xb$/Th  CeDnK,%hf4AU]yp sQJ 3 L~.);I.s%$yB#eBt$mH>8ɑ8#9ʛs$89KxϚP{O58:ǀR1~ hVI-PsFD9P=K4Ϋ1#gH,QU.PLUhlThdp"@Sr0e<wl ̆⋡OKr>:;҇= APx֐@u^ 1@"M8PɨӒgSXo.:i]˾epiOX |Z%I_6.Hv 5 Yh/  ?1TPĂ Tp,B sTe^WUF5xzqE"+.p \1ru1GJ5hϠ%:wdx 3B%k`3 @N9b1"$5JYE\ N.vh!/5w 9|ׄL po=7LؘD004\牊&=f8Zvoesqװ&oD<&\+0Cc囯v]ר-^7U/luͱz5ܪ cM]B<+P'[#pإX&w:<}YA~6Ⱦz̔ WdH! &P03~3t$bq3qۥS.0r[[e4 '+m-~adX,R04M>_LejBsP[^^ =١ t2ƛD_W9~^\.}(*Ux XΉ@~\ްD\ H&)wl)2>ǒg{HZ炓l1@05x1: Nz9NCt^/9U'z'uP~7W74QEā@W@&z1,u$ZD İrNSRZ5ъ l!:-) !xH6[0y7.HA0~Ÿ0nӴ ; ǙBة]^M [,׭H9wB?mZExNG %2OQ>ԺU?56#4b=u:,)Nbo!%6*^SLۮV& Eɚ?\})ĴLDCJ8k !qZ$H$4UQe(i@D]$6v3YEoýƃļVqz=qڳMF~+w 61%_3$Qo>Vv0܃7ɀu%@9`-l}]Ji ]s+TITvcR>\o^"+R2p8"0qbRiTCT 1T:Wܚ?BT0ߨ:u Sk=*/صֿe5PZ՗׼س k;V]tVubo{lT!5ynSUݩkҵueU'=":M(5y q>I?J\ B<68% 5w+yŀHLÓE~HЉaz_x˷K@ ;D>UB |& n6: 0 g endstream endobj 700 0 obj << /Annots [701 0 R 702 0 R 703 0 R 704 0 R 705 0 R 709 0 R] /Contents [777 0 R 710 0 R 2096 0 R 767 0 R 707 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 2076 0 R /Resources 706 0 R /Rotate 0 /Type /Page >> endobj 701 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 702 0 obj << /Border [0 0 0] /Dest (M5.9.20508.Heading2.Data.Interface) /Rect [420.48 293.64 549.66 305.64] /Subtype /Link /Type /Annot >> endobj 703 0 obj << /Border [0 0 0] /Dest (M5.9.20508.Heading2.Data.Interface) /Rect [197.22 282.18 240.66 293.64] /Subtype /Link /Type /Annot >> endobj 704 0 obj << /Border [0 0 0] /Dest (M5.9.20508.Heading2.Data.Interface) /Rect [306.12 358.14 465.84 370.14] /Subtype /Link /Type /Annot >> endobj 705 0 obj << /Border [0 0 0] /Dest (G12.296933) /Rect [91.98 434.04 141.3 449.04] /Subtype /Link /Type /Annot >> endobj 706 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT13 801 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC70 788 0 R >> /XObject << /Iabc3396 708 0 R >> >> endobj 707 0 obj << /Length 18 >> stream q /Iabc3396 Do Q endstream endobj 708 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3397 765 0 R /Gabc3398 775 0 R >> /Font << /Fabc3399 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n1OvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * X7ٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"*pm(<8יq|mau3l?k!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'ŶF endstream endobj 709 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=51) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 710 0 obj << /Filter /FlateDecode /Length 5376 >> stream h[mo8_"VEIyvvvrk[Xr_FJr8XX,"e}]ȭ*Tyj)Ԩc,xxusV7NӫT7E jL[xkcFMWAخzwkwj3kկpeʸ*A\Z~XdHfR>jQ$ l2OWI%]pbOz.ueQ-*i%]A]UKE\75ڤZD9tx @4MQ,1PqѻW21msTJy_Rgiu Y.W%E^9ۄ57z}tJd:+`M=2k;֟Zle2KSfA2I)ZB^`߬HS%L)'7itzu?{ cRWgЗFMRxfWCs}JaHoIe.Ub.JmuaE䥣detl41944NK$ |*+:+|An#<E$}W`FRTw̌+1DȲsy[>U^"B:{`%\]+S ˭+?}A{Pvq;| 4S "=+z!'|\L^ NĥUHBR@IWՈHq⚠f\LΈ aF 5i}LE%D7R fB@}g= /rr q8Mg1]"\18@#&7`\5lqf qfqf qf q 5|6oL7WMif 3/oZĤճJgd٬A$dVP!HsioW`n`#A2]FB6Դ͖Ngְ<{lv 6jCt QfA3נhx U Z `5`5נhM5&tSMQv~?UryIP/BnH&Gƍ#aA@)9GGGG2PIs1g]Xi&Io^j!ph9N̘#" ^}!yyrX^ *$U ўgyjC5SUxGD )!<Sɑ=qAĤ)苩I<#(ƓArOp K(L,HM^Jj>υzb?YJ j\9#^uq-0KJ;5+/ǾSd͵/ y Հ'bAmJ`!UT PEZ~DȽc`^i̬4#~VLY=3M")s*p#EvHőR>&x29GY- @ :V|φtvml͆SϞhOtVԵzhpԶw*N\nOtdd3r$:a0< 4m4Ԫo}C!])Z_)fw5нtWmfK/`cN{Y|i54݆8*˂t,,g3td mG6l[R;zqR+Am&@zŀK mJ<-:nb]%YT>~6,nXOOvaz0pIRHDX I-n@̢j+c{j{x އ{6{A0Gq8vM\.E(GK|YzmL71$0U]b'E[ՏdQ>-hH_R`ңx%O#/(5vw*ZgP{E{ \U전+؁?4.{^%cgPFx0 zTd`+X\]~4Ȋ H5*]aT{j^O%|g%etibPG7ryo,!G\N='oVBh\ YC)hqcpweՓQr-|w`q-ԡh,oCVۆu J/4l#-߼CwC? ).Q7[^vxoꎉ^leK?\0A V =2ɢ&3[J!f"s6L-))BţԦٛp$ :d úB% [Mrҽ+.`A[j#,YV P?w+>^-륏q3em%ltn#|+]0`)`w eawKxxsMFރ.,?9Q0d ) *910pE!FKbz۩;4K 4SK]*Em`:ܟcX2td`L?>9^PCb\$ك*bSqIjm6:|1Oy Z}Z:yݑ+:ٜ4™#"o2yRlj{>l%+}L"5>bgVE696N 5ՠ#) hRFY+j}jSː,= !LEaק#Rm-?Eyb$:t>X&XeH+HwbNe:uKB xD[#VΧjZX!`^ryxM8o8du3l%-| +*m\kBvYNP=ae*j(X/,(.,9E'E 7q.L€P]Mp/.iu1yYCY=~JBUpjAGrA^/se^=%wH]ˈFIrĨ;Iq9 _/2\l%{C3q^ɠJ!7~~l-h9d2-䨷K+̃aL7:qwt8-J hVairq@XT*tf"g#F4[?uaeIq0tB敦w1ZY98k*6h~n\O&|itk rk6'8%p%&ΪuuѸ+m9Bپ KچQU!W>}]^ETx[ )P-SA.Qd5`KFz6\.,~TUڿyZk/V= /GpAh8b~ BןctTv2jyu 6S)=pSFլG}U32b~8 4}2n:BGyn 1X 6"2 b'`n|]`Dhdz:\4ϏZې,||wgh['b =i4?lG,2֠2z}I@^}۫5Q5Ö4,co޼wz!i?5./E]{N:8[PV^ғu.WGj[.r!>:/M8_VL!}뷴]H-~q'pu%;e4ݓg6= WRQ W*N6 c sր`q: ̇s4"W`/,P>nZgvc+{ÿ|@. xJ*^u#f"AvsI~cG >5zrtxwk9d@ *އ o8MPYL;Ztbd] Ɲ.L=ky`t!M ?MFc]9:OM!n9O|!f\Xڜw! di?J)E%9fC E.TI2~#l,.N-u*1HJ*3^97{)|ƀ( `gx>sLGW) ?<ɦk1W:ɹ;FyD3C9^|nbG@Xu [/KI0g xA4,MϪ22> endobj 712 0 obj << /A << /S /URI /URI (https://www.xilinx.com/company/terms.htm) >> /Border [0 0 0] /Rect [109.98 495.06 143.4 510.06] /Subtype /Link /Type /Annot >> endobj 713 0 obj << /A << /S /URI /URI (https://www.xilinx.com/esp/video/refdes_listing.htm#ref_des) >> /Border [0 0 0] /Rect [109.98 442.08 421.56 457.08] /Subtype /Link /Type /Annot >> endobj 714 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?t=docnav) >> /Border [0 0 0] /Rect [392.16 138.66 513.6 151.26] /Subtype /Link /Type /Annot >> endobj 715 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [527.52 534.06 558 549.06] /Subtype /Link /Type /Annot >> endobj 716 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Rect [91.98 520.08 133.26 534.06] /Subtype /Link /Type /Annot >> endobj 717 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=design+hubs) >> /Border [0 0 0] /Rect [265.38 163.08 330.6 178.08] /Subtype /Link /Type /Annot >> endobj 718 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 719 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /F1 792 0 R /TT10 782 0 R /TT14 785 0 R /TT2 2092 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC71 791 0 R >> /XObject << /Iabc3417 721 0 R >> >> endobj 720 0 obj << /Length 18 >> stream q /Iabc3417 Do Q endstream endobj 721 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3418 765 0 R /Gabc3419 775 0 R >> /Font << /Fabc3420 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 723 0 obj << /Filter /FlateDecode /Length 4006 >> stream hZmo_@iec'm4Ic!)MIC,󲻷GJH ٺ۷ٙgf򏯕9J+jUJBZabήgݦ//k%>rLNа q܏ۙFTJ9 ?.o׋{߾۴þ[=LzPAԺr,P(Z0X(!G ' ݐXnf6/J UVl2#k -#R:jJfusM[l/000PR袁ȥ}`οsrz)3 w2U0WJՕGY9xږ'dU(eD nQ5v\p v *,Xb9.6ud50K4j36LclEլrGܭT .R*GПB1g RI$jA`bF9hM)smȔ;N9u4,y$lo6!4c[6)"q@*%:I$; CڨؔФq5M]Y&MQYdFF0R#)t1q, #h~ZmnѶaglؖ2ƓOT 9 `vaIG[& 駅t+iUHjH(5je4"B2? F{\:L#"&$⦗4db\n#‚xI1j=a{G刡ٿqYdyr xL0( Ǒsfps%G-Qfnr.16@ 3БF3!-vI#!QT4o,f;9d̠wDLHC!+(&,-)}9mMQ2=RvΘ)ĔҨeNq_I_Gja_q eg:?scPdf3& a]h \3jZતwR(psx 8lRD즂ϩѿp_x؄mLJA38wSf105:`~PB;#Ѻ]p76hxAHㅁC⥬ W&. M6T04эF_S? zj>M`K3%P&a@#j*ll}.MʳpJ,&q4?!*<}#u2,ޖd,'4"J2lfd^f""#u s-ch \ SnN9Өoz)C5>*2 iЈ5(s]ȂUuzRKpBb+jKO֚?% z|_[I R7&',Qڄ,ӟ|z>d^|)G&, k( FK?81sJyr n$3<+LP+JftZ=t3tC8J_s?nٜ)*C^_cAlNIX+ !(OKe$Z`צ0cUpҜQ %"艧9y_yS/}r/8k/:qpi1UqT곾u\J);|Q QhPHwR1|\KnFϊXӑHd6+9z oy@c|D\JgACcnC&!3.~GGD3$dx\aT|_%9,:!%>]^]U%W׀BX\pHd/9DF [nqWYީ+1J$@Sp(S2^J>wtE:B>yboaƪsC@VJl׶# ze4VE1SiFɌ^-zZuCok}Uv9xC@-ۃXlW&-@!x7Ak3N6>yHZ.xۦ0N>EL1QD]j4 M3ftT>bo6Pdq98oԾY6x l{WlUJ_{q0xˬd(Њ"lPĀʖbq/}nسp>:\gp]A68A qh0Ogfl𞆢٠b rĝ[BPPO\-N q⣋){  LA.o)άOQqj0IS]gb;]75ki&ڽ*2h WƦO Oe ~}rwUùE=:.n޶Pbn{ÍOR$SM7?1Mfqݺ[׈m?,4m7<bvujtqnk[{۷ DUmXn {, [;3t8*6ܶ-Eu$H m5 A@V, ^7lU8=nK9=.ߣ0\aJo2`nW~Oa;x՘8F9Rܣpkj>}\]5DTȏ5)5ϯr2syA},l &"囹 _{W-ۜrdM 8r&@9Ld"C7v 1¨ZKєj i E&O8 /U)>dc'-C =tb݈:BY']^ͷjVag;!M;XA0Yw=АY\Q!w/~KL=j!=TN."[{» ՓPͯxZb%WNPh:C~X/ubwC:taYvHM&0z\u]Ł\15XO},vjYA)cA즲o98ۮ{}P4s,M9/kݾ/6`fldU+>wbxx9tɻ5'c342熩NKO ]Lc 5k,6=p!`[V_xD4A{MjUS- &4'eMP\*iiYJ~>IPmZǍk܈S*}X9"L摯pӶ)+,(2 T,qxP˓i>Ԇ^Imhb~-}whQ5`2HM==h/> endobj 725 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation/application_notes/xapp521_XSVI_AXI4.pdf) >> /Border [0 0 0] /Rect [462.9 564.06 508.86 579.06] /Subtype /Link /Type /Annot >> endobj 726 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation/sw_manuals/xilinx14_2/sim.pdf) >> /Border [0 0 0] /Rect [109.98 634.08 110.16 649.08] /Subtype /Link /Type /Annot >> endobj 727 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=v_tc;v=latest;d=pg016_v_tc.pdf) >> /Border [0 0 0] /Rect [312.96 459.06 346.02 474.06] /Subtype /Link /Type /Annot >> endobj 728 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation/sw_manuals/xilinx11/sim.pdf) >> /Border [0 0 0] /Rect [314.28 634.08 348.78 649.08] /Subtype /Link /Type /Annot >> endobj 729 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=axi_ref_guide;v=latest;d=ug1037-vivado-axi-reference-guid\ e.pdf) >> /Border [0 0 0] /Rect [219.42 543.06 219.6 558.06] /Subtype /Link /Type /Annot >> endobj 730 0 obj << /A << /S /URI /URI (https://www.em.avnet.com/Support%20And%20Downloads/FMC_IMAGEON_Building_Video_Design_Tutorial_14_4_20\ 130110.zip) >> /Border [0 0 0] /Rect [91.98 585.06 558 614.1] /Subtype /Link /Type /Annot >> endobj 731 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug900-vivado-logic-simulation.pdf) >> /Border [0 0 0] /Rect [366.6 438.06 401.1 453.06] /Subtype /Link /Type /Annot >> endobj 732 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug896-vivado-ip.pdf) >> /Border [0 0 0] /Rect [371.4 480.06 405.9 495.06] /Subtype /Link /Type /Annot >> endobj 733 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug908-vivado-programming-debugging.pdf) >> /Border [0 0 0] /Rect [432.84 417.06 467.34 432.06] /Subtype /Link /Type /Annot >> endobj 734 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug910-vivado-getting-started.pdf) >> /Border [0 0 0] /Rect [359.28 396.06 393.78 411.06] /Subtype /Link /Type /Annot >> endobj 735 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=axi_ref_guide;v=latest;d=ug1037-vivado-axi-reference-guid\ e.pdf) >> /Border [0 0 0] /Rect [219.42 543.06 260.16 558.06] /Subtype /Link /Type /Annot >> endobj 736 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug911-vivado-migration.pdf) >> /Border [0 0 0] /Rect [334.74 501.06 369.24 516.06] /Subtype /Link /Type /Annot >> endobj 737 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug994-vivado-ip-subsystems.pdf) >> /Border [0 0 0] /Rect [506.04 354.06 540.54 369.06] /Subtype /Link /Type /Annot >> endobj 738 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation/ip_documentation/axi_videoip/v1_0/ug934_axi_videoIP.pdf) >> /Border [0 0 0] /Rect [357.96 375.06 392.46 390.06] /Subtype /Link /Type /Annot >> endobj 739 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.08 342.48 38.28] /Subtype /Link /Type /Annot >> endobj 740 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT12 780 0 R /TT14 785 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC72 788 0 R >> /XObject << /Iabc3438 742 0 R >> >> endobj 741 0 obj << /Length 18 >> stream q /Iabc3438 Do Q endstream endobj 742 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3439 765 0 R /Gabc3440 775 0 R >> /Font << /Fabc3441 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өl?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 744 0 obj << /Filter /FlateDecode /Length 4857 >> stream h޴[[sr~ׯ˩&w +{K٪=)ɓPf~}̅]甪4 *qsvR홳"NZRjϮ~:;B3% r홄ٴ[_~+^N/DVkK};8{S\loyh+Syb~KwoJ,$>Ot?|UW=TԕX,'S 7[9_fFuR҆3 tUbטTY*\@Sk1Uٯ"> o.Ho%rk ;?bJW|Xb=oBULQ<xɵ)RNx_=OUW7_ի|$ʂ }.m֫R|(Xlc1O7.-fk}3Q<;ujqD#ȴAWOu+Xu)J):)5^T5/+Mrmjŏ/ 9UPWݻ4ꏳOr6z4uibSf'*UTV*xQ*lKWy,8{մ4U΃WU.6o qSa@\dYQf_ie Sf&.Ğ>>KPIs(mgb1 f1e4Y̘x,G\2ao,*u R~pT׎ΉYT @bRḩ1Gj3=v]/67땢kz(% ($6$L"=Nq]CgS1?I&X1ld5$>) ̀ݽ!PC LCtAM 4Ʉ4B>}b2)[t[b ( af*@ )oksI&G.,#b7b` {IAU&:{Id`$LWt,br;'Gx7EJ\>rKj# Q~) =./y2DȲ]z:?`}i|OJZ=a#WAEJW`F#$<0&br tS1 hg@BUX`El Q HsK}2ј@*~2xJƣH^^64^!&*U PjC5UD+dţ e"򞂃]ɞ gcbLA{7fߑ?u&SYwl L!s3L;^3<հ3u`?F*ie ײaSӬ#8zLqOW+ΟCq jB,ȠuA' r0ߟ*X!q;%SMqՑG'{\<"t$KJud"er^)i;. v =AǷGςsi8 g =s—/Cڐt@4;D ~@m)NIʪTܙ$ǹ}C~LSfQ]xz6[Ynl R|m/ҤY7ؑ Py1N;*pf%#*W'Qmp>ytIyFIyU es{{x =7+KUt*{1^򁌥T~[3*To'PPSS,q 4ZJ۝rllǜ2'rUqŢB+4vz|?,Գvb"<^L,}\jEYߊ&3'a!Em\&Q'"c2 `зxՅx[fYܵj|~b<],[y|զFc= 2 -y+?L>nU΀-d*Z0LQVDM-_lj-(YKYZ-`9D H8,1!wr޾?7EL3.g-aB*naWZPV_kk˚uG;amO (c W뫏V L-Ćra yJNViX& t6t $S+`*#>^KI5qL?go4SZHEg-iHbxyg;B'4 k=! LAXPUCئ=0ҋ5C۪ݤrYܙBu}\|`#!SMj3IU&T> >;mOY">wJ愺Kֻ7#(Rcy-9_Oi|P9ح1) tK=]G9r¥0.9|IͰu:9O {RŀwTᥨ %V'MTI۟A2*$kM~?[5ԙV^008yip$ pfdi93POt b[F"h`i qG  r_c @\wZ PG]eҧ/dמ4k{`ר6z0-" " ^*34GRgj7o2kZP7^:Zu-R.JPCp L!k7cS}D8RgW0Juxc܏87o͌Nu#Rg"x U Ka:H9̟ 9$LpUj *箯-haqD h+yYKNymR8i9BTlg^8ƣ=]G_Wvѧ&gGh,71%'IIUSQBJ,5ae͵GA{m[>hEVHs61|k% #:TtlcUFr4=t8nϡe1tgɢa.RVc C`TBֶ0z\d~Uݢ9"\ 2J1gw=w~njUgvg:6Cd]q 9Bd)ĝR@YlߩGxvA㯊vθz\l ֢v/[~y]cfKEџo6m7VmVX{GJ䉟nN]׳ ~J΋gT+H_m&1yƚX|ƪ~$n_Xy1LJ۹bY< mwW)X6 ^m_ Et.)=XVuwaU Wx7)z⍗&7..?l*(f,wmq^mMS2W)vK>,a,/C^AVNS7] > endobj 746 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Rect [312.06 413.46 447.42 424.44] /Subtype /Link /Type /Annot >> endobj 747 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Rect [413.94 384.96 549.36 395.94] /Subtype /Link /Type /Annot >> endobj 748 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Rect [269.28 25.68 342.48 39.06] /Subtype /Link /Type /Annot >> endobj 749 0 obj << /ColorSpace << /Cs6 2089 0 R >> /ExtGState << /GS1 2090 0 R >> /Font << /TT10 782 0 R /TT14 785 0 R /TT2 2092 0 R /TT6 758 0 R /TT8 756 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC73 788 0 R >> /XObject << /Iabc3459 751 0 R >> >> endobj 750 0 obj << /Length 18 >> stream q /Iabc3459 Do Q endstream endobj 751 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc3460 765 0 R /Gabc3461 775 0 R >> /Font << /Fabc3462 761 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%Ta4CNZu^lM r endstream endobj 752 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG044&Title=AXI4-Stream%20to%2\ 0Video%20Out%20v4.0&releaseVersion=4.0&docPage=54) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 753 0 obj << /Filter /FlateDecode /Length 7868 >> stream h޴;v۸ C.!@$O$jmǒgӜFmvth7b;NZ1@!N޼iuw8Iby0V& Bɛ*':!VBSm`d!O ?: b,֪ުeUӇ_NFŁAbs+垱y8j FDV'!{_spZP`ȸ=$?I^TA oSخ \Q`T9ԡU-Z)Q؟CAYtsD?q2Zއm>L$Hz[KW22_@Gafi:\hs։6HFgvS}ە䫞 b [~j)Vp`s}c?A%^Y-|8JF&ۺrmzUmT`R6p in0RV56, pmUP*ƛa]nj,3`fOZjMftЬ-~=ys]ZmW}.}P{ Q*0ZV$qL&P꜒CG{)KY q?EI @FsTY+;T+Yv LQ ;L^? ~4(V[~QJ@,mofw?՝rOUOw[9GtzABP+59-A D I* 2iP}aۇ;{\+ D(opLDZ2̖v`D ͤ˯| Q[=; ^0|aȅqHQ/# 5e] 6P0|A 3!g\H-P#͖ɝW L SIݳIӤV&^9}mÞevŒɮD +EE< ůwt ".:2PʹKO1(qs%uyH&O&noR:LZ&J)%Lc\s O ׄ7n 1%n8߻} RC2Y/yeAqC@r*Pp0a= !+`et^su{TGcxw<1_ptdă g"%흏FWN.UQmbUZJpk)Զ.L8JRVV!Cמg:(uf+[=u|Kg(%mY9{.ĞQ=ȌU%{lj,ر^͋T3Vx0aN讝 l47vۇZx6[5&/Zȗ:NQ1/s,:N8+u %ss.(\Dq|{J؆DYAK"b`0/*F,Ԉ'mGISͪ+V}C Fq4? u(Qmd|B *+%KEs" 4`U|vbg@5q~o\bpA yT6zǡ`]v)T ܒ=uG\c'fdJ`ִQˑԀW.eznjvEk9s(Hf:fI|$)'9 D1$%$gWSŴrqE;}vT@}hrMey\C2H8%Q! }-] 8.HCb ,%{ŋL8g`nn.E%-F]CdOPb?Wm.B$:\ߡE~M|ߪǴ yꑢl>`-ES tA5f@"m#A'kcj%D[οi< NrpXTZm++淝=VQHMlh 4&6$3oo6uHL&($1{'f>?b|Ʈp>faJz{2cMGDz;FqyTSԗS *uMazNL}(0&5'xSÙGx„,n@ s=Wm\%I]S𺷊ēzEo$a!fFOeJ@ۥwfFo.'OgźqW1&]m? *2Ɛ6[t"ks*w3)oM's6ƅr<#`("FIO' 0Jl>%l6÷ n>ËSVj@\{d1!}#S 9ے:k^= Xg'2DA Uu7>ͮSFv8eL)#qçN PO7t磙*ӌdypt fhGj߮,PcN".kzg,TsDy]& q[E) 8]; %Isj֜1IFI?p5HG"w;QHddlI/E ?~"SzwC_ W6uKgz6μG<'Nb3*@ r!@;JkvyHO'Oͧ޾!6$cY9|{᰺IC\ #wdLּ?\Y9;9JR*sqH3ZsoZZhd;LfD}3a>1A+|I>bQKtbo㾺 5 8) b1HbfI}'i+ϒy ~,lVvkbZmG }]3bѩk'7̟w,5"5x$ya\=9U?U{AkAFucq멫,ն*Pm\}!U%ےم}0yf\29r/Vi{X=g)ϋ"$\\k)bה<ޔOTqR=.jAj;%=, _e aȻ r5aMo.eQ+FQFPӳNXQ)wwmRUpuAQdl{p];,P RbÎ_b+Z_WtG cj*YB\%yZe_2'0zc D< 78Rs|I fAl`b6ﳩ찴ËT_nu]pBABxniŌ!X;~C'L;*pwWmv6o\ҤͲzj! Sf(ES`%TW0^ &Ź1`bSz0ȉՊ͠9GeJJG9ƄȠ:`B3&.eqK y_fVUL*Xa6i*m^ҳTa9OkF Rs}ştP_V}EărYwpZX3$Ck$`ʼ*c%  7 \d0O/'6e]`ﵣcWs/ϥwд#i%p@~^)JO*Rf>8CV J> stream h޴`TS7 B %6, %HoBHDJ( ``. JEƂ\{-ذl"*Xžy=los93sfޙ9KզdЈR;Wz|> K f|D}"?q\onDvԔK>}#'(3Lvwj" 1urC/wO }*ĹN]G)z[P]O/7=J'5-t%-ŴRэ%nrnvZNw ';.ZEw=tq}[B9_ =LހHO|(|}~JzD;Diz,Zyz^ЎѲ[S J봍ޠ73ަwh;_!|.G}HǴvgh/zݡ3?AO 7׈-}SIUAÇHӢ訦IrpŭR*Վz:zxnnCm(Q'n5C `jnH}8\ݭmnKp>/Ҿ*U\Oר':37:1 י>?8@ Vѩ0שSVkN_UӢh-FjkuZ| ;#$hS_k5xXk50nZ*,i(ċZKvUʦ-qXܶZ' QKyZWCpw7:)MTD3uP7ĨR}Ʉ.7f#zAΐfgefH}z٣{ԎڷIInkղIzquk׊&!g 4}( ({]=[yLC)1$fZ(K};f>&mln3}^au=T])Q$f5k,eYȯVL/crLTS po+ԅ&w|[U8?"7/+3!))_Qog=*/4.3hMqTT.voRFI*˛4߲ ao^B?-՗˛ϭ KRwTl=Q<=ւ7E@K9Ez F]|U-8AdpҌA IIEJpd%GLr?-fM +`L-nn5SpoQܜAF2\Fyq+6i77ٗCJu7g/'wljmS=}0]BY{r"eQQe͐xv›zW3/fnrUdLyO*뛠:2oQBU}rFwh'§-˭HӖ,lYygWFX޳X)_}ٓ^vpN#RM#ZBM7i~Mܤ_\O)~iʏHM1fy'q\? _.GS|ȯUhMN/6{10rxL*+aBʣMYz79輤 Ɓyv!7)@҉\i=Ƀ'3Dh* wG$AK/MW9O|쒧7J/M 17Dl4*O|<(D&xQ&M.ciLLƐhLV$ďe$ת kuWJK7篅U\E[8M47# ZAvB ||=xzcQFMþIaxrI ϢcS~YvGEVeeQkN U;ThY;Ǭ=C>WYKIdZ,:0UTn5aբrE Ӿ`(.wˍHӔA, [Q MfA`,+hx?D)q+J]@׿C{)J[GzSm  Q`2M@yM#ҧ9JqQ[Xjo6R{·S˧/0/*e5.  !\M07ugM7J˨]$zNV7Av̡F> rv=ȳD 9 2jjov}YWGOGFCi?tEM=)yB$Yd1 4CݙmzýXl4MZg..Թ 9JͩQHk^՘RP1V_Rm'G ίЋh8P ujS9Jƞ2@9D0?&[(ƦnDґ\ i 1ƃ4BGiI=m[{b,:Ic4\٭o<388JT:NCW;tH?@^}=xucߥϮky֮ og5=0(`V-`9XgF.uA1XoFKY~hwsZk5(Ͽ:ac[S F Kæ!2)2@ Rct.g6 (Sډ Xs <7pelf4҇8@ 5C?a^HИ/hy1e&ݜHs]6]2ѿ4z 6 oTmiGT[w[ӢhFlE. FEf3^`g0ƶ^޲(s.ŨvFzڇq1lm/b\xKfA[hX{"pp( CU4CziI.O98h%-û7#ŵqFb)$C{]d+lw툏1nQj:S ^ib6E42gYF- w&`G[G13ANwas-ۜ7JX9pkݝ[OI?Ǻs`!D.46G]JHGEݯ\z_?{\F;(ϸ1>}qr 554\"<򵮩|F*E-'=r|z%e`ípuw}ͻVѶP0Iy=iE1Mt^Ii 5\X`kz@g 8+t~~a!:9X4u'Y7LpO*p[t>'j MLy c+6xuk<|m4&F 7͠3`9Wk~-jmލ06Q6–aclO8O~y뫍TOO 1 }`lA=3590̉]75Xnv~oGoн'xcpOmS1}"kɸ[@S.^\ {FbjԴ[0ߗ ՗z k .3>li_WqZ32Z)Ƙ SDc h̥@W8+d+^(8sDrlS4Z'G.<{^܁zB癟accϡNe*3'amcfx\j? {Qݚ}a^{dw~lâPyT/*}=Gjܮi8l_j+y܃^9yqk28y/썸8iͫq^|/.ZC%8E/j؍0V=3yes%.㍃+d`5\ 'ɣ&G{.tKC+ 8xv ) rYBagg>? P@؞6ج>Z`E[Śm#Xo9U8'ݽ ޛug Wc=6ܰԾ!ֺٰվgx.,@^:l :քji,lڜQ{`f-g"_g 7bv>+9 l̹''΅1["(s'e@U'ޝՔcw!s b(ůh۩F2lM'0` R3VZws8v"^[O>swڨV8{}:6/7c{Is`=_w֩8:ܷfqNsx>3kc*chޣimJ3ۢ k5\=Vd1{%l<[yPG,*okm]f ƹ!.mx! :e%3W] |Rrՠ}os<Ejlk PytJWAc(֜8Cd|YƌB  v`'5MyDy \nߦX#nS&uckحTƿ#_cUv~Ty _ObVyFhnpSؓT2#1 R=0F݌ǽpXKlOb^:E9)jvU-4_B.?Exc9Sp>\c<}Y>:GM׋qx_NW>:32iՆh3~ J1C/CoNW}gިcR 1Ƽ<h6ڹZ6F9yy:,wך䵦TkL>ۈ(X{=7QGJ^j||W|/CY_|30>wi@c^`^lt¦7;bIY&.#tPݕwh.o`oi:7B]A]T N J?qzO8}ؙR` x}CzՋ~u4R`ƣL'ՙ-_;5h,xIXU**SD}uf\y-95Kjk؀yq Y= }he$EՁ_HY#3?ZxQSgߖ/A/ʗ-ǟskE9AkZ9Я޳x:@׻}} MjlZ;Rg]BCjLs1NwqWvӇW7a 16:_ۍڳjAk3Gq㷔9u0|xQkyBsAM%5ͪ8 _RkX̹WP_]b7ՙ'@1-ǘ6#wa3 ڧꏘgwaޓ\=^ªWQva sw`H:Cp)T<7aJc?g@ t]w>dcXm>l#mungaD~wOao o8{wlXO8"σ`%oP. S"q&yXsw_.@se~SWK ?{"}+l#:g(6&jkv8"+m܀b4fڗYlg֟W3n~{7dzV/\/;Qy)p؏,8 WiH 5fw C~ぱyu~h=wo܏P}{ؙ}!̋eӪK\iݕ D١yf)a%(EQkVQ؏AMTk>K1q^wv3ԆkU 6mK^x}u-y=пv iFvs|5 6O sp_ߟI՚O|Hm9^2 k#^1O=*C+@BC:HL;G݌dG{W0: d=G.u~|YN^v_jYW 6-42fk[ȇ'4Ss9)<1~F: _{L&cF5k-s 5Z8`nyEPzZD}\,mߪ Ĭ'͏h]ZQ C{Sۊ:uu~a<Գ5B{kG"ѯ;:"?!/Tbqbwkf|@ X+ ֫&h$kWi빜ao۝Cơp{"n@=/X$fIO}*LspsQ8#T-PeXޟe<,A^U;*-_*B85J|~GLe/\:spYT^%o4fRUYo1IU'ފtagn"X0vK~\.K0Bw©.e.\,TlEsS.A/p yP%X.+}%ܟ_3ݾ7P[@_֜Nsr-Te0x.qI3p;1 PWjTmlҏW&hI2c"GEHoUE~Qb9$?|/A"EW F\{ElQUe/+[B|!gSqDd"|("+Sdb;"o%}Sb!MuD^yEd"/K/(/w|,}$}({]";D#{K~S )6~UƧC^ȍJHfE^yIEDyN~V$Y?#Y?-ȓr'D*E*~E(0?{F6%$}OҚ3{kE>:jM 5t<2jX QC~>p{=c íxH_=o=opӨ`y#`aT QrF\3o;_ p5~˸U˕cS^!3@OsK\@==} з(7@=untt7]@wvۀn*-򍮛nt#<9u:I,!\ǀ {TZZ0l ڂa3M1| .p! 0cU#ŐPCC 4Ԩ iC b&e1pX r ed 4Ra%&#;@ot@+Ы@5L˯~ :}`*4N9A* R 1\a a04ahЀY6`c!xijTp=MGN4r~<}xdz0tcX C' 0bXB  ?@w} o@&ހ}wG@7@? 81{SXƻ0\Q BF alp.s0ǰZ k00a* +12 K1,0!!E]CCC90 ? @IN} ρ~/ 'te2溪uOʃ{[w8+ձv.up׫_vpg٩I;Z/==m'KZG#o|8BGF6rpCȑ#3ve-#ާRn{F8e˶---T݇[׷Tb ٳe zm[P-FkzKb:tp8=<<{Ò7SA劖/^$$5EFiv8U H= L.A;/y s<'>.6&:6:8_[_ypE~ylY~)_cbwȷZm[=XK~!uB8os}'íqlrP;wЛ۩6RemJ/fcJl&-IGC%45 y@CnVP=UU辶Tc*1ʟ)nj尒V)5dJR >hRt+Z?wyO |=vxO>%$An$H5]4Z@nj@41.8Tֳyݡ@?zzW^wȯX0I޴0I-8]Gwtr/;cyǡ=Pc 㰑<:udmѭQx w$lClJ@'[gp{x] ]~g~9_B_W(l_( 9XL D2Aj<JJ&J}U fT#U JJV7锓;&WOu 'O]RBF&v9[ˆLJKd|_~{_XsY@pYK揟[PpD^v_i'  BvYFFZNm XY:i$DOx 2;eO?Tdo|gVFRi rnGj|lS<r}>'0>Yuio0&dd>ƚd:䙥kpTEc'o}麖F,y^$\ Ic\ch3ꞟ >`N"JJuQ=n @܊`e79kdR/2'H{3ftA hD؛ȑT|T%I&b3>7Xdi&31 54lp݋ z*+=l7D 1'ޖk4.p֮hS.ꅛ4u fcE({@9fS 5 lɏ*%[L Q?2++X~v"#BIɵ "Vπz*!@N _":}y ^%=E d,P\ N Rشry+w,s6VJ3Rҵ#__zYWˆ˘Ek{}}#$ZGЦΈE}M#ظUy2sBA hp&tԽAX[ 9a.2i\+{m"6 Y@bc~k5Gs$}OE-YRx?z_t$uX!zЪ;uKGa'Fੂ89BM*: y u\J$y\*yajZBN Cn!VM׃BEtQ YXEv̼씩9h~\J? m"z} zHEnd"#"Tb( MN'>wZfKd ,r >+ ZtyYn r'RxaW8K6sO4bi*3*!qn">1!:8x lQ?XL~Qt-ė%a R<lv>)AvMa@(r\Qfﲡ.`%jH9kW97l㙅GomɊʬ=h8K?9鬴oL=u HYic _Dl #>4M~|S/ \ON]xO7D!*JpC%g-Ҽ|g)s# B=k<:S$xq#$ϳ8F3'+AcSQ$#Z&5zUWSUZ#-@31,9y?1k-<+ Xz;߸޸kϚWxܝ{"z`'on׶|ҦA>h +N{_zLpP?& TƧci2zD0Ӿ) )zKLNҘ!|\TJdLm(L$Z7]Ҕ?~zLwk^ mކ|.g99/ctyɘ+bl7'?_<p ~Pd ,h-{VkV`i}}b䜤FHT8hLa7/gc;'!Hx,1p$2^`.CIիNY74^LIѩ2=QO.8>G&"qj|u_/rMs9mά~Ylks^ O4`}tI^wRCd~:0:!цT…9eɥv~|QK|HIL"i Dr̩Lf%4gНпҙFa֟ 6)$c427Q>{!1~G`cx gȹY,9s|\y84sK<A#&zSO`SHf39kz:e=KlӲ"37ij./HLNluԘW7_BHY5r}yJ^-gƈt(/ A%ƈ}$cD`*x7bGlZlRmtzU@9{mB i=cfqg4l4]8qu:VVn1(]XwDDsM&^K+-fGUmnjH ?x`ϻ%DY^\rSfZyqj&/&4gS2rdb"Y%]}^JxxixeS Jlì&'cȏpw19^$808zPD7O nCy"x'Fpb_U,!3*;hex5}>,T[hDÎ#ۗjBM;j1 םM4,Z5U-jL\T7?vQl){Jk;|N]yUӞ!AY+`W"$@W;zǩ5RWl`UF(mqCPm-Μ!%A5D)WtdϘ gohC"敕-t[X"@{[oX.|,H[鬳jmUROkZ5򵢇Ȫ8Tt*]ЗTz¯z6zozЯU%{N.1,];}H6rٕٯ@gyW.ĜH 1ؓTdJ;x`E"3׶/w>.ܵ(z&iMhMw35A1>䱪]G]ܞFp*Rջ/\Ak };b"HEiqqj<8E`u.1HIY` rstu툠t|7)MK_E1;O2{B82tt08;b_`Nw-sՆ}uYω*gOfgmysƚLgޒzoZԮ|kd߹U5T0[;((!%\N@{0HrBWA*c3).Q3Tg`18PpC[vJJ'f H(2<4Dqk ݢY<0W)C(+Γ>Z|V78o9m /)LQbc5^ 6ؐ^:(nȓ<Τi=˨RMߵ-Gr_o:I%<ǭY1 W%"vteY3SQ!TNé&X'ғ''l 9?tzPLKUtrAŔR5BV!uߟtpt: wm rde2M.ǚŽd7z)^؎ׯ)T K [6AD#XMRE[5tsl'< 3t~/H$ j2շFO/ִVjz oM ;/ڄr&g3N~|wS|R?439,`0)F#޿!)[(l5x '^:Y t~Ш>ߨˬ~ ұ_wnn{ldϋk< W4"tyHPAO[&Y ZƬ.U =&37x #KR~ay:e2uֆ|_dZ{,ќ\R$:۩NeL8%/R%_V"qjdzG]\lX:a.p i?_q=hoOI;炉uU%_m[-)=99Ѳ`ҝ~T+;p$O)i5ROvK,6&c2: 3CuI P ]S-D pp_UrUGrxتZ]mz”&Z=-OJSy<}yu^O)Z6t5m`Rĕ9Н"jt\m%#āXm2b k%A=|YlZdw#Z_2 *E#bhdn*UuH*EQ)bm?a.=0iH7 dm@cn k%udmwbË+!'˖"ཟ1;> |R APh^C{Z,.'D¡u(>)_$Q&\Xa '?}MsVMW[R kFKPm (+_(ʰչ("D&@u1XI11"׈׈K)Q}Ɯ8NTa2K 9ΏrHbsn]hnn,a̞)ɍ|ԓbxymI_ hyb~mSUˊqs:ۢI9dgE\s>'8,vp\\lke2eNsՂJW$9NKH_`Dۧ<ϖ:cVÒX[]_yg{@M(n[k]QҎ=qꣂz|Ԡ np6s(h5vzUNu#>4˒L=FDZZH B<ٓrthRi= ĭ,}@~ޠCzR 0lP7+XԾ_ ;**ԑqj95J5C֪ǩht:Zkǧ~>X((QVMmNyg Fźa bm=,.ցR4K9ՔBZ'ӐL6u7&S p\}A+@ fQ6%qK?3rg$p1 HH*v"xU e7h>rqxX]r\Z4q8VP nh#>螛+oC6͌s=GːhQ46Tj"-hjg;5\E[88!n{W# fi6mܰ%]E%`~E0P]x9Pe@IwXz-Jr}NOU$D[[+bJj,Z#Jm#T5Ԡ=Q<"\!m,R Pm4'YF yJU0Z/3s1Awod(A} $OQDD6$> P)WJ[W"FIg2~WT'Pbc涪HO8Yfo $.^JlUcHEdo_;y 1F*%*#3'qu,|r!WbUsĩo** WR%fQm2o:sq*w亮܉y䥋WF2#e-ч:ݏ>LTB\D^Pf"8ufSPRI@AԴ63TIÉ`q_4Fٜ'QB/tdKqǭI}SȀ]3Dv!ZdH-%a&n(V}<WW_-e(Z)\v1ִt?ћH[2h0Vm~396wwyzz#O=;ʪtJMM1ɔEWlzed[]a ]4аS1C&K MMCs,ɎUrec}mqbX}oQB(ɶMD%2$v"n#V""+'laK #v9o,_|wYUʈ+mUM7E"n;ƊM92YljͫWV\xWkJۃk,ឰo"6Z^ڪNH6V6;:P+ K]HП=]"ʵVvsLjPo>x-#粴ˈ ( Y"u*XSkL?r0ZX;.+g79٦C2B =oz9]j%S$ =pSԽ??N|&3Vu錁U%ey9~~l˦}k;ف1Cv,#_ű/d1&gvQDn"-!DG|"_4HhĩN{ +989e {=j 38y 5T{|UuxųF7 ,R܏͐Q3I[ ]ӯ |wL,W s,}ɮSR$0KdrfĆޓ jZh.sQ%=)bhBK7 6`߽@tSJM5Du1Jq3ԇ ORK9'f)EFO31koS(h\߼ӊ}7wk4gwȕX0U,dύ3>eEswFk,ZzZ[ͯdR,-)7>4PɕKM@q=EκRK^q(0围BsŦ> ~:.Ge4#sJ"k'Iy稲uuwz5):5Pq)Uؓd%[Nkk;4g}q"jK']s9 n*×ω-& hu5F@=3ΌYbޗ[LmnJef)h⻛g6X W5U b+':ȵ+F_ RbV߰垎-nȫ]_:~3Wc[Fo؃|knSQaKz5Y+4jr\EҾW׍Thig痢e>7-\/mD!+$"N8w, ł1y5?V\l8pRO鋓ѓQg,{bwN΀)4ӷ5)Q?)hHd xVO1%ͅ^B*e.$ƔQd=Y|_b 8'q[ZN7oކ6zQA*mL0kURr! uxIldTPdkҵl c;ǜ\ccjQ-g,!*fE2 GySZyaT0-O;9)NՏTi ӲvksQ^tvn/FY1m'j3[6:+Vbl&s:xGe^B܅\_^}$UaH&31% *ȇ'2FKΌg'b,]dHȀg`PP5sʳ7pϧ f*MoECTf!x }V$QH✂pINIOg3*IؙsXaiڤVl $o`8_™%N+mMljĎʣ'@h.NBqוhO8l}FYoߜ*[$vyòVu{$ñ}Qf|$R4bCRU9sk~ISuF(m)(8<詝znrφՉk`G0Zf4jwP/yvG:]t%*~Uv_d;W{'B9{kùu0Ȗi57B}Ž)(KCMp֠`9 ui[Äoe@n7-awhyy!C(:<$, 9|[Cʞ?|Ch|X PyF/DNވw @Qݚ!5ی^s)4o݁>ZG)@gX/ @ @ @ @ @( e=\1%w,~\GOq !_GU@ :0 \PzhVh;k;[`v4p  EDCrIq2H6c8 ~r9&y&S'*y\ @Q 5P Ma%t@'Jc5t^~X[a|Fa vb aL$L2wqrK&s> stream h޴[|UE?B4 ]AD@!Ih)$ދ`\ "Ċqm袢ʺs^PAAHSˣ)wfܙsf&dQ]*&RGwv_{>@Nܹ3GR"8B_}su EM5w!Ukݏܘ^OyAQ6S' >iMiMEPMC̠4flMB&#U>R ^jBZDo.qdx-t-t=ݠ/L7Mx37 X*Jn[VӚ քX uxϷӟ݁wRHw=MR/=@[1fDFhJ&b_MOٗ5#/G WXߒPo݈.~EXźEʕHJQArCDO܁6(^"(_ i?dHHݴ_`~^ApŶJ v ?D#xIRiAONLݴKS=EOMgi_(\"yLcD0ӼyvԩE*z ]z wQo~W!+G&|?MI L4q̌1RG1i!b9&='^Uri8wyg9yEBT $$$&w9h4 i.FD x3e-h`蒜$K.IRe2ʻbSG@%S rb`|2c Y,fAxK@8D mH͉E˽QL3o 'ED ^ 7חi'St j?pDցCbʊ"T'VMK.H `B]A]ڹiF(:p/:HxM}Je Y~L6SҲ3֣dLBC4́:k2 Ѿ(Jz(ܯ $ 4vh^ ?( *畔'$M)-RO+::eQ (Hxyg=~cuZy:=;XdV90'1 2%H)B)>%F J}tB$uQ3(t13.A^R"t1dz**5#`Q+7LO@ 1P۟({ދa419bN*c€ʤXC EKc2{,+Cm s?  @=8P+A"7~h~-$CPB-]R ypD|@@qV xh,9c4]UM~oFJ :[5#*?LJ޶)?C]ͥѱJS)n[ #I4ˊ]7:P ׾B|N7+KU^n @mԨmXW D uPUQL^_ETZEtn\L*mh8s#j2+W݊}]\bqbHE`|;GEjJuIITsgPU7$җUCʯvm*~^ux.#-`gN;rω;Jlb#HrF>0YwZhSG®Dy@>Ӧly p% Chgo@5׻&Jli>Zؓh)1Jۭ,WHh Cᴦ Z{[ҵQW,L}4K+\, MeAu.TLP7iQ%}@֗Yȷf/uJ0Rq̈́l9hdy_4cGdsYolL6͏nAwX*y! V@9kx`WHr UpOYrJbF`T޳8k"53:>4xo{SHȶvww==eOﻳ!Cf>`> L`n[j{F" l!eqZU3E<FJE^x51,]_q99[Y=9ۊ48n8)8{}Mzk Ѽ4j`NJBJً[4Z̯i9R!^lBmMxGL#rY4&a>H$|cٕ//R+'lވ5n2Ds E@X*c֡~dMr+05Bf <l jNB7*ۀvʸp} zYzn&I|Cǀrk -Z0㸣b|;n =K@'ZC },f{Һ S O%V_n.X'o?NYJ蛛E̅hB6o"3j(L=_:X ,4þ@%M5Zu&9Xnx*EO ,vNw)lLaCB_tnz+ߗ?nEhhU+*11~ ]fd 壔a|>I7Zzb>艱]T3|`@zjf݌ypÝ*B=E .) 4I@*g++ҜU]!!bo>1)ǺOa|Oii!g@9@Bt9C1.Q#sQW6ǡ(bӕ)VCJr&XKKHޤSi, Wj@Xۅ=7$j$ nMJ4:4 ] `wv4 ><!fz 0vo^nMzћeH [!7J`\wK"htE.rGkjc`y ?-nyvv`IۍG䘟A= q0o.šX{Ǚ4s ;j%51W<{)6f]^RNH‡0\ ʨ%`'%Lp HNa􍀆 ~z ܫ|/">[p8yF!_UF(%dc.^cʯڭ-|-!z4 όmP#0"-gB/{@:A85Y墮*wN2su-S*-u)IbME-HEIhaLMM%BM$^i@?aq} Є 0 x[wI'̥XFt-]N=%[VOꭅ#0z WGz_Xb7Qb/zvF5 Fb[?<ϣl>? 'g _l8V21"%+1#(r}Nr&R րRb@&e䅯9Xq69<[4V9>B]=y|l =P"agK"' ,@3qh_}b付~w5mgNm=rYG@7(zsp[(KaN~b垙(+klH{'' XyH) _=ׇ7( 9\rݗ̀68&o ̷(9;&fwE;-=u6G~5tE9 xvuᣊb"o_3GuW_/}" ?6aߔv*ko9bϼ?s5 ]O{ރ5#u.ѯn%n}O@U2f~,v@ڃ%e.ӈ8qX|a*JJflhvr }I{x+g:>`}{Ͱ ;T0Ke"|[Owuv&geh E'[m{){6w10Ys201!,W%YmFI;S6YY5uřz0'N臲svS=;):{nr#h :Sإt/(|Qh#ag ga~;$O)L\g{{Ld[PFL^$A.IK˱c3l?WWh9E\ݻ_O _]9=>9V" q[u6UүSq=pqf~>f:įœOrs_~5Pk s0v*osa3]w?bļ^5 Nciy+בR$%xi؃Ea e=SQ6vbnx`]xO~A8gn>:s>Xk( M]΂-PM 8u{¶1'Fцz;x@ MPx|zQhu="GgC 2.k!|L$L ~vJ?S4D~1NuFgw|Ob RMQ3{#/t1lFu͗!n% 0&\PҠIi<ϻ/Gyw@s` ַWXbY߃9 cدm4*%`ذь4sz?Z54 /V_~v0>ߨfŘkU&?Rk1-3lXBO7!QgI5PrmU}nbl5S}.x֢lq&Zi՝߇.T=E;4Y׊s\p ]pν+o&by6,CwGW <D酌!Bd=Η.8-YoqrgK<.6g y.ԨVž8ځ9^A=.1^C{jH'ϺNpLGy {Dm@?&Ʊ3*q8* j%Χ5oG:?r?4{/=d =6x@H LlZA/ _cټyn/-oRg})|nF/免a/_}oHHY#e6hK'vݵ25,X䁷^_.2k9\85OA}|-#2_ a`]<-Xdw#0Q`Z>Yǽ+e˄fش͏+ef9M ,sdpNp?o8NuMԹh܁`u|=uK%Uew;(NmD\wOpoމBÇ׋p#?H[#EFi9ZC Ұ@V> X !L:,Ę݂jLqg&wX߅Yq9 8`Ce??]C5"00by1Qpv؍1l{zCstOZk}.<3_<|1Ŭ9Ya`na?gdD8'BCe?ጔŢBP u~DOX՗g +"oZ _=Bq/ F=8q?Ξ _ ׫΁:BYLJ@ߩk\^]9E ݏOĝKqNVu΀6L=ѵvs[,qV4Ne;P?U~{—ߧ?0Fj& p5{$}/lM}e+Lޒ%_tl Gd׸:p⎙lOڳ>'rЮ?S5ɔjߌ:}Nvy3A2琾Bڡ <݃T m{vC$S ,q(sFO@+ ]&CΙe^`'\,.C/(Zrx m;J9iogT/GhȢև44CVOBȞzҏ"u?XHI@Rt/)쏚v=F~)[}ua`3?({%3t$7{sNʳXFmnvo7h8ɇ>QB߈E^^̳Gأ%s7q3ʚgN-ޤԩBF)ݮomͱ}i?,y79uԦ6 P?(NoR # 9ө]ޯu,XOm|S#k&cLOٞD/H/Ƹg/rN ṃ&wTԧ"Oc7_1zioՄi/ݔm}6ix\#Pեa/&d1a|}&ߙoL1y;Lm&M&u&1/L^e }L^fy&fLe3Lf &LLd.&;BOzcz4e!wyC؃ t?Kf? w]$ }nr6r[ BL&B%Ѝw]OۄE躔m!е)"I.A-=egl@[ m!o&m"1e[\~$4DhAr5VlV$g^ArBZAh9RBȓ]B._Jh 9s1EZ@h>ydIds !Mn=f (AOP/UНbJYLY:)RV P+k!L:R֭@)뷀Rm@R@SS&zB"8;BjS,B)F Tg@eX)TL,Jx`)fP<\ 8i"d$d 'gșZҩ!&"$g*ș,dф!J7-0LQ+h 9}}| 'ǰ7G3>4=_˄/ B)?Nށ?6- o7_7\.0~_kWW+_B~ /V/ ?7\*I6Q #D!Q@6 )h}DMWO}{vފ=+J̞3sFd]޾j߿"nC۩u`3op]T{hEq'?!irAKwݏgY?N烙vllllllll\X0X\p``9R2%%ŀEy$`.``6``&``t@7 @3 hLLD@PUJ@P(EB@ P\@Bl@ ~ N`V``h( [?[@ CcQW/_>|'S>wǀ>+/?7S?N{ۀ x:ව~ x *ˀ_~ %?<8ী< x4()0I!A@ 0 x81^G<xp?ǀ !{w }vn p3&w7n|p=ۀo\K-ߎ?G`#?G`#?Z  | | | | | | | |G`#}>G`l#Ϭ?RP ]vJEUQT5i*mѡCuYPW«)&6ve] q.pѓFO1WΠ;|*Vw %XJeU3 p.+ 3WZ^Qǔhƚ>b~l{TIo J>jP* 96뛓][U1*%Pr*f_ޘ^Zmm^^5{+_Nc/r7bЪiV9]5cuUf^0w:[GF5 b nUXL*Ds?}Pϡ=.݀5Yэ[^ڊ9(ӡά`(Ndg_ 2A}PoUUX2;xh%ܙb$Ml=nWJ"33C DP;F\ d[4ѿ``7ۄ(\a?md7?N{,kPKU=v63FmDN=FQ,QQzQt Nu o qaㇱ b&qm6]>9O'¿2?vT$}>{$AO%>}@Dýc:ZRTʒ*/=#H7gVX]Ih'hiPF6 % 볏At0cekH^u G$@uۣessFݕRǧ/y勚Bhpʲ޺\fw͕fmYJ:2ƒwg ce XTMTJT c}H#D1*@P^ETU 5`'`>lQ9,6L۬>j8S_wUQ+VStZRQ9=&Vf5=}o͟1ZfkEMlBJ&23%PEo<,Xs,Xs,V 8aXs(7[[1nY6j@63B %iYHkD{TB5InxcIӟ{zz}Û][E{_'02f3,rg4,?uX~a#4/j4AjѰ=k! )]i  +'>f- +&N~i4=/h2YA=z!}ڠV(`3D)5V. Z1hfc+4\TcEj#{ ,-----0C/`h,rqa)GqjbtMzL? L5SKmQmV5 I=nɀQ85QT l'(xDs?bR8#ћarb ub ubq Fijg #X /3a<~<~l&ْMMRTAڃUH 1^"> k8d?w"<>dg<l풴x?^Ū*}kf_ƍ,T6vY Ĉtv\=wђGܶci[γx-PA;Ϯ|lg׋6dfj. ![d)<5@dҒ$AY6|ڂbNŜb| G*{0) "HFn%V"LE%v<ۓRc%IcglnC; .g4N`V{aˣjoyq.߬nB֦SU[jZnohNF_,] M? 9 x, $n"@YmV,+6Z++VVN"Q d ' wBryx燗䤌4X.b-{o}'wtbW;Vc~$4k9/x{|oxd37txtc7t4w_AE@@+e%VQbp^,/Pj^t@0ul=I.(wW^IyA\Ui,\7v.#rfF2wu-kbn[xڱ SV9s7^QjvSpP4pXUL>pi% 7$Jf%Wͨq t%״U&e._yioI[3:k-Jf:.֐.^.[rE_ lwatf Ue}K*jJtl7wZ̐7g©]%SϤv8/><,İ+8ʶ] AcI8GщdkBiw&7$qw:BKN"R )X_`B ,q|{<Qj]j؊Xu8czyJOMOn rsz Y1NDϩH]Z64nlfZy}CC=QI4u\ﳯ7s 19#v)H%Z)zcBn!7ʁPFd#9=!qr^L&sU7#bxT2d4rKJݮTчY+^ŌR#sNfFa B5.!}X,ٔTp%ėϱq܏ xǟcTP(@a;ʡP7㽽2It1)}DLz'F̟ s$ӟeӱcQmY@Ȥ0c*/d*YZKW~lmR0jfFG!`&veTjJ8"g~AP"_ ScSQE,\óS:)B)lNU~ZeݭNhy+k" V ##^D)wT,B 5xt^J^-C3(les29[{XIU₉s`y'n7ۋ,l-ҫ!!Sy\Rw5,*̚^jSB?;ޔtI}eoЫ\&Z[;㑦 = k\ Se/m\ޗU>>=(N~\Cb 5M[^`#HduTAsX)j">' ]Hm.x]J^/Y|s25 [w ioiYtÌ'lNl 7lV7…:UGV`YJ)f/ uKsQxly*ΐ+YeWWU'>:(1)V:3"=AZD11 尦WgBDYW*Wmۗկ3+P(iMTU^U1?]5 ]Ν4Z ~b53YѠvr *DUQ7B??JB!lm|cҾNbX,@ ,}Ee3b9?X`\m2$KN~|'JMJ &|mrI*fO55*|`꡵յk]{ d62.@U6Met ^rZ6l\wƆfZv.XZ\uZjIa #{-O[ydw摕Ƀ^=2h %֞)ov;i Rל%+9~A Fƭ t "ReE<٥~KfKjpMNE㰫l-85KdqYSHŻ-Y>?UyLzQ(yk͜ߌY Cns\ .'񡸪dFTȔTX{8I^D4KT$2Kg?1e3sEpPT;m-dޯmO}m[n'e7돖t 'L_ٚ3et^uV#9i:{ "s1`+ʊ=+!,;|:nucInCi)|.X~+e5:$)-QL.`\u~O&*LCsLbns@UP|sMWZiS缆=o{K_=_EQ`wpU˹yħ.XuQz Aپ(bzR+m]\$7Deo(GZpZ.%:1!f X s#UyQ̼j*SGZIz\Yz{s. BM>=f\8\$;vu/jfLz;v|v\kjjMj3ן.~Cn{˞30)Sm:)EC}[]S~ek~DŽrEΤ2b\Sun7+& #Cy U;=oZAպ P3v/긩rVC!ޜ5Vᜅ.{VFSOtc9E 0,v&2x#;;$t8{#>{~b$n;QߖjM iEeBoy-'AB3ңT(A}M ְqivRq~q)ZtMTj&݂-y8,D;wodkW=jA'um[w,*mªy;pl7: a\+kVmhkd#Biy(U+kW\7 nQ5:͋[4ԋYjUΞEiQn8nV4s/dapbCn]ֱsPKj-iEcwͬ]YCRbe(dEte,*,CyR ˌrEM-d[mPxr6Yd %ԨoUXVh뫫@W2YdFm-\S61jVcI_RX{}[vŤr9[zT\P@jRU;ulAЧdD-.E4i};~5ϐq~DZ.]'q1rR\\-]ӯU8Юeqi:m(1ԛRQiX刽>1|;\,T,ѺڲyzӛTv,3sk\F_>NUSsF(>/<_|y.e//ke>VBÐ&5qzXEXJIK"{ziH_Gѻd-=\2ޫhvdeX ev`m$7ү 1mrFQxܻq́y"ܭqMUݰW9)@?I,M"RLM8 }J6VAQiQco VH3 >әńJ}m2wyŹ*ۥ@E#RXQer͚>UEtf:G??-{fJnf'*8mo# l=Ilx{7~V F(̡́)(+p3@Yz(ˏ&te[Z~k@ځLpa 5s^ HKJq0JKUk"w\cJߢ ׸}.@:, Mf豗Y;qٱWX~5-veUYV\^gF-3Co0ӰьzXjvd;Aڍ#T3)0JT (@!? (C!/ gET]jQM>C߆:9y)YԂr~g1zHpU: 3usmˉf{ WҚZ}s,eyFuth_ǒL_Iy{A^crBLXŤE^'IMNV1O .= Mc O reGFYͼE/3`Vo Zc ^<-*ܤл4sSd4:!fH2ㅅ֨3Gx"`0_1#TǵF7 Ǩ)@N''rȾ# \ R2uH20}p߻;;Kd}ٖea٘C jJ@1`@FT6$tJMgCJv&d|@$$:ML; &dt!J?HK}{:Ƥڙi~{ݷO ~}!.51-o&/|C/_mETNҪ]v(+Ro%Exgn_MvVs+DYbJDI{r+YAvOC~dXŐ9 a=-:1† Oc cł[,"k 6nhY ?u/ ˙4-3q~0q<9b肼ݩZ<;mDz^eu9wPm%~7N4䶉k %6'K>8 QP~@;_& ;7P#c6/Ӄ Jx$;+&4',k/&v}l[)5>nٳRy{<|YH w/]=/ V* a!s+tO.'D"&1{ MMqBzжMUO? VcB\ Ú J7 -NhFw;٪X<_0ȧJ(g)) hX1|4-Ƴ"iجH6v/K6u䬶e_#yewԅOs/e3Y3[M /y⵮cFueQy[RxmaL'KM ȩH*Xp!0 ؔ92'cfpdz690]걋޲K&ۻ5Kō3W~oE*0;~aã c 延N&fTUU9R Kա7{'([`14OՖ ixk0jpkBXDcB,m0Eӊ+n(04kյz[J;rjhL-\4kshZ 6,QPܼjQXMBET+( 8(,ߊ+jGn l8X)r 偳%&Јbcb.4+E5Y|p%zlSzkT  `4 8yt5 :wj5nP.M+]u-SlfimZ2Jbz+HAԦ†ϫLfa6]!/t_I{ESΥl`@9xҋfF*^A$  fSa.]{a1,vXJ}tK'4t&fȒza | ŧ6YO|n={S¶|>G^'_c[OOvp *E9a8%;@7j1-,ía [;x~o XyXb%GL:+o8vɞ_e{+/PZyV^2!jeO}L@gH?kH3M4Ke˒عJҔD7H]2HiStꤴjoZJuQTi^k>YG7YHes;to޼BߩX{ tA,m~~j4hKfz2zLvs&ћlJs =F{gOgvkTܶEIvtWg7#ctٍَFfѻsGflfk2ݛ;6;{REmT: ׉^O2~ϓ@ȶJ-ПklIC/eϬ@a6W=o]2]9{]:יO/ endstream endobj 756 0 obj << /BaseFont /MAMKPN+Calibri-Bold /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 757 0 R /LastChar 122 /Subtype /TrueType /Type /Font /Widths [226 0 0 0 0 0 0 0 312 312 0 0 258 306 267 430 507 507 507 507 507 507 507 507 507 507 276 0 0 0 0 0 0 606 561 529 630 488 459 637 631 267 0 547 423 874 659 676 532 0 563 473 495 653 591 906 551 520 0 0 0 0 0 498 0 494 537 418 537 503 316 474 537 246 0 480 246 813 537 538 537 537 355 399 347 537 473 745 459 474 397] >> endobj 757 0 obj << /Ascent 750 /CapHeight 1000 /Descent -250 /Flags 32 /FontBBox [-519 -306 1240 971] /FontFamily (Calibri) /FontFile2 754 0 R /FontName /MAMKPN+Calibri-Bold /FontStretch /Normal /FontWeight 700 /ItalicAngle 0 /StemV 124 /Type /FontDescriptor /XHeight 1000 >> endobj 758 0 obj << /BaseFont /MAMKOL+Calibri /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 759 0 R /LastChar 121 /Subtype /TrueType /Type /Font /Widths [226 0 0 0 0 0 0 0 0 0 0 0 250 306 252 0 507 507 507 0 507 0 0 507 0 0 0 0 0 0 0 0 0 579 544 533 615 488 459 631 0 252 0 0 420 0 646 662 517 0 543 459 487 642 567 0 519 0 0 0 0 0 0 0 0 479 525 423 525 498 305 471 525 229 0 0 229 799 525 527 525 0 349 391 335 525 452 715 433 453] >> endobj 759 0 obj << /Ascent 750 /CapHeight 1000 /Descent -250 /Flags 32 /FontBBox [-503 -307 1240 964] /FontFamily (Calibri) /FontFile2 755 0 R /FontName /MAMKOL+Calibri /FontStretch /Normal /FontWeight 400 /ItalicAngle 0 /StemV 80 /Type /FontDescriptor /XHeight 1000 >> endobj 760 0 obj << /Filter /FlateDecode /Length 21 >> stream x322S0C^4^.'t endstream endobj 761 0 obj << /CharProcs 766 0 R /Encoding 776 0 R /FirstChar 32 /FontBBox [-503 -307 1240 964] /FontMatrix [0.001 0 0 0.001 0 0] /LastChar 110 /Name /WHQDVP+Calibri1 /Subtype /Type3 /Type /Font /Widths 773 0 R >> endobj 762 0 obj << /Filter /FlateDecode /Length 511 >> stream x=In%1 Cr]Kxzչ6rd֬/{6׶/ ~p~c8s5J^-R VbT[B-gYgU8~{+ sy XmǑz`Nƚm$uEBڨko%ݫ!*RXfC=kPHsDk .Y˜lw Ls*>6Tx?hw=9I 1ZuA'jmd0vlLŋ24c6HaTctA|jS5a#-\*л˱ZZpҫwRά1dU~fĂ;1uLU"bc+f3Rz%9[Vp[+b˒2ֵL7P7bp.͸PGW!,))k:6PB;o>2;*Ƴq 4wnhM8(/֪^LC}zΨ^??~ endstream endobj 763 0 obj << /Filter /FlateDecode /Length 528 >> stream x=I9 D|^qt6z W$A`V ϵn_ym<lsL,\qa"ڷ>rzu^ '˨*GL#cz^WYkd9mC(>OLJ~\8n̗NGf6aqWiq-4 jρq<~&W: rZ56Ğ@7;Lt6tL'T?Q5=|?q V1 i{K{Zs1J@]'&$&c:g3Z*^MDn9P.ѯ:߄%Dt;Ey!Dz`v;qrF~fLNi)3#l\gWZd,_|^QCQ ~N+4J| |dG-S WfJfGk|Fa-yZ~E%3v?Sg endstream endobj 764 0 obj << /Filter /FlateDecode /Length 434 >> stream x=Id1D%@JfӥZU?!O2$]beȷʶ=5]#S|!6\%th,K ngDpQY,bVug$L4c*}̘&3b$HHZ!GcE"ƺhsg>et#wkC\ǯ=U}!rH 2^^l*ۢfud{*os6y8y3ThɃ߸ (kvA9캖R{FGB E4Z^Йm`BwCc%n$fzt՚JYus3I%o|na^"Q>3z킿4Jq٨JYL:G}KեrX 4G7(x꧆(9d?O:X endstream endobj 765 0 obj << /FL 1 /LC 0 /LJ 0 /ML 10 /OP false /SA false /Type /ExtGState >> endobj 766 0 obj << /F 774 0 R /S 770 0 R /a 768 0 R /b 769 0 R /c 763 0 R /d 762 0 R /e 771 0 R /k 772 0 R /n 764 0 R /space 760 0 R >> endobj 767 0 obj << /Length 3 >> stream Q endstream endobj 768 0 obj << /Filter /FlateDecode /Length 574 >> stream xEK\1D- D%N E}kև=zW~ζLB--gZSdFZXR:I?,ZI0/"%dC8WY'+*\~uO=+>0!zCP+DzHqOQu1J+h-϶8:yG߅/]/ Af uqCnM[_dF6F1A;h$%+ݠK~C>J/7)CWڈ68K$@\.(d!F9O}\f5EȨ<Է,L SG=D5HTMGr]/TNjè;N4Cρ ثѯ,GӋ" yWȾk+S=6z w-\s! H*m}"5Jq ׿ޯ endstream endobj 769 0 obj << /Filter /FlateDecode /Length 568 >> stream x5TK%1۷w%Orij۱z+fL=j[=ǿj8K7-g[ͰJBQVPcY\n2qr,BGnldXB׶(f#[!BAT/oԎeM\A?UnD'-[פּ8rX] *<}8ע5-Ccߣg}P^Cw B/vOaD62l8bTeGԜ#c4Cud{314p-QZF6 ֺ8#"B1C g&QPx&Ӓ` AM$Dq@ F˛[" S~~uUv-=y mxv(RJ8}XPW(DM(cXuub[}pK6^'&D9ou^n)Ba¡%@FhAKf' Ң>$ˣR`M~Is։92Exye_Joؗz~ endstream endobj 770 0 obj << /Filter /FlateDecode /Length 736 >> stream x=A1D#|/5`cګ?s+,JmYc*mBhy YC{GJ?1NkM* 0BGbV/+NvJM0iܣVVJM4m;~9#)8D}FVRJ`kQH> stream x5In#1 Er^@qtzչߧAl{U[e?sieUnZR +?~*I J_eH:x1fGJGW3^W^э\1v&$f|,3tk ewh(;s*O3nio`"|~=֗,{A>Y | R^1ަk\O3jmv`=u~ IԢ4s̔)T#n~O~nOŰj>[iMbl1X +;,]sZ>;t&1ʮ{{Jzq4F9`C{ ama5S~Z1~v\r%M&vb2v]td2-V"_XKna[ endstream endobj 772 0 obj << /Filter /FlateDecode /Length 438 >> stream xESKrC1g&w}vJ■Kx`@;2Ee\Kj|22Jblq1_ 10#&0%˜-:h2#>!. E E٠COوoP)FHg>"vO0twT6SI# D:LSF- 9йjCjIpS :lrj"+Zb@!:!$(2OA3q=NL"g/sTc eMb(h5縘9U_=& pw vO~A8' ąk(86ʴp5-׫av endstream endobj 773 0 obj [226 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 0 479 525 423 525 498 0 0 0 0 0 455 0 0 525] endobj 774 0 obj << /Filter /FlateDecode /Length 344 >> stream x=RKC1W@M8T;0IK8(TχS_QH+0PF?ZHL`uXZR^M5)ޠ* n}6f) q# rCS\$J\ lkыAKԾ̧Aw`}wp!qIqJ\t[K&7P^9`پ,^ת$_x 7qR9U?FR͑U8GQVfBj:ڶgh{њXL8cCGL@j#mJ#?6X׏;Kh~;F endstream endobj 775 0 obj << /SA true /Type /ExtGState >> endobj 776 0 obj << /Differences [32 /space 70 /F 83 /S 97 /a /b /c /d /e 107 /k 110 /n] /Type /Encoding >> endobj 777 0 obj << /Length 3 >> stream q endstream endobj 778 0 obj << /Filter /FlateDecode /Length 13621 /Length1 31680 >> stream hޤ{ @eytfAdTDD@QG9RPD̼25uU-3u]+u^В.-;5k̲6u]R=#4V}#_;#1" e$SSu}i JT5zvMfEUD왻:љt60yX")N>4FQ;:g4kШTN  m{'|<' >jVR+ f|!S:zƤ=-Ξ5~50@Ͼ{lgc}})oTGH%IM0tM|$_IIN:+C7jJyzU}K ~/Rl)D.Q]¿ko<\=wz{rn)?P4+W4Kq| (lQ<נӀ98ۚ_ChNz\KSzce+tho9,Ϥ~D -K%)*{y'OXn-yDz n@Zgi$CUdT#1N~ QL_a yMtʵҽt=l2Hs++D΢Q# F -?gP/oj޽z:{$uOLfjulc6,1bz-6J CZ@ѥQ)YlPkZJe/:4 /"+əYM49! GYy?{AuTZa|hiDSNfѤ@ ZH1mw+ZLR -ΓE ;"QD3jEf2!Z AJR4qYc%t t ޞW[V%7GmkCiEh #%~9I~( Q/ 0f0 ) o@D>`_Xn@\W=|CMV~`}*B7O*ܝr/B3hf"uZuַPM#^[=BѠ䄼eZ(T@T8\!Ϛ7ڀh[=WOkNbª침ͩXm;`@PfZ䆼:64j۰6` yv̆ꇉ#Ii?6]G\WmՖԻezGm !Z6=+kŒ6 &[]o jͫ!4VMݘGBNkiѱ!O,w/7/t`XO, gչF`&jr++msGS͜Zm6 Z#&YXZkwI9.X. ]X^bЦ!ͣL< ,<QX!oϯjhȷ[[50;ʪk>CK,ZJ-j*C_ZǓoZ6CL-Ӧ =C5`,|a^Za,ZHPSdL.]fc4M+F 4#^QAl6CZTR)]9੉#jyjڻWqV1o!!fJZ@CiwxNldEE;' !vv-ġ9,ٕ֐P76Bk`E߷evRDƲ5% T7rt&*ۅǚPeHWmv mB؞>4.vn K/tbs(Ԃ=ւגSa ku8lZJKV~*W=,Y4b?]—A— ֜؁5RVap)bhkO۹is3w ~0^ٮeZ3;1;ܫzae k5%Nf؊CP)hS5*^-JTUdaGooyILU=W+#SV])P˜z4)losm~ի~|ɴCOF N>Te)e)E{~XNR܃BMŢ(~O]gJު?ݘ|\[GZ%ٓX (bMѾ6owYeĹMQ(%ٜOL))M'L'j5մi8e}W\Re`݇tҔ̯!6mҗb҅*0 JJ\KLa \ qT$:Qe|gς\1n*0e&@.W3\o sP]:@] >ZK[!' hq=UȬ!BF|@R^֮2.En9żvBg܊uzz%Cuy+8;{ȷSrH)y(tOQ>:ޠ[!sT裐7 m+tTA]ߠ^x|FJg't}# 9AK#;m`- 1b'X`LZye5J'h-ūx`PoWfx.3a4 U7(쁠k¶ EϹWo5U՛TEa<%UzE#U訐O{o-lrX,h\@?qtJ)4)ϷrL)G ZA9Ꝡ!խ'~ 9'u?J?័zb[\64>6G2O.;S>晡"e ?tt߰?s%da,! x-:0 >_|(}i"Gub.b~}3acLOJǨ-|; Jߪv++ȡY)7G 0?|,Mo9t%fшکW K1ɭOb7}'h?+Nq/ɧVC=rSq&X~Dl'9_qq 6#8d\}b@ _)rs!@ ! d@A't(!md\~b@ w&݆yamw$wrhZLD _xzeK|J4Xv>|}q>gS@~.kkcG}4ž 4tk_NÔei'uy=)&=xEc$tz^Ĕ"uU |3 2hEvp.񭠟fz4x<w#?X2 p[h:3yD)wr- -\v \ F^C @#HfQt3G8ch߀:)G?{4')i4_ju ;Cb`)WZG9/R?Ļ2ُ'wnj~Cwt DFU>_CxyDszw]coW:,D+~KoK`}ϗgwϨTi ^>yVkvŏVV^:4 4L_#?OEkbDw/4)x:BʽX[~k]oִWtBi=1RZsN?P;1xG$#)WA7S!b L3AQ}:2Q1LderGwtU:?EkTM߆v\@Nj$" ;{1YE[t } (pZE.hUy9( J2 1@x 7P̫y 7P.h7P.謎@za7N@* (gaAQ}TS@x! Ih5o eo\wrAA#=> QhOSLv` X P¤2CƟFfq753sF;]˰`į'<&|ZMK?8)S|j?&}hlǝ{+w{ 1ކ8H{87_MmR|R p,moŻ^ _IzK0~>QVqԿ_ɥJ*J rbPPJ vc/̑ X|gZBbVDzb ~/BI=NЍ@̳u[h3=)9ieIآ&%N_|Wy/_OM?e Ǽc|KCn*;wMK:Uyr&E'Y;.cR~ݓU>ww ݁{iDߙwN !8{qx~ ◥@9AD|.4G=w%/>InN=on'~z6u]﷍/ߐ./B<|VVd ]@k{xX<< iG=@UE E˱ P^}| DvSTϟ3~A|q".;4.流ibJ xك= AD+f]zߝ?ksO꿹oKS>m}Tő c1nJA:?a}N=}[G~c'eCހ;0t->;~:DqJ;|Mj#~D+x,/ʲ1632mһ;3/"z<&1ijeUs:΍Zk[ y OPHpd9[sϠ7$==S4Q繞,(.jQoYΏ{$g.g$UzI9-s2jοGfB铪{K\oKM 1NQig)Ǻŋbb&6=&<籄V^X"9sL  U)-K0gx|9?’2|욐|;"!aYw= }~x~_힤y}\\ѰϱS._KWtohp _9U㛕?V2KFdLȰ~i}#}S#M}"H9 T3Y,e@xPP%i } L-2jc~}/1H?Be[`BFuL({PRdGPOGpW{P7{p\|5>xvdYH7gݶuSCB|LfYQI&9&>X"&˿H.1N!сaJD`NNN5ۚmɎɎ6eٔ]VƴB*,3ô4Gal-: 5q͌=TRMZʨLSִJ a9cUXQ"DZaժ+.Zq.Z_xK%j}K4}3W<<-9ZWWLyu/O{n+q竐[QWLJ?y_Kԯ~ʵmx]+Y\:P)iA?2a¨*+{!rf c'Xʱ>i[m {6<-X<}>{HnQ( :Җ} װ]/o -Qʅ>̖av}EEh ]N~{55YFDd[J7XJ'] #.0#J8'Ů6EQggC!M&k!iC²R.훆E=ܖdfr\jK;jc塇'Ƕ`P|IZZϑw?5sb*{Rb0f)crJt6' l ᗞ'Qߔ!Bt$&ڻA9m,6afz(Uq`IJ7dAJqTfl!3~qK}PW4uKġ)J6΁\ HOfpGAF|=_wϳ]qg~Ҁf6 v(8OՂCZ8q>|d<ۡMk>AyqtQIaaIכn>SggjhvIQQILI 6~gkM>7moU)_@]Ԧu~}}c-ڶBN 0dM 2&7LJ9Cd}3sdٰi84,+]h$ɉ/3xGu|ZLAԾR|L ?7qMCY\;"DKygY{ԧlNubnz+5ix{]1rНZ K^΀kn jcWE '[(]&OեpAsܰE >${ɋs|X*τ'Y#_a%`KB}_? p HS]~Q,d(',W!CJ;lXQk[\X*{xWOվ|2ry_o6ݾڦ\|Vy3LmtJ~R75'tPLJQ mf̦*ͅkȸ6"T%Wfa#BFI1cH}0:$[k3b mj}Kun'8###Â;8%ٰjc2'7=Ae=5XL?]…]{mǼtg/V,+JEy/=?6Wv͟Y}Gv֠ζ`Rw]]FPO}Wo#"RJJh/mh$AIG>Ag5*w If6$ ngjl{vi]ãPԪ?tn5RpbD9Epd M?j30[#WƀXdžNWQ޸CR&4HjFH6T$C舡`Y}s>m([ū0JZL&۵yPߒ O^>+XX3wOZjw.̭7 P3{(EjjȤ0Jv!?5K%mm?-YJ%|#"OA8@%6Z: DuСhf+0gĹ5rf8hؼ˓g ҩ_i\{pܺGk3Wtb-_glTJ>hA^e]a֘^yRF'0%;rlþ7qo_sW-[%[%[[6m `^q JUcu} erLH<#SNe:Su2\䮓9e(^[@hLg$BBpɜm56zsCu .{ꎓ3Ujlgr_ sB% `CqD/I[ AQcȻC~\];SAsN0Fv(kւQk o3U=ZҞ=5]_h"o^h|i52chג8 !#)RLqjfJv.߼t,yԐ1!˰J'RTyBDz)f T~73Pfyi-6YQIN_ ̿A*4 ^clm;{o[]BoV"u:"2>;0?ZVՎ?X`U"rjdo}l@%M"GN&yuErѻRTbHtVd+K"&*v`iRmVІ翽lʭ 5i}n3XS UZK,KbQj}`Zmҏ yrg3PNPo<)Kp5mƒ UĎ}$RιP,۫C#ѧNZ^*yڶuޞyz}8:*C4TZ&fʯJم^s%{Rvp!!d3?s%]Ad-= %p.NÇR 3I°Et#0, ߑ- BG|FO[ 70iGc[OaVWf3/ fڈ1*?RgS6=픣`e{/.,;|mGQý~db, qI#1x.5%`OD>ӕ@#rŹU'hNA74h錞JJ򲸕w鴫Z6;棕}^M1Y 7)p_*:"jƸY 8oVK/(L}_EnNUUNN%ͩƻkOWN 7pK)KVFSy̖L$x,U]J2~|Z`b&}333d-=C\ȸy~|$F98򟛢Ъ+h5P B>ƻ _޿s>,VYV*,VAX6hon(s- ep|t*,c,98Wب>xzg]e/orK'* ̮Z1+ݙU:ڐ-/i^K@."5k&k2yΖ#N/6F5;i UeG ػf*jG L-f}Ock>Kљݴ%}>=̈a^>H߉|❯+l?y@e[igaZwa<̝W$|OTel:hx&eМȱP'Eq`-pdFxlv}@%r [.LqM,e5J2A\lGecN]0x-uPnM 鳑X(3yO` MLJ79Jn9p>= jC1 E[PV~&x4y#o-+dZc{_agb_W4JxQU[i}e^R ޅ`CIwtazqqa{Jg*ݐas''rA(9eIG@Q 8ݼb_%:5$E3)qUmUǕ^C8#i[uc'/Rg6H䲳3-&ӕW (+hIe+h㿢OT-1O E\ <䮨\ZG4E 7y7rwN3J2vS)ƚԸuxGeĈС\j}rƆd+\ҙN0uI!!''7]I"_1y؂Vj9HD=!>d_/}8HR˒G82y7gVs.X @! Hjv}kHr^q7%5{md$oW>1 'rX;h:H|r)yٝhz:Si鹈llT;=$n_Yκtp9½wPE&Er1v$^9rni0$͙5ĎizwD{}bVʒW_ ǣM!1l$\APkC6')JTıKHHJ;țJ.)S%$'V\@)8 2ƩpKp}zaUPL0M *ҙy]90)h+;o?_i`h`0* ujJ(2-&NzHRzR,&EE?CYOM|O$2nq4<:[ 17譼\7 sA*vº=mJZrwb6ɉ/'W+ŜWA- "LA?T6ՏԍD[「ﭔzG 0ͼ%w 4 %" S3P"f8=d3]o Xo/L51={7艧ާ?OKRC{|AOVdX lF@TF@Z/VӏQݷDQbQ\BK g?AHU 8:%Ĭ!u߂`Cˀ{:2½QkJ!ΛafoG(p ] 1C 1C 1C 1C 1C 1C 1C 1C 1_q#"@E=4mFN~g82(<PaEVںPcӺ-m:6vnE?/Oiۍ P*E 56!8:*ȉΨQ+gDf wnMEeWY_:CD!B1 cStKVf ^IC?)}=:a_ѱ9EuUͭ-!׆əƽ#['?ȃmoEch7A{h/nS3[abO ߊ&G>q>7f iA!B$1@`,3w|\^5-15㽌 g4N9|e<6)fv8my5Eي- 4@@y@Dȝ\ɯxi/JduxN67^Tr4ro(R endstream endobj 779 0 obj << /Filter /FlateDecode /Length 20622 /Length1 49476 >> stream h{{|Tյ5d&!/2]JvXO:-z1YT}f+Yn]I .6;r2pOJ7/D{I뤑G#M?MwN*1Ok.Dd{Z*3S2|_ }64.ǦmFg?~Yz?K$9/Ԙ>9{Xҍ 6:! 岺 4&G#(@|zÞ' nɺ$݀ #_񴐢U2Egk}뙩L÷ 8͢Moѣt=| j̏Foxלxv]cHU.F6>;:^cG^irȤhmm'71_]Q~Kdț˾vSiɍ#PUL+ĿؙX@k_~ TR Gcj|Vɭ1cKPH%)Hkb=)Sh;؜(-n1n!p%-bC`ċ1QLt `CcJ `Ut$$ӳD&SM]f1qZR+wd$ ł)dFTԢ;bvsc+!7tTfv\Exur1"沘ωEfhePGӺ6/5ԇ<`t洺: ZH-juW=E orWʄ_o=GEByzVQD]JE@/Z ,>*oVcnP|Thjn9/I8lRoʼn=0ҽ4ycOXڢeiV7SNu_5Bxzj]UcҀ82ja@[ljbgF2*.6 SJBr 0bdfn0)SֺiUZ7qXv8UUcJEg}gW(h>d4I4:I}eJbn/{=;AmC A74-K(߻nJ[(n":^ƙ S6W g;kox$46x_[f NQ;%uV&ѹ-ERX5v[@F[aMKI 6-B =4κS"֮k:opCNM *ܔ򗆥:j1s%1w]+TbʹWY,/$dK6y71o(WuʦpomƄjE &wR7&b" Tt5 W5yZm|V̬ Wҥٞp4ے/`;%8qZ&WQN;毯n`sqyX&>[Z}y bݔFhw,23ǰZjl)l+n|>!EWN@uIkbCNWCηcou gBSB7b,=B-AȤxu/zֵ*B/uu/RKo|CzkUܲCV~V YvjS2SL" /Us* q"O >QڟVfR mR@:6Eoh2d/Ŵt;<fc-gdYLn6I_zBX+;sҚLw= a|hj_qR 7~2>DrϢXjvmHJt/,m`;sB9'ZkFYڍ?m7L}TC=Y7`B/UlfRO'n~yFJ{1zQC^c!4m"S; '?5/~1/2ˀ  Od iyZ?ce}X`6USy؏%L__O@͓׮>?D3@=W#6]SЫ22M};?̶}tbD'Vz栃1)c$i{h7z~S;؃ɤ?GH|ljcGvE$}ʖ;/>$=ק_B?5ǸWط6u=|[Þyަlz4yϻxٞhJ rN1˕Dls zٞ _-CLׄ.a?(kv`m=Jد]^5` 5]vd߷cG`7>S@C5}~9Chk7`܁q|;n8.QO_E%kb+ي3׌5c~}#m`7XX}lMۯ.EۥuI_fLۿg8i(_N )& t4pcIGw8^4wq܉@'YI\*b@76= [WNi6E,mDqS 4A-mׁ:ݺK0Hclf`|'kX Xq[lNcUμXf%AsUOZcwFZsdE4D}~=?iX}ǧ/ZWNm#îځ ]--PO[5/8DjSnp|Ǔq?.ms |Ё3(bp n?Lƹc2|XiÞPl(z7Ԧ=8>ɏ:yB5ƨ6|wTHRgtvw1뾌bߍ۪uKyu/?!qp<n}Y/ۃ^]c<;Ϲ }~[}g"Fy1=5{a/=}esrW]mYc q#1~"UբDLz)`3p*,+ V'"]d}lJ6eC\> SɧTFe=WFUҁv gO䞢o%v gy뼹AO+fҬ.H}~z2x\$ozãNzNCXwr@Ra^GS~{l:xNRH}~ u4:Ԭ~BEBglY~6Qlf?:c+)s4.pb ~O:>F8 a`ga/`uP``;pilj971%ֹ8OŘrN`u/ p(Wu>qJ::g'L891eѡ }$*π׏YC!~@lfFEmzxfp̤h6CL4VVJe(#i:Λpo |>gD;i0~~JdasJi-n1 |΢{ۦd}2}fx]ӾIP 4 3-L]^ 3-O˯]M/yZd&>@yk11(ĞZ QoTa֙Yk70claf/sJ'(Eg /cw[ZpM Bv4R/ Q?^S; ޮ[?jȿlS>Y}o ^ʱ7JRd,|Mk&߯^[ ?q{ʫD9} zGQZ"W`Ј6Խ Ԡ?ļ3*ym_j啥cݩDSSQ7h~f+xhiVwc;!a4r/e{nNe.ཚcwW)h{'Gn9o{h@ CT@|Fp.dP`o/2?wN2ʥ @Pm)+7SR+s C[ L92@= >P.˱8' } =N|㶿%?/ci 2~<ۼ؛cTj9FxS~^-׽7dޗnVYk.a(FaXʔhHn\.M'#;El۱OʵOS@ ;G&&uoAb&Q-Lu' _b=sw;X qwXhs3S_K;Gn1=+ *直}zL0:b~y>h\:oy^Āf@aSZzT68?6O`?OGIʁV@L (F|*p3p/0` Lښ +5*ePH;<0/}S/3Uf!Y6 Lm13=Ԁ=g 91{Q/]c73eX2a*C} 6 3S bԠgvH^Tc<. Iuʧv';_`2!J3*f9;fIyC֗1x|9g,G6/b,kg >=IcTrrLڸzWy8` }21זO#R13hʲ_fߙ×;:e, _(AnG׮=iIumϡNvAwgcmw\ХCW?Rt6U)IʜS2j?&]_tyz6:7/0Oh_%HÔ_q}|;Bw k쓤o=J %i-X %~|M{+g*iN-*O4sw,[6gF%o)yYg'ј}Hs|~GSv/<gMzW[;xrטpeP辣rOLye6u=,$Ŀ7Qw}9]yh|lר;b^>kߣߓؿ/@]/ cLiT'`68Vxw,xZC#fAfa7No8/|Q{!n3wzN k6K:)!ߐ&~,,_Xk٫A3]h1(;߹F1c]?i1gڤ6YMP~zOW`gN1Vs]gP9?@3:~|m 2*0g_Xc,IM4إU~Ϳy^$|JoeN'3Ʉ'3xdT'#"ʜ)ӸL/cUgej<#S2L/LW2L_A*2}Y2'S>'u2]+&J>,2]&ӥ2miL4~ t64҈~)Gu$K-y[}ܵɼFo_~w{sk.s٘qw ݓ@Eb~L陥6TkZv87׻t-0rH釒Ӽ^"nmb{BHDv\a>=(lѼLo g5!?P\۫Wi}=,nkPq%䏥(O ]9=j^>[LJNѳ4뼼+[-OhudnxB|IOi'Ӌ fw+=Klz<=KЅ#HQ֊a*ܺ]!W7/orwaieuÛUzW<|O_dyP#g1S˔ǨhyN =̚.Y}ˎٳtMjZZW,˴вִni,V#j`J-TV ߍ9#rrdݐӥ83,'(shH-=MkXQJb2(M׀q뀆(Aw*yM$Ѫn T"…-P4_ |IEOP鎎߯PƠP>.={e{uD,*$F PYg[7ӓ1\nѽꢎRQR ]{eU葞*HgiaT6Y߲>ee=|ee9eYe]Rʌ2ʪkE,k-uNJCTMlXh\,!ҘHژHU16эW^ )6~գSB(ϫzL Sh\lؤ/XJ .RߪAUja0洉Ԫ93+ۄۺG.Xiw&nҒ9(.V~a5K\➅qP"w ga(ˏLЪ%[]S>.qs7#p RQۢpb ㎺[rHHˀa@ <5ѿFF?!o2Z`юіsuѵѦeѥцhutL4#)#L(G[qQA$%TT]O(|(4|dԡř@fYӅ=ʞc7m&q}!SP]f^d\ZSáwc]U|hXP *zS|DE`D{ pj̺?O,2OQ W+{w7w^iiٙtO'%KSy=4xg4ry]J-Ԩ[A[xT;ged{xOx:+1x»Æ_QHK3y^hwށ˻:9ӧ W@ A pc@X-\AX{,/(?Slڥ"9"vYKjPsoύ#e jcFyU?*m53Dw5<<56*| P/`;lOhѾBQVAjʾ6t$9nt٧vVjybw\PݷL^Kyk::*p3X}0xXnN6rs~CB]R'6n}?ڷϛ>cWwc}%_?%W{pgWFO~rߺ~t+9 HYj=`a5 V]xKCo'iQ " 24wFGDBhz4۰(PZz($%igJKx@*`f`x nO*~xrبdq{],~s N@e"=JUDBB=!%mf!)a]Lg3)8?lB8 Q{Oijia8Ԩ7goS\ O(WS S^$ "]uMyV5aSy[n./-2bȐrb0.E!%5c+M0Xu(-o<=<^[)HA--m ,,k`7^ =ݍ2c{P<4Sp6HCP^<| B CC In1W[fa`*g CCb/择A񽋁 }'.U;!gq?-{1P 6`@c ͥ|"yM ~-]'İ֫ic# gCţ ÓBk>03{ |ewj޻@I4!(^dЫ82#B{'q$*"" *:(0:ܑ[e d$EO-7$ɻ;*-ewvի۸sC;?YXj_Γ'sDވic_C@]0dT54|@lg*_o 92\ T|F q"੨Fz|t'D{DM &eò.P@qZ:fūF,if5gc~Ga(R#ON-trƸGeq E4^!"= ”؊=v~Ws#< 6R=&dJŇR$]p5 YV1;n \P'A;0XPqfi7s99Ja?#A MbPf|GVVC!`WӜnk6-zּẜ@bAG elԻhu2ZX+C#ipm 2]&_h I(NeЩP';ZHQl+9 OcDͶGPLioԹ8l,KwnkYo}|ݢ,]c۶,Ho[,g>T[y=̣3C P#b תY [`9j┘TG}A Η)r͍Žl҂}?9B 8‰dEԼV7hLes]q 5gob6'acE?JډɬD+HF|?1ӎ?3b$<~"\.PK-X;9t H)D J(E5 ?W+?2ahjܖlFN,2 PBHqFPPZJ0֩-[Ir2QTZJTijC8YirnK&m.aD\l^|7;:.ۖRWk- @S0iŴ֣zZza! U,^_U`$.!E==aBlD%KyB卶bN6םp Faͨ$5,/bqb8ىZPEEt1Z7SN\>)C4*{qC,9Eo*~}AgU&Z+ v6x'q'4k)yx<'W R:bzR|S"Y­).~Y ]V8cnRM.i܋eqւJ..]_) UlO:Cn;nZ+T>v#<'f(88(L@sٓf0HR](@ED!nAMpv>6+Q4 *-'*A RE#J!tz+MhH 2Xt0e~6 䤾۾xMK8ڼpy7Q^(+nqKg}srf aT⫴W-Jrh)QJw$>>s%=յ/%0LZ_&+ţ+8rd0* C1ߡ}QU~EFӼ[˞پ-[Νwzپ~}u_>{YwHx ΃ksw}ҝC<;GtղCk֬A]8摶6Ԇ춇ڰ::{ZhNөfEP^= Q/Q(~8 ֭TgoWImO/K$ZaX+V-89bWK;_6|TbQrƈg\ҷ{&2b=]Ỷn0U) I z}9B4G$.:ο_>`r܋F~bՕ͛j?ՂW~{GY4zCk) L2ʋ-ṯZ&%*:hUcG +,yc}5p.rM\%b W/ Wˆe7qD D` 0rxO#%nTι@X|aS pI3'u׉_qS|C\M7J JU(tV:&(g5LyM[wEA9$y ]ּt#dmyB"Hv҇S?u@!j.ӵ¼o9~P纩[@ޑ=f40F*dz5b8v` u~:rn i5tN/kHz7}%3y?yMU0+`V-B0%iMj~HSG= Uənx}_\ BȤqBo0܈͂h!t f`YqTLz aPATb!wTj+QX; kBtK#럍OWk =rٍcy+澠$)!?l,g¹@)IQ($H.y /Uy4ĺ1ZҒPZaȘ9:13!e.@d^q;(贤vD% I wKu8gs=vNܕ\nV!>X#ttid+dr^`Զܢ$5f{ 0/| #R<gh+fESvΊEcڶ7c,g> KF!Q2Ad;2pXZs8E>Y»@AwY(V5I;M$z8V @T뗮*:8<%HLvhyBz&ۅ!<$ O}PSL?}9]ţZs1L3s^Js;MQy" 2P%F {|'/WAp}LH8Ldu +n8k8x&O \E3}P>iX=_\/ݝ;5`Ghx7)zF$p@)DLaȊD ԐY4Ip(龙.΃O8HWLsVںM1|/nu4ًq 0l֌Y b­zđIwQ1f&1edGX"]M_I|T.G\נx? lUI!,WR]}Ϗ{cʣ Zkŝ_T>yp} :/JO(I(0qD(֫u[iuXC Me3Ġs%FF`eP.Q$ EHr]c%*qb꿘qw'.Rr \Q,pP! }:{zP@𘭄1'FĈX4%$Y:{jZ"uH`Q+u7XKӎ ߟjoXѻ>~PVs䥧?EX{h,4 ދE֌ ]juE"o+< ڸ"h "`VwvLQ:@+.9Dh}$2Fg^&=WDt;OS҆'Zl1wU:?}Z$f7X{J$|"i|H SZ=$4-kL."Ed WjWT{VF‰(&+[8X$^CDd$o)GU\5P&kߊfy#HbfC0dm4}NVZDpvk'3"gM.ٮY)# NQ|ݣw-zb\͋'’/ 0hW2Ix-c1^?>?šz3ǩZll tZ_Kxeڼ2 _^iƪ}D]FڏB3 K֒ځ/עO4\f+̫{\D&N:Y;P4yUyUy<~S>@uG- XZSS.K甕{naǻ'9|j F O ^ql5>IVH9 TPUT53ZU--k.pqmP[سsF܅#F}.oKWC 4ᛣL7*4h8w a9 Snb:F]L#ևO7ɒ8&/+RünM[B~̂vsaxyYXY͐|>4=0Pcl 9X\:%\AG[ߨ\$} . I|R<_7F4IG$Ou}׽j@Ӆ~OTn8 zٚTR IyjS9KTt:fśi6D2YS<k^[[bl60P*W4MiFݛΞ%F?|D({%UbϸL[x$O{U>x?0 Cgڄ/1 p?"vꌩY@=zÎTsȧ)SA' ƺ %G_]~Sf{ cLF#FdfL, f`./@l-#uGe)Th hH4?QYFH\.Y.g|Ǟ9Uy<7*steˑT>GYxA3nldev.>o꒱+ 7\z`A0ꂫξQEekG*eV]0~#/JN~'&'QO1"P(Iou<4:A{ZMV솺dW"3'Qs\gmx'6Z6`bX„ͩn*??tgt*[.{{359/|NM9cN1З KPY>}¥H\3@#˼g%^͌t:> vBX:1H%q"Q ^Ap =F-z7ɏ6"F$;w0G1y9gDfek0WTiE3J>B4"x)&fA;"gVٕ +١WAѣ,PݺڳP]_.8$mO (gI07{{_$1uT)ꇗ}NA%|:ou*͂"*W)Jv@\GA^A sE&Tn>ҼzR]eߪp{}|g?giU?azrN%Gw3;L}.FHy{@|m%H0LI957+븩܄s3$~Űx5sg!bsBP灙Ha<_`J؎YGM(nQ %>hhj5ۭtSuq!~h70J*6Μ"P ט/y,}I45AbĪsSB[jx(4#1[¿-aIS R9Zϖ,py-NMzFz9)oz6aǣ^$F]'gpի׭Y=}5pxۚ+WM[~޵G?y˅]y ==VO^l%K`7>@ 5qϕ򳜒M4; |:GJFZ "I޷oNF,Znڥ:-Z(#/ۗi&Ųӆpz L6< t&.6w3Pu9 WOnO/v٥y*~n_n2}Gl::q{Ib0~Hb{r]9C)-\ `xD8 r'c 4&GLR%G-"'a*)[%9DSZ"quA[)˚x6zVm˷j\Ko6ʿ-dMFͿvf}7g6~DކI눀mn0`\W1(Ɯ/czN51}c ^+p{q{ڂ?0cNƢW%ch`,(s51!q6#n_0/fTZ( 81$C@ ,*R"n4q!a+1b Fʭ W0F#קFn=ý=tH^C gL=ds^:o_9;kYhkXa}!/!=@1kPBjG@-`[lnjj>L x+̣YSY?3%          ˃@r1hO 2!a"WĮYnĤPMY\K^~VUQe붆;M;wvٻc"+ޟw.pzzBN8esVbD TU،t Gqqq7qwX%NITjґص7c-{{ ɾH}ks<˰GsDeDC9A.P;ˁetH.(`D8&y\ KXA6N(uuqXS: -vdSB}h#zaCO'=hw3Zr\hм> endobj 781 0 obj << /Ascent 1079 /CapHeight 1000 /Descent -250 /Flags 96 /FontBBox [-458 -251 1339 1050] /FontFamily (Segoe UI) /FontFile2 778 0 R /FontName /MAMONH+SegoeUI-Italic /FontStretch /Normal /FontWeight 400 /ItalicAngle -15 /StemV 79.096 /Type /FontDescriptor /XHeight 1000 >> endobj 782 0 obj << /BaseFont /MAMNDA+SegoeUI /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 783 0 R /LastChar 176 /Subtype /TrueType /Type /Font /Widths [274 0 392 591 0 818 0 230 302 302 417 684 217 400 217 390 539 539 539 539 539 539 539 539 539 539 217 217 0 684 0 448 0 645 573 619 701 506 488 686 710 266 357 580 471 898 748 754 560 754 598 531 524 687 621 934 590 553 570 302 0 302 0 415 0 509 588 462 589 523 313 589 566 242 242 497 242 861 566 586 588 589 348 424 339 566 479 723 459 484 452 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 229 377 377 406 500 0 0 773 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 890 0 0 0 0 890 0 377] >> endobj 783 0 obj << /Ascent 1079 /CapHeight 1000 /Descent -250 /Flags 32 /FontBBox [-432 -251 1483 1049] /FontFamily (Segoe UI) /FontFile2 779 0 R /FontName /MAMNDA+SegoeUI /FontStretch /Normal /FontWeight 400 /ItalicAngle 0 /StemV 80 /Type /FontDescriptor /XHeight 1000 >> endobj 784 0 obj << /Filter /FlateDecode /Length 18684 /Length1 51392 >> stream h޴ |T3S`YD"SJlTB ]@-ZDhe_T,PD(pMVAEEE * ("B;y93?6ɓ$'I'II# 'Nݺ7iM+!d8uokعcF9M"-Ȩ7h貟ۯ'%U2h~"CƽD Dࡣ=]p ˆo~S>#rwf˻Lx~0;e ~;!.")祝R"_QR JL ˏtF~1c?O1^ >YKdiT:%ߟdzF=i:ͦNRZJh-h/?q7R L6B$nU`QG2*D"ť2K"ؽVճA3H׊M-"RߣmRD](zPOEԍdJ$zQ M94S.IBCiȡ((MceI8OY}BKh9J+J/בj)-C=ˤ)ډ1uvaom}G4DEj ]O9huyo.Xe'J+lɪ f=uq` d1 >=Һ$wԥsĎb۷n&֏z8eƍխ]zJW 3;2Lر ; d%Nrdd)c2L3e7숢F N8c;%q ')A G|qw%\ cRyZx[MyXx|B,GAX5Еdrb]v#W֙f9 )xaK0ό'ųP/(xU)U?tur3.DfSh.nQpPy %YV7I|Eo3!' 5ʺCp姤aH1M"2\,SC{bg:CeWgZcWww4jWuxe0XYθ8]1qdY_ش ge%re38\U8dvOWXƺYOz9 2 ʼ)[8UNsjAj蔰9Z!1>s! 4_3=;C3U U%neR{7s!{ G8G!"ݥGG9ҵ$C)V +nlG壱CB3B͟RU''`u2˹cԲBq>,aUʭz2VxOvgGO/2lHbulgc(&9]lkտ;;NWmR!3rQ(=1V`2щhGsʐҶĬY*͙t; 11y[< ӣBT]Sӟ Li5ӾЩH)ft5팴Lc3 .}(FIJ 8d@攊J5(_Jhd~F0S1tSdT}0mcg2dfȏ+4g[r1gB]g{)hSnr;VMC9 Ӊy *B4s(rci\Pz*D`7vBL;dzPtn [OH誀*X9 EzFG<4}T#peDBs3pvQGgkt& jQPL}>/ uO7%! 쁨'd:: 莡nΥ!$S"IWOҨk0+B[ Pv+5 iJe]<*٤lT8,*';]Au0@lyOVM]y ڝM"V;LJ4j蔋2 \E4jWV~A?`_ׅPٔfUH[k0^$՗Cw-D)MoL#a~% i ɒlT#E/TCNLuVh.`,!psnVxQSK;PE#X .6ʪM)F2DIN 3jܻE/Zt,z=) $0JqUlK6},S"rCϦ.FQn>5\0cɓx2u'ӓ1rb.P킈܎Rp+PV@>?H$%)ZT$吃2P߲%ȧ?߇Q2O+7\3(g?2,Gۃ,O頒{N܄{A.~byW»c}uLf MF{8Yd¦Wux'?7Veb=ƺh$Ykx[rkS5u}P/Lsrڔ֣VϺßs-kcZ>ŽnNgePa HQw(FoGCX3f7`k,.檮(U) z9azeo<G;(Hcŷ5zJL՗\E20C{XQ1&E՟I3} >'r}t4`=CI`]շ~onh%zRW^z+ʹϘgk`]4BlաƀEy k=w~Ag$56.azRUC54Ɖ_Vg"qzHfb/c,dxB#C×7oEonB:G)9pzدyaN@$}MFMCP\c'1`ޓkPRͻ}p['q z6\Fv fRa>^o MPDoI@O>Z9YqɘFs@9`2(g63`<Cv5pXߦsHU"IETޖVmF}րl(fCI6"(>J9l8bh NHw "H7#? .ڭOst$k:aRnU:(yxiޗP;ȧZqץ ڢT/.KK0i_#h)JB1vrV9R|#HHo17V~WWG"V #'~l6eIG#2_c0fz\͍r|p' Td08Am oY? t?A~V[kJ"U52Gy(mn`lh*@KLahʇXw|~ώһë,(6W1`9bZϡeu,c >+RAH@ ܇yjoOfp{J}Crʹe2=M֫|s |pPQ޶WZ{\[ؖZt0hϞE q $s?y=#at}pjź-=†S(xBڳ'b>u3|6^=iK;Fa[ۘ`otg?6чygSk[x>mK;L)FчPGvpv^݃x$mзvs'] llخ_]YI^-4恚t 4ׄ0Gt몄C]<[9 u?`h:X뻏<}Bܿ`BjVp{ ʭ<w%[UZ0OG-ZKP.}~Da|a8u:Dt 0>aB{80C|+߲!OTl/f#67>6eoQ@bhZYq!; .AvYGy-ڿ;P9={^OS QZ3m lUE { nuXw>"B?uC8vh,j\P4칞Gb ׏`^;D|h h Kzr)&7H=ats[ao ֦rVs=mtn{ҍnQe0X`GVs{y>}J[1N.3gocyʒyޱ%ơM{a#{[ȫ5!% 3Uk僚d@#s Ϲ >g|7ϙ.X1q$JCy.}?{NMğ|tv^kžI5!֐?B&} {Ϯ@OK5i~>8٫ˮ=!HSkG{(cS=x> l y~*aZjfMSwow-ųk 8 WOT RomoN#,wx$PGQѳ.u6wTb޳菶kݝASe{m{~| \eJKxH3Dž8.dKp }\wٿV׺'[bJ#u79t;BRą1vqxf:%c?vM1KޤVr[ߠG=gS#^@xh&ϭEJż$9R/"Jpvkx<zPu<᫡s'RkPu9wm)>tK0Ή,SYjS ɟ#g9 vܟ,/Y[SG6|ٳ3ڵ]$\y=/cЍ{aXdYuL=iW"q߄GP#ˑ{n&"yd6tGw?zbxZf=gd'/AOy(9G{wWrFIL(9#A3Bib1^9,PyKj]^@^L)>V(Md;m9+ng*<&)Tz7(/2l›~,@bKdya3`mS^r:cM̹=Y} '.ic+j_ƀ0j)9eui?JOX󨚳3l@'-G[9PkNkQWq DR'_ps}C"{\ף57& DkǠ3q6B#:K?x@ C!Xr/ygc:y<^0)w'4ܘ 9s]7ps`M'V1SZo[P;цgY=»aRU݊*]}= U_Cݡa춄 e:pC~Gh+f|@3|K4wy܃? &eFzAKvG5>v̻w+z#--ZetUzl  2m=^zON,ͺD =:}t{4u|@;ٴHmYCGHq3}k̓KK}.leDb^}]uSg|"tF|xm4x571_Fr̷j#2Y| ;.W0aG0n8a}RSߨ\c)+C`D}XS&m)ϔt:WF[ishv})jAԞXsf摰0m>y@O7hӮaU`ttHe,[^= uV=fji%oA+}=^*tk;Lm:ǖ)_4-<1}exX~Mi {c^Lˢ* dpD tpopˣl=_ԣ<4FFx&…ãw/| 9^i& AHFmJc`V`Oa3뼣ɳz$t)uwFM10!hivy[ 4}V"ȡg w\7ކ/]h|;YxS/2^R }Eq._(xbz҄]G]zTM=>vYUߟsKkahp=Zwӕ+|s|u4%'ց>lc#aJi^DVAE4z{& [J$hׁߣ +jp%l4]H?< "-E!|"K`41ۂwoYsN!ZA$DEX. - U@OmXT tQ LpT]!\vpt/A6,OHA4KW`y?|>1#@o=wV0f/3Ȼ}Ptohw0DT|F-*>&'lBҁ|LٱUpqW62)^nEB/ dwglŐ{h" E'PA>E?7 ָg@v0agMcq -XxexDݳc-٤x̼}3*̝}'3w&I2I&df4K7i2mi&MZM eQŊ)( A/ *HW$s3&|O|=sι>9YnCn t"{ }IR\kQڀ} A ﻘSk^o!;AaMn93I_pn%`bo½0,{Jh]AF 'Հ]X`b{U؆J&\-͈A&F, wuFZj*JDbb9"E,Ct#K@#D3b шhH8[ g+`1K8PBT"!zQQ!J%XgQ0arو X rӋ xJ8:g±@6Ί`aB;B:<"4FJ!Oػ! ,  ADPĴXzAx &⯈MzAxU eLBEG^@<ⷘ70YįB<. ӈ_`#~?C<)f ϞD؍FBD@lGنBlM[ ໛C Pn&$L1zLu Aڄ5 'FU ї0*+Fx;ՋA,KaFة.҄A΄ Ёh6D+& K7&҈ ~ `qB/tʺKWjUDuB/xkMC>PGe҄^P ^((N ! zaDK>"o)[F&?" Ӌzn sf X܁#lӊ &O#^Ȁc9B ԘEgʄn-@Э~ ! d1'4B,pA ioA7vgڍkMW@/ %П?~zҟ 9,+3f VA? ҞЏIA}W/1u.(:ҾVcRTo<.=_5OFT{{UcI=p y.WA@_ݩ<Yy%/*+'/@mσ>,3[A> 2$fŗ_o: tb+Dq5[CUO0~b8U_0c'Mĕr96/'b@cϏwl_r,V{l<Ύƙ7ƩcT8U8Nd\7gTccq25zQ賣4uj]H|8p|mpC[[mo<)bC|b}bm|ݱ5⫏苯+zccNHhkV4[5ǗT4I.Ó̀;!Njq3|%·AtN/SCkr'be1kNA, c$YT*>khҚ)7Ӎ"gtOhjgtL ٵ^C &)*oҪy5-|̪KL )BAUWoV+xr)kb&P"Ȅ|JBx~woO(6.k;.Z}츿Gu:.8Zw7E]n=nj^8|,vwke &qm!W u{B8ƅ(3¿q>X*q,C>?ֿ"vt%T8YN̷[dUu jDh⡮"2BQbKnZn^R̯Yg>N:=>=eFBJՋ2ihJ}tyyYY$R\CоL (PZRC0b7-fŜb*dR],]Y4ԘT#᭲F4;;9ȤE 9fN2Sx,Fr*c75LD;w2E%[InVhV*}iW6y!Qkԩ4 euJa NSm6LtlPb"$@=A$}!! bl:#WjK@)|,eS1%2.DEYZfK![mm!&ֆQ5qtL#TTqD"KOSrƼڢ•~,t2(PVNaX9eƘieTwJVӝ*8#ͱ+kۨ-N p*9U9]ZH4N Pjd #* [ %u/I+[~GP(d", LYxP`"{ GTSShxF+Ql!:14ϛ(7Gz>Fd$8#:Z{e5kV8VTvSN}ޜG^U8Ç/ۿ=9MBמwD끕wжff^g ,!"^ZM<^ed3fSn'pxTKOÄuEŐ~?z&#‘)X¼LId$g}*%'u;(9n\nٗ&âikefä~^$ׂ{*sUD&FIc[ST8rqxλOw8{+f>G{~vFtX`$5ۜ&;9s :찙FSgÈPI?@/j`'%#$,&̷Z̠ԻܼW^͈fzQWD+2Y.V5àWkב“CTX/mR)1P^K{2AqH'\rq+'-Eۯ\b`)-7{6$Vk\jy$K*/(۽M h(m(鋫\X^J*du,|rT|m҆%yΦׯqqaזQG6*IH-teLBY.XacM(E }I0J$R,ZSHb5&I\тd: =rEY ۶]j)1o&$ipxCGe;~V×4=rFoB/VsmU0ju+rBO. U -wonq%IZ*7c2“:f&>7szǹ_sְ@kUB9JM|>4iinnFLeOI66rV{7l[6eZ\ypG?s\os6ŨV)2\jTe譻K6..V8Zg^&,3u˕QQDp2dT VRwWGج[ 7:$KNT(BBoOMJe0f~q Rf}\åKdM{.*) UYU+V(J]Ӛ]Ѷ y-it4kURfTK^Cxy^[mxžEv.T{v 燚#ׯ!֑+g)§)JXXgW;.iO:D@09=#ba4QHHrȶ'7aS*xYv$^I,Ş wҋF; r!yΝ4Jr]2[*(QuZ"58ogz$' zh3VrԳ3sA^1% |Dg&ʘ(JVkJ6L(%`{ &K0!fRᘹ^紩Iz,s\ƍT/tד2Z6_UaOtYE/u>]0ʩ#3G'J0_0zKTRuMmD)dUzY@Umgai\&J[~# ss >S$1(֔X c95M>LS~X]"gG0j9z:'Jy"DF1o[]nɊ}KC%UXܻmt7z:1Bv,e.Cqe&)[Lo5d]A5z§v?Q}H9 Z926e\Dt~_OZV=N*^33^7==)RFf_e") ury(TXn-uUC޲f1OFkLэN]*C}|ߞ倵{Hyn~* 4TvYd29,8'7lXV%fpB!J ;mX]m ,}$,WȕZ5qF)E:T.GC6jiN%gY;m;o`3J)*.emDi*0GquHΐ1Bt=% zqJc=2s33%19I9G) .ɩ^X} GFrnݤEvIJq]fX Kd ۪wfŲu+K>$]?qTEY7QW 5$D[Bar| =D|؉R`tVi_Ld C4EWq ̦u↟}3 eZe$rNAiΤH5aa߼QnҤ-6QDS)^[ R薾sQ}zrB4(sv[.x*$YbrEz I*0Y-駒jQ]ʡNu7Xrj$_]M8as#uRA!aT639Qi %ѭQB0\)Zť^m:[Z6J*@@e5۲j+/dLFb19eVlPm=m냱*][M+bj!u912W2b!MT sF')6hsm=Nm X=rE[Dئc{hsz/fIIcoɃ0zo/^ΡU,LnR߻+\rN/6rur*kؚ=Ku{F]n-ڼ>^W]z]'l ռ/Xq덜l1(n\̗ܙ7V7_ߨ%^ FG$&хXX(b d C2y\n =E QD^2MF4njnW'$SܮNIUs^wy6p`wEv@k 쵛;7aIMw Jq5*>>DM,Vl* nh.G%XSZa7gn`pNm00JI{X><>tf쭶3=Wn/h}B5wE7t*o_~A릂GK[ncIQHT&,^e⎵|A]6mhۿݻ7~oѩ<@7$I?mJ+d%ܥ,/՚ _>7iJg'鶿-wy2dh2rڴJ+hU?W8#yo⹚ﻄd>EHIVZiVZiVZiVZiVZiVZiVZiVZiVZiVZiVZi %ᶉ0&RZ!%7%fyyyyy3fż,,Q*hJ -5:Z+.]uRL[Y@5,ёϓȽ~G:yb(ev P1#&xkCCvc7^셳c)UcoX ecTRmBbB%cdP/&icҦ1 5c)oMב;`"F0 @ CnsRhؒu`e&{/|nPniCcH7Zr'pl47[!hx>ʽΆ).,*t m3yS?<{xt`lhxWnϲ-[x ;3T?chP~l> endobj 786 0 obj << /Ascent 750 /CapHeight 1000 /Descent -250 /Flags 96 /FontBBox [-725 -276 1260 1014] /FontFamily (Calibri) /FontFile2 784 0 R /FontName /MANAKN+Calibri-Italic /FontStretch /Normal /FontWeight 400 /ItalicAngle -15 /StemV 78.878 /Type /FontDescriptor /XHeight 1000 >> endobj 787 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-med.svg endstream endobj 788 0 obj << /Metadata 787 0 R >> endobj 789 0 obj << /Length 3087 /Subtype /XML /Type /Metadata >> stream C:\Data\Xilinx\templates\2014-updates\Logos\xilinx-ap-lrg.svg endstream endobj 790 0 obj << /Filter /FlateDecode /Length 3087 /Subtype /Type1C >> stream h|V x 8cR2ģTB+A䡄x~x< hJN9"Hj=#Qbhh$"TSꪮݮsOB{}ߜ=sf{=tG NDBXct-g3s~wێaCB#m FI3!"yo/hxJ$uATaI33KLn\mM5/ol`tbƇa:虮 Mtwb 0 Œ`qLY0~%Ɖ%LG+4iu>A|aVp~\/~ 2{f][&~|J|,nnuC:x` Z<{8СGL$\wJEdKu@Bk !iT2  tB&\;a U8s cc8A2l vR Na<̢YNDZU}9!es9diҌʉ|Xe(\Zi^m:4!( ]80ЉsrR1?iT@ }CxA84^V4:OXWkΜ&j>Sur=xbG8rC;LƯ; |Fa vL)9OVAQ^wK?;YEi."Y{p &sVl9Ha*LT°?Џv(k7ݛ_z]nm+{5O伨P{UH78o$Dd=y'S0sY}bZB _]hYt1́`tW.oKۼ٭ZnSx@yn먐XN>D/v3,;?ű|BW\'N8iO?X> QщgI(ak(7TF՝(' :a0N~Cc~&O΁9@>c8}ZE+DVXŮ0L<O'BK qA;O0qw{L\^2z6L> }vqL- *V. o(qonU xh =łXa[[;a"rL/(  ի L؝!ʸ =ōsmsx3S2&u+8҆m-3`ς3o,3U]s&n3mMMN*i-ldVV-#kk2fٝa^ ɺds*yGM%0c$x,z;W% {\~͓& `XV Jrn).+Y1c!C3*zPބs㸒aAbQIWL$POq}b #Hba;.r0ٞ}hPAUEڰj_`8joF^ زT4豥3i(7pt-^*rЄt2-/0xũcM;N^~Qtaa<ϝ# ?GJԾw^թS'MBzWOEdlC1.󯮰=^1V}2#p,?%Wk/ҖJGޖЁ xui@〨 1r !;w {WCۛM/b&#̕z]*9͓%tC׾ہk 5;&hZ:MBT5N*ɧ׳Gv'{|_|%r^:SH/cHhҕP.\oU?{Ԕ AVCjy4oPX*0_^~.At]O:hS'{sD̏|J&u;mue8`tGC_@pX }D\upwPB7mY-s B]߸R"PB}y%7>TGF胴45khBYHwb۞YpJ␢H ]:w{7ˏ# 2)oWiy4~~i=?+a!~w19_oCYJӃ*Rڤ}Ɲ.?B}Jtv6ߤDסfgm<%z前} x@w{ Wq(1w7 b6n_9 Bҵ8Gh/A!?C؉ LW{s8f``;S\jg/%Oc6}Uގ_R (y6Ho*^:k2o,9FA%օ+Jgv%˸.'D+cHOew-8@uM;j?O4R oZAe\B;'4xz6G]C/DHM?6&<PUqU3n?1&JʆjI `WpZ#-(-D8bnl~Tgق\t[fɟ*:,\:.XDV3ӌ-"sP l&+L\YkGΎOMB\֜eҚ<7"oQ"yN b,{U(k $Zgے'{-ZrIWͶmɓrge)eE [p?zdm9P-צ^* | |}c~y*eV" DJ*1o_¯Mvoe?2̆*noʆuW vӮh{󕊢/3*\+1㧌ğZb`9OUXTSx)*f1)a/x>rL͸(qMS =6Bp|`6a8DT;tgkTV9\;_9iF9G>Pu6 f'qTM-*XUbQ2'lA(m8V3Jkk& RBL%mr2n]˛vF,y[3UkNZGڪ endstream endobj 791 0 obj << /Metadata 789 0 R >> endobj 792 0 obj << /BaseFont /MANAKO+Courier /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 793 0 R /LastChar 149 /Subtype /Type1 /Type /Font /Widths [600 0 0 0 0 0 0 0 0 0 0 0 0 0 600 0 0 0 0 0 0 0 0 0 0 0 0 0 600 0 600 0 0 600 0 600 600 600 600 0 0 600 0 600 600 0 600 600 600 0 600 600 600 0 600 0 0 600 0 0 0 0 0 600 0 600 600 600 600 600 600 600 600 600 0 600 600 600 600 600 600 0 600 600 600 600 600 0 600 600 0 0 0 0 0 600 0 600 0 0 0 0 0 0 0 0 0 0 0 600 0 600 600 0 0 0 0 600] >> endobj 793 0 obj << /Ascent 629 /CapHeight 562 /CharSet (/space/t/d/a/v/l/i/r/e/y/s/u/E/O/L/S/F/c/k/A/C/K/N/R/T/n/underscore/o/g/D/x/Y/V/I/f/b/m/p/h/bullet/le\ ss/P/greater/period) /Descent -157 /Flags 35 /FontBBox [-28 -250 628 805] /FontFile3 790 0 R /FontName /MANAKO+Courier /ItalicAngle 0 /StemH 51 /StemV 51 /Type /FontDescriptor /XHeight 426 >> endobj 794 0 obj << /Filter /FlateDecode /Length 21543 /Length1 57088 >> stream h޴|Tϝ{7$BCٸII%B h !4i",K&*MTDԇHHiaٻa>C̙r=s " IĩSǔs6V(H1,++~g7J":ʫ"^%*$jb s1zX H18+"<7ϑaC!"PÆg 6n ; \9-L&:ue&FĊ;"d(EOq:)#-ñE:XzZf.F >MпH<}AA 2FQg?)` [ȢrD<@%BMJ"TNDޕȒBAgggD!:oKy5SaY_T9.9{>,W^x!4$~ 4x@1ڦE^" IiͥWUG4B"Z <X1o۴ާ崂VGRzރ?D=C;bHAcr*;_Mkh-z6:Df>GnA?o:7vЗvWckȾ=d]>~:Dtc1~)G'$)`^^o]iǛ(Rtc>xd3J5`,bnE 3FMQhb47dj@zfa\0^Lo/WA^/~Sm}[~[!--}Z޾f {0Sܞ4u Ըل[ܻzc?J1_k_M1_VYrO^P{{  Nz0Piv# { Go>;Яo֚X);\.w~o+$'\*yj ܄:U݆OAuM*VB+38O:O.jAJa°?0r (Əʩ%R0R-ϼōQ(e.RAV(o +旾 %`/@@2&6ցF#|cMDԓg7q-z|Gni]$wԱCvm۴nղERbB|fMc4n԰Az5zJ%B pX̠$Wt;2-"]ZE+ ?A Qit̙?eR-2Λ2./⌡(g6`t DW}Akԁ`ÙTm;-FNJODy\ YQ*0 \Vb aՒI}ܝ:&%i%VۡrPud!z('Gg S6OΞ.Q]ݕ>&<^9JLrpy0fD˙}PyׅK2lrWb^3!' 5!z#9vRWF-2]U$_L^tWꪤtwdIQh}_;<2wffde%O\IjDt S5]ܥ]8U HIYl nةv.wͤDU/gRvz,WԍTG_Uե4UwtJdRvj*}0>:SCqih4WjV%Wq<.L?Q»HKLeShDU**mMm?GwjbELm)t&Xd?eW6>C 0ZW `үW>w,3&Fqt/Z.-HM1nPqC&µv0gUAr -"SXEzgP5~VA.?F֋7?{X=!^y\R/Ra+!b;';vR;@fп=٠?ٮ?|+ 1ӸrN]/+ =:ws45ڿÿ]e<'ϳ-4IEd v) ,2r!kL[]$Bi [J]2CCX?"xSڹpGvׇ.jO,*^lԩX"Ԝ< < -ro痤;{,7>sl.J!cy[Ͽ<e~:@h8A\}JUcHg4H_eU߾b&U=kCX6r<0N9#1D̿Ę.C.^i}:my[;5)}Jdyw 5__(R9%(؋/YY8bF( \vymThC3I@ ƶ)[ggr!N3gzY٪> d+ ~O=޾tƝAs1J􊕉6 :R 脲^Ͳ4,N a~Ec:=T<$`c8)~)2J=^ԘRce/xM(t˨/b@mg Z<ߠ̃*~_[^4 GJsjGyjl]ƼWKz|9o`n(:6hmρO9V="R0uX9Fs͘{nPgKn1EGjXs)Mj/SG>Q`<;W͢9{f- 4Џ 4 +=>t=zqS͠QyX9mL0^@;h&A|sKGy3 He4 s _m&X&P BIl< O;Q2!~ EHtQ`+h8M? ̄?ß3&9(9Hnk%mR`%_n KFiP |gB @'[ T4Ǽ:KU;IAp7? [l@^.Cɸ"oWqRDYa'1PǸ-/! }D7{/^;K쳃~77`98׏99}Vw.ЊϢXw3xX㝗g[lD=Yv<.d_'Gj/\_1]=Ei*.`m9BD;:[f=߻wkj?GIl=?o*W6o[_}X;o39(C PUg_V` Nqk}5uXn1_6t9T!S,*ٴZ<6w%y͹Ʃu]pP:Zm:gߵdgPɷ6h5vIㆧ9,.f 4k!K#3 e{?۞6?)O;Slg+X򺁇@nUoַW빢ꃲv4vSjoz/cU#ю.gSJx[s.CE󡳯Sϩ5: WVAp>}*U zrIQ,(eY^9/4~ajp -oBsl؜X󝫙^lgƺMyQٮ,ij|%t0>ZF\T_`~j/Զ} ADk\ySx>.I)fz/l%PjNH6D9{*O ^aë}GA=юrhoSaK, e} yCÚ!>15Gֺ?XjjnQC9n{Qp#'K9*[6.(B)-%fj)Py| 9= ~μ./_KyCyGҭl<0?+4rԅ_z as,yPU,<ֻ/R3Ś%;tHOsu:`l\Bj\emwoht0_c7$t-)O51>tϳ_/QSyF>i 7w6u]a[o(8Ӣ`N"6pNnź+6Z=22SRE9jU鍼uzO&jmm 5zg>>$l4Bc:wqm0S{ơ='{elcGiֳmT#3}Y@:iy]d8kv"vۈ:dyk) 6s490K#ب.O]B [DeD'NRY#Pbcm_-k;wla`$xH2 TXzkg75"H||b욗hɬ1-`C v櫶TgV\c|c>w'a􀱙khkEsю3U[kGy)R%V>y^~[⇰` `oS^}r}w^Rgڸ~- 9zM7s<{aXTl>vZE[贶b9v?'UYtuQ ~?{B .0~>iD%\ź}(w<'Xcf #nk]9].vY&_c3CSתN) u?P+*ס뙏P:=RZR5gQ2ޠu]]u?%CUS"U6e}z"9J%J,ug&ub MXX.Hk}-t|PZ鰃fEWNvڛ;L߅ daa&j{yB"W~9C j+sw/\Ss=='_ݙ>CA}zݟe?w~-ת%?:^HV_!u.u??I'VydC!>2!~=uԞC_M2;p{6~PzOw˕>N4Κ{w[kRiQ}wnMLeg{0C^&KT@սK&h O[B\0cX3@O31|. Y'|_< "D1u7mxX;7`kXVB?e( tɳ[T-s E:zP=+j;Suiun}\b|[|JIЕ<)/b(]˳¨9N贾g{}H6d\.̋/;JгqzN=*f"=g;RkQC{P0+ݢ3u/Ui >a]+B5ry)q9N)35Z?ȝ~7Խo N)FJGZR[iw$YM2ڄo|C݅VSoaܸ1{>V1Έ2qEyrݍ^Hd*uRiz\ hǞǽGU^nd}&d&L&ld!!,D@ AX֥-ڂ-nT[Q[[Zjm!Ͻg&ž%{{ossg&Q݄|y-KĭKVN=\w@8oc找Ԭ y8̤j賴TwpS?\p6ąh꿉vx190l$E72t+l>z 8#CK, rmu3ёA_œuhy^J[)ѿ EL'& `^dőcwAŠe (-UA`'{"5 7*+J@ãn@%wtj$J.CEH ~t9pIiq ~_@WR.<$<kCJ/FP5sj`{8'P#SLs~I  ۅ:{%GA# ^Ap|p~>bЏ@"EDD"Bd"?‡!8(tlt!>GS'!">@x.ۈ @3MlDDAExn!E B.)z'!"Gx8He7FCEw0#81<~쀫yiuKeo/M7A:sYΟ ~1a>CA?A']tnwAwth/۠A{$W8o  :Jw3Y,M-Rgg 'ݎ$7ˇF߇w@˙-4,`b'&ķ7"n@@lG\hE qf DрG!# j5;†", yr4!B!Ӄ WgOA>}=uk?z{߁~ zt t=۠#;{+0#".B,A,F,B" q4S C""퓍!t:P[i.=zff7ƺA:=\ ؇xh@<?@܏>ۈ{?F܊XXퟏAEt# f#f!.D'юhCYhLDD~Exn4 D0A!Hn/%A#a9Yp}{wA -ПAσ~ :9/Aς= z 4z\0h܏=݈"N7Dz[!ElAlF\G\ q% Db=bb-reՈUjVDT eRD Q]XP#T%B#d BFC#^: z[o@/@/`s+p.E_®v|;V2⼮aK}[OgӲ~+}^ݰ)qվM f~%pE}W$dW }>}]}ﻭ4H>zp+.ws)Tq]}2eu&]kO֒]f-'Z q'[-uڙk.KwYbuêǫHuN. P].kVRK+"-K\(tE%ʼnEĂ}"s=&#sYDb_W3Ҟמh&ZxKdFyߌDS!Ѹ!1%ӅNȤqlq|`d5vj;l%U͖]Z/d83veܓ?C7h-FECjX 3ܫTT6BǪQ_EWW>۔ )iۧլ2WR3.*m zd:V U =r2>J)V o@uMH ZipB'I 5pxƠhc̞Nm{@3 I>HR]37n8`s^{[`6Fa45օuuuupd}A+o=uwW-c=N//3/\@p~&gJ{"H9ZJ<@P>#ɃEBݪЬi'ۧ._kkC/G^ҖDyƭWR"PDX,*G(G +J:SL( u#>jk7:"2CȢ5!J3-BJE`QgisX)Q]6NHT2Eщg̴sӚ¥1FH?t[e߮QJ洉DZ[>rKJ ٝR.VG5zb,s@Ox1x9a.~%} S@&M3I%YLÝ RɝrJAZ{6!H檪*mIX`~T5XZi0tFT[-!MII^(7r=[oE$vI%ZYȵHIoMS4 ^Wʦ2r/ j%$:)#4ey5MˌF^%/ta5nGlCR= ;CnE<(.UЌ*0KR2TBFʏDqփ_b0ڠW2^'Pt-ziΏx t ⹛X#/yS\#~q<>T5YE oSHX0׬ڿ&вSu-%l5ۯ/9t͛C醁+?{kAK;e11A⢩BPC$T* _u]Pb%{8`CDt!>ĮaxS;:Mq,'?4_qю7ص%H+۲: oZٚ=|sз6-arX`PТ( XّP8h0ӇۍC{#C8T~Lɓ|\~~q0.Z(U|ܮs;zyrcyZ.D٠h̛B7¼+tCnc^+.}rA/rn4r{ς=5S!6] k\2 Vhкì݊Q5%m C,.ຯZo|#uNɸ^Jy6)> "?L`BT-cnG>86Տd +$لE踨X6Dfc:%d Ymig;0=4h4 [=% H ԧWf9?ebBFa=ÙLD "b*|0XQ g]Hpdwnh,\dZym/gRu;n<):׷5^$fI]~C%m9e}kH':ٴ١:Lv̓W|{W=+pB'x+WxIaɤ:|Aj"j2՞"/2q"RS8EنNIRͺ!;.c @P *~6i:;&z @N|ԦHh|0"~KO.!R/R {^r1 Ϯ ~>Wy,g0~ I8Ͻ9vڌUf'i*X(M-]RrrL #á'd9*ușW&g$])7i w,@ 腔11}FSp̅eׯEq_CI-mzs"= Ꮊ3dOJfU^E)7bSL>L̥jj׶2rV4p3rxuQ bJ"HTR*]8Z'L=fOqxc(W}*']g&?D6$a=NR >"gծٵjw^ik[޶&V,ml<#;: e5*!iNQ(;O}0k)s6 ?2nI/%LYN^j Gȭp}Jj2#^8#+oik)5ի6+h]omYwW6uFasz O {#+fXT+nC]zOGrg,wUd R? ~!zD*!%:^'CJXNlWn"/BvX5^2[3^1qY!q%q^N,W0o`1 .:N fz |{b4~-iєd4J RIR|f@g |ON(Q?U:,EyyEv1"iE9Z.^an5FWw%cC6 'lJ{VrLz 'U;䄕Bmp[XAU#H 89cͼk.͏o枖 EYyP9V$X*)Lt$fTJ>[py,WhȔY9AB {9F7Ѝ51H ebk`D oz$eA; hwcᲥp:*[Դjȥ׆8M-q5eىS1)*"k>EELQ3hQ6UU3/aU7uwnU{IL%MgfZsș=ofmr \⅛jܶ㒪5m-Cj,\((8˪>!XC5ăjeJlPzk |FZRaD1h=6ٴ4)S[C|zz(}~ Ӓ9|{@_OEo2M EHpMaQJWz\ikg-/ %)YCӊ2mJjkm_^YSldB &ewbQ:O1*0V0o 쬅a|Oϥ_& '[FhBH/! dh zy?rz e?*>N/T_(0/- ӫ\z+Z*[fe^"d7,H%bZܝ!SʻF] F47elȖ1D{CX#eN;f8 N6rW$bJ(R+XxC L WcYZ )B٩uE=f{*9-+u){UkN*`)%B8Β|{\AjbN]sU% 9uBP 7sk~C7I5ƠBhstY%][fPGn>ę)QS[Ts,^Q>nz.`hex9acEcۤ՚aZBIg,iYySKUѓCbS*Ui OaU~ t+ ,i>!q}@+{KgUbEg5v J\@R36>$Q=D^+yc4zWY=殎;n䷢r/' :yM!FoC5QMl{HZFH2:t$lI7CJ"BGfY<2M73+pzk]:q0ay5L„"zr|QJ.cfw[Q(҅ڱp$ħ-(E).I)`[UW&WO(n7E.6L[PlA+#2K&[LA $+:3e=G1#^oҠN7r%e7,R~9T*2r"9MSp|R?O7sh_+| 'vN_&vpKY:}&8YCEnCt 2|u͊ η?{RKY}r|-^,qǤ6/䓧b ʳ*hR<&wR/dawd'kF/'C`\ |i\*#Q80X@O[BJ Aur*GaR9}'x|!Vp+V4-''Rb2zܭYg&č[Tq1GiVU6ƚmc#85opC< 3S^SA`R0C)i$(5p"n^Q\(3Eu K-ba1EKW&WN8g.|O/6EMa_C?8}~ޟ@7znd;<,XSswUUH[%I#B)쪶p۴ Ԩf#>Ɛ-ZP0%3%\-a_>; WZ&ޖ|,+_iZQ4絮yJT(dR2y"mJm̏q5N̕}=p) #WAfu.6L`qUu!Ʈv!}TN+hw-dlN֦ كB4e7>CY U:Dkh<-uEiR\UW9~XˍeD"QR%wv}w'rRJjfvv曝ٙKU{7F~HWA ,YyZ[$eBS O`p),Y(&O4هGC+gy`LuB5CkZn[ eD5`kCw6/1+$z}>]N > lM1El%(xOu]*H-mK^Dg8tu!|VpyuZwCSWA4%'}XCS7ꭰ9yF} JZwŴ0Djd+6XjRw1t?{ N/Tڢc> lnp1 kZ5r5]ؓԤ[O':C@f)gcXWX 0gߓ~z8paTSR]ll+¾oyC@҄+G \˪e`#g_b gpHOV.yjɿy3>y92+x9ٍS+0X⸱}VRg`f.lβɚzvmv##Q\!;ߞY=!=V`5:UF~j)Igh4a!aıZ.*A1886ؐ+òO<\jGy9/աb}Eώ!glcS 7W0"JPKs iDܘn34 IHv^苴%hCIPeFR[}L/ Uq *[\YHQnmfyqee4n%3yff@P;b% xj28eQ˻ͳZƗjɶVM/BkiM?xSzVl {-M@QtН [YAOrEQDu̇/>rG@~9|.)`^0Rʱ|vʱg.cB8#Y$T>8T8,+Ƿvo.L.ыS?7Cuʉ޹8~v4_<&BP(WF[4I_7.MrQOS-%b7+٫تWg;ȟ AԲmxNIxޅoD<NfM=ىىtvWLdIyplrlbhl{5+WE{3hFF.@!ENO[6yj|lN'ӻûc"s-yQroͨTF ~`pd39Ңf G-an0].3z+C_{s#{O?9wvkj4F_ Q endstream endobj 795 0 obj << /BaseFont /MANKEF+Calibri-BoldItalic /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 796 0 R /LastChar 146 /Subtype /TrueType /Type /Font /Widths [226 0 0 0 0 0 0 0 312 312 0 0 0 306 0 434 0 0 0 0 0 0 0 0 0 0 276 0 0 0 0 0 0 606 561 519 630 488 459 637 631 267 0 547 423 874 656 668 0 0 563 465 495 0 591 0 0 520 0 0 0 0 0 498 0 528 528 412 528 491 316 528 527 246 0 480 246 804 527 527 528 528 352 394 347 527 469 0 459 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 258] >> endobj 796 0 obj << /Ascent 750 /CapHeight 1000 /Descent -250 /Flags 96 /FontBBox [-691 -306 1265 967] /FontFamily (Calibri) /FontFile2 794 0 R /FontName /MANKEF+Calibri-BoldItalic /FontStretch /Normal /FontWeight 700 /ItalicAngle -15 /StemV 119.777 /Type /FontDescriptor /XHeight 1000 >> endobj 797 0 obj << /Filter /FlateDecode /Length 217 >> stream hTP=O0 +<X.wK>D {.qK$Dn:ߓmO^Z acኣ'48omN&nN- Z<pA݃|eiУHRM!& A2~z]=8ȆFZts$~2,m*ՈW s)<;1ĢVB0~j endstream endobj 798 0 obj << /Filter /FlateDecode /Length 12714 /Length1 41352 >> stream h޴ \UEg{pL2/^E\@YDsR} z,1\ӺXY.V>K)fι by~x33ߙ3g3 Q&{4nͫ >*YC-"[r o433ܡ D|D6Ukg= &jaS2׈@as<[G1,sռDK͹#3sK&JBTõ ?c %xt1ȏ}cLgib"6*K =s I;`BT]FEv_GyHO(W͠94)HS[Hh5#Ct? 0 [F-qɽl5*H!TYwKD\Jv=O*z< ߴ"qEʰa<'~~۽Dq:SWJԋzSun (ʠA42)P6堽4,zriD14і 4ܧ'DDϡ'{)^X2i;4 [RV2ORR^𿆾_DJ:Ы*V ~A28zr\ѳTZr)]Si7F6ӷtЏt.hZ֑5VmoCzZvZd;7)GoLy&_'/ړo{:J])3olEJR2t5f%o!o-pzz6g-{|-zsACfB[w*ߤ$4e˷5 ^JmV+f0 })}Y?5N}F{i?F>'8C>i=Sz$'%vеKNbc;l׶MV|4EF ֩UZA/g:g5uƥ;\.=٩SCvf@#Hw9 +HW%SF!eVQf(oJ-ц4lu:\8[>Iωq9\?AP(@HpVˎqtG+nlv~lz +vFg7l@ sha4aa u:]I1!!iJF*/-eWy9rdi}S]<]]1zTC3] 1p'2}29 w^XRaIlu*z ?l(!"2{k Dj40xE5OstsO> ]nͮh~M8([ΘRR]Q1DeXu-h3Q I\Wg39=R#c*.;SƱ1\2/gR6j&N4wӌS,j4:%46?upfz`,Gjp+* ͗LL r;ׅ7PR=eu,ނ?mRA٣8R`$[W"xN2G;?R`LFO^AxdE3SsfDU@+d[X/~;;yx|1dD9\Huf:ӜCQnUv'U5JRJfE!X4`\x[U {JE{~.=eN+Cr Bm[VjO33.rgly scӳ[<=R&>MVJv" r#UN|&H-=9")˚ybsBON8ȁI0)-xx~8?߯|WBlJӎ_v*~-5{BP>!җ-X}(EoD`~R"%פSOr@6#Ηrl6]x&唅I]㨭yr&hBA%OQBOX%K(MH"Cp;'H# wLY;yuӔ8gP~l6'A޹/A>~k?+(Ir#_eo8xh>-Ũ2d ~^=LKÏ$*Z?sį5(ʐ)l娱50Oz{`&.Fo"6煚LGٷN bM*v lP ʳlch &NF4bEYΐwFxDH@ Hwy2A=z[Zd0O;@7x a`M26o6ʭbH$?/o cF} 弢C] o|­9 GwCګg-ZߙFRzNu_qHCb@;NcVBO#ԟ.7zO'ŗzMD`|E`~ >8? `s(O8E[Ia(O, @0b`pZ((|T}$Y`ޟP\>جaoY>ChvcEF ]b*[M1V>ĺ}=[}vv')<*%;%# ³k'*YyvLb [:5ac&N|˒Hz,i uĒ)ţ-;CnD3E֛ep@xr"8DC(Vh,֡\_70& GB 3zZ͸@ t:M3y/oH=@LZ=zUonX)* Z+ spKZ 2LˇԬٿ8yQ.&kyL ,-/0l'-R泾4៉S`-r/ئ.,XOJ6vxI8Z)Yھ;1u_V;!쳿"@<0Sw0ӌR =}XW OH{XLgl&CїOƫ5Qiv8l˚ Db[ G=ujb+qگiG; qPF;g{+z,m + 3.ѳxO x]4f ݾ&a멺k0l|d&|BxmyYZ{^Vztn]XA{ڻ)?"\VD~b*K"+DEkV>?ioנy gڻ/|+mOyƣ[ۥyƳ}Z_f}YfS1+.Q[6elN)b \w>-ճk 8 WG/R^omoN#-wD$PFQ.u6wT"޳h']@e{9`{~|\cxH1Dž48!dpI}\wٿV׺'[bJ#u79t{je ;a~b~uЉĸovM1Kޤ Vr[ߤǠ=gRCOyh*ϭ%JƼ$9Q? y.7 Dy@_4OϣV 7s`eP=tK0òΉ,SYj(Ssɟ#g9vܟ,=_=*9](ڧZ@.ؤ QggEk't&2Ez_ǡ?.°w3u] M|vކ&f"2JGfbN7ݏ}N<]gQ!n&9Kӧ%` xA߳@u9,>龜HDٲP{UGdUeɱyg ڢmoep[=Lݘބ1]ʶ^[CnƋ QMblGo^&X[k{nq&d㋭9R /]@(u2%4'yT֙My6 |ʖnNc,l5+5tsW>\߀^0W (g 5 E| s}(g<=U\}'(g:t#k?)w&֭c=czc~{|B#sp=|W0֠~Bl3nQj9ǻwA9+\k[ UQ{-g^yq❇Ǒ`1PC C Ag?ɽrј^{Ҧݑi]==ʦD+}lkˮV6z|.]~dg=Jl/@\DOWi S:;Gon4 ?KOk?h?#^6>d)'N6kw&`(B$~~c}4Yd5c{)ɥХ>6r"}.1uw>ɮo3> BC~@u߶4~Ś[/M 9;` 5 }inq,8P|"t@M>#m0n8i}RSߨ\c)+Md$}"fXSfMm)ϔ}:WF[isiv}+YjAԞX6sfע~+d{Mh6L|v_F^Fܧki7(gj09:,ϲ2yB^:A7U>/X{B~]vY6Nc$˺X6CY”REX{^(1⺴⵩/+`5B\e XiKEZZSiJP[hȯi%MG &J;5xkxsT_]^\M^F񍔄4k~aǺSjawP/>s{' 8z%}3O݃:P\w¿O#eܺXt#S Owk<^>{gcc=Y\0/[Ox.-8D;̂mpI/C"x_0F8 &o@zpڣ:y-+m}FL70]ȎL˲eQ1DMįA olx@ ?&ߌJNK@XqRK[5GeQy+6ȧ2|?ws hk̇f5BxH3<^]B>"MX> ڨEzlZ_}I7}|yawry`^ =g.A?=W6ޥY[I;4D"bAynbTo!$p}εyBO,{\FAGsޢLluΈ(v~D_4nQpCÅUAMS/DVAh {i*d? vn%eI6q? raɾ 0'^`GfBWX]~o .D7? 2QDEnICT 0; !*܆&C8@ًzP L[-g_0$upڊC޷"Ao f@+Ӌ; wE۽ԴWn/ 6+ĪPxe9hSxp[o37#wY O~. OrD 8w'0xƺA7.lǺ.>nwmN nG6p+ n7ܹ\˝5YG\͝U@\ p1w热yXp.8ge w_ppLtlF`X p'LVҫJ!Aex)Kqfĝ#Fz}q;b떠Xb1PB8XNBg\nslnyAv|*,P1/s;} 3AO'0b0i`*“sSe2Eۦ-Pp\"mVEL-:chn~nJH0b7 {@.dؒ[cK@0cA?tfbK^lB2@::ӀSA HFA'p`v( ذ , Lh1bfօ[Dn]Dh@:T(@/K}'()Ǩ+-?S~HDVR'|CC(_ҹ/h93ʧO1#H~@y=a!ʻw(͍&-ʛ7:U+4Oy"e/LˤgM-3!SғT{ƏZ@R1SIzA5A5,?C3^XUuq4yy)1; 7ArƗe-# HZFLit+zrJk.W9A5)d0|BuKUy+F&\׉ja{`fkحFsݕړ O?qΝZU%5F ?Ta8ZϜ̽> -n7>_NY?3z2]hA3'm b6i0&%%vCID%J(QD%Jr%J(QD%J(QD%J(QD%J(QD%J(QD%J(Q%*ucle+i&wY>;7V1`i7xdX ű&7YobC6DTX1G~ċ6楽<:zY kf,XVHkkL,eTkdMt#>'{%먳ֵP5SGs_Z[F}cgwGhOwג45͛-i u5mPMmy[VwԇVe kZiPfo7o -5xMP[=VmkmY\ߑMRi+~6ҫmCԙŦJOBO=iˬ}z6D2-f,&$ğĄ c9,~d)З^`cO;ti4=g hb endstream endobj 799 0 obj << /Filter /FlateDecode /Length 20 >> stream hޚ@O0 G endstream endobj 800 0 obj << /OP false /OPM 1 /SA true /SM 0.02 /Type /ExtGState /op false >> endobj 801 0 obj << /BaseFont /MANAKM+Calibri-Italic /DescendantFonts [802 0 R] /Encoding /Identity-H /Subtype /Type0 /ToUnicode 797 0 R /Type /Font >> endobj 802 0 obj << /BaseFont /MANAKM+Calibri-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 1000 /FontDescriptor 803 0 R /Subtype /CIDFontType2 /Type /Font /W [882 [306]] >> endobj 803 0 obj << /Ascent 750 /CIDSet 799 0 R /CapHeight 1000 /Descent -250 /Flags 68 /FontBBox [-725 -276 1260 1014] /FontFamily (Calibri) /FontFile2 798 0 R /FontName /MANAKM+Calibri-Italic /FontStretch /Normal /FontWeight 400 /ItalicAngle -15 /StemV 78.878 /Type /FontDescriptor /XHeight 1000 >> endobj 804 0 obj << /Filter /FlateDecode /Length 9909 /Subtype /Type1C >> stream h{ $gu fswEm z2E57洗CrH1tOwUyUYyߕUu9f.^썵$lb=^Q5Tgu` ͬPtf{/uw/=~mw}Yx`e[M7d9~_ptFO7kg=o*oy-hCf zёC/Cz͡C?|My~yC}ȡ:y_F 9uxy{gwg-gk_o~Aeyg>7Z/_r'7h_"e2/'n9V/ۯ|/?wzo}k^ yӓo[W;Fw|Wo}7ysϏa󳷏ߝS!".yՒ'8^8ZCm*i*4 na4 ´ ӡl˵<8+01+tB%[Ϋ< | FŒ kL)&yp4" á4mzj=aN9Y;j[N7Lff)l`,"!J.Sh*˘.번d(!Z9:;3}me`ms3slTzs-"5K3USSJbA4Ħ.Qƒ4y53\ps&1vZnxma+lEzmB$r*i]nz>7]&uvQ 4UBU fN|g:~k;mcw.fu xnښI AjN#|CxkZSS,3Cj(_9tRoNˤLmqv NJ4L6涭Sb|]-Xg%EXVeNh*U0J8g&GZͺˠTWRJWi)&״jUFn7g9qjvvKS"*RB}f &q2O*3\ -!"1ojixhVH~d9zFpvדzZkZvzCl"'2b* Q([n9(lr Zjc3M>6!sU[O"Ziɘ&颀9 ~DWOknB.4-~i4=μ9پ噾 _nj{ kFw ! *R]("]0.8ٓ 8 L>>t|9A'd!Yh/tN:^7!!]‡GilAkbgg6}L>s?BЪWr寝{ӺN-;1H! q`MMW5dAR<oonNn/**U$ZnV!:M i%d9>K N}s<צh >ɺqk=y>G#GhTomR:Bn Z4x1oFbR7X1K&eQ]btߌ㘎EY$t;s9@ @{EGΊkpK0a.O>/̣Jgp@Pf` 365snpu]/)qIv:[g+KI&So{cw,Z,m.O\;N:vve1{_R?+>F{r2RQrUKs h Z!VKNPj!=/lɗ0Seu{[0&N%݁MP*Vb1h hvkN.[yk3W3^ !x(!! 3:*b{<(`f" qB2u7##{QT(,bS2" tw Ϊ0(te8@J)+ oy&-1ƌ2}(W4BULuE&236UXڔM-v-ǧ|7t/v[Z}Ve v80Ú4Z]jM6J|.TW+峋nMA'^u)I`HSہ Xu`dS&aZT *A) g Q:]ÇJ 'qm0c^a^$edJf8Zʠ_dEd2o X3\f5r, sB7MI3ɑ~YaZie9ՒZ$>6|q 3Y]r1 94\iA͡*qјWk%=|r*T26iȖJ .ن2 r/V'˓Ti+kY` ֓ngu,:;AGE$㟟]#C[7Hdorn@wDSM,Ta7aӰ: jOΠbo@gLWm &GGhu#-P]rn?01w#7GsҐ[;v9AI$u])ZUD-9Xlv-o;\o[Ngc`=k1!<%P=vH(#H;gj щo朌4[ 83 ,q/SˍU\+W%6UxN:.a꒾1eZWݼ[Ka%tʦlI[ĕnEmô6Kmb 0`1VQDb{u1 ;Pm8*7:؊M̌c#&]UjQ m1fVxU5{W3ɇ05HSb)0"ٰ$Rl#F_pB<Ji\s=¥@32F_y)(M82efn|h!'V-ĕ磵q% B"mx_Y\ ;)EFĩB4\٪PƄR,I2 (:\s%T:,ץ*&r_qA KIt)by"JZnݠg} _S;bJN^9[)OUKa5:d~bDiqonlw[J$B x ռFZ"[U:6.੕81逮&Qn A,Xt_ wMe]JF]&NX0j#:-q9Pe Ädzy͇%5cy2qqש w"lQ9+.2Rg16,!ub(dCIf25!2aH+nH"*5lՁ #XM@S$-'^2HѺm[6fm[n[~.'WUP\Ɣʴcv6ibXi"THبU{sUElnߍC |H"aH&hd]W(eJl349I .gIeNJwfUB:)닣͏ҙߙ!є6"BZ(p; (NL~nFUYDY|gK@x* Mk]V&#ԥH񏁊r{27PNaDNh4xNeLbįW3kRiƌO`LQ䩜D78 VUL-W39PՕ[bG|4 `?C}klP"PdbGoKԠijb}hZ jg7cΕ\:FX4t Xvb"&B(:W+sst霓`iȶp1.ԝS!\eb}Z-]]*Ļ?ޓ0 Exyc'[S Dut{7z'şmluc?4/?%R&z[pn=>eşɷO\ \.UK 1_ʻ zwjҥX'av \n۩8H 5k|$ͺjܐ-%$01{Luz@z8HK^5s(%K%("θ2˃R]ĸ ҕ+2 ֆ6 ebgCT_tR!O z_꾎lk^שvKPMD)k*Ab]S##a0~㗦aff|3?p_,-B ƍ~i?wL@e Wrji GeŐ4D]CTYPDYT|xymfr7@ʮdK6{>7x⮙J-Ҡ?q#$NfSS}DC]rztVM9m8kVƿ=o>5\~ɞg΍e|o{z):[{w7 bauile}cI~{wtuN P/^1S+ԕvr8?~ rBr"\ 1+gCgdZKr[L>u;Ozt-,ٸ]!\ jU|Z3w:u_POPq{D'0Wvd!Ơ 1#Y}Է?ۏiPVJ<%'ܦva]릃p6{/N^Z tX-әL j*-!f*T9V%U9.}dt<zKJ@ NגDQ^K Bx_A܋i1Z\ A[784kuGaٵR !hj^&G֪v"8 vvǃ4Qܓc<'378P&k_ʹ[M=B=|mSQnqL#d{ ˵nc>:~5 '.LHl !7$5DDH$8efCE'ky *4jcI64ff,,X\ZQU*/94mb|k9]dPj @0ZGJFO3i!%0XիZX-Zn85\A5URjV 5E7S" ɒE) ZR[&NEC F}fz~pfdu#5ڹ2ruνm33?>?3m lO{ 4-4w2kIؓX A+~ZW&ݞ<< ; K3WSh.u@ S3*)cnd[::Gj1f9):ۦ=fcWn‘"Bjnz :к:v7Ѻ_9ޘѣ΍:4=n;chlmݘ:D–ҳ-ˆD\8}MsϦ]?=ظ&zcdEg*ycf4{̺G.Jȅ]lecs2zfr.s6k1eBzT)g0}q{!8KgN]7x[ߖ/ijX-*;v ]Xw|y]W_ȴȐo rcdLb)FXT\ug%.OZP+3,O[Y=B:d1f%]"vZ 7Ϣ ʖ, {Qg9!e"u(VMv#)}Lk fvm' ӎ#}Qz4XF㣵܎)n;պt.%ܴ\,sE_a:'E2\ltKkA} 3.յ:Vف^c hj^,:2{aZj@* հ{"q.o{#Ŷ6XGO@v '__㶁aLG<îV~ln3W_3x#!qDObhPIuyM3,%`7w|ξ&AkoC{s@I ̬ٵO^5m&ղB7p|! Ќ:ɐ=;fޚ=wgp'3śt+yE,REՙ:V()`U:}:dY4aBMpڦ=O^h#6䆄 uALzuң#ͷujzx :Iװk5MpTC:e┬1q+mr[>i{纁Km(b%6ק^7D߳xT@D10#0}m98^dֈż,o <VǀQJ Cڦ:U?3:eEm8Dq>@HI3]C9:o=c)8A@K< AǴN-)XXZqUE5-_ɯyyOϡl:;{)NT)]9Pq5̮|=3MFo"gѮ<KUmIK5b-< ߞAƢG%9Vmٝ>Ϗu>b-v(N2fx ;(;&Eո:zkTJ8Wp*U 5Mp߰NtA7Jv p :}{ˍm$LT khU|E]d̾A 2e2[vO4Ht#34fψ*j(șҾ3up&zwy";#ue<^A"zM?R"Q'ąLƽv{2+3(!eeVSfi*}އYEqNYhFD)FD2Co|oTfoND3M\wqOZJmBZB˹C/+q = B膬؎='ٰMRpB{Gg&73qa7o}+a,qmn j[P!9Ck`_S#?lzIi M+R ʀwSr$o+v A2I:n|&ÉOz}2:L;&3--% ,ўFs W(R<5r@!^20EI'9a؉G߂䈟?G6l}dǀc7.>} 6f`sw_xu8ډP Lq?2#gd ^D~l +v#Oǁ(-p:FأB{9ys_',wgd:gJz/ϴžs]~}w`O'_H:>Djߵ iս:_9h0SG_a! UMhoYXM24L8yѐ⒴,:0T^ "I04mr*s;(Vkt>|+_wvWcjM:R(ĿsWcYC>}r=}ٸ?#th[BZՊVf{=A^m5Ț(I9%i~w*!}&j_f_[ x=e?xGC[5>!Goq=I園GFA E}_ǀO m}#5+%YUTۍOZ03{P.pҴ5x{"B^Ki[FUѐͷ˓T 8>7E&[o?2w'†R@rc'iX3hZl,]b8"{Q]d!lWkW5IGĨ;)*Kr02Z|RPvAiJ&bK2 ?`[>:}LҼd29d ^ $֠`]frɁ7V(pf<X&%˸B>\#eGoM [>xXoTZ78r1 qN1M]m5C7zUBnPIZI$y'i11թXTx|q_Z 0Ef endstream endobj 805 0 obj << /Filter /FlateDecode /Length 339 >> stream hTMo >vꁐU*m*)8] g" ci[gzgN9#z:ۛ:Z4sMwpClށz CN8Ogq@7C 5XynK; ?ߩ#dq-N5ZwBht ͊t\ؙ6$MiJ]Ҕ]-l&&ftQLBł0)&`EذIBΔ%KΖyE-,0Fe*3ʌRLC{Z1,C#ŵ0GjfԲb9o }9@%!;=?z{Ӧ endstream endobj 806 0 obj << /Differences [32 /space /exclam 38 /ampersand /quotesingle /parenleft /parenright 44 /comma /hyphen 47 /slash /zero /one /two /three /four 54 /six /seven /eight /nine 65 /A /B /C /D /E /F /G 73 /I 76 /L /M 79 /O /P 82 /R /S /T 86 /V /W /X 95 /underscore 97 /a /b /c /d /e /f /g /h /i 107 /k /l /m /n /o /p 114 /r /s /t /u /v /w /x /y 144 /quoteright] /Type /Encoding >> endobj 807 0 obj << /BaseFont /MANEEI+Calibri /Encoding 806 0 R /FirstChar 32 /FontDescriptor 808 0 R /LastChar 144 /Subtype /Type1 /ToUnicode 805 0 R /Type /Font /Widths [226 326 507 507 507 507 682 221 303 303 507 507 250 306 507 386 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 579 544 533 615 488 459 631 507 252 507 507 420 855 507 662 517 507 543 459 487 507 567 890 519 507 507 507 507 507 507 498 507 479 525 423 525 498 305 471 525 229 507 455 229 799 525 527 525 507 349 391 335 525 452 715 433 453 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 250] >> endobj 808 0 obj << /Ascent 677 /CapHeight 631 /CharSet (/space/A/s/y/n/c/F/I/O/W/r/i/t/e/L/o/g/w/underscore/d/a/u/l/v/f/x/k/h/V/C/b/zero/X/four/hyphen/E/G/B/\ R/P/one/two/p/eight/three/six/seven/m/quotesingle/slash/S/parenleft/T/parenright/quoteright/M/ampersa\ nd/exclam/nine/comma/D) /Descent -177 /Flags 4 /FontBBox [-503 -307 1240 964] /FontFile3 804 0 R /FontName /MANEEI+Calibri /ItalicAngle 0 /StemV 0 /Type /FontDescriptor /XHeight 466 >> endobj 809 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz P P endstream endobj 810 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 5 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 6 >> stream hz# 5DO endstream endobj 811 0 obj << /BitsPerComponent 8 /ColorSpace [/ICCBased 2098 0 R] /Filter /FlateDecode /Height 4 /Intent /RelativeColorimetric /Length 17 /Subtype /Image /Type /XObject /Width 4 >> stream h޺q R@( endstream endobj 812 0 obj << /BitsPerSample 8 /Decode [0 1 0 1 0 1] /Domain [0 1] /Encode [0 255] /Filter /FlateDecode /FunctionType 0 /Length 18 /Range [0 1 0 1 0 1] /Size [256] >> stream hb`` endstream endobj 813 0 obj << /BitsPerSample 8 /Decode [0 1 0 1 0 1] /Domain [0 1] /Encode [0 255] /Filter /FlateDecode /FunctionType 0 /Length 49 /Range [0 1 0 1 0 1] /Size [256] >> stream hޔU7-5G]rW]s 7>v`%\ endstream endobj 814 0 obj << /Filter /FlateDecode /Length 356 >> stream hTMo0 ;G&J=Ck;ML4B~v̺ M `W7#F;ƫSgtZI|M3ӍPUAFnzzYG87XJ~f4vA<?ߡ"$?^l'*t TITCUb h`+s>[HeQ ;MBPg [-q{@\ Ĺ\_$)eY5L*au"S'> stream h޼Yy$Wy5vOf0V*0G@ILDc2]sOtW]{YO@E(#2(׽D^`H팴3Sޞ¥smW#7|Cf&CϯPW_~ՙW_×frEv뢫-pɞ=;o㓋Sy5=B\:l=\ww^Agn]⻯|աW||=|W|tW饫&~c)?^:a_3FK|#gyGvҙ;*ŧ|Z*IJMSdC4$CCU]3t0 DL hdA[,0maQc.ھہ:Mab%z_L2).ӆ)HG4BԢ(1Ź Ix~#ز&rou[~&=: ï _4WsڄʖDij ]GGJHd)J۪}0Ќ(,mqu<', "22ӧGYM%5lda)SԀSijf?M87 Ҹ< t8x|>q`4'D{75"[o𝄿0q^c[jU+A {hazrHyGP3 |Ա N>|ZY>wno{x\h%瓖I1wꏗOmL?I4O 7T,yVHO׾O1O6O66Vm?M uY zIjJi0nŷOG.N]Ii"q&iIz4lN|vĶ.58GǑޅ#Cǟ{g!~G;S2B<ʾW}#Gk޻Ȼ[S'_PLuevv7v1"N`G1y#>6yW.MCE]7 Mh&ʁ!Kd584^=+^,udʋfxU-UqSޱh7k~wiM]efEm4H%(] nٹs cF3J ~qC6ԼB8aʌ'{O3?AB[H虿lu\08 {PAH_f0GgLOVƹ}O=)߾cP|>~zaq[ͧS3_!>=+/)q[ڂ@ #ԡi/^`jK*"Ц}v^-;lۧ mUYW _W (u@@ݞ=biMi(QR%:5`!  IgnI'")5dKb!hUA6x}/JtgEj۹2sԉ,N|XaJ #04`*XhxÙ18|anδ-Ւv_sxi)8g?cp@i&цCѤ:3qh٘9}qpYϥY'Nl{m0CbOFg͙7kƆf5Tr%"{nUD]7cGA e T B29G<+g8vn*Ao#^|+LxӌFy[Ai,@PB>9PwcچB++B֪* #U^4tHoqxUFBhWJA^) ,8A&^^:.uz2nzz|%P\x~`daRlM\$ !grHqͷԇ_רu/YeVvO6>"6ф6=d*ՁHk^3BY1+C/S ܯյg$jfS< )5$URUbyVR59P%UۨGh=cybxFw㐎JDx! }aDz9Wh?窘FC`eqG=rS̴8W+/!B'GHk[-"!lC'vrZLi9x8o0E;[jWp&O_W41\ vGhH2ݚoWԱ͠ l_Y#9.m< B]95 r9T9Q>Y BeS๡-:zлfGbסݮA3JҐہ+tybKTؖIJ_/">=DMRIfJV+ x!8> (>ܞ闗kQ@OPK4n />,aR{&S&W]y*.3.kuhS 2υR,&JSkmt{d+@)N&_;Y6]aq6*W)s]| L!SW,DP3ajnBa]X 2uB@8 a dYUӼqI:~ݸJہb&/ YF@Uȡu cs >:ܟ6kWxn-NIo P^-h)-jEXbFExߣ}AiZ`;BqCÀ*'Q$r$b(B@z^gT+7[&>w t!Vp`Մy!omM1Λ;7ǒw0o^ns(;0xJ|Sy85r3Й߽C _lBI:V__\,vD29Wa~gy Lo*{T B|cݥftO1zJhQe%NJ/R U73Mj=BK䚽htfL&PVQ]Mi1M9C.{5wp<9g,h\zTXWs)ќXP]p*'",/_L-^J0>9'pa.\8s쁑X%rƠNc-*fJmo&Jg%݈p=PҪU8A&djT5M H d)5 !iirP`<֩Nި5z v p^yx8ma ԓjh$HEREYDQ 5o~g6#Fa Zm7-|4=&_8Xb3Q4&r!Fà3XA΅?3PTSy[0{gEw$$8ٛO@ΓyiWj΃w5+r5b~g ;w5lqʙʴ_ k)rmr./|ճ6Yʭ||wέT_;çG@[Sk/؞H쫑XOΙ@2sEyؙio.4j}y67K s쳗|YH{W g|ġQA;PEpb?Ȕ1|v(^}^qsg٩Y(Ku$F4U]H%U[:{˙W]mk>|mHjo;B:s;F?1s3i{{j8lEr Wo\y%== WpZ%H.Ԑ!C!tŔ#^vdN 7S߰<s>+Z2D^—dńXIJ&fdtUБvZa鎉or;vltr;v8Mv6+)м endstream endobj 816 0 obj << /ColorSpace 2089 0 R /Coords [0 1 0 0] /Extend [true true] /Function 812 0 R /ShadingType 2 >> endobj 817 0 obj << /ColorSpace 2089 0 R /Coords [0 1 0 0] /Extend [true true] /Function 813 0 R /ShadingType 2 >> endobj 818 0 obj << /BaseFont /MANEFI+Calibri-Bold /Encoding 820 0 R /FirstChar 32 /FontDescriptor 819 0 R /LastChar 142 /Subtype /Type1 /ToUnicode 814 0 R /Type /Font /Widths [226 507 507 507 507 507 507 507 312 312 507 507 507 306 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 606 507 529 630 507 459 637 507 267 507 507 507 507 507 676 532 507 507 473 495 507 591 507 551 507 507 507 507 507 507 507 507 494 507 418 537 503 507 474 537 246 507 507 246 813 537 538 537 507 355 507 347 537 507 507 507 474 397 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 507 435 435] >> endobj 819 0 obj << /Ascent 677 /CapHeight 631 /CharSet (/space/S/t/r/e/a/m/C/o/u/p/l/D/F/O/y/n/c/h/i/z/A/X/I/four/hyphen/V/d/T/g/parenleft/G/parenright/P/quo\ tedblleft/quotedblright) /Descent -177 /Flags 4 /FontBBox [-519 -306 1240 971] /FontFile3 815 0 R /FontName /MANEFI+Calibri-Bold /ItalicAngle 0 /StemV 0 /Type /FontDescriptor >> endobj 820 0 obj << /Differences [32 /space 40 /parenleft /parenright 45 /hyphen 52 /four 65 /A 67 /C /D 70 /F /G 73 /I 79 /O /P 83 /S /T 86 /V 88 /X 97 /a 99 /c /d /e 103 /g /h /i 108 /l /m /n /o /p 114 /r 116 /t /u 121 /y /z 141 /quotedblleft /quotedblright] /Type /Encoding >> endobj 821 0 obj << /Filter /FlateDecode /Length 278 >> stream hTQn0+*JR6.jމ=Pb,c}6M[KYlֽfGyDmiD`kH3Pt^948pu;srvC86j^w92gcp@ -Ƽ4u=]Nh!,@ P>VDƥe12IH0LppQL2Ɛ`{r3eNAe#sBO,U>a pپel-'>'5^nj/`" endstream endobj 822 0 obj << /Filter /FlateDecode /Length 1245 /Subtype /Type1C >> stream h\SkLwx ܱ;2mqD75:DD6l }a+}BJ+,>7}Q(Rt0:Lf}Yd٧{/ @ ڻbGվ+䍪 *ѽ5/;,aKr#k̪י+%* O @Q#-T"o Xf.v@ep+@1k2 ,Ϝ2?+As0\cFؖHX'c6uE(d2L5|u;2GdX*5gópw?{x`_$& b+DkܵQ1Δ;Ke] 1=SQw|*RFؤh0j!!2aLæuB)+%<е7b[ُ6BAZ~}K0BJ `etФ o> stream hTn0~=@I$T%CԤ{I 9ݵi"~fXmG'i87.xm-V*|uW;4|mz(K!ߨ9~cxb<|}k8ts ;#$PU`rTC[!da6z5^,,5{F.[ '^偩2OS!F27U`**bDXGE:E óՏTlHRVE -|jg89Uݓ7)p!Txr;N_-䙮 endstream endobj 824 0 obj << /Filter /FlateDecode /Length 1707 /Subtype /Type1C >> stream hTTkL[>&9>'&9JiQ4MWviRhNWl8n $ײ$ KmZZi-T3i׾?=+v``Ɋ7*kOzC1pB:u{YR¢gd_~̷<%¯ gFdee]=W?LQw#1UXvĪVb<6cvn[jvٵ{h8>&,6^p"{JzBmOi 8*%De"xh 7*ˡGvBt7v; QY; Wq,0oR_W(`_v;zY;!,)xƒMva1$iG̃/<j̚V_?HUFDf rmJV.1ܙa3C5tdOӬungU[7W$ݦ:6~~ 6n] ;ϐoqyQ3hҲD/Tۂ 8:잞1\| -(eCŇKrˉKleTŤZ.쌂PznCO:t4Ƀ'[<8θ_3 R14ţ>'n2f{ñ 9-Dj2Bjg ?K]L+dZmQ3 <+!uuѾ|Hܙ\ I8JpfԒN4 ?B*ֱ0"k Y6M>D,my 4lJܯj'10H 1%لt7v)L. @JgK٩,#B)Qe)_%}~U`W7pnPհ4ʎ^$vv8`5JFM4L*e&c"]L+.kz# lp6eyu9FÞAKE;OV ciQ+ãg ^Ƒ}4ψs.FT:R7<&cY5Bto+[5 endstream endobj 825 0 obj << /Filter /FlateDecode /Length 337 >> stream hTMo0 ;wh%>ntH#Dg]!88f~ŏ3t55O4: _=b*>\F(~iX܇gSgos_8!]o[ߩC8 N[{BZ7DJl}$KT)WGf)YwĕhRǏ3de9;̋f⃘G)?RFe )!j%JD([IbU %b|k>{O !t{[7:>z~om endstream endobj 826 0 obj << /Filter /FlateDecode /Length 3937 /Subtype /Type1C >> stream h4WypeC$}$gyL7 Pc&YG!8cG|ʇ$Ec˺}["[8v\  ´LmSHտueJAA}O&b5+[9x?cg~o'>w웇˔8jJ<\@)PYK#BBl-<_@yBFDP^P^Tᵔ* JCy2DITZӻfdw9>[;vqgkrWh!8+_Wöu;[߳޺xCۆ~SGacav#F;}j}r*ݓo[~VH-$,؄oŸ÷B,]g7GfFoj.k/Cc/!m3J~F.m-Gnwɪݑ*'[멱WxnB8}wqc qeF9MxnKXd Y{G] bdqE\yl+|2U@UaD@M|18V0chzX/ R4M,(jo |Rhv!®WI -}1ȆǰyN'&'ǣhG#6C3MY$T .S5mη7O:G"a$N;Oc)8^ֶ Z~KMͭ]q̊n H&-s+ɉehZ$I!Xx0R*( m >\f71%u+ 0f1,dv!jprDe[':Q%౴NAB' ׶xߦm۸Tlx@: Φnj8(-Ac;0 '߀-/l m OD#,ci`>jG5tf \]#b!eP[88'ɵ@0\`5-2G 25ȱ 3XjͦS qwi89䤛v& Db|K;\l,jSMTn6"ަgD$#YC^M{DG~ c8:#M bT# 7=)>OigA7a2aL՝ࡼtf;>}#V7L |G\]VKw?x{( x'\[ź@A+F4v+I휓uM3(X!΢|O74COvnžޞ!9u+\VJZI :U=6ݷ+?/1Ye2ټ#hxK.܁eKM}pmABR^"\e?Z{_0E6w3W#%WK;GzdaD^p{x!+o@HGjNq\ե$VMp#k9IuW$R?Sga[12g0d#Q܋zVNDYv꣈>"grQqu#KV2&)2#)YIOh_F ^4$ZͿo^꼒Tk^?>SA…"_90 %؏uܨhkO_.y?LWw#j"9BڸTO^Zi_\wMFH(:8|] 2:4(qc > ]aS@7v`eCtQ3yA1MXg~cEExeC}FCmm0O[hep=N Y>g4͇KhCymi$HN7|~rykzTfvzI u֪m;vcp!܎ endstream endobj 827 0 obj << /BaseFont /MBAFPN+Arial-ItalicMT /Encoding 829 0 R /FirstChar 32 /FontDescriptor 828 0 R /LastChar 117 /Subtype /Type1 /ToUnicode 821 0 R /Type /Font /Widths [278 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 750 278 750 750 750 750 750 778 750 750 750 750 750 750 667 750 750 750 750 750 750 750 750 750 750 750 750 750 556 556 750 750 750 222 750 750 750 750 556 556 556 750 750 750 278 556] >> endobj 828 0 obj << /Ascent 716 /CapHeight 0 /CharSet (/space/V/i/d/e/o/I/n/p/u/t/O) /Descent 0 /Flags 68 /FontBBox [-517 -325 1359 998] /FontFile3 822 0 R /FontName /MBAFPN+Arial-ItalicMT /ItalicAngle -15 /StemV 0 /Type /FontDescriptor >> endobj 829 0 obj << /Differences [32 /space 73 /I 79 /O 86 /V 100 /d /e 105 /i 110 /n /o /p 116 /t /u] /Type /Encoding >> endobj 830 0 obj << /BaseFont /MBAFPM+Arial-BoldMT /Encoding 832 0 R /FirstChar 32 /FontDescriptor 831 0 R /LastChar 117 /Subtype /Type1 /ToUnicode 823 0 R /Type /Font /Widths [278 750 750 750 750 750 750 750 750 750 750 750 750 333 750 750 750 750 750 750 556 750 750 750 750 750 750 750 750 750 750 750 750 722 750 750 750 750 750 750 750 278 750 750 750 750 750 778 750 750 750 667 750 750 667 750 667 750 750 750 750 750 750 750 750 556 750 750 611 556 750 750 750 278 750 750 750 889 611 611 750 750 389 750 333 611] >> endobj 831 0 obj << /Ascent 716 /CapHeight 0 /CharSet (/space/V/i/d/e/o/I/n/t/A/X/four/hyphen/S/r/a/m/O/u) /Descent 0 /Flags 4 /FontBBox [-628 -376 2000 1018] /FontFile3 824 0 R /FontName /MBAFPM+Arial-BoldMT /ItalicAngle 0 /StemV 0 /Type /FontDescriptor >> endobj 832 0 obj << /Differences [32 /space 45 /hyphen 52 /four 65 /A 73 /I 79 /O 83 /S 86 /V 88 /X 97 /a 100 /d /e 105 /i 109 /m /n /o 114 /r 116 /t /u] /Type /Encoding >> endobj 833 0 obj << /BaseFont /MBAFPL+ArialMT /Encoding 835 0 R /FirstChar 32 /FontDescriptor 834 0 R /LastChar 121 /Subtype /Type1 /ToUnicode 825 0 R /Type /Font /Widths [278 750 750 750 750 750 750 750 333 333 750 750 750 333 750 750 556 556 556 556 556 750 750 750 750 750 750 750 750 750 750 750 750 667 667 722 722 667 611 750 750 278 750 750 556 750 750 778 667 750 750 667 611 750 667 750 667 750 750 278 750 278 750 556 750 556 556 500 556 556 278 556 556 222 750 500 222 833 556 556 556 750 333 500 278 556 500 750 750 500] >> endobj 834 0 obj << /Ascent 716 /CapHeight 716 /CharSet (/space/V/i/d/e/o/P/r/c/s/n/g/C/parenleft/parenright/D/a/t/T/m/l/h/b/k/y/v/underscore/f/E/O/L/u/bracke\ tleft/zero/bracketright/S/F/X/one/three/two/I/B/A/four/hyphen/p) /Descent -210 /Flags 4 /FontBBox [-665 -325 2000 1006] /FontFile3 826 0 R /FontName /MBAFPL+ArialMT /ItalicAngle 0 /StemV 0 /Type /FontDescriptor >> endobj 835 0 obj << /Differences [32 /space 40 /parenleft /parenright 45 /hyphen 48 /zero /one /two /three /four 65 /A /B /C /D /E /F 73 /I 76 /L 79 /O /P 83 /S /T 86 /V 88 /X 91 /bracketleft 93 /bracketright 95 /underscore 97 /a /b /c /d /e /f /g /h /i 107 /k /l /m /n /o /p 114 /r /s /t /u /v 121 /y] /Type /Encoding >> endobj 836 0 obj << /Filter /FlateDecode /Length 324 >> stream hTMo0 >voBZUaZ)1Q16$o!v= ]oi጗@yYo;4oӌt#T ި8l>@4\`u?(qZBkة`f@KE:Zl'۴sAⰆ(k@T)s~6NIgR > ?2kqz@o'4L.syYN-~<*dqⴐmNL:RŜU\9YR$gI/C<&۫st'yڽaGW} 0Q endstream endobj 837 0 obj << /Filter /FlateDecode /Length 3473 /Subtype /Type1C >> stream hlWyTYHUnֺ3Uݣڭ*`l,]PI @B$@@"؊ .6m8N7{Eys{wmw  /wھDL.QlIK-IOMp`j\d\9Wd/{SH`V@ EAe`!  +y:`c@u?,, iv|hТ!l60v'ⷈi Lo~}ӹw\z7?c #3fϔs;ܷ"nD&dMx1(i &aBPI PA.ּ 8i^QG^,>s?!m5XCvMӮȾRvjȢ;(@Kpc?#]lcy*mh&ZI~[+((\B SH C!8aV֚T4!\> {>T}KH G>Ƒ|2߀Q,Q- +؏h # ''e>ý+}4OAԊ߈?{fa1lLz"zcUfK= |3z/14-=2r 2]~w0wB<ʘ _pQض}5ҟOebS7pQX76m#XRnce/pS٧r=DEx3bau}k[2ܬ[[Z6R)k7K¢٨-UKe0&7" xvz'[IOm?B)fc\;%\#aa1{| 쭌87xC'p8T'Aʹ ? =٧Ňh-  S"+St=q{xy]nlg5X& K^رs=>%ꑖf9{4qlk\uا*ctO_'_’%|1lk`;:lG\ qwSU($tr\a24\@q QEhZ%CYs)_XQp&'Vt\&W,:$51gٜtS8O\8qHHCp>|9^s&זk[Š Ulڈ[zێXSiD%ѕG8\ͷZBq oq nH0nw.#I5b8&r^wJZx9Vi0ԫmB:$D$lRۅvMZe*gjH &ܟ?6q9\`+ӛ8tW͝Lc sYkNEiD#M>,;c&ߡSMٖxCQ͇כlt3ng G6O+oP]ۏq-e'۰Dˈ#>3bߘ;Wn{);kzkhoɒ7.9&ޒ1R(R[Œp8ۧ{l{o͝g~(?Ш1&tGDw$.7DxrU)$@^٠dUX. εZvup94S%NGvy]:Qjfa|wPM ]6xZuټ1%5okh*YTѵFޅ`ρt~bM7J4GhJ 8|jňc+qȣ7qS@?8 ۍ/Az. @l 4|X \ 5|ZÏQ 8/P? h>G`paQbȞmdJ؝DD-}S c>> endobj 839 0 obj << /Ascent 686 /CapHeight 686 /CharSet (/v/i/d/underscore/a/t/c/e/o/b/l/n/k/h/s/y/f/g/u/r/w/x/A/X/I/four/hyphen/S/m/space/V/O/p/T/slash/R/C/F\ /L/D/N/Y/M/E/W/G/U/K/plus/equal/zero/colon/P) /Descent -174 /Flags 4 /FontBBox [-164 -250 1014 914] /FontFile3 837 0 R /FontName /MANPMC+FranklinGothic-Book /ItalicAngle 0 /StemH 78 /StemV 78 /Type /FontDescriptor /XHeight 508 >> endobj 840 0 obj << /Differences [32 /space 43 /plus 45 /hyphen 47 /slash /zero 52 /four 58 /colon 61 /equal 65 /A 67 /C /D /E /F /G 73 /I 75 /K /L /M /N /O /P 82 /R /S /T /U /V /W /X /Y 95 /underscore 97 /a /b /c /d /e /f /g /h /i 107 /k /l /m /n /o /p 114 /r /s /t /u /v /w /x /y] /Type /Encoding >> endobj 841 0 obj << /Length 3063 /Subtype /XML /Type /Metadata >> stream C:\TemplateSource\Icons\important.svg endstream endobj 842 0 obj << /Filter /FlateDecode /Length 3243 /Subtype /CIDFontType0C >> stream htW Xg1 `%Aؙ`WE⁠"(Jz rȱEkUD.^z U݊[/fcMKkq~?^n<3O| ˸`X1kءSSV&\DGe KdD7⚟ M4ey#3))9922 R-6i~uL{> ;'%-[eII#Vw{gddԧf ,CrJ!k>c>&5=# 3E1EoHOҧҽk٩ɩԔx}rqfX%7ƛa2Lc 0,3\Ōb gwf:vcP-P7f\g_{qW嫊Tթ,nZ7[37pcY|"Cݳ<< <*<==<geϖԥ|73[cvJo3 ~/FvoKɸ4DH< +O%E> +N3PXw'C.hJIY{P+З̕˷K?D>a'~3,>zFs'kڝZȁ?~wogW@}Q# % ׬=i<Ͷv @tNO34&~8yMJ5@}{s.`J3@'\rP)okw]k~8ÀW#x:?2GgNQ'o*=BUE!\2 ' ͍knpa8Q2 u3DZ|jS[W¹P.Ykhe'Wuߟ=rFty{>0}8rh‚5rq| fl:hNb#g=z@b[vfm} JmQvA֓҃cMDS ӈ>%fˋ?k~B ܕuh#>Y/{ϙ>qbI{C7OX2=!!\\+i-fXݮmv}GCD"L N8_:O~zX_f͋>OG;)JG̏S0׀o!m\k,zPpSPp50*da<@6-FQ߁Q4dȴ YN vB&`qnxntЈKrj;T[:HM'ߜ3_6yhgOA2wF;#sq }tr =^U\K޺'_]?6ry2JCAXyEX#qQ2 šDK dG$ ύvZeͦ[dPp0M$h[8@6c01f8!P7-5ۣxo׹´e$)Aw91QlLGg,Y

(S8=p6kZM [TsYlbzn\u\= zХpWo7_j=|'D*\o'ڵшlEY0+t8q"@7zzrc8=gzLo9kVC~rmT.x'pP[p3XRӽ m]-+/v8T]j ]j(8Bq<#$T`}:n!27 Hb= #ƍa%LۆTE/4쇴VCd{wnÈqZFGA0Sh߮ -a5S?|0yBq&8O٠JF`>M_Xqz]0ڞJ9]m A?+QX742!ȬCuM$c DJޔ0=D7*DÌP.䫓{Wn{wgOP/[do''nQZ3k̑og+ܼylJ׮+]9Xesd&Kr:EfV tPn)"I55ŒrԠnhIr0=ٽwub[\3 +) <:zYr1 ~nB-}zQZS;@޲@R-;D~q$h/ V汷,Ir\͑BtF^8۩nQ]gNCRbt}_GֻUOn읳X_pOholn90IF~`ﱸG >83#_)5[nM'P36PVP+CY/ DXTJB }it _ ðpEln}䫠xn qj>r, Pޕq.lcwl|% \{a 9&6 *|0ϯj'2I: endstream endobj 843 0 obj << /Length 12 >> stream 3Kz߾ endstream endobj 844 0 obj << /Metadata 841 0 R >> endobj 845 0 obj << /BaseFont /Helvetica-Identity-H /DescendantFonts [846 0 R] /Encoding /Identity-H /Subtype /Type0 /Type /Font >> endobj 846 0 obj << /BaseFont /MAOHNP+Helvetica /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /DW 1000 /FontDescriptor 847 0 R /Subtype /CIDFontType0 /Type /Font /W [0 [278] 16 20 556 22 [556] 24 [556] 34 [667 722] 38 [611 778] 41 [278] 44 [556] 46 [722 778] 49 [778 722 667 611] 54 [667] 56 [667] 63 [556] 65 66 556 67 [500 556] 69 [556 278 556] 72 [556 222] 75 [500 222 833 556] 79 80 556 82 [333 500 278 556 500] 88 90 500] >> endobj 847 0 obj << /Ascent 752 /CIDSet 843 0 R /CapHeight 737 /Descent -271 /Flags 6 /FontBBox [-166 -225 1000 931] /FontFile3 842 0 R /FontName /MAOHNP+Helvetica /ItalicAngle 0 /StemH 45 /StemV 58 /Type /FontDescriptor /XHeight 553 >> endobj 848 0 obj << /Length 2728 /Subtype /XML /Type /Metadata >> stream 2017-09-30T11:08:35Z FrameMaker 2015.0.5 2017-09-30T11:11:57-07:00 application/pdf The Xilinx LogiCORE™ IP AXI4-Stream to Video Out core is designed to interface from the Video Over AXI4-Stream bus to a video source (parallel video data, video sync, and blanking). Xilinx PG044 LogiCORE IP AXI4-Stream to Video Out v4.0 Product Guide Xilinx, Inc. Acrobat Distiller 15.0 (Windows) "video,AXI4-Lite,AXI4-Stream,Video Timing Controller, PG044" uuid:960130ec-0780-475a-ac63-5af1c48434df uuid:53350fa5-558d-401f-b8c5-8c326e1a9a54 2017-09-30T11:13:12+07:00 endstream endobj 849 0 obj << /Kids [850 0 R 851 0 R 852 0 R 853 0 R 854 0 R 855 0 R 856 0 R 857 0 R 858 0 R 859 0 R 860 0 R 861 0 R 862 0 R 863 0 R 864 0 R 865 0 R 866 0 R 867 0 R 868 0 R 869 0 R 870 0 R] >> endobj 850 0 obj << /Limits [(F1) (G11.288632)] /Names [(F1) 945 0 R (F10) 2013 0 R (F11) 875 0 R (F12) 2014 0 R (F13) 879 0 R (F2) 926 0 R (F3) 2015 0 R (F4) 2016 0 R (F5) 882 0 R (F6) 913 0 R (F7) 933 0 R (F8) 951 0 R (F9) 873 0 R (G1.1019307) 2017 0 R (G1.1019312) 2018 0 R (G1.1019321) 2019 0 R (G1.1028205) 2020 0 R (G1.1028211) 2021 0 R (G1.1032402) 2022 0 R (G10.278239) 2023 0 R (G10.278240) 2024 0 R (G10.278887) 2025 0 R (G10.279617) 2026 0 R (G10.279619) 2027 0 R (G10.281357) 2028 0 R (G10.284707) 2029 0 R (G10.284709) 2030 0 R (G10.291776) 2031 0 R (G10.291777) 2032 0 R (G10.291778) 2033 0 R (G10.291779) 2034 0 R (G10.291780) 2035 0 R (G10.292114) 2036 0 R (G10.292127) 2037 0 R (G10.292161) 2038 0 R (G10.292293) 2039 0 R (G10.292297) 2040 0 R (G10.292316) 2041 0 R (G10.292320) 2042 0 R (G11.208542) 2043 0 R (G11.281076) 2044 0 R (G11.281077) 2045 0 R (G11.281078) 2046 0 R (G11.281079) 2047 0 R (G11.281083) 2048 0 R (G11.281122) 2049 0 R (G11.281182) 2050 0 R (G11.283862) 2051 0 R (G11.283864) 2052 0 R (G11.284819) 2053 0 R (G11.284820) 2054 0 R (G11.284826) 2055 0 R (G11.284827) 2056 0 R (G11.284828) 2057 0 R (G11.284829) 2058 0 R (G11.284830) 2059 0 R (G11.284831) 2060 0 R (G11.284832) 2061 0 R (G11.284833) 2062 0 R (G11.284990) 2063 0 R (G11.288458) 2064 0 R (G11.288468) 2065 0 R (G11.288619) 2066 0 R (G11.288632) 2067 0 R] >> endobj 851 0 obj << /Limits [(G11.288666) (G12.298232)] /Names [(G11.288666) 1949 0 R (G11.288798) 1950 0 R (G11.288802) 1951 0 R (G11.288823) 1952 0 R (G11.288827) 1953 0 R (G12.208542) 1954 0 R (G12.228151) 1955 0 R (G12.286609) 1956 0 R (G12.286944) 1957 0 R (G12.287236) 1958 0 R (G12.287804) 1959 0 R (G12.287807) 1960 0 R (G12.287808) 1961 0 R (G12.287813) 1962 0 R (G12.287835) 1963 0 R (G12.287837) 1964 0 R (G12.288547) 1965 0 R (G12.288571) 1966 0 R (G12.288573) 1967 0 R (G12.288682) 1968 0 R (G12.288726) 1969 0 R (G12.288727) 1970 0 R (G12.289247) 1971 0 R (G12.289254) 1972 0 R (G12.289257) 1973 0 R (G12.289260) 1974 0 R (G12.289261) 1975 0 R (G12.291144) 1976 0 R (G12.293131) 1977 0 R (G12.293317) 1978 0 R (G12.293323) 1979 0 R (G12.293326) 1980 0 R (G12.293684) 1981 0 R (G12.293686) 1982 0 R (G12.293688) 1983 0 R (G12.293692) 1984 0 R (G12.296622) 1985 0 R (G12.296824) 1986 0 R (G12.296926) 1987 0 R (G12.296933) 1988 0 R (G12.296937) 1989 0 R (G12.296939) 1990 0 R (G12.296955) 1991 0 R (G12.296956) 1992 0 R (G12.296997) 1993 0 R (G12.297059) 1994 0 R (G12.297060) 1995 0 R (G12.297061) 1996 0 R (G12.297097) 1997 0 R (G12.297219) 1998 0 R (G12.297451) 1999 0 R (G12.298184) 2000 0 R (G12.298188) 2001 0 R (G12.298195) 2002 0 R (G12.298199) 2003 0 R (G12.298201) 2004 0 R (G12.298208) 2005 0 R (G12.298212) 2006 0 R (G12.298214) 2007 0 R (G12.298215) 2008 0 R (G12.298217) 2009 0 R (G12.298219) 2010 0 R (G12.298220) 2011 0 R (G12.298232) 2012 0 R] >> endobj 852 0 obj << /Limits [(G12.298234) (G13.285140)] /Names [(G12.298234) 1885 0 R (G12.298238) 1886 0 R (G12.298240) 1887 0 R (G12.298242) 1888 0 R (G12.301724) 1889 0 R (G12.302008) 1890 0 R (G12.302009) 1891 0 R (G12.302010) 1892 0 R (G12.309867) 1893 0 R (G12.309869) 1894 0 R (G12.309884) 1895 0 R (G12.310091) 1896 0 R (G12.310104) 1897 0 R (G12.310138) 1898 0 R (G12.310270) 1899 0 R (G12.310274) 1900 0 R (G12.310299) 1901 0 R (G12.310303) 1902 0 R (G13.208542) 1903 0 R (G13.228151) 1904 0 R (G13.270676) 1905 0 R (G13.277714) 1906 0 R (G13.277897) 1907 0 R (G13.278080) 1908 0 R (G13.278130) 1909 0 R (G13.278131) 1910 0 R (G13.278134) 1911 0 R (G13.278136) 1912 0 R (G13.278138) 1913 0 R (G13.278145) 1914 0 R (G13.278147) 1915 0 R (G13.278828) 1916 0 R (G13.279366) 1917 0 R (G13.280992) 1918 0 R (G13.280995) 1919 0 R (G13.281189) 1920 0 R (G13.281475) 1921 0 R (G13.281606) 1922 0 R (G13.281634) 1923 0 R (G13.281674) 1924 0 R (G13.281677) 1925 0 R (G13.281766) 1926 0 R (G13.281770) 1927 0 R (G13.281773) 1928 0 R (G13.281942) 1929 0 R (G13.282077) 1930 0 R (G13.285106) 1931 0 R (G13.285108) 1932 0 R (G13.285110) 1933 0 R (G13.285112) 1934 0 R (G13.285114) 1935 0 R (G13.285116) 1936 0 R (G13.285118) 1937 0 R (G13.285120) 1938 0 R (G13.285122) 1939 0 R (G13.285124) 1940 0 R (G13.285126) 1941 0 R (G13.285128) 1942 0 R (G13.285130) 1943 0 R (G13.285132) 1944 0 R (G13.285134) 1945 0 R (G13.285136) 1946 0 R (G13.285138) 1947 0 R (G13.285140) 1948 0 R] >> endobj 853 0 obj << /Limits [(G13.285142) (G2.1042048)] /Names [(G13.285142) 1821 0 R (G13.285144) 1822 0 R (G13.285146) 1823 0 R (G13.285221) 1824 0 R (G13.285479) 1825 0 R (G13.285481) 1826 0 R (G13.285483) 1827 0 R (G13.285962) 1828 0 R (G13.286111) 1829 0 R (G13.286361) 1830 0 R (G13.286363) 1831 0 R (G13.286365) 1832 0 R (G13.286701) 1833 0 R (G13.286705) 1834 0 R (G13.286706) 1835 0 R (G13.286707) 1836 0 R (G13.286708) 1837 0 R (G13.286709) 1838 0 R (G13.286710) 1839 0 R (G13.286711) 1840 0 R (G13.286713) 1841 0 R (G13.286948) 1842 0 R (G13.286950) 1843 0 R (G13.286952) 1844 0 R (G13.287529) 1845 0 R (G13.287530) 1846 0 R (G13.288624) 1847 0 R (G13.289822) 1848 0 R (G13.289835) 1849 0 R (G13.289869) 1850 0 R (G13.290007) 1851 0 R (G13.290011) 1852 0 R (G13.290030) 1853 0 R (G13.290034) 1854 0 R (G2.1001704) 1855 0 R (G2.1034720) 1856 0 R (G2.1034729) 1857 0 R (G2.1034749) 1858 0 R (G2.1034753) 1859 0 R (G2.1034763) 1860 0 R (G2.1041372) 1861 0 R (G2.1041376) 1862 0 R (G2.1042006) 1863 0 R (G2.1042008) 1864 0 R (G2.1042010) 1865 0 R (G2.1042012) 1866 0 R (G2.1042014) 1867 0 R (G2.1042016) 1868 0 R (G2.1042018) 1869 0 R (G2.1042020) 1870 0 R (G2.1042022) 1871 0 R (G2.1042024) 1872 0 R (G2.1042026) 1873 0 R (G2.1042028) 1874 0 R (G2.1042030) 1875 0 R (G2.1042032) 1876 0 R (G2.1042034) 1877 0 R (G2.1042036) 1878 0 R (G2.1042038) 1879 0 R (G2.1042040) 1880 0 R (G2.1042042) 1881 0 R (G2.1042044) 1882 0 R (G2.1042046) 1883 0 R (G2.1042048) 1884 0 R] >> endobj 854 0 obj << /Limits [(G2.1042050) (G3.1084223)] /Names [(G2.1042050) 1757 0 R (G2.1042052) 1758 0 R (G2.1042054) 1759 0 R (G2.1042056) 1760 0 R (G2.1042058) 1761 0 R (G2.1042060) 1762 0 R (G2.1042062) 1763 0 R (G2.1042064) 1764 0 R (G2.1042066) 1765 0 R (G2.1042068) 1766 0 R (G2.1042070) 1767 0 R (G2.1042072) 1768 0 R (G2.1042074) 1769 0 R (G2.1042076) 1770 0 R (G2.1042078) 1771 0 R (G2.1042080) 1772 0 R (G2.1042082) 1773 0 R (G2.1042084) 1774 0 R (G2.1042086) 1775 0 R (G2.1042088) 1776 0 R (G2.1042090) 1777 0 R (G3.1074048) 1778 0 R (G3.1074050) 1779 0 R (G3.1074051) 1780 0 R (G3.1074085) 1781 0 R (G3.1076519) 1782 0 R (G3.1079421) 1783 0 R (G3.1079433) 1784 0 R (G3.1079445) 1785 0 R (G3.1079460) 1786 0 R (G3.1079462) 1787 0 R (G3.1079472) 1788 0 R (G3.1079490) 1789 0 R (G3.1079502) 1790 0 R (G3.1079504) 1791 0 R (G3.1079514) 1792 0 R (G3.1079526) 1793 0 R (G3.1079528) 1794 0 R (G3.1079538) 1795 0 R (G3.1079553) 1796 0 R (G3.1079555) 1797 0 R (G3.1079565) 1798 0 R (G3.1079592) 1799 0 R (G3.1079607) 1800 0 R (G3.1079619) 1801 0 R (G3.1079631) 1802 0 R (G3.1079643) 1803 0 R (G3.1079657) 1804 0 R (G3.1079674) 1805 0 R (G3.1081317) 1806 0 R (G3.1081346) 1807 0 R (G3.1081557) 1808 0 R (G3.1081646) 1809 0 R (G3.1083533) 1810 0 R (G3.1083726) 1811 0 R (G3.1083738) 1812 0 R (G3.1083740) 1813 0 R (G3.1083953) 1814 0 R (G3.1084000) 1815 0 R (G3.1084020) 1816 0 R (G3.1084034) 1817 0 R (G3.1084170) 1818 0 R (G3.1084184) 1819 0 R (G3.1084223) 1820 0 R] >> endobj 855 0 obj << /Limits [(G3.1084281) (G5.296957)] /Names [(G3.1084281) 1693 0 R (G3.1087170) 1694 0 R (G3.1089363) 1695 0 R (G3.1089368) 1696 0 R (G3.1091381) 1697 0 R (G3.1091399) 1698 0 R (G3.1091400) 1699 0 R (G3.1091682) 1700 0 R (G3.1093212) 1701 0 R (G3.1097020) 1702 0 R (G3.1097024) 1703 0 R (G3.1097146) 1704 0 R (G3.1097147) 1705 0 R (G3.1097148) 1706 0 R (G3.1097154) 1707 0 R (G4.274376) 1708 0 R (G4.288657) 1709 0 R (G4.297735) 1710 0 R (G4.297741) 1711 0 R (G4.299596) 1712 0 R (G4.300155) 1713 0 R (G4.300156) 1714 0 R (G4.300157) 1715 0 R (G4.302506) 1716 0 R (G4.303309) 1717 0 R (G4.303310) 1718 0 R (G4.304128) 1719 0 R (G4.304139) 1720 0 R (G4.304148) 1721 0 R (G4.304168) 1722 0 R (G4.304182) 1723 0 R (G4.311402) 1724 0 R (G4.311439) 1725 0 R (G4.311457) 1726 0 R (G4.317516) 1727 0 R (G4.317572) 1728 0 R (G4.317591) 1729 0 R (G4.317595) 1730 0 R (G4.317596) 1731 0 R (G4.317597) 1732 0 R (G4.317598) 1733 0 R (G4.317599) 1734 0 R (G4.317600) 1735 0 R (G4.317601) 1736 0 R (G4.317602) 1737 0 R (G4.317603) 1738 0 R (G4.317604) 1739 0 R (G4.317605) 1740 0 R (G4.317614) 1741 0 R (G4.317617) 1742 0 R (G4.317618) 1743 0 R (G4.317634) 1744 0 R (G5.291343) 1745 0 R (G5.291347) 1746 0 R (G5.291368) 1747 0 R (G5.291371) 1748 0 R (G5.291374) 1749 0 R (G5.291382) 1750 0 R (G5.291405) 1751 0 R (G5.292460) 1752 0 R (G5.294232) 1753 0 R (G5.294235) 1754 0 R (G5.296953) 1755 0 R (G5.296957) 1756 0 R] >> endobj 856 0 obj << /Limits [(G5.296965) (G5.351276)] /Names [(G5.296965) 1629 0 R (G5.296967) 1630 0 R (G5.296969) 1631 0 R (G5.296971) 1632 0 R (G5.297013) 1633 0 R (G5.297192) 1634 0 R (G5.297464) 1635 0 R (G5.297748) 1636 0 R (G5.310804) 1637 0 R (G5.311111) 1638 0 R (G5.311113) 1639 0 R (G5.311115) 1640 0 R (G5.311117) 1641 0 R (G5.311119) 1642 0 R (G5.311121) 1643 0 R (G5.311123) 1644 0 R (G5.311125) 1645 0 R (G5.311127) 1646 0 R (G5.311129) 1647 0 R (G5.311131) 1648 0 R (G5.311133) 1649 0 R (G5.311135) 1650 0 R (G5.311137) 1651 0 R (G5.311139) 1652 0 R (G5.311141) 1653 0 R (G5.311143) 1654 0 R (G5.311145) 1655 0 R (G5.311147) 1656 0 R (G5.311149) 1657 0 R (G5.311151) 1658 0 R (G5.311153) 1659 0 R (G5.311155) 1660 0 R (G5.311157) 1661 0 R (G5.322062) 1662 0 R (G5.322672) 1663 0 R (G5.322673) 1664 0 R (G5.322674) 1665 0 R (G5.322675) 1666 0 R (G5.322684) 1667 0 R (G5.322687) 1668 0 R (G5.322688) 1669 0 R (G5.329813) 1670 0 R (G5.330431) 1671 0 R (G5.330698) 1672 0 R (G5.330793) 1673 0 R (G5.336789) 1674 0 R (G5.336792) 1675 0 R (G5.336798) 1676 0 R (G5.336801) 1677 0 R (G5.336802) 1678 0 R (G5.336803) 1679 0 R (G5.336804) 1680 0 R (G5.339498) 1681 0 R (G5.339500) 1682 0 R (G5.351223) 1683 0 R (G5.351224) 1684 0 R (G5.351262) 1685 0 R (G5.351264) 1686 0 R (G5.351266) 1687 0 R (G5.351268) 1688 0 R (G5.351270) 1689 0 R (G5.351272) 1690 0 R (G5.351274) 1691 0 R (G5.351276) 1692 0 R] >> endobj 857 0 obj << /Limits [(G5.351278) (G5.363513)] /Names [(G5.351278) 1565 0 R (G5.351280) 1566 0 R (G5.351282) 1567 0 R (G5.351284) 1568 0 R (G5.351296) 1569 0 R (G5.351300) 1570 0 R (G5.351302) 1571 0 R (G5.351328) 1572 0 R (G5.351330) 1573 0 R (G5.351332) 1574 0 R (G5.351334) 1575 0 R (G5.351336) 1576 0 R (G5.351338) 1577 0 R (G5.351340) 1578 0 R (G5.351342) 1579 0 R (G5.351373) 1580 0 R (G5.351375) 1581 0 R (G5.351377) 1582 0 R (G5.351379) 1583 0 R (G5.351381) 1584 0 R (G5.356140) 1585 0 R (G5.356142) 1586 0 R (G5.356144) 1587 0 R (G5.356146) 1588 0 R (G5.356147) 1589 0 R (G5.356148) 1590 0 R (G5.356149) 1591 0 R (G5.356150) 1592 0 R (G5.356151) 1593 0 R (G5.356152) 1594 0 R (G5.356153) 1595 0 R (G5.356154) 1596 0 R (G5.356155) 1597 0 R (G5.356156) 1598 0 R (G5.356157) 1599 0 R (G5.356158) 1600 0 R (G5.356159) 1601 0 R (G5.356160) 1602 0 R (G5.356188) 1603 0 R (G5.356190) 1604 0 R (G5.356192) 1605 0 R (G5.356194) 1606 0 R (G5.356196) 1607 0 R (G5.356198) 1608 0 R (G5.356200) 1609 0 R (G5.356202) 1610 0 R (G5.356204) 1611 0 R (G5.356206) 1612 0 R (G5.356208) 1613 0 R (G5.356210) 1614 0 R (G5.356212) 1615 0 R (G5.356214) 1616 0 R (G5.356216) 1617 0 R (G5.356218) 1618 0 R (G5.361093) 1619 0 R (G5.361094) 1620 0 R (G5.361095) 1621 0 R (G5.361096) 1622 0 R (G5.361200) 1623 0 R (G5.361201) 1624 0 R (G5.361236) 1625 0 R (G5.361242) 1626 0 R (G5.363512) 1627 0 R (G5.363513) 1628 0 R] >> endobj 858 0 obj << /Limits [(G5.363516) (G5.402756)] /Names [(G5.363516) 1501 0 R (G5.363517) 1502 0 R (G5.365467) 1503 0 R (G5.365468) 1504 0 R (G5.366050) 1505 0 R (G5.378190) 1506 0 R (G5.378192) 1507 0 R (G5.392601) 1508 0 R (G5.402366) 1509 0 R (G5.402370) 1510 0 R (G5.402377) 1511 0 R (G5.402379) 1512 0 R (G5.402401) 1513 0 R (G5.402405) 1514 0 R (G5.402408) 1515 0 R (G5.402486) 1516 0 R (G5.402524) 1517 0 R (G5.402525) 1518 0 R (G5.402526) 1519 0 R (G5.402531) 1520 0 R (G5.402581) 1521 0 R (G5.402584) 1522 0 R (G5.402585) 1523 0 R (G5.402635) 1524 0 R (G5.402637) 1525 0 R (G5.402638) 1526 0 R (G5.402647) 1527 0 R (G5.402655) 1528 0 R (G5.402657) 1529 0 R (G5.402659) 1530 0 R (G5.402661) 1531 0 R (G5.402663) 1532 0 R (G5.402665) 1533 0 R (G5.402667) 1534 0 R (G5.402669) 1535 0 R (G5.402671) 1536 0 R (G5.402673) 1537 0 R (G5.402675) 1538 0 R (G5.402677) 1539 0 R (G5.402679) 1540 0 R (G5.402681) 1541 0 R (G5.402683) 1542 0 R (G5.402685) 1543 0 R (G5.402687) 1544 0 R (G5.402689) 1545 0 R (G5.402691) 1546 0 R (G5.402693) 1547 0 R (G5.402695) 1548 0 R (G5.402697) 1549 0 R (G5.402699) 1550 0 R (G5.402701) 1551 0 R (G5.402703) 1552 0 R (G5.402705) 1553 0 R (G5.402707) 1554 0 R (G5.402709) 1555 0 R (G5.402711) 1556 0 R (G5.402713) 1557 0 R (G5.402715) 1558 0 R (G5.402717) 1559 0 R (G5.402718) 1560 0 R (G5.402739) 1561 0 R (G5.402746) 1562 0 R (G5.402754) 1563 0 R (G5.402756) 1564 0 R] >> endobj 859 0 obj << /Limits [(G5.402758) (G6.301182)] /Names [(G5.402758) 1438 0 R (G5.402760) 1439 0 R (G5.402762) 1440 0 R (G5.402764) 1441 0 R (G5.402766) 1442 0 R (G5.402768) 1443 0 R (G5.402770) 1444 0 R (G5.402772) 1445 0 R (G5.402774) 1446 0 R (G5.402776) 1447 0 R (G5.402778) 1448 0 R (G5.402780) 1449 0 R (G5.402782) 1450 0 R (G5.402784) 1451 0 R (G5.402786) 1452 0 R (G5.402788) 1453 0 R (G5.402790) 1454 0 R (G5.402792) 1455 0 R (G5.402793) 1561 0 R (G5.402798) 1456 0 R (G5.402799) 1457 0 R (G5.402823) 1458 0 R (G5.402826) 1459 0 R (G5.402827) 1460 0 R (G5.402845) 1461 0 R (G5.402848) 1462 0 R (G5.402849) 1463 0 R (G5.402854) 1464 0 R (G5.402855) 1465 0 R (G5.402862) 1466 0 R (G5.402865) 1467 0 R (G5.402866) 1468 0 R (G5.402896) 1469 0 R (G6.274376) 1470 0 R (G6.274377) 1471 0 R (G6.288416) 1472 0 R (G6.290926) 1473 0 R (G6.291923) 1474 0 R (G6.291928) 1475 0 R (G6.292013) 1476 0 R (G6.295242) 1477 0 R (G6.296075) 1478 0 R (G6.296984) 1479 0 R (G6.300396) 1480 0 R (G6.300737) 1481 0 R (G6.300739) 1482 0 R (G6.300741) 1483 0 R (G6.300745) 1484 0 R (G6.300746) 1485 0 R (G6.300751) 1486 0 R (G6.300753) 1487 0 R (G6.300756) 1488 0 R (G6.300909) 1489 0 R (G6.300912) 1490 0 R (G6.300913) 1491 0 R (G6.300963) 1492 0 R (G6.300965) 1493 0 R (G6.300966) 1494 0 R (G6.301095) 1495 0 R (G6.301098) 1496 0 R (G6.301099) 1497 0 R (G6.301179) 1498 0 R (G6.301181) 1499 0 R (G6.301182) 1500 0 R] >> endobj 860 0 obj << /Limits [(G6.302902) (G6.350834)] /Names [(G6.302902) 1374 0 R (G6.303584) 1375 0 R (G6.303585) 1376 0 R (G6.303587) 1377 0 R (G6.303591) 1378 0 R (G6.303592) 1379 0 R (G6.303598) 1380 0 R (G6.303599) 1381 0 R (G6.303604) 1382 0 R (G6.303798) 1383 0 R (G6.303801) 1384 0 R (G6.303802) 1385 0 R (G6.303917) 1386 0 R (G6.303919) 1387 0 R (G6.303920) 1388 0 R (G6.303960) 1389 0 R (G6.303962) 1390 0 R (G6.303963) 1391 0 R (G6.308428) 1392 0 R (G6.308460) 1393 0 R (G6.308462) 1394 0 R (G6.308463) 1395 0 R (G6.315926) 1396 0 R (G6.316687) 1397 0 R (G6.323283) 1398 0 R (G6.323308) 1399 0 R (G6.329633) 1400 0 R (G6.329824) 1401 0 R (G6.329926) 1402 0 R (G6.330151) 1403 0 R (G6.330503) 1404 0 R (G6.330504) 1405 0 R (G6.330505) 1406 0 R (G6.330506) 1407 0 R (G6.330706) 1408 0 R (G6.330707) 1409 0 R (G6.330798) 1410 0 R (G6.330980) 1411 0 R (G6.350637) 1412 0 R (G6.350641) 1413 0 R (G6.350648) 1414 0 R (G6.350650) 1415 0 R (G6.350672) 1416 0 R (G6.350676) 1417 0 R (G6.350679) 1418 0 R (G6.350773) 1419 0 R (G6.350779) 1420 0 R (G6.350782) 1421 0 R (G6.350783) 1422 0 R (G6.350784) 1423 0 R (G6.350791) 1424 0 R (G6.350811) 1425 0 R (G6.350812) 1426 0 R (G6.350816) 1427 0 R (G6.350817) 1428 0 R (G6.350818) 1429 0 R (G6.350819) 1430 0 R (G6.350820) 1431 0 R (G6.350821) 1432 0 R (G6.350826) 1433 0 R (G6.350827) 1434 0 R (G6.350832) 1435 0 R (G6.350833) 1436 0 R (G6.350834) 1437 0 R] >> endobj 861 0 obj << /Limits [(G6.350835) (G7.304142)] /Names [(G6.350835) 1310 0 R (G6.350836) 1311 0 R (G6.350837) 1312 0 R (G6.350838) 1313 0 R (G6.350839) 1314 0 R (G6.350840) 1315 0 R (G6.350841) 1316 0 R (G6.350864) 1317 0 R (G6.350865) 1318 0 R (G6.350866) 1319 0 R (G6.350871) 1320 0 R (G6.350884) 1321 0 R (G6.350885) 1322 0 R (G6.350886) 1323 0 R (G6.350887) 1324 0 R (G6.350888) 1325 0 R (G6.350889) 1326 0 R (G6.350890) 1327 0 R (G6.350891) 1328 0 R (G6.350892) 1329 0 R (G6.350893) 1330 0 R (G6.350898) 1331 0 R (G6.350899) 1332 0 R (G6.350908) 1333 0 R (G6.350911) 1334 0 R (G6.350912) 1335 0 R (G6.350913) 1336 0 R (G6.350914) 1337 0 R (G6.350918) 1338 0 R (G6.350924) 1339 0 R (G6.350927) 1340 0 R (G6.350928) 1341 0 R (G6.350932) 1342 0 R (G6.350938) 1343 0 R (G6.350941) 1344 0 R (G6.350942) 1345 0 R (G6.350943) 1346 0 R (G6.350944) 1347 0 R (G6.350945) 1348 0 R (G6.350946) 1349 0 R (G6.350947) 1350 0 R (G6.350948) 1351 0 R (G6.350949) 1352 0 R (G6.350953) 1353 0 R (G6.350962) 1354 0 R (G6.350965) 1355 0 R (G6.350966) 1356 0 R (G6.350967) 1357 0 R (G6.350971) 1358 0 R (G6.350978) 1359 0 R (G6.350984) 1360 0 R (G6.350987) 1361 0 R (G6.350988) 1362 0 R (G6.350992) 1363 0 R (G6.350998) 1364 0 R (G6.351001) 1365 0 R (G6.351002) 1366 0 R (G7.301083) 1367 0 R (G7.301161) 1368 0 R (G7.301233) 1369 0 R (G7.301236) 1370 0 R (G7.304060) 1371 0 R (G7.304061) 1372 0 R (G7.304142) 1373 0 R] >> endobj 862 0 obj << /Limits [(G7.304143) (G9.299534)] /Names [(G7.304143) 1246 0 R (G7.304145) 1247 0 R (G7.304146) 1248 0 R (G7.304147) 1249 0 R (G7.304148) 1250 0 R (G7.304158) 1251 0 R (G7.304162) 1252 0 R (G7.304163) 1253 0 R (G7.304164) 1254 0 R (G7.304183) 1255 0 R (G7.304184) 1256 0 R (G7.304185) 1257 0 R (G7.304186) 1258 0 R (G7.304187) 1259 0 R (G7.304191) 1260 0 R (G7.304193) 1261 0 R (G7.304194) 1262 0 R (G7.304299) 1263 0 R (G7.304300) 1264 0 R (G7.304301) 1265 0 R (G7.304302) 1266 0 R (G7.304303) 1267 0 R (G7.304306) 1268 0 R (G7.304308) 1269 0 R (G7.304309) 1270 0 R (G7.304310) 1271 0 R (G7.304311) 1272 0 R (G7.304312) 1273 0 R (G7.304313) 1274 0 R (G7.304314) 1275 0 R (G7.304315) 1276 0 R (G7.304316) 1277 0 R (G7.304317) 1278 0 R (G7.304575) 1279 0 R (G7.304579) 1280 0 R (G7.304583) 1281 0 R (G7.304643) 1282 0 R (G7.304645) 1283 0 R (G7.306144) 1284 0 R (G7.306145) 1285 0 R (G7.315087) 1286 0 R (G7.315091) 1287 0 R (G7.315098) 1288 0 R (G7.315100) 1289 0 R (G7.315122) 1290 0 R (G7.315126) 1291 0 R (G7.315129) 1292 0 R (G7.315203) 1293 0 R (G7.315205) 1294 0 R (G7.315206) 1295 0 R (G7.315231) 1296 0 R (G8.274376) 1297 0 R (G8.274377) 1298 0 R (G8.299996) 1299 0 R (G8.300004) 1300 0 R (G8.300013) 1301 0 R (G8.300027) 1302 0 R (G8.300061) 1303 0 R (G8.300086) 1304 0 R (G8.305703) 1305 0 R (G8.305707) 1306 0 R (G8.305710) 1307 0 R (G9.274376) 1308 0 R (G9.299534) 1309 0 R] >> endobj 863 0 obj << /Limits [(G9.299538) (I12.1.289539)] /Names [(G9.299538) 1184 0 R (G9.299550) 1185 0 R (G9.299551) 1186 0 R (G9.299552) 1187 0 R (G9.299553) 1188 0 R (G9.299774) 1189 0 R (G9.299775) 1190 0 R (G9.299776) 1191 0 R (G9.299777) 1192 0 R (G9.299778) 1193 0 R (G9.301270) 1194 0 R (G9.301272) 1195 0 R (G9.301280) 1196 0 R (G9.301286) 1197 0 R (G9.301287) 1198 0 R (G9.301328) 1199 0 R (G9.301333) 1200 0 R (G9.301398) 1201 0 R (G9.301421) 1202 0 R (G9.304884) 1203 0 R (G9.313190) 1204 0 R (G9.313194) 1205 0 R (G9.313201) 1206 0 R (G9.313203) 1207 0 R (G9.313225) 1208 0 R (G9.313229) 1209 0 R (G9.313232) 1210 0 R (G9.313285) 1211 0 R (G9.313286) 1212 0 R (G9.313306) 1213 0 R (G9.313308) 1214 0 R (G9.313309) 1215 0 R (G9.313319) 1216 0 R (G9.313320) 1217 0 R (G9.313321) 1218 0 R (G9.313322) 1219 0 R (G9.313323) 1220 0 R (I1.1.1038605) 1221 0 R (I1.1.1038655) 1222 0 R (I1.1.1038668) 1223 0 R (I10.1.279618) 1224 0 R (I10.1.291997) 1225 0 R (I10.1.292011) 1226 0 R (I10.1.292024) 1227 0 R (I10.1.292037) 1228 0 R (I10.1.292050) 1229 0 R (I10.1.292063) 1230 0 R (I10.1.292076) 1231 0 R (I10.1.292236) 1232 0 R (I10.1.292261) 1233 0 R (I10.1.292289) 1234 0 R (I10.1.292312) 1235 0 R (I11.1.279112) 1236 0 R (I11.1.288568) 1237 0 R (I11.1.288581) 1238 0 R (I11.1.288741) 1239 0 R (I11.1.288766) 1240 0 R (I11.1.288794) 1241 0 R (I11.1.288819) 1242 0 R (I12.1.282088) 955 0 R (I12.1.287259) 956 0 R (I12.1.289361) 1243 0 R (I12.1.289493) 1244 0 R (I12.1.289539) 1245 0 R] >> endobj 864 0 obj << /Limits [(I12.1.290594) (I2.1.1041626)] /Names [(I12.1.290594) 953 0 R (I12.1.291111) 1129 0 R (I12.1.291143) 954 0 R (I12.1.293130) 1130 0 R (I12.1.296929) 1131 0 R (I12.1.298183) 1132 0 R (I12.1.298191) 1133 0 R (I12.1.303152) 1134 0 R (I12.1.303155) 1135 0 R (I12.1.303159) 1136 0 R (I12.1.303171) 1137 0 R (I12.1.309868) 1138 0 R (I12.1.310021) 1139 0 R (I12.1.310039) 1140 0 R (I12.1.310052) 1141 0 R (I12.1.310213) 1142 0 R (I12.1.310238) 1143 0 R (I12.1.310266) 1144 0 R (I12.1.310295) 1145 0 R (I13.1.270272) 958 0 R (I13.1.278129) 957 0 R (I13.1.279372) 1146 0 R (I13.1.281056) 1147 0 R (I13.1.281510) 1148 0 R (I13.1.281523) 1149 0 R (I13.1.281632) 1150 0 R (I13.1.281675) 1151 0 R (I13.1.281748) 1152 0 R (I13.1.281749) 1153 0 R (I13.1.281767) 959 0 R (I13.1.281768) 959 0 R (I13.1.281769) 1154 0 R (I13.1.281940) 1155 0 R (I13.1.281980) 1156 0 R (I13.1.281994) 1157 0 R (I13.1.282008) 1158 0 R (I13.1.282022) 1159 0 R (I13.1.282036) 1160 0 R (I13.1.282050) 1161 0 R (I13.1.282076) 1162 0 R (I13.1.282078) 1163 0 R (I13.1.284200) 1164 0 R (I13.1.284230) 1165 0 R (I13.1.284233) 1166 0 R (I13.1.285240) 1167 0 R (I13.1.285245) 960 0 R (I13.1.285473) 1168 0 R (I13.1.285476) 1174 0 R (I13.1.285593) 1168 0 R (I13.1.285981) 1169 0 R (I13.1.285986) 1170 0 R (I13.1.286150) 1171 0 R (I13.1.286712) 1172 0 R (I13.1.286724) 1173 0 R (I13.1.289767) 1174 0 R (I13.1.289784) 1175 0 R (I13.1.289950) 1176 0 R (I13.1.289975) 1177 0 R (I13.1.290003) 1178 0 R (I13.1.290026) 1179 0 R (I2.1.1041336) 1180 0 R (I2.1.1041368) 1181 0 R (I2.1.1041601) 1182 0 R (I2.1.1041626) 1183 0 R] >> endobj 865 0 obj << /Limits [(I2.1.1042005) (I4.1.302508)] /Names [(I2.1.1042005) 1068 0 R (I2.1.1042007) 1069 0 R (I2.1.1042009) 1070 0 R (I2.1.1042011) 1071 0 R (I2.1.1042013) 1072 0 R (I2.1.1042015) 1073 0 R (I2.1.1042017) 1074 0 R (I2.1.1042019) 1075 0 R (I2.1.1042021) 1076 0 R (I2.1.1042023) 1077 0 R (I2.1.1042025) 1078 0 R (I2.1.1042027) 1079 0 R (I2.1.1042029) 1080 0 R (I2.1.1042031) 1081 0 R (I2.1.1042033) 1082 0 R (I2.1.1042035) 1083 0 R (I2.1.1042037) 1084 0 R (I2.1.1042039) 1085 0 R (I2.1.1042041) 1086 0 R (I2.1.1042043) 1087 0 R (I2.1.1042045) 1088 0 R (I2.1.1042047) 1089 0 R (I2.1.1042049) 1090 0 R (I2.1.1042051) 1091 0 R (I2.1.1042053) 1092 0 R (I2.1.1042055) 1093 0 R (I2.1.1042057) 1094 0 R (I2.1.1042059) 1095 0 R (I2.1.1042061) 1096 0 R (I2.1.1042063) 1097 0 R (I2.1.1042065) 1098 0 R (I2.1.1042067) 1099 0 R (I2.1.1042069) 1100 0 R (I2.1.1042071) 1101 0 R (I2.1.1042073) 1102 0 R (I2.1.1042075) 1103 0 R (I2.1.1042077) 1104 0 R (I2.1.1042079) 1105 0 R (I2.1.1042081) 1106 0 R (I2.1.1042083) 1107 0 R (I2.1.1042085) 1108 0 R (I2.1.1042087) 1109 0 R (I2.1.1042089) 1110 0 R (I3.1.1079655) 1111 0 R (I3.1.1081587) 1112 0 R (I3.1.1081689) 1113 0 R (I3.1.1084032) 961 0 R (I3.1.1084033) 1114 0 R (I3.1.1084169) 1115 0 R (I3.1.1084222) 1116 0 R (I3.1.1089367) 962 0 R (I3.1.1097016) 1117 0 R (I3.1.1097153) 1118 0 R (I3.1.1097506) 1119 0 R (I3.1.1097556) 1120 0 R (I3.1.1097572) 1121 0 R (I3.1.1097585) 1122 0 R (I3.1.1097598) 1123 0 R (I3.1.1097611) 1124 0 R (I3.1.1097625) 1125 0 R (I3.1.1097639) 1126 0 R (I4.1.300674) 1127 0 R (I4.1.302505) 964 0 R (I4.1.302508) 1128 0 R] >> endobj 866 0 obj << /Limits [(I4.1.302509) (I5.1.404821)] /Names [(I4.1.302509) 1015 0 R (I4.1.302510) 1016 0 R (I4.1.317512) 1017 0 R (I4.1.317568) 1018 0 R (I4.1.317616) 963 0 R (I4.1.318045) 1019 0 R (I4.1.318081) 1020 0 R (I4.1.318094) 1021 0 R (I4.1.318107) 1022 0 R (I4.1.318120) 1023 0 R (I4.1.318158) 1024 0 R (I4.1.318183) 1025 0 R (I5.1.287311) 884 0 R (I5.1.291373) 890 0 R (I5.1.291404) 1026 0 R (I5.1.310803) 886 0 R (I5.1.322686) 1027 0 R (I5.1.336785) 1028 0 R (I5.1.336791) 966 0 R (I5.1.336800) 965 0 R (I5.1.339499) 887 0 R (I5.1.402362) 1029 0 R (I5.1.402397) 1030 0 R (I5.1.402536) 1031 0 R (I5.1.402583) 891 0 R (I5.1.402636) 1032 0 R (I5.1.402742) 1033 0 R (I5.1.402825) 1034 0 R (I5.1.402847) 888 0 R (I5.1.402858) 885 0 R (I5.1.402864) 889 0 R (I5.1.404171) 1035 0 R (I5.1.404187) 1036 0 R (I5.1.404203) 1037 0 R (I5.1.404216) 1038 0 R (I5.1.404229) 1039 0 R (I5.1.404245) 1040 0 R (I5.1.404258) 1041 0 R (I5.1.404271) 1042 0 R (I5.1.404284) 1043 0 R (I5.1.404301) 1044 0 R (I5.1.404315) 1045 0 R (I5.1.404331) 1046 0 R (I5.1.404347) 1047 0 R (I5.1.404389) 1048 0 R (I5.1.404413) 1049 0 R (I5.1.404426) 1050 0 R (I5.1.404439) 1051 0 R (I5.1.404452) 1052 0 R (I5.1.404465) 1053 0 R (I5.1.404478) 1054 0 R (I5.1.404491) 1055 0 R (I5.1.404504) 1056 0 R (I5.1.404517) 1057 0 R (I5.1.404534) 1058 0 R (I5.1.404623) 1059 0 R (I5.1.404639) 1060 0 R (I5.1.404713) 1061 0 R (I5.1.404730) 1062 0 R (I5.1.404746) 1063 0 R (I5.1.404769) 1064 0 R (I5.1.404785) 1065 0 R (I5.1.404807) 1066 0 R (I5.1.404821) 1067 0 R] >> endobj 867 0 obj << /Limits [(I5.1.404834) (I9.1.301269)] /Names [(I5.1.404834) 967 0 R (I5.1.404847) 968 0 R (I5.1.404873) 969 0 R (I5.1.404886) 970 0 R (I5.1.404899) 971 0 R (I5.1.404912) 972 0 R (I6.1.296018) 894 0 R (I6.1.297615) 973 0 R (I6.1.301054) 901 0 R (I6.1.302483) 974 0 R (I6.1.302719) 893 0 R (I6.1.302747) 900 0 R (I6.1.304292) 896 0 R (I6.1.304360) 975 0 R (I6.1.308940) 976 0 R (I6.1.330924) 899 0 R (I6.1.350633) 977 0 R (I6.1.350668) 978 0 R (I6.1.350781) 898 0 R (I6.1.350897) 895 0 R (I6.1.350910) 979 0 R (I6.1.350926) 902 0 R (I6.1.350940) 980 0 R (I6.1.350964) 981 0 R (I6.1.350986) 892 0 R (I6.1.351000) 897 0 R (I6.1.351720) 982 0 R (I6.1.351754) 983 0 R (I6.1.351767) 984 0 R (I6.1.351780) 985 0 R (I6.1.351793) 986 0 R (I6.1.351806) 987 0 R (I6.1.351820) 988 0 R (I6.1.351835) 989 0 R (I6.1.351848) 990 0 R (I6.1.351861) 991 0 R (I6.1.351877) 992 0 R (I6.1.351904) 993 0 R (I6.1.351920) 994 0 R (I7.1.301232) 903 0 R (I7.1.304149) 995 0 R (I7.1.304150) 995 0 R (I7.1.304154) 996 0 R (I7.1.304195) 997 0 R (I7.1.304392) 998 0 R (I7.1.304393) 998 0 R (I7.1.304644) 904 0 R (I7.1.315083) 999 0 R (I7.1.315118) 1000 0 R (I7.1.315516) 1001 0 R (I7.1.315529) 1002 0 R (I7.1.315543) 1003 0 R (I7.1.315556) 1004 0 R (I7.1.315569) 1005 0 R (I7.1.315590) 1006 0 R (I7.1.315603) 1007 0 R (I7.1.315616) 1008 0 R (I7.1.315629) 1009 0 R (I7.1.315642) 1010 0 R (I8.1.291227) 905 0 R (I8.1.300090) 1011 0 R (I8.1.305699) 1012 0 R (I8.1.305833) 1013 0 R (I9.1.301269) 1014 0 R] >> endobj 868 0 obj << /Limits [(I9.1.301288) (M5.9.51802.FigureTitle.Figure.23.RGB.Data.Encoding.on.smaxisvideotdata)] /Names [(I9.1.301288) 936 0 R (I9.1.313186) 937 0 R (I9.1.313221) 938 0 R (I9.1.313302) 906 0 R (I9.1.313548) 939 0 R (I9.1.313561) 940 0 R (I9.1.313575) 941 0 R (I9.1.313591) 942 0 R (I9.1.313613) 943 0 R (I9.1.313626) 944 0 R (L1) 945 0 R (L10) 946 0 R (L11) 947 0 R (L12) 878 0 R (L13) 948 0 R (L2) 872 0 R (L3) 2015 0 R (L4) 949 0 R (L5) 950 0 R (L6) 932 0 R (L7) 871 0 R (L8) 951 0 R (L9) 952 0 R (M10.9.41793.AppendixTitle.AppendixTitle) 1224 0 R (M11.9.36199.AppendixTitle.Migrating) 1236 0 R (M12.9.26366.TableTitle.Table.C2.Troubleshooting.AXI4Stream.Interface) 1133 0 R (M12.9.28530.TableTitle.Table.C4.Troubleshooting.the.AXI4Lite.Interface) 1131 0 R (M12.9.33172.Heading1.Documentation) 953 0 R (M12.9.44009.Heading1.Debugging.the.AXI4Lite.Interface) 1130 0 R (M12.9.55047.Heading1.Debugging.the.AXI4Stream.Interface) 1132 0 R (M12.9.56023.Heading1.Debug.Tools) 954 0 R (M12.9.56237.AppendixTitle.Debugging) 955 0 R (M12.9.75392.Heading1.Answer.Records) 1129 0 R (M12.9.75687.Heading1.Finding.Help.on.Xilinxcom) 956 0 R (M12.9.88986.Heading1.Hardware.Debug) 1243 0 R (M13.9.13546.Heading1.Revision.History) 957 0 R (M13.9.23876.NumberedCont.2.Vivado.Design.Suite.Migration.Methodology.Guide.UG911i) 1150 0 R (M13.9.31258.NumberedCont.6.Vivado.Design.Suite.User.Guide.Logic.Simulation.UG90) 1153 0 R (M13.9.41793.AppendixTitle.AppendixTitle) 958 0 R (M13.9.43097.NumberedCont.3.Bridging.Xilinx.Streaming.Video.Interface.with.AXI) 1170 0 R (M13.9.43811.NumberedCont.6.Video.Timing.Controller.Product.Guide.PG016) 1149 0 R (M13.9.52584.NumberedCont.2.Synthesis.and.Simulation.Design.Guide.UG626) 959 0 R (M13.9.54996.NumberedCont.5.Vivado.Design.Suite.User.Guide.Getting.Started.UG910) 1151 0 R (M13.9.57894.NumberedCont.4.Vivado.Design.Suite.User.Guide.Designing.with.IP.UG896) 1152 0 R (M13.9.62815.ReferenceCont.7.Vivado.Design.Suite.User.Guide.Designing.IP.Subsy) 1155 0 R (M13.9.66168.NumberedCont.11.AXI4Stream.Video.IP.and.System.Design.Guide.UG934) 960 0 R (M13.9.73882.NumberedCont.2.Creating.a.Video.Design.From.Scratch.Tutorial.from) 1162 0 R (M13.9.84217.NumberedCont.6.Video.Timing.Controller.LogiCORE.IP.Product.Guide.PG01) 1171 0 R (M13.9.86575.NumberedCont.4.Vivado.AXI.Reference.Guide.UG1037) 1174 0 R (M3.9.28364.TableNoteNumberedCont.5.For.the.supported.versions.of.the.tools.see.the.Xilinx.De) 961 0 R (M3.9.40763.DocumentTitle.IP.Facts) 1113 0 R (M3.9.50507.Heading1.Features) 962 0 R (M3.9.54102.TableNoteNumberedCont.2.Example.designs.are.provided.in.FPGA.devicespecif) 1116 0 R (M3.9.80426.TableNoteNumbered.1.For.a.complete.listing.of.supported.devices.see.the.release.notes.for) 1111 0 R (M3.9.81491.TableNoteNumberedCont.2.Video.Protocol.as.defined.in.the.Video.IP.AXI.Feature) 1112 0 R (M4.9.19039.FigureTitle.Figure.12.AXI4Stream.to.Video.Out.Core.and.Usage.with.the.V) 963 0 R (M4.9.30884.ChapterTitle.Overview) 1127 0 R (M4.9.60678.Heading1.Licensing.and.Ordering.Information) 964 0 R (M5.9.20508.Heading2.Data.Interface) 1032 0 R (M5.9.22781.FigureTitle.Figure.25.Component.Width.Conversion) 1034 0 R (M5.9.27682.XAnchorFigure.XRef.Target..Figure.22) 1028 0 R (M5.9.32014.FigureTitle.Figure.24.Three.Pixels.per.Clock.Format.on.smaxisvideotdata) 965 0 R (M5.9.45312.Heading2.Resource.Utilization) 1026 0 R (M5.9.51802.FigureTitle.Figure.23.RGB.Data.Encoding.on.smaxisvideotdata) 966 0 R] >> endobj 869 0 obj << /Limits [(M5.9.60744.Heading2.Performance) (P.38C7)] /Names [(M5.9.60744.Heading2.Performance) 884 0 R (M5.9.66918.XAnchorFigure.XRef.Target..Figure.23) 885 0 R (M5.9.71496.FigureTitle.Figure.24.Use.of.EOL.and.SOF.Signals) 1027 0 R (M5.9.88971.Heading1.Port.Descriptions) 886 0 R (M5.9.94709.TableTitle.Table.212.AXI4Stream.Data.Interface.Signal.Descriptions) 1033 0 R (M5.9.96299.ChapterTitle.Product.Specification) 887 0 R (M5.9.96355.FigureTitle.Figure.27.Component.Width.Padding.from.Video.Input.to.AXI4S) 888 0 R (M5.9.96865.FigureTitle.Figure.23.Example.of.READYVALID.Handshake.Start.of.a.New.F) 889 0 R (M5.9.99213.Heading2.Maximum.Frequencies) 890 0 R (M5.9.99712.FigureTitle.Figure.22.AXI4Stream.to.Video.Out.TopLevel.Signaling.Interface) 891 0 R (M6.9.11095.FigureTitle.Figure.510.Output.Synchronizer.State.Diagram) 892 0 R (M6.9.14999.FigureTitle.Figure.57.Self.Synchronizer.Flow.Chart.Showing.Fine.Tuning.P) 980 0 R (M6.9.34880.FigureTitle.Figure.34.Field.ID.Connections.with.a.DeInterlacer) 893 0 R (M6.9.40633.Heading2.Buffer.Requirements) 894 0 R (M6.9.40845.ChapterTitle.Designing.with.the.Core) 973 0 R (M6.9.45022.Heading3.Algorithm) 895 0 R (M6.9.45906.FigureTitle.Figure.32.Without.VDMA..Slave.Timing.Mode) 896 0 R (M6.9.46714.FigureTitle.Figure.312.Self.Synchronizer.Flow.Chart.Showing.Initializatio) 897 0 R (M6.9.52792.FigureTitle.Figure.51.Example.of.ACLK.Routing.and.AXI4Stream.Interconne) 898 0 R (M6.9.53891.FigureTitle.Figure.33.With.VDMA..Master.Timing.Mode) 899 0 R (M6.9.54794.Heading1.System.Considerations) 976 0 R (M6.9.60761.FigureTitle.Figure.35.Timing.of.Field.ID.for.AXI4Stream) 900 0 R (M6.9.60941.FigureTitle.Figure.25.Basic.Self.Synchronizer.Flow.Chart) 979 0 R (M6.9.68994.FigureTitle.Figure.32) 901 0 R (M6.9.74067.FigureTitle.Figure.59.Output.Synchronizer.Block.Diagram) 981 0 R (M6.9.74889.FigureTitle.Figure.56.Self.Synchronizer.Flow.Chart.Showing.Initializatio) 902 0 R (M6.9.78198.FigureTitle.Figure.34.Genlock.with.VDMA..Master.Timing.Mode) 975 0 R (M6.9.98906.FigureTitle.Figure.33.Field.ID.Connections.with.a.Frame.Buffer) 974 0 R (M7.9.24637.ChapterTitle.Detailed.Example.Design.with.Vivado.Design.Suite) 903 0 R (M7.9.41905.ChapterTitle.Customizing.and.Generating.the.Core.with.Vivado.De) 904 0 R (M8.9.24637.ChapterTitle.Detailed.Example.Design.with.Vivado.Design.Suite) 905 0 R (M9.9.15197.XAnchorFigure.XRef.Target..Figure.92) 906 0 R (M9.9.24637.ChapterTitle.Detailed.Example.Design.with.Vivado.Design.Suite) 1014 0 R (P.10C5) 907 0 R (P.11C5) 908 0 R (P.12C5) 909 0 R (P.13C5) 910 0 R (P.14C5) 911 0 R (P.15C5) 912 0 R (P.16C5) 950 0 R (P.17C6) 913 0 R (P.18C6) 914 0 R (P.19C6) 915 0 R (P.1C1) 945 0 R (P.20C6) 916 0 R (P.21C6) 917 0 R (P.22C6) 918 0 R (P.23C6) 919 0 R (P.24C6) 920 0 R (P.25C6) 921 0 R (P.26C6) 922 0 R (P.27C6) 923 0 R (P.28C6) 924 0 R (P.29C6) 925 0 R (P.2C2) 926 0 R (P.30C6) 927 0 R (P.31C6) 928 0 R (P.32C6) 929 0 R (P.33C6) 930 0 R (P.34C6) 931 0 R (P.35C6) 932 0 R (P.36C7) 933 0 R (P.37C7) 934 0 R (P.38C7) 935 0 R] >> endobj 870 0 obj << /Limits [(P.39C7) (xVideoOutExampleDesign)] /Names [(P.39C7) 871 0 R (P.3C2) 872 0 R (P.40C8) 951 0 R (P.41C9) 873 0 R (P.42C9) 874 0 R (P.43C9) 952 0 R (P.44C10) 2013 0 R (P.45C10) 946 0 R (P.46C11) 875 0 R (P.47C11) 947 0 R (P.48C12) 2014 0 R (P.49C12) 876 0 R (P.4C3) 2015 0 R (P.50C12) 877 0 R (P.51C12) 878 0 R (P.52C13) 879 0 R (P.53C13) 880 0 R (P.54C13) 948 0 R (P.5C4) 2016 0 R (P.6C4) 881 0 R (P.7C4) 949 0 R (P.8C5) 882 0 R (P.9C5) 883 0 R (xVideoOutExampleDesign) 2082 0 R] >> endobj 871 0 obj << /D [595 0 R /XYZ null null null] >> endobj 872 0 obj << /D [35 0 R /XYZ null null null] >> endobj 873 0 obj << /D [615 0 R /XYZ null null null] >> endobj 874 0 obj << /D [623 0 R /XYZ null null null] >> endobj 875 0 obj << /D [655 0 R /XYZ null null null] >> endobj 876 0 obj << /D [682 0 R /XYZ null null null] >> endobj 877 0 obj << /D [692 0 R /XYZ null null null] >> endobj 878 0 obj << /D [700 0 R /XYZ null null null] >> endobj 879 0 obj << /D [711 0 R /XYZ null null null] >> endobj 880 0 obj << /D [724 0 R /XYZ null null null] >> endobj 881 0 obj << /D [88 0 R /XYZ null null null] >> endobj 882 0 obj << /D [168 0 R /XYZ null null null] >> endobj 883 0 obj << /D [176 0 R /XYZ null null null] >> endobj 884 0 obj << /D [168 0 R /XYZ 92 485 null] >> endobj 885 0 obj << /D [246 0 R /XYZ 76 348 null] >> endobj 886 0 obj << /D [176 0 R /XYZ 92 281 null] >> endobj 887 0 obj << /D [168 0 R /XYZ 54 672 null] >> endobj 888 0 obj << /D [246 0 R /XYZ 161 605 null] >> endobj 889 0 obj << /D [246 0 R /XYZ 193 215 null] >> endobj 890 0 obj << /D [168 0 R /XYZ 92 404 null] >> endobj 891 0 obj << /D [185 0 R /XYZ 202 426 null] >> endobj 892 0 obj << /D [519 0 R /XYZ 203 184 null] >> endobj 893 0 obj << /D [440 0 R /XYZ 238 423 null] >> endobj 894 0 obj << /D [368 0 R /XYZ 92 467 null] >> endobj 895 0 obj << /D [460 0 R /XYZ 92 171 null] >> endobj 896 0 obj << /D [390 0 R /XYZ 249 564 null] >> endobj 897 0 obj << /D [539 0 R /XYZ 146 184 null] >> endobj 898 0 obj << /D [288 0 R /XYZ 241 193 null] >> endobj 899 0 obj << /D [402 0 R /XYZ 252 573 null] >> endobj 900 0 obj << /D [440 0 R /XYZ 253 165 null] >> endobj 901 0 obj << /D [417 0 R /XYZ 258 356 null] >> endobj 902 0 obj << /D [479 0 R /XYZ 226 289 null] >> endobj 903 0 obj << /D [595 0 R /XYZ 92 283 null] >> endobj 904 0 obj << /D [558 0 R /XYZ 54 672 null] >> endobj 905 0 obj << /D [606 0 R /XYZ 54 672 null] >> endobj 906 0 obj << /D [623 0 R /XYZ 76 667 null] >> endobj 907 0 obj << /D [185 0 R /XYZ null null null] >> endobj 908 0 obj << /D [194 0 R /XYZ null null null] >> endobj 909 0 obj << /D [201 0 R /XYZ null null null] >> endobj 910 0 obj << /D [210 0 R /XYZ null null null] >> endobj 911 0 obj << /D [222 0 R /XYZ null null null] >> endobj 912 0 obj << /D [246 0 R /XYZ null null null] >> endobj 913 0 obj << /D [288 0 R /XYZ null null null] >> endobj 914 0 obj << /D [359 0 R /XYZ null null null] >> endobj 915 0 obj << /D [368 0 R /XYZ null null null] >> endobj 916 0 obj << /D [375 0 R /XYZ null null null] >> endobj 917 0 obj << /D [382 0 R /XYZ null null null] >> endobj 918 0 obj << /D [390 0 R /XYZ null null null] >> endobj 919 0 obj << /D [402 0 R /XYZ null null null] >> endobj 920 0 obj << /D [417 0 R /XYZ null null null] >> endobj 921 0 obj << /D [428 0 R /XYZ null null null] >> endobj 922 0 obj << /D [440 0 R /XYZ null null null] >> endobj 923 0 obj << /D [452 0 R /XYZ null null null] >> endobj 924 0 obj << /D [460 0 R /XYZ null null null] >> endobj 925 0 obj << /D [469 0 R /XYZ null null null] >> endobj 926 0 obj << /D [1 0 R /XYZ null null null] >> endobj 927 0 obj << /D [479 0 R /XYZ null null null] >> endobj 928 0 obj << /D [489 0 R /XYZ null null null] >> endobj 929 0 obj << /D [498 0 R /XYZ null null null] >> endobj 930 0 obj << /D [512 0 R /XYZ null null null] >> endobj 931 0 obj << /D [519 0 R /XYZ null null null] >> endobj 932 0 obj << /D [539 0 R /XYZ null null null] >> endobj 933 0 obj << /D [558 0 R /XYZ null null null] >> endobj 934 0 obj << /D [575 0 R /XYZ null null null] >> endobj 935 0 obj << /D [583 0 R /XYZ null null null] >> endobj 936 0 obj << /D [615 0 R /XYZ 92 533 null] >> endobj 937 0 obj << /D [623 0 R /XYZ 269 47 null] >> endobj 938 0 obj << /D [615 0 R /XYZ 269 47 null] >> endobj 939 0 obj << /D [615 0 R /XYZ 547 378 null] >> endobj 940 0 obj << /D [615 0 R /XYZ 110 146 null] >> endobj 941 0 obj << /D [623 0 R /XYZ 92 703 null] >> endobj 942 0 obj << /D [623 0 R /XYZ 92 669 null] >> endobj 943 0 obj << /D [623 0 R /XYZ 132 166 null] >> endobj 944 0 obj << /D [634 0 R /XYZ 110 731 null] >> endobj 945 0 obj << /D [2087 0 R /XYZ null null null] >> endobj 946 0 obj << /D [648 0 R /XYZ null null null] >> endobj 947 0 obj << /D [663 0 R /XYZ null null null] >> endobj 948 0 obj << /D [745 0 R /XYZ null null null] >> endobj 949 0 obj << /D [158 0 R /XYZ null null null] >> endobj 950 0 obj << /D [279 0 R /XYZ null null null] >> endobj 951 0 obj << /D [606 0 R /XYZ null null null] >> endobj 952 0 obj << /D [634 0 R /XYZ null null null] >> endobj 953 0 obj << /D [670 0 R /XYZ 92 445 null] >> endobj 954 0 obj << /D [682 0 R /XYZ 92 336 null] >> endobj 955 0 obj << /D [670 0 R /XYZ 54 672 null] >> endobj 956 0 obj << /D [670 0 R /XYZ 92 554 null] >> endobj 957 0 obj << /D [724 0 R /XYZ 92 333 null] >> endobj 958 0 obj << /D [711 0 R /XYZ 54 672 null] >> endobj 959 0 obj << /D [724 0 R /XYZ 110 656 null] >> endobj 960 0 obj << /D [724 0 R /XYZ 110 397 null] >> endobj 961 0 obj << /D [62 0 R /XYZ 328 156 null] >> endobj 962 0 obj << /D [62 0 R /XYZ 54 510 null] >> endobj 963 0 obj << /D [88 0 R /XYZ 180 248 null] >> endobj 964 0 obj << /D [158 0 R /XYZ 92 276 null] >> endobj 965 0 obj << /D [222 0 R /XYZ 207 450 null] >> endobj 966 0 obj << /D [222 0 R /XYZ 234 599 null] >> endobj 967 0 obj << /D [246 0 R /XYZ 132 189 null] >> endobj 968 0 obj << /D [279 0 R /XYZ 172 691 null] >> endobj 969 0 obj << /D [222 0 R /XYZ 350 599 null] >> endobj 970 0 obj << /D [222 0 R /XYZ 374 450 null] >> endobj 971 0 obj << /D [185 0 R /XYZ 213 252 null] >> endobj 972 0 obj << /D [194 0 R /XYZ 448 651 null] >> endobj 973 0 obj << /D [288 0 R /XYZ 54 672 null] >> endobj 974 0 obj << /D [428 0 R /XYZ 237 498 null] >> endobj 975 0 obj << /D [402 0 R /XYZ 232 131 null] >> endobj 976 0 obj << /D [368 0 R /XYZ 92 509 null] >> endobj 977 0 obj << /D [359 0 R /XYZ 269 47 null] >> endobj 978 0 obj << /D [288 0 R /XYZ 269 47 null] >> endobj 979 0 obj << /D [469 0 R /XYZ 253 353 null] >> endobj 980 0 obj << /D [489 0 R /XYZ 232 382 null] >> endobj 981 0 obj << /D [498 0 R /XYZ 253 306 null] >> endobj 982 0 obj << /D [288 0 R /XYZ 92 556 null] >> endobj 983 0 obj << /D [359 0 R /XYZ 313 588 null] >> endobj 984 0 obj << /D [359 0 R /XYZ 145 479 null] >> endobj 985 0 obj << /D [359 0 R /XYZ 353 465 null] >> endobj 986 0 obj << /D [359 0 R /XYZ 92 331 null] >> endobj 987 0 obj << /D [368 0 R /XYZ 92 433 null] >> endobj 988 0 obj << /D [368 0 R /XYZ 92 134 null] >> endobj 989 0 obj << /D [417 0 R /XYZ 92 289 null] >> endobj 990 0 obj << /D [417 0 R /XYZ 479 289 null] >> endobj 991 0 obj << /D [452 0 R /XYZ 92 681 null] >> endobj 992 0 obj << /D [452 0 R /XYZ 92 379 null] >> endobj 993 0 obj << /D [452 0 R /XYZ 92 246 null] >> endobj 994 0 obj << /D [539 0 R /XYZ 92 160 null] >> endobj 995 0 obj << /D [558 0 R /XYZ 346 230 null] >> endobj 996 0 obj << /D [558 0 R /XYZ 474 216 null] >> endobj 997 0 obj << /D [583 0 R /XYZ 187 420 null] >> endobj 998 0 obj << /D [595 0 R /XYZ 403 227 null] >> endobj 999 0 obj << /D [575 0 R /XYZ 269 47 null] >> endobj 1000 0 obj << /D [558 0 R /XYZ 269 47 null] >> endobj 1001 0 obj << /D [558 0 R /XYZ 92 611 null] >> endobj 1002 0 obj << /D [558 0 R /XYZ 92 343 null] >> endobj 1003 0 obj << /D [575 0 R /XYZ 124 730 null] >> endobj 1004 0 obj << /D [575 0 R /XYZ 114 662 null] >> endobj 1005 0 obj << /D [575 0 R /XYZ 92 600 null] >> endobj 1006 0 obj << /D [575 0 R /XYZ 506 191 null] >> endobj 1007 0 obj << /D [575 0 R /XYZ 110 142 null] >> endobj 1008 0 obj << /D [575 0 R /XYZ 490 121 null] >> endobj 1009 0 obj << /D [575 0 R /XYZ 143 107 null] >> endobj 1010 0 obj << /D [583 0 R /XYZ 511 335 null] >> endobj 1011 0 obj << /D [606 0 R /XYZ 110 395 null] >> endobj 1012 0 obj << /D [606 0 R /XYZ 269 47 null] >> endobj 1013 0 obj << /D [606 0 R /XYZ 92 434 null] >> endobj 1014 0 obj << /D [615 0 R /XYZ 54 672 null] >> endobj 1015 0 obj << /D [158 0 R /XYZ 361 206 null] >> endobj 1016 0 obj << /D [158 0 R /XYZ 160 178 null] >> endobj 1017 0 obj << /D [80 0 R /XYZ 269 47 null] >> endobj 1018 0 obj << /D [88 0 R /XYZ 269 47 null] >> endobj 1019 0 obj << /D [80 0 R /XYZ 92 611 null] >> endobj 1020 0 obj << /D [158 0 R /XYZ 113 681 null] >> endobj 1021 0 obj << /D [158 0 R /XYZ 322 681 null] >> endobj 1022 0 obj << /D [158 0 R /XYZ 92 614 null] >> endobj 1023 0 obj << /D [158 0 R /XYZ 128 319 null] >> endobj 1024 0 obj << /D [80 0 R /XYZ 306 798 null] >> endobj 1025 0 obj << /D [88 0 R /XYZ 306 798 null] >> endobj 1026 0 obj << /D [176 0 R /XYZ 92 408 null] >> endobj 1027 0 obj << /D [279 0 R /XYZ 271 469 null] >> endobj 1028 0 obj << /D [222 0 R /XYZ 76 667 null] >> endobj 1029 0 obj << /D [176 0 R /XYZ 269 47 null] >> endobj 1030 0 obj << /D [168 0 R /XYZ 269 47 null] >> endobj 1031 0 obj << /D [176 0 R /XYZ 311 366 null] >> endobj 1032 0 obj << /D [210 0 R /XYZ 92 737 null] >> endobj 1033 0 obj << /D [210 0 R /XYZ 148 359 null] >> endobj 1034 0 obj << /D [222 0 R /XYZ 158 216 null] >> endobj 1035 0 obj << /D [168 0 R /XYZ 113 556 null] >> endobj 1036 0 obj << /D [168 0 R /XYZ 441 443 null] >> endobj 1037 0 obj << /D [168 0 R /XYZ 145 237 null] >> endobj 1038 0 obj << /D [168 0 R /XYZ 434 237 null] >> endobj 1039 0 obj << /D [168 0 R /XYZ 323 223 null] >> endobj 1040 0 obj << /D [168 0 R /XYZ 499 223 null] >> endobj 1041 0 obj << /D [168 0 R /XYZ 122 184 null] >> endobj 1042 0 obj << /D [168 0 R /XYZ 205 184 null] >> endobj 1043 0 obj << /D [176 0 R /XYZ 92 549 null] >> endobj 1044 0 obj << /D [176 0 R /XYZ 508 366 null] >> endobj 1045 0 obj << /D [176 0 R /XYZ 114 247 null] >> endobj 1046 0 obj << /D [176 0 R /XYZ 214 219 null] >> endobj 1047 0 obj << /D [176 0 R /XYZ 438 219 null] >> endobj 1048 0 obj << /D [185 0 R /XYZ 92 722 null] >> endobj 1049 0 obj << /D [194 0 R /XYZ 272 269 null] >> endobj 1050 0 obj << /D [201 0 R /XYZ 180 604 null] >> endobj 1051 0 obj << /D [201 0 R /XYZ 189 590 null] >> endobj 1052 0 obj << /D [201 0 R /XYZ 114 533 null] >> endobj 1053 0 obj << /D [201 0 R /XYZ 275 533 null] >> endobj 1054 0 obj << /D [201 0 R /XYZ 318 434 null] >> endobj 1055 0 obj << /D [201 0 R /XYZ 189 406 null] >> endobj 1056 0 obj << /D [201 0 R /XYZ 351 406 null] >> endobj 1057 0 obj << /D [210 0 R /XYZ 92 459 null] >> endobj 1058 0 obj << /D [210 0 R /XYZ 92 434 null] >> endobj 1059 0 obj << /D [210 0 R /XYZ 92 401 null] >> endobj 1060 0 obj << /D [210 0 R /XYZ 92 353 null] >> endobj 1061 0 obj << /D [210 0 R /XYZ 92 203 null] >> endobj 1062 0 obj << /D [222 0 R /XYZ 144 397 null] >> endobj 1063 0 obj << /D [222 0 R /XYZ 92 335 null] >> endobj 1064 0 obj << /D [222 0 R /XYZ 209 195 null] >> endobj 1065 0 obj << /D [222 0 R /XYZ 92 119 null] >> endobj 1066 0 obj << /D [246 0 R /XYZ 92 499 null] >> endobj 1067 0 obj << /D [246 0 R /XYZ 99 499 null] >> endobj 1068 0 obj << /D [1 0 R /XYZ 92 614 null] >> endobj 1069 0 obj << /D [1 0 R /XYZ 92 582 null] >> endobj 1070 0 obj << /D [1 0 R /XYZ 108 559 null] >> endobj 1071 0 obj << /D [1 0 R /XYZ 108 543 null] >> endobj 1072 0 obj << /D [1 0 R /XYZ 108 527 null] >> endobj 1073 0 obj << /D [1 0 R /XYZ 92 502 null] >> endobj 1074 0 obj << /D [1 0 R /XYZ 108 479 null] >> endobj 1075 0 obj << /D [1 0 R /XYZ 108 463 null] >> endobj 1076 0 obj << /D [1 0 R /XYZ 108 447 null] >> endobj 1077 0 obj << /D [1 0 R /XYZ 108 431 null] >> endobj 1078 0 obj << /D [1 0 R /XYZ 92 406 null] >> endobj 1079 0 obj << /D [1 0 R /XYZ 108 383 null] >> endobj 1080 0 obj << /D [1 0 R /XYZ 108 367 null] >> endobj 1081 0 obj << /D [1 0 R /XYZ 108 351 null] >> endobj 1082 0 obj << /D [1 0 R /XYZ 108 335 null] >> endobj 1083 0 obj << /D [1 0 R /XYZ 108 319 null] >> endobj 1084 0 obj << /D [1 0 R /XYZ 92 294 null] >> endobj 1085 0 obj << /D [1 0 R /XYZ 108 271 null] >> endobj 1086 0 obj << /D [1 0 R /XYZ 108 255 null] >> endobj 1087 0 obj << /D [1 0 R /XYZ 108 239 null] >> endobj 1088 0 obj << /D [1 0 R /XYZ 108 223 null] >> endobj 1089 0 obj << /D [1 0 R /XYZ 92 198 null] >> endobj 1090 0 obj << /D [1 0 R /XYZ 108 175 null] >> endobj 1091 0 obj << /D [1 0 R /XYZ 92 150 null] >> endobj 1092 0 obj << /D [1 0 R /XYZ 108 127 null] >> endobj 1093 0 obj << /D [35 0 R /XYZ 92 734 null] >> endobj 1094 0 obj << /D [35 0 R /XYZ 108 711 null] >> endobj 1095 0 obj << /D [35 0 R /XYZ 108 695 null] >> endobj 1096 0 obj << /D [35 0 R /XYZ 108 679 null] >> endobj 1097 0 obj << /D [35 0 R /XYZ 92 654 null] >> endobj 1098 0 obj << /D [35 0 R /XYZ 108 631 null] >> endobj 1099 0 obj << /D [35 0 R /XYZ 108 615 null] >> endobj 1100 0 obj << /D [35 0 R /XYZ 92 590 null] >> endobj 1101 0 obj << /D [35 0 R /XYZ 108 567 null] >> endobj 1102 0 obj << /D [35 0 R /XYZ 108 551 null] >> endobj 1103 0 obj << /D [35 0 R /XYZ 108 535 null] >> endobj 1104 0 obj << /D [35 0 R /XYZ 108 519 null] >> endobj 1105 0 obj << /D [35 0 R /XYZ 92 494 null] >> endobj 1106 0 obj << /D [35 0 R /XYZ 108 471 null] >> endobj 1107 0 obj << /D [35 0 R /XYZ 108 455 null] >> endobj 1108 0 obj << /D [35 0 R /XYZ 108 439 null] >> endobj 1109 0 obj << /D [35 0 R /XYZ 108 423 null] >> endobj 1110 0 obj << /D [35 0 R /XYZ 108 407 null] >> endobj 1111 0 obj << /D [62 0 R /XYZ 328 220 null] >> endobj 1112 0 obj << /D [62 0 R /XYZ 328 200 null] >> endobj 1113 0 obj << /D [62 0 R /XYZ 494 757 null] >> endobj 1114 0 obj << /D [62 0 R /XYZ 328 146 null] >> endobj 1115 0 obj << /D [62 0 R /XYZ 407 295 null] >> endobj 1116 0 obj << /D [62 0 R /XYZ 328 178 null] >> endobj 1117 0 obj << /D [62 0 R /XYZ 269 47 null] >> endobj 1118 0 obj << /D [62 0 R /XYZ 382 567 null] >> endobj 1119 0 obj << /D [62 0 R /XYZ 306 798 null] >> endobj 1120 0 obj << /D [62 0 R /XYZ 182 650 null] >> endobj 1121 0 obj << /D [62 0 R /XYZ 54 580 null] >> endobj 1122 0 obj << /D [62 0 R /XYZ 244 566 null] >> endobj 1123 0 obj << /D [62 0 R /XYZ 139 463 null] >> endobj 1124 0 obj << /D [62 0 R /XYZ 72 414 null] >> endobj 1125 0 obj << /D [62 0 R /XYZ 551 556 null] >> endobj 1126 0 obj << /D [62 0 R /XYZ 551 469 null] >> endobj 1127 0 obj << /D [80 0 R /XYZ 54 672 null] >> endobj 1128 0 obj << /D [158 0 R /XYZ 279 220 null] >> endobj 1129 0 obj << /D [670 0 R /XYZ 92 305 null] >> endobj 1130 0 obj << /D [692 0 R /XYZ 92 216 null] >> endobj 1131 0 obj << /D [700 0 R /XYZ 149 429 null] >> endobj 1132 0 obj << /D [692 0 R /XYZ 92 174 null] >> endobj 1133 0 obj << /D [700 0 R /XYZ 149 729 null] >> endobj 1134 0 obj << /D [670 0 R /XYZ 527 512 null] >> endobj 1135 0 obj << /D [670 0 R /XYZ 178 383 null] >> endobj 1136 0 obj << /D [682 0 R /XYZ 296 622 null] >> endobj 1137 0 obj << /D [670 0 R /XYZ 383 358 null] >> endobj 1138 0 obj << /D [682 0 R /XYZ 159 681 null] >> endobj 1139 0 obj << /D [682 0 R /XYZ 92 706 null] >> endobj 1140 0 obj << /D [682 0 R /XYZ 309 294 null] >> endobj 1141 0 obj << /D [682 0 R /XYZ 114 221 null] >> endobj 1142 0 obj << /D [670 0 R /XYZ 306 798 null] >> endobj 1143 0 obj << /D [682 0 R /XYZ 306 798 null] >> endobj 1144 0 obj << /D [682 0 R /XYZ 269 47 null] >> endobj 1145 0 obj << /D [670 0 R /XYZ 269 47 null] >> endobj 1146 0 obj << /D [711 0 R /XYZ 110 464 null] >> endobj 1147 0 obj << /D [711 0 R /XYZ 110 517 null] >> endobj 1148 0 obj << /D [724 0 R /XYZ 313 481 null] >> endobj 1149 0 obj << /D [724 0 R /XYZ 110 481 null] >> endobj 1150 0 obj << /D [724 0 R /XYZ 110 523 null] >> endobj 1151 0 obj << /D [724 0 R /XYZ 110 418 null] >> endobj 1152 0 obj << /D [724 0 R /XYZ 110 502 null] >> endobj 1153 0 obj << /D [724 0 R /XYZ 110 460 null] >> endobj 1154 0 obj << /D [724 0 R /XYZ 314 656 null] >> endobj 1155 0 obj << /D [724 0 R /XYZ 110 376 null] >> endobj 1156 0 obj << /D [724 0 R /XYZ 334 523 null] >> endobj 1157 0 obj << /D [724 0 R /XYZ 371 502 null] >> endobj 1158 0 obj << /D [724 0 R /XYZ 432 439 null] >> endobj 1159 0 obj << /D [724 0 R /XYZ 359 418 null] >> endobj 1160 0 obj << /D [724 0 R /XYZ 366 460 null] >> endobj 1161 0 obj << /D [724 0 R /XYZ 506 376 null] >> endobj 1162 0 obj << /D [724 0 R /XYZ 110 635 null] >> endobj 1163 0 obj << /D [724 0 R /XYZ 110 621 null] >> endobj 1164 0 obj << /D [711 0 R /XYZ 527 556 null] >> endobj 1165 0 obj << /D [745 0 R /XYZ 312 430 null] >> endobj 1166 0 obj << /D [745 0 R /XYZ 414 401 null] >> endobj 1167 0 obj << /D [724 0 R /XYZ 358 397 null] >> endobj 1168 0 obj << /D [724 0 R /XYZ 219 565 null] >> endobj 1169 0 obj << /D [724 0 R /XYZ 463 586 null] >> endobj 1170 0 obj << /D [724 0 R /XYZ 110 586 null] >> endobj 1171 0 obj << /D [724 0 R /XYZ 110 544 null] >> endobj 1172 0 obj << /D [711 0 R /XYZ 273 185 null] >> endobj 1173 0 obj << /D [711 0 R /XYZ 405 160 null] >> endobj 1174 0 obj << /D [724 0 R /XYZ 110 565 null] >> endobj 1175 0 obj << /D [724 0 R /XYZ 178 225 null] >> endobj 1176 0 obj << /D [724 0 R /XYZ 306 798 null] >> endobj 1177 0 obj << /D [711 0 R /XYZ 306 798 null] >> endobj 1178 0 obj << /D [724 0 R /XYZ 269 47 null] >> endobj 1179 0 obj << /D [711 0 R /XYZ 269 47 null] >> endobj 1180 0 obj << /D [1 0 R /XYZ 269 47 null] >> endobj 1181 0 obj << /D [35 0 R /XYZ 269 47 null] >> endobj 1182 0 obj << /D [1 0 R /XYZ 306 798 null] >> endobj 1183 0 obj << /D [35 0 R /XYZ 306 798 null] >> endobj 1184 0 obj << /D [623 0 R /XYZ 92 696 null] >> endobj 1185 0 obj << /D [623 0 R /XYZ 92 183 null] >> endobj 1186 0 obj << /D [623 0 R /XYZ 92 158 null] >> endobj 1187 0 obj << /D [623 0 R /XYZ 92 133 null] >> endobj 1188 0 obj << /D [623 0 R /XYZ 92 108 null] >> endobj 1189 0 obj << /D [615 0 R /XYZ 92 300 null] >> endobj 1190 0 obj << /D [615 0 R /XYZ 92 270 null] >> endobj 1191 0 obj << /D [615 0 R /XYZ 92 231 null] >> endobj 1192 0 obj << /D [615 0 R /XYZ 92 206 null] >> endobj 1193 0 obj << /D [615 0 R /XYZ 92 181 null] >> endobj 1194 0 obj << /D [615 0 R /XYZ 54 653 null] >> endobj 1195 0 obj << /D [615 0 R /XYZ 92 476 null] >> endobj 1196 0 obj << /D [615 0 R /XYZ 92 526 null] >> endobj 1197 0 obj << /D [615 0 R /XYZ 92 604 null] >> endobj 1198 0 obj << /D [615 0 R /XYZ 92 565 null] >> endobj 1199 0 obj << /D [615 0 R /XYZ 92 441 null] >> endobj 1200 0 obj << /D [615 0 R /XYZ 92 160 null] >> endobj 1201 0 obj << /D [634 0 R /XYZ 92 571 null] >> endobj 1202 0 obj << /D [623 0 R /XYZ 92 208 null] >> endobj 1203 0 obj << /D [615 0 R /XYZ 92 97 null] >> endobj 1204 0 obj << /D [623 0 R /XYZ 54 40 null] >> endobj 1205 0 obj << /D [623 0 R /XYZ 54 27 null] >> endobj 1206 0 obj << /D [623 0 R /XYZ 54 760 null] >> endobj 1207 0 obj << /D [623 0 R /XYZ 36 789 null] >> endobj 1208 0 obj << /D [615 0 R /XYZ 54 40 null] >> endobj 1209 0 obj << /D [615 0 R /XYZ 54 27 null] >> endobj 1210 0 obj << /D [615 0 R /XYZ 36 789 null] >> endobj 1211 0 obj << /D [615 0 R /XYZ 92 139 null] >> endobj 1212 0 obj << /D [615 0 R /XYZ 92 118 null] >> endobj 1213 0 obj << /D [623 0 R /XYZ 54 666 null] >> endobj 1214 0 obj << /D [623 0 R /XYZ 54 233 null] >> endobj 1215 0 obj << /D [623 0 R /XYZ 92 668 null] >> endobj 1216 0 obj << /D [634 0 R /XYZ 92 724 null] >> endobj 1217 0 obj << /D [634 0 R /XYZ 92 699 null] >> endobj 1218 0 obj << /D [634 0 R /XYZ 92 646 null] >> endobj 1219 0 obj << /D [634 0 R /XYZ 92 621 null] >> endobj 1220 0 obj << /D [634 0 R /XYZ 92 596 null] >> endobj 1221 0 obj << /D [2087 0 R /XYZ 306 798 null] >> endobj 1222 0 obj << /D [2087 0 R /XYZ 73 751 null] >> endobj 1223 0 obj << /D [2087 0 R /XYZ 73 434 null] >> endobj 1224 0 obj << /D [641 0 R /XYZ 54 672 null] >> endobj 1225 0 obj << /D [641 0 R /XYZ 92 528 null] >> endobj 1226 0 obj << /D [641 0 R /XYZ 114 295 null] >> endobj 1227 0 obj << /D [641 0 R /XYZ 198 267 null] >> endobj 1228 0 obj << /D [641 0 R /XYZ 182 168 null] >> endobj 1229 0 obj << /D [641 0 R /XYZ 145 129 null] >> endobj 1230 0 obj << /D [641 0 R /XYZ 462 129 null] >> endobj 1231 0 obj << /D [648 0 R /XYZ 173 731 null] >> endobj 1232 0 obj << /D [648 0 R /XYZ 306 798 null] >> endobj 1233 0 obj << /D [641 0 R /XYZ 306 798 null] >> endobj 1234 0 obj << /D [648 0 R /XYZ 269 47 null] >> endobj 1235 0 obj << /D [641 0 R /XYZ 269 47 null] >> endobj 1236 0 obj << /D [655 0 R /XYZ 54 672 null] >> endobj 1237 0 obj << /D [655 0 R /XYZ 92 167 null] >> endobj 1238 0 obj << /D [663 0 R /XYZ 110 586 null] >> endobj 1239 0 obj << /D [663 0 R /XYZ 306 798 null] >> endobj 1240 0 obj << /D [655 0 R /XYZ 306 798 null] >> endobj 1241 0 obj << /D [663 0 R /XYZ 269 47 null] >> endobj 1242 0 obj << /D [655 0 R /XYZ 269 47 null] >> endobj 1243 0 obj << /D [692 0 R /XYZ 92 589 null] >> endobj 1244 0 obj << /D [670 0 R /XYZ 224 190 null] >> endobj 1245 0 obj << /D [682 0 R /XYZ 446 463 null] >> endobj 1246 0 obj << /D [558 0 R /XYZ 92 375 null] >> endobj 1247 0 obj << /D [558 0 R /XYZ 92 336 null] >> endobj 1248 0 obj << /D [558 0 R /XYZ 92 297 null] >> endobj 1249 0 obj << /D [558 0 R /XYZ 92 276 null] >> endobj 1250 0 obj << /D [558 0 R /XYZ 92 237 null] >> endobj 1251 0 obj << /D [558 0 R /XYZ 92 184 null] >> endobj 1252 0 obj << /D [575 0 R /XYZ 92 723 null] >> endobj 1253 0 obj << /D [575 0 R /XYZ 92 685 null] >> endobj 1254 0 obj << /D [575 0 R /XYZ 92 655 null] >> endobj 1255 0 obj << /D [575 0 R /XYZ 92 320 null] >> endobj 1256 0 obj << /D [575 0 R /XYZ 92 281 null] >> endobj 1257 0 obj << /D [575 0 R /XYZ 92 232 null] >> endobj 1258 0 obj << /D [575 0 R /XYZ 92 183 null] >> endobj 1259 0 obj << /D [583 0 R /XYZ 92 724 null] >> endobj 1260 0 obj << /D [583 0 R /XYZ 92 563 null] >> endobj 1261 0 obj << /D [583 0 R /XYZ 92 457 null] >> endobj 1262 0 obj << /D [583 0 R /XYZ 92 427 null] >> endobj 1263 0 obj << /D [583 0 R /XYZ 92 363 null] >> endobj 1264 0 obj << /D [583 0 R /XYZ 92 328 null] >> endobj 1265 0 obj << /D [583 0 R /XYZ 92 243 null] >> endobj 1266 0 obj << /D [583 0 R /XYZ 92 213 null] >> endobj 1267 0 obj << /D [583 0 R /XYZ 92 170 null] >> endobj 1268 0 obj << /D [583 0 R /XYZ 92 140 null] >> endobj 1269 0 obj << /D [595 0 R /XYZ 92 726 null] >> endobj 1270 0 obj << /D [595 0 R /XYZ 92 696 null] >> endobj 1271 0 obj << /D [595 0 R /XYZ 92 653 null] >> endobj 1272 0 obj << /D [595 0 R /XYZ 92 623 null] >> endobj 1273 0 obj << /D [595 0 R /XYZ 92 594 null] >> endobj 1274 0 obj << /D [595 0 R /XYZ 92 564 null] >> endobj 1275 0 obj << /D [595 0 R /XYZ 92 535 null] >> endobj 1276 0 obj << /D [595 0 R /XYZ 92 505 null] >> endobj 1277 0 obj << /D [595 0 R /XYZ 92 476 null] >> endobj 1278 0 obj << /D [595 0 R /XYZ 92 446 null] >> endobj 1279 0 obj << /D [558 0 R /XYZ 92 502 null] >> endobj 1280 0 obj << /D [558 0 R /XYZ 92 481 null] >> endobj 1281 0 obj << /D [558 0 R /XYZ 92 460 null] >> endobj 1282 0 obj << /D [558 0 R /XYZ 92 718 null] >> endobj 1283 0 obj << /D [558 0 R /XYZ 54 653 null] >> endobj 1284 0 obj << /D [575 0 R /XYZ 92 148 null] >> endobj 1285 0 obj << /D [575 0 R /XYZ 92 113 null] >> endobj 1286 0 obj << /D [575 0 R /XYZ 54 40 null] >> endobj 1287 0 obj << /D [575 0 R /XYZ 54 27 null] >> endobj 1288 0 obj << /D [575 0 R /XYZ 54 760 null] >> endobj 1289 0 obj << /D [575 0 R /XYZ 36 789 null] >> endobj 1290 0 obj << /D [558 0 R /XYZ 54 40 null] >> endobj 1291 0 obj << /D [558 0 R /XYZ 54 27 null] >> endobj 1292 0 obj << /D [558 0 R /XYZ 36 789 null] >> endobj 1293 0 obj << /D [575 0 R /XYZ 54 597 null] >> endobj 1294 0 obj << /D [575 0 R /XYZ 54 345 null] >> endobj 1295 0 obj << /D [575 0 R /XYZ 92 599 null] >> endobj 1296 0 obj << /D [583 0 R /XYZ 92 528 null] >> endobj 1297 0 obj << /D [606 0 R /XYZ 92 718 null] >> endobj 1298 0 obj << /D [606 0 R /XYZ 54 653 null] >> endobj 1299 0 obj << /D [606 0 R /XYZ 92 540 null] >> endobj 1300 0 obj << /D [606 0 R /XYZ 92 505 null] >> endobj 1301 0 obj << /D [606 0 R /XYZ 92 427 null] >> endobj 1302 0 obj << /D [606 0 R /XYZ 92 604 null] >> endobj 1303 0 obj << /D [606 0 R /XYZ 92 466 null] >> endobj 1304 0 obj << /D [606 0 R /XYZ 92 388 null] >> endobj 1305 0 obj << /D [606 0 R /XYZ 54 40 null] >> endobj 1306 0 obj << /D [606 0 R /XYZ 54 27 null] >> endobj 1307 0 obj << /D [606 0 R /XYZ 36 789 null] >> endobj 1308 0 obj << /D [615 0 R /XYZ 92 718 null] >> endobj 1309 0 obj << /D [623 0 R /XYZ 92 726 null] >> endobj 1310 0 obj << /D [375 0 R /XYZ 92 657 null] >> endobj 1311 0 obj << /D [375 0 R /XYZ 92 618 null] >> endobj 1312 0 obj << /D [375 0 R /XYZ 92 551 null] >> endobj 1313 0 obj << /D [375 0 R /XYZ 92 512 null] >> endobj 1314 0 obj << /D [375 0 R /XYZ 92 487 null] >> endobj 1315 0 obj << /D [375 0 R /XYZ 92 445 null] >> endobj 1316 0 obj << /D [375 0 R /XYZ 92 416 null] >> endobj 1317 0 obj << /D [452 0 R /XYZ 92 674 null] >> endobj 1318 0 obj << /D [452 0 R /XYZ 92 635 null] >> endobj 1319 0 obj << /D [452 0 R /XYZ 92 554 null] >> endobj 1320 0 obj << /D [452 0 R /XYZ 92 467 null] >> endobj 1321 0 obj << /D [452 0 R /XYZ 92 199 null] >> endobj 1322 0 obj << /D [452 0 R /XYZ 92 174 null] >> endobj 1323 0 obj << /D [460 0 R /XYZ 92 724 null] >> endobj 1324 0 obj << /D [460 0 R /XYZ 54 625 null] >> endobj 1325 0 obj << /D [460 0 R /XYZ 92 627 null] >> endobj 1326 0 obj << /D [460 0 R /XYZ 92 561 null] >> endobj 1327 0 obj << /D [460 0 R /XYZ 92 531 null] >> endobj 1328 0 obj << /D [460 0 R /XYZ 92 380 null] >> endobj 1329 0 obj << /D [460 0 R /XYZ 92 299 null] >> endobj 1330 0 obj << /D [460 0 R /XYZ 92 246 null] >> endobj 1331 0 obj << /D [460 0 R /XYZ 92 162 null] >> endobj 1332 0 obj << /D [460 0 R /XYZ 92 133 null] >> endobj 1333 0 obj << /D [469 0 R /XYZ 54 719 null] >> endobj 1334 0 obj << /D [469 0 R /XYZ 54 346 null] >> endobj 1335 0 obj << /D [469 0 R /XYZ 92 721 null] >> endobj 1336 0 obj << /D [469 0 R /XYZ 92 321 null] >> endobj 1337 0 obj << /D [469 0 R /XYZ 92 211 null] >> endobj 1338 0 obj << /D [469 0 R /XYZ 92 186 null] >> endobj 1339 0 obj << /D [479 0 R /XYZ 54 719 null] >> endobj 1340 0 obj << /D [479 0 R /XYZ 54 282 null] >> endobj 1341 0 obj << /D [479 0 R /XYZ 92 721 null] >> endobj 1342 0 obj << /D [479 0 R /XYZ 92 257 null] >> endobj 1343 0 obj << /D [489 0 R /XYZ 54 719 null] >> endobj 1344 0 obj << /D [489 0 R /XYZ 54 375 null] >> endobj 1345 0 obj << /D [489 0 R /XYZ 92 721 null] >> endobj 1346 0 obj << /D [489 0 R /XYZ 92 350 null] >> endobj 1347 0 obj << /D [489 0 R /XYZ 92 255 null] >> endobj 1348 0 obj << /D [489 0 R /XYZ 92 160 null] >> endobj 1349 0 obj << /D [498 0 R /XYZ 92 724 null] >> endobj 1350 0 obj << /D [498 0 R /XYZ 54 653 null] >> endobj 1351 0 obj << /D [498 0 R /XYZ 92 655 null] >> endobj 1352 0 obj << /D [498 0 R /XYZ 92 576 null] >> endobj 1353 0 obj << /D [498 0 R /XYZ 92 547 null] >> endobj 1354 0 obj << /D [498 0 R /XYZ 54 475 null] >> endobj 1355 0 obj << /D [498 0 R /XYZ 54 298 null] >> endobj 1356 0 obj << /D [498 0 R /XYZ 92 477 null] >> endobj 1357 0 obj << /D [498 0 R /XYZ 92 273 null] >> endobj 1358 0 obj << /D [498 0 R /XYZ 92 178 null] >> endobj 1359 0 obj << /D [512 0 R /XYZ 92 724 null] >> endobj 1360 0 obj << /D [519 0 R /XYZ 54 719 null] >> endobj 1361 0 obj << /D [519 0 R /XYZ 54 176 null] >> endobj 1362 0 obj << /D [519 0 R /XYZ 92 721 null] >> endobj 1363 0 obj << /D [519 0 R /XYZ 92 151 null] >> endobj 1364 0 obj << /D [539 0 R /XYZ 54 719 null] >> endobj 1365 0 obj << /D [539 0 R /XYZ 54 177 null] >> endobj 1366 0 obj << /D [539 0 R /XYZ 92 721 null] >> endobj 1367 0 obj << /D [595 0 R /XYZ 92 396 null] >> endobj 1368 0 obj << /D [595 0 R /XYZ 92 361 null] >> endobj 1369 0 obj << /D [595 0 R /XYZ 92 269 null] >> endobj 1370 0 obj << /D [595 0 R /XYZ 92 234 null] >> endobj 1371 0 obj << /D [558 0 R /XYZ 92 604 null] >> endobj 1372 0 obj << /D [558 0 R /XYZ 92 537 null] >> endobj 1373 0 obj << /D [558 0 R /XYZ 92 410 null] >> endobj 1374 0 obj << /D [428 0 R /XYZ 92 412 null] >> endobj 1375 0 obj << /D [375 0 R /XYZ 92 282 null] >> endobj 1376 0 obj << /D [375 0 R /XYZ 92 247 null] >> endobj 1377 0 obj << /D [375 0 R /XYZ 92 152 null] >> endobj 1378 0 obj << /D [382 0 R /XYZ 92 628 null] >> endobj 1379 0 obj << /D [382 0 R /XYZ 92 200 null] >> endobj 1380 0 obj << /D [390 0 R /XYZ 92 309 null] >> endobj 1381 0 obj << /D [390 0 R /XYZ 92 279 null] >> endobj 1382 0 obj << /D [402 0 R /XYZ 92 541 null] >> endobj 1383 0 obj << /D [390 0 R /XYZ 54 719 null] >> endobj 1384 0 obj << /D [390 0 R /XYZ 54 556 null] >> endobj 1385 0 obj << /D [390 0 R /XYZ 54 721 null] >> endobj 1386 0 obj << /D [402 0 R /XYZ 54 719 null] >> endobj 1387 0 obj << /D [402 0 R /XYZ 54 566 null] >> endobj 1388 0 obj << /D [402 0 R /XYZ 54 721 null] >> endobj 1389 0 obj << /D [402 0 R /XYZ 54 288 null] >> endobj 1390 0 obj << /D [402 0 R /XYZ 54 124 null] >> endobj 1391 0 obj << /D [402 0 R /XYZ 54 290 null] >> endobj 1392 0 obj << /D [539 0 R /XYZ 92 151 null] >> endobj 1393 0 obj << /D [452 0 R /XYZ 92 368 null] >> endobj 1394 0 obj << /D [452 0 R /XYZ 92 285 null] >> endobj 1395 0 obj << /D [452 0 R /XYZ 92 264 null] >> endobj 1396 0 obj << /D [452 0 R /XYZ 92 239 null] >> endobj 1397 0 obj << /D [452 0 R /XYZ 92 338 null] >> endobj 1398 0 obj << /D [390 0 R /XYZ 92 436 null] >> endobj 1399 0 obj << /D [390 0 R /XYZ 92 531 null] >> endobj 1400 0 obj << /D [382 0 R /XYZ 92 685 null] >> endobj 1401 0 obj << /D [359 0 R /XYZ 92 581 null] >> endobj 1402 0 obj << /D [368 0 R /XYZ 92 671 null] >> endobj 1403 0 obj << /D [402 0 R /XYZ 92 374 null] >> endobj 1404 0 obj << /D [382 0 R /XYZ 92 598 null] >> endobj 1405 0 obj << /D [382 0 R /XYZ 92 573 null] >> endobj 1406 0 obj << /D [382 0 R /XYZ 92 552 null] >> endobj 1407 0 obj << /D [382 0 R /XYZ 92 527 null] >> endobj 1408 0 obj << /D [382 0 R /XYZ 92 460 null] >> endobj 1409 0 obj << /D [382 0 R /XYZ 92 323 null] >> endobj 1410 0 obj << /D [390 0 R /XYZ 92 170 null] >> endobj 1411 0 obj << /D [402 0 R /XYZ 92 414 null] >> endobj 1412 0 obj << /D [359 0 R /XYZ 54 40 null] >> endobj 1413 0 obj << /D [359 0 R /XYZ 54 27 null] >> endobj 1414 0 obj << /D [359 0 R /XYZ 54 760 null] >> endobj 1415 0 obj << /D [359 0 R /XYZ 36 789 null] >> endobj 1416 0 obj << /D [288 0 R /XYZ 54 40 null] >> endobj 1417 0 obj << /D [288 0 R /XYZ 54 27 null] >> endobj 1418 0 obj << /D [288 0 R /XYZ 36 789 null] >> endobj 1419 0 obj << /D [288 0 R /XYZ 92 549 null] >> endobj 1420 0 obj << /D [288 0 R /XYZ 54 421 null] >> endobj 1421 0 obj << /D [288 0 R /XYZ 54 185 null] >> endobj 1422 0 obj << /D [288 0 R /XYZ 54 423 null] >> endobj 1423 0 obj << /D [288 0 R /XYZ 92 160 null] >> endobj 1424 0 obj << /D [288 0 R /XYZ 92 107 null] >> endobj 1425 0 obj << /D [359 0 R /XYZ 92 320 null] >> endobj 1426 0 obj << /D [359 0 R /XYZ 92 290 null] >> endobj 1427 0 obj << /D [359 0 R /XYZ 92 251 null] >> endobj 1428 0 obj << /D [359 0 R /XYZ 92 226 null] >> endobj 1429 0 obj << /D [359 0 R /XYZ 92 205 null] >> endobj 1430 0 obj << /D [359 0 R /XYZ 92 184 null] >> endobj 1431 0 obj << /D [359 0 R /XYZ 92 155 null] >> endobj 1432 0 obj << /D [359 0 R /XYZ 92 125 null] >> endobj 1433 0 obj << /D [368 0 R /XYZ 92 426 null] >> endobj 1434 0 obj << /D [368 0 R /XYZ 92 275 null] >> endobj 1435 0 obj << /D [368 0 R /XYZ 92 127 null] >> endobj 1436 0 obj << /D [368 0 R /XYZ 92 88 null] >> endobj 1437 0 obj << /D [375 0 R /XYZ 92 724 null] >> endobj 1438 0 obj << /D [210 0 R /XYZ 263 333 null] >> endobj 1439 0 obj << /D [210 0 R /XYZ 309 333 null] >> endobj 1440 0 obj << /D [210 0 R /XYZ 92 314 null] >> endobj 1441 0 obj << /D [210 0 R /XYZ 203 314 null] >> endobj 1442 0 obj << /D [210 0 R /XYZ 263 314 null] >> endobj 1443 0 obj << /D [210 0 R /XYZ 309 314 null] >> endobj 1444 0 obj << /D [210 0 R /XYZ 92 296 null] >> endobj 1445 0 obj << /D [210 0 R /XYZ 203 296 null] >> endobj 1446 0 obj << /D [210 0 R /XYZ 263 296 null] >> endobj 1447 0 obj << /D [210 0 R /XYZ 309 296 null] >> endobj 1448 0 obj << /D [210 0 R /XYZ 92 279 null] >> endobj 1449 0 obj << /D [210 0 R /XYZ 203 279 null] >> endobj 1450 0 obj << /D [210 0 R /XYZ 263 279 null] >> endobj 1451 0 obj << /D [210 0 R /XYZ 309 279 null] >> endobj 1452 0 obj << /D [210 0 R /XYZ 92 261 null] >> endobj 1453 0 obj << /D [210 0 R /XYZ 203 261 null] >> endobj 1454 0 obj << /D [210 0 R /XYZ 263 261 null] >> endobj 1455 0 obj << /D [210 0 R /XYZ 309 261 null] >> endobj 1456 0 obj << /D [210 0 R /XYZ 92 196 null] >> endobj 1457 0 obj << /D [210 0 R /XYZ 92 143 null] >> endobj 1458 0 obj << /D [222 0 R /XYZ 92 331 null] >> endobj 1459 0 obj << /D [222 0 R /XYZ 92 209 null] >> endobj 1460 0 obj << /D [222 0 R /XYZ 92 333 null] >> endobj 1461 0 obj << /D [246 0 R /XYZ 92 719 null] >> endobj 1462 0 obj << /D [246 0 R /XYZ 92 598 null] >> endobj 1463 0 obj << /D [246 0 R /XYZ 92 721 null] >> endobj 1464 0 obj << /D [246 0 R /XYZ 92 490 null] >> endobj 1465 0 obj << /D [246 0 R /XYZ 92 461 null] >> endobj 1466 0 obj << /D [246 0 R /XYZ 54 347 null] >> endobj 1467 0 obj << /D [246 0 R /XYZ 54 207 null] >> endobj 1468 0 obj << /D [246 0 R /XYZ 92 349 null] >> endobj 1469 0 obj << /D [185 0 R /XYZ 208 259 null] >> endobj 1470 0 obj << /D [288 0 R /XYZ 92 718 null] >> endobj 1471 0 obj << /D [288 0 R /XYZ 54 653 null] >> endobj 1472 0 obj << /D [359 0 R /XYZ 92 611 null] >> endobj 1473 0 obj << /D [288 0 R /XYZ 92 584 null] >> endobj 1474 0 obj << /D [368 0 R /XYZ 92 456 null] >> endobj 1475 0 obj << /D [368 0 R /XYZ 92 208 null] >> endobj 1476 0 obj << /D [359 0 R /XYZ 92 419 null] >> endobj 1477 0 obj << /D [359 0 R /XYZ 92 472 null] >> endobj 1478 0 obj << /D [452 0 R /XYZ 92 709 null] >> endobj 1479 0 obj << /D [368 0 R /XYZ 92 495 null] >> endobj 1480 0 obj << /D [452 0 R /XYZ 92 497 null] >> endobj 1481 0 obj << /D [417 0 R /XYZ 92 709 null] >> endobj 1482 0 obj << /D [417 0 R /XYZ 92 674 null] >> endobj 1483 0 obj << /D [417 0 R /XYZ 92 607 null] >> endobj 1484 0 obj << /D [417 0 R /XYZ 92 324 null] >> endobj 1485 0 obj << /D [417 0 R /XYZ 92 243 null] >> endobj 1486 0 obj << /D [428 0 R /XYZ 92 465 null] >> endobj 1487 0 obj << /D [428 0 R /XYZ 92 389 null] >> endobj 1488 0 obj << /D [440 0 R /XYZ 92 390 null] >> endobj 1489 0 obj << /D [417 0 R /XYZ 54 563 null] >> endobj 1490 0 obj << /D [417 0 R /XYZ 54 349 null] >> endobj 1491 0 obj << /D [417 0 R /XYZ 54 565 null] >> endobj 1492 0 obj << /D [428 0 R /XYZ 54 719 null] >> endobj 1493 0 obj << /D [428 0 R /XYZ 54 491 null] >> endobj 1494 0 obj << /D [428 0 R /XYZ 54 721 null] >> endobj 1495 0 obj << /D [440 0 R /XYZ 54 719 null] >> endobj 1496 0 obj << /D [440 0 R /XYZ 54 415 null] >> endobj 1497 0 obj << /D [440 0 R /XYZ 54 721 null] >> endobj 1498 0 obj << /D [440 0 R /XYZ 54 276 null] >> endobj 1499 0 obj << /D [440 0 R /XYZ 54 157 null] >> endobj 1500 0 obj << /D [440 0 R /XYZ 54 278 null] >> endobj 1501 0 obj << /D [201 0 R /XYZ 92 456 null] >> endobj 1502 0 obj << /D [201 0 R /XYZ 92 427 null] >> endobj 1503 0 obj << /D [201 0 R /XYZ 92 555 null] >> endobj 1504 0 obj << /D [201 0 R /XYZ 92 526 null] >> endobj 1505 0 obj << /D [222 0 R /XYZ 92 118 null] >> endobj 1506 0 obj << /D [176 0 R /XYZ 92 359 null] >> endobj 1507 0 obj << /D [176 0 R /XYZ 92 309 null] >> endobj 1508 0 obj << /D [185 0 R /XYZ 208 246 null] >> endobj 1509 0 obj << /D [176 0 R /XYZ 54 40 null] >> endobj 1510 0 obj << /D [176 0 R /XYZ 54 27 null] >> endobj 1511 0 obj << /D [176 0 R /XYZ 54 760 null] >> endobj 1512 0 obj << /D [176 0 R /XYZ 36 789 null] >> endobj 1513 0 obj << /D [168 0 R /XYZ 54 40 null] >> endobj 1514 0 obj << /D [168 0 R /XYZ 54 27 null] >> endobj 1515 0 obj << /D [168 0 R /XYZ 36 789 null] >> endobj 1516 0 obj << /D [168 0 R /XYZ 92 259 null] >> endobj 1517 0 obj << /D [176 0 R /XYZ 92 725 null] >> endobj 1518 0 obj << /D [176 0 R /XYZ 92 696 null] >> endobj 1519 0 obj << /D [176 0 R /XYZ 92 643 null] >> endobj 1520 0 obj << /D [176 0 R /XYZ 92 542 null] >> endobj 1521 0 obj << /D [185 0 R /XYZ 54 719 null] >> endobj 1522 0 obj << /D [185 0 R /XYZ 54 419 null] >> endobj 1523 0 obj << /D [185 0 R /XYZ 92 721 null] >> endobj 1524 0 obj << /D [201 0 R /XYZ 92 143 null] >> endobj 1525 0 obj << /D [210 0 R /XYZ 92 726 null] >> endobj 1526 0 obj << /D [210 0 R /XYZ 92 696 null] >> endobj 1527 0 obj << /D [210 0 R /XYZ 92 641 null] >> endobj 1528 0 obj << /D [210 0 R /XYZ 92 622 null] >> endobj 1529 0 obj << /D [210 0 R /XYZ 171 622 null] >> endobj 1530 0 obj << /D [210 0 R /XYZ 219 622 null] >> endobj 1531 0 obj << /D [210 0 R /XYZ 334 622 null] >> endobj 1532 0 obj << /D [210 0 R /XYZ 92 602 null] >> endobj 1533 0 obj << /D [210 0 R /XYZ 171 602 null] >> endobj 1534 0 obj << /D [210 0 R /XYZ 219 602 null] >> endobj 1535 0 obj << /D [210 0 R /XYZ 334 602 null] >> endobj 1536 0 obj << /D [210 0 R /XYZ 92 573 null] >> endobj 1537 0 obj << /D [210 0 R /XYZ 171 573 null] >> endobj 1538 0 obj << /D [210 0 R /XYZ 219 573 null] >> endobj 1539 0 obj << /D [210 0 R /XYZ 334 573 null] >> endobj 1540 0 obj << /D [210 0 R /XYZ 92 555 null] >> endobj 1541 0 obj << /D [210 0 R /XYZ 171 555 null] >> endobj 1542 0 obj << /D [210 0 R /XYZ 219 555 null] >> endobj 1543 0 obj << /D [210 0 R /XYZ 334 556 null] >> endobj 1544 0 obj << /D [210 0 R /XYZ 92 538 null] >> endobj 1545 0 obj << /D [210 0 R /XYZ 171 538 null] >> endobj 1546 0 obj << /D [210 0 R /XYZ 219 538 null] >> endobj 1547 0 obj << /D [210 0 R /XYZ 334 538 null] >> endobj 1548 0 obj << /D [210 0 R /XYZ 92 521 null] >> endobj 1549 0 obj << /D [210 0 R /XYZ 171 521 null] >> endobj 1550 0 obj << /D [210 0 R /XYZ 219 521 null] >> endobj 1551 0 obj << /D [210 0 R /XYZ 334 521 null] >> endobj 1552 0 obj << /D [210 0 R /XYZ 92 503 null] >> endobj 1553 0 obj << /D [210 0 R /XYZ 171 503 null] >> endobj 1554 0 obj << /D [210 0 R /XYZ 219 503 null] >> endobj 1555 0 obj << /D [210 0 R /XYZ 334 503 null] >> endobj 1556 0 obj << /D [210 0 R /XYZ 92 486 null] >> endobj 1557 0 obj << /D [210 0 R /XYZ 171 486 null] >> endobj 1558 0 obj << /D [210 0 R /XYZ 219 486 null] >> endobj 1559 0 obj << /D [210 0 R /XYZ 334 486 null] >> endobj 1560 0 obj << /D [210 0 R /XYZ 92 640 null] >> endobj 1561 0 obj << /D [210 0 R /XYZ 92 351 null] >> endobj 1562 0 obj << /D [210 0 R /XYZ 92 352 null] >> endobj 1563 0 obj << /D [210 0 R /XYZ 92 333 null] >> endobj 1564 0 obj << /D [210 0 R /XYZ 203 333 null] >> endobj 1565 0 obj << /D [185 0 R /XYZ 92 288 null] >> endobj 1566 0 obj << /D [185 0 R /XYZ 208 288 null] >> endobj 1567 0 obj << /D [185 0 R /XYZ 266 288 null] >> endobj 1568 0 obj << /D [185 0 R /XYZ 311 288 null] >> endobj 1569 0 obj << /D [185 0 R /XYZ 92 259 null] >> endobj 1570 0 obj << /D [185 0 R /XYZ 266 259 null] >> endobj 1571 0 obj << /D [185 0 R /XYZ 311 259 null] >> endobj 1572 0 obj << /D [194 0 R /XYZ 92 656 null] >> endobj 1573 0 obj << /D [194 0 R /XYZ 208 656 null] >> endobj 1574 0 obj << /D [194 0 R /XYZ 266 656 null] >> endobj 1575 0 obj << /D [194 0 R /XYZ 311 656 null] >> endobj 1576 0 obj << /D [194 0 R /XYZ 92 627 null] >> endobj 1577 0 obj << /D [194 0 R /XYZ 208 627 null] >> endobj 1578 0 obj << /D [194 0 R /XYZ 266 627 null] >> endobj 1579 0 obj << /D [194 0 R /XYZ 311 627 null] >> endobj 1580 0 obj << /D [185 0 R /XYZ 92 343 null] >> endobj 1581 0 obj << /D [185 0 R /XYZ 208 343 null] >> endobj 1582 0 obj << /D [185 0 R /XYZ 266 343 null] >> endobj 1583 0 obj << /D [185 0 R /XYZ 311 343 null] >> endobj 1584 0 obj << /D [185 0 R /XYZ 92 362 null] >> endobj 1585 0 obj << /D [194 0 R /XYZ 92 587 null] >> endobj 1586 0 obj << /D [194 0 R /XYZ 208 587 null] >> endobj 1587 0 obj << /D [194 0 R /XYZ 266 587 null] >> endobj 1588 0 obj << /D [194 0 R /XYZ 311 587 null] >> endobj 1589 0 obj << /D [194 0 R /XYZ 311 493 null] >> endobj 1590 0 obj << /D [194 0 R /XYZ 311 479 null] >> endobj 1591 0 obj << /D [194 0 R /XYZ 311 466 null] >> endobj 1592 0 obj << /D [194 0 R /XYZ 311 452 null] >> endobj 1593 0 obj << /D [194 0 R /XYZ 311 439 null] >> endobj 1594 0 obj << /D [194 0 R /XYZ 311 425 null] >> endobj 1595 0 obj << /D [194 0 R /XYZ 311 412 null] >> endobj 1596 0 obj << /D [194 0 R /XYZ 311 398 null] >> endobj 1597 0 obj << /D [194 0 R /XYZ 311 385 null] >> endobj 1598 0 obj << /D [194 0 R /XYZ 311 371 null] >> endobj 1599 0 obj << /D [194 0 R /XYZ 311 358 null] >> endobj 1600 0 obj << /D [194 0 R /XYZ 311 344 null] >> endobj 1601 0 obj << /D [194 0 R /XYZ 311 331 null] >> endobj 1602 0 obj << /D [194 0 R /XYZ 311 317 null] >> endobj 1603 0 obj << /D [194 0 R /XYZ 92 685 null] >> endobj 1604 0 obj << /D [194 0 R /XYZ 208 685 null] >> endobj 1605 0 obj << /D [194 0 R /XYZ 266 685 null] >> endobj 1606 0 obj << /D [194 0 R /XYZ 311 685 null] >> endobj 1607 0 obj << /D [185 0 R /XYZ 92 115 null] >> endobj 1608 0 obj << /D [185 0 R /XYZ 208 115 null] >> endobj 1609 0 obj << /D [185 0 R /XYZ 266 115 null] >> endobj 1610 0 obj << /D [185 0 R /XYZ 311 115 null] >> endobj 1611 0 obj << /D [185 0 R /XYZ 92 155 null] >> endobj 1612 0 obj << /D [185 0 R /XYZ 208 155 null] >> endobj 1613 0 obj << /D [185 0 R /XYZ 266 155 null] >> endobj 1614 0 obj << /D [185 0 R /XYZ 311 155 null] >> endobj 1615 0 obj << /D [185 0 R /XYZ 92 173 null] >> endobj 1616 0 obj << /D [185 0 R /XYZ 208 173 null] >> endobj 1617 0 obj << /D [185 0 R /XYZ 266 173 null] >> endobj 1618 0 obj << /D [185 0 R /XYZ 311 173 null] >> endobj 1619 0 obj << /D [194 0 R /XYZ 92 291 null] >> endobj 1620 0 obj << /D [194 0 R /XYZ 92 262 null] >> endobj 1621 0 obj << /D [194 0 R /XYZ 92 206 null] >> endobj 1622 0 obj << /D [194 0 R /XYZ 92 177 null] >> endobj 1623 0 obj << /D [201 0 R /XYZ 92 725 null] >> endobj 1624 0 obj << /D [201 0 R /XYZ 92 696 null] >> endobj 1625 0 obj << /D [222 0 R /XYZ 92 417 null] >> endobj 1626 0 obj << /D [222 0 R /XYZ 92 188 null] >> endobj 1627 0 obj << /D [201 0 R /XYZ 92 626 null] >> endobj 1628 0 obj << /D [201 0 R /XYZ 92 597 null] >> endobj 1629 0 obj << /D [201 0 R /XYZ 92 306 null] >> endobj 1630 0 obj << /D [201 0 R /XYZ 171 306 null] >> endobj 1631 0 obj << /D [201 0 R /XYZ 219 306 null] >> endobj 1632 0 obj << /D [201 0 R /XYZ 259 306 null] >> endobj 1633 0 obj << /D [201 0 R /XYZ 92 324 null] >> endobj 1634 0 obj << /D [210 0 R /XYZ 92 225 null] >> endobj 1635 0 obj << /D [168 0 R /XYZ 92 230 null] >> endobj 1636 0 obj << /D [210 0 R /XYZ 92 423 null] >> endobj 1637 0 obj << /D [176 0 R /XYZ 92 270 null] >> endobj 1638 0 obj << /D [201 0 R /XYZ 92 187 null] >> endobj 1639 0 obj << /D [201 0 R /XYZ 171 187 null] >> endobj 1640 0 obj << /D [201 0 R /XYZ 219 187 null] >> endobj 1641 0 obj << /D [201 0 R /XYZ 259 187 null] >> endobj 1642 0 obj << /D [201 0 R /XYZ 92 216 null] >> endobj 1643 0 obj << /D [201 0 R /XYZ 171 216 null] >> endobj 1644 0 obj << /D [201 0 R /XYZ 219 216 null] >> endobj 1645 0 obj << /D [201 0 R /XYZ 259 216 null] >> endobj 1646 0 obj << /D [201 0 R /XYZ 92 234 null] >> endobj 1647 0 obj << /D [201 0 R /XYZ 171 234 null] >> endobj 1648 0 obj << /D [201 0 R /XYZ 219 234 null] >> endobj 1649 0 obj << /D [201 0 R /XYZ 259 234 null] >> endobj 1650 0 obj << /D [201 0 R /XYZ 92 251 null] >> endobj 1651 0 obj << /D [201 0 R /XYZ 171 251 null] >> endobj 1652 0 obj << /D [201 0 R /XYZ 219 251 null] >> endobj 1653 0 obj << /D [201 0 R /XYZ 259 251 null] >> endobj 1654 0 obj << /D [201 0 R /XYZ 92 269 null] >> endobj 1655 0 obj << /D [201 0 R /XYZ 171 269 null] >> endobj 1656 0 obj << /D [201 0 R /XYZ 219 269 null] >> endobj 1657 0 obj << /D [201 0 R /XYZ 259 269 null] >> endobj 1658 0 obj << /D [201 0 R /XYZ 92 286 null] >> endobj 1659 0 obj << /D [201 0 R /XYZ 171 286 null] >> endobj 1660 0 obj << /D [201 0 R /XYZ 219 286 null] >> endobj 1661 0 obj << /D [201 0 R /XYZ 259 286 null] >> endobj 1662 0 obj << /D [279 0 R /XYZ 92 437 null] >> endobj 1663 0 obj << /D [246 0 R /XYZ 92 179 null] >> endobj 1664 0 obj << /D [246 0 R /XYZ 92 150 null] >> endobj 1665 0 obj << /D [279 0 R /XYZ 92 682 null] >> endobj 1666 0 obj << /D [279 0 R /XYZ 92 653 null] >> endobj 1667 0 obj << /D [279 0 R /XYZ 54 595 null] >> endobj 1668 0 obj << /D [279 0 R /XYZ 54 462 null] >> endobj 1669 0 obj << /D [279 0 R /XYZ 92 597 null] >> endobj 1670 0 obj << /D [210 0 R /XYZ 92 452 null] >> endobj 1671 0 obj << /D [176 0 R /XYZ 92 240 null] >> endobj 1672 0 obj << /D [210 0 R /XYZ 92 393 null] >> endobj 1673 0 obj << /D [210 0 R /XYZ 92 236 null] >> endobj 1674 0 obj << /D [222 0 R /XYZ 54 666 null] >> endobj 1675 0 obj << /D [222 0 R /XYZ 54 592 null] >> endobj 1676 0 obj << /D [222 0 R /XYZ 54 566 null] >> endobj 1677 0 obj << /D [222 0 R /XYZ 54 442 null] >> endobj 1678 0 obj << /D [222 0 R /XYZ 92 668 null] >> endobj 1679 0 obj << /D [246 0 R /XYZ 92 575 null] >> endobj 1680 0 obj << /D [246 0 R /XYZ 92 546 null] >> endobj 1681 0 obj << /D [168 0 R /XYZ 92 718 null] >> endobj 1682 0 obj << /D [168 0 R /XYZ 54 653 null] >> endobj 1683 0 obj << /D [185 0 R /XYZ 92 393 null] >> endobj 1684 0 obj << /D [185 0 R /XYZ 92 361 null] >> endobj 1685 0 obj << /D [185 0 R /XYZ 92 323 null] >> endobj 1686 0 obj << /D [185 0 R /XYZ 208 323 null] >> endobj 1687 0 obj << /D [185 0 R /XYZ 266 323 null] >> endobj 1688 0 obj << /D [185 0 R /XYZ 311 323 null] >> endobj 1689 0 obj << /D [185 0 R /XYZ 92 306 null] >> endobj 1690 0 obj << /D [185 0 R /XYZ 208 306 null] >> endobj 1691 0 obj << /D [185 0 R /XYZ 266 306 null] >> endobj 1692 0 obj << /D [185 0 R /XYZ 311 306 null] >> endobj 1693 0 obj << /D [62 0 R /XYZ 373 630 null] >> endobj 1694 0 obj << /D [62 0 R /XYZ 36 789 null] >> endobj 1695 0 obj << /D [62 0 R /XYZ 54 643 null] >> endobj 1696 0 obj << /D [62 0 R /XYZ 54 496 null] >> endobj 1697 0 obj << /D [62 0 R /XYZ 54 162 null] >> endobj 1698 0 obj << /D [62 0 R /XYZ 54 288 null] >> endobj 1699 0 obj << /D [62 0 R /XYZ 54 239 null] >> endobj 1700 0 obj << /D [62 0 R /XYZ 373 550 null] >> endobj 1701 0 obj << /D [62 0 R /XYZ 373 618 null] >> endobj 1702 0 obj << /D [62 0 R /XYZ 54 40 null] >> endobj 1703 0 obj << /D [62 0 R /XYZ 54 27 null] >> endobj 1704 0 obj << /D [62 0 R /XYZ 54 407 null] >> endobj 1705 0 obj << /D [62 0 R /XYZ 54 386 null] >> endobj 1706 0 obj << /D [62 0 R /XYZ 54 323 null] >> endobj 1707 0 obj << /D [62 0 R /XYZ 373 561 null] >> endobj 1708 0 obj << /D [80 0 R /XYZ 92 718 null] >> endobj 1709 0 obj << /D [80 0 R /XYZ 54 653 null] >> endobj 1710 0 obj << /D [158 0 R /XYZ 92 409 null] >> endobj 1711 0 obj << /D [158 0 R /XYZ 92 312 null] >> endobj 1712 0 obj << /D [158 0 R /XYZ 92 227 null] >> endobj 1713 0 obj << /D [158 0 R /XYZ 92 375 null] >> endobj 1714 0 obj << /D [158 0 R /XYZ 92 354 null] >> endobj 1715 0 obj << /D [158 0 R /XYZ 92 333 null] >> endobj 1716 0 obj << /D [158 0 R /XYZ 92 262 null] >> endobj 1717 0 obj << /D [158 0 R /XYZ 92 674 null] >> endobj 1718 0 obj << /D [158 0 R /XYZ 92 607 null] >> endobj 1719 0 obj << /D [88 0 R /XYZ 54 27 null] >> endobj 1720 0 obj << /D [88 0 R /XYZ 54 760 null] >> endobj 1721 0 obj << /D [88 0 R /XYZ 36 789 null] >> endobj 1722 0 obj << /D [80 0 R /XYZ 54 27 null] >> endobj 1723 0 obj << /D [80 0 R /XYZ 36 789 null] >> endobj 1724 0 obj << /D [158 0 R /XYZ 92 709 null] >> endobj 1725 0 obj << /D [88 0 R /XYZ 92 216 null] >> endobj 1726 0 obj << /D [158 0 R /XYZ 92 501 null] >> endobj 1727 0 obj << /D [80 0 R /XYZ 54 40 null] >> endobj 1728 0 obj << /D [88 0 R /XYZ 54 40 null] >> endobj 1729 0 obj << /D [80 0 R /XYZ 92 604 null] >> endobj 1730 0 obj << /D [80 0 R /XYZ 92 467 null] >> endobj 1731 0 obj << /D [80 0 R /XYZ 92 344 null] >> endobj 1732 0 obj << /D [80 0 R /XYZ 92 305 null] >> endobj 1733 0 obj << /D [80 0 R /XYZ 92 284 null] >> endobj 1734 0 obj << /D [80 0 R /XYZ 92 263 null] >> endobj 1735 0 obj << /D [80 0 R /XYZ 92 242 null] >> endobj 1736 0 obj << /D [80 0 R /XYZ 92 221 null] >> endobj 1737 0 obj << /D [80 0 R /XYZ 92 200 null] >> endobj 1738 0 obj << /D [80 0 R /XYZ 92 179 null] >> endobj 1739 0 obj << /D [80 0 R /XYZ 92 158 null] >> endobj 1740 0 obj << /D [80 0 R /XYZ 92 133 null] >> endobj 1741 0 obj << /D [88 0 R /XYZ 54 680 null] >> endobj 1742 0 obj << /D [88 0 R /XYZ 54 241 null] >> endobj 1743 0 obj << /D [88 0 R /XYZ 92 682 null] >> endobj 1744 0 obj << /D [158 0 R /XYZ 92 582 null] >> endobj 1745 0 obj << /D [168 0 R /XYZ 92 584 null] >> endobj 1746 0 obj << /D [168 0 R /XYZ 92 549 null] >> endobj 1747 0 obj << /D [168 0 R /XYZ 92 471 null] >> endobj 1748 0 obj << /D [168 0 R /XYZ 92 436 null] >> endobj 1749 0 obj << /D [168 0 R /XYZ 92 393 null] >> endobj 1750 0 obj << /D [176 0 R /XYZ 92 572 null] >> endobj 1751 0 obj << /D [176 0 R /XYZ 92 394 null] >> endobj 1752 0 obj << /D [168 0 R /XYZ 92 363 null] >> endobj 1753 0 obj << /D [168 0 R /XYZ 92 292 null] >> endobj 1754 0 obj << /D [168 0 R /XYZ 92 177 null] >> endobj 1755 0 obj << /D [201 0 R /XYZ 92 356 null] >> endobj 1756 0 obj << /D [201 0 R /XYZ 92 325 null] >> endobj 1757 0 obj << /D [1 0 R /XYZ 92 168 null] >> endobj 1758 0 obj << /D [1 0 R /XYZ 92 141 null] >> endobj 1759 0 obj << /D [1 0 R /XYZ 92 120 null] >> endobj 1760 0 obj << /D [35 0 R /XYZ 92 725 null] >> endobj 1761 0 obj << /D [35 0 R /XYZ 92 704 null] >> endobj 1762 0 obj << /D [35 0 R /XYZ 92 688 null] >> endobj 1763 0 obj << /D [35 0 R /XYZ 92 672 null] >> endobj 1764 0 obj << /D [35 0 R /XYZ 92 645 null] >> endobj 1765 0 obj << /D [35 0 R /XYZ 92 624 null] >> endobj 1766 0 obj << /D [35 0 R /XYZ 92 608 null] >> endobj 1767 0 obj << /D [35 0 R /XYZ 92 581 null] >> endobj 1768 0 obj << /D [35 0 R /XYZ 92 560 null] >> endobj 1769 0 obj << /D [35 0 R /XYZ 92 544 null] >> endobj 1770 0 obj << /D [35 0 R /XYZ 92 528 null] >> endobj 1771 0 obj << /D [35 0 R /XYZ 92 512 null] >> endobj 1772 0 obj << /D [35 0 R /XYZ 92 485 null] >> endobj 1773 0 obj << /D [35 0 R /XYZ 92 464 null] >> endobj 1774 0 obj << /D [35 0 R /XYZ 92 448 null] >> endobj 1775 0 obj << /D [35 0 R /XYZ 92 432 null] >> endobj 1776 0 obj << /D [35 0 R /XYZ 92 416 null] >> endobj 1777 0 obj << /D [35 0 R /XYZ 92 400 null] >> endobj 1778 0 obj << /D [62 0 R /XYZ 54 754 null] >> endobj 1779 0 obj << /D [62 0 R /XYZ 292 744 null] >> endobj 1780 0 obj << /D [62 0 R /XYZ 54 760 null] >> endobj 1781 0 obj << /D [62 0 R /XYZ 54 683 null] >> endobj 1782 0 obj << /D [62 0 R /XYZ 315 123 null] >> endobj 1783 0 obj << /D [62 0 R /XYZ 315 672 null] >> endobj 1784 0 obj << /D [62 0 R /XYZ 315 653 null] >> endobj 1785 0 obj << /D [62 0 R /XYZ 315 633 null] >> endobj 1786 0 obj << /D [62 0 R /XYZ 315 597 null] >> endobj 1787 0 obj << /D [62 0 R /XYZ 373 587 null] >> endobj 1788 0 obj << /D [62 0 R /XYZ 315 556 null] >> endobj 1789 0 obj << /D [62 0 R /XYZ 315 536 null] >> endobj 1790 0 obj << /D [62 0 R /XYZ 315 516 null] >> endobj 1791 0 obj << /D [62 0 R /XYZ 373 511 null] >> endobj 1792 0 obj << /D [62 0 R /XYZ 315 490 null] >> endobj 1793 0 obj << /D [62 0 R /XYZ 315 474 null] >> endobj 1794 0 obj << /D [62 0 R /XYZ 373 474 null] >> endobj 1795 0 obj << /D [62 0 R /XYZ 315 448 null] >> endobj 1796 0 obj << /D [62 0 R /XYZ 315 432 null] >> endobj 1797 0 obj << /D [62 0 R /XYZ 373 427 null] >> endobj 1798 0 obj << /D [62 0 R /XYZ 315 406 null] >> endobj 1799 0 obj << /D [62 0 R /XYZ 315 325 null] >> endobj 1800 0 obj << /D [62 0 R /XYZ 315 299 null] >> endobj 1801 0 obj << /D [62 0 R /XYZ 315 274 null] >> endobj 1802 0 obj << /D [62 0 R /XYZ 315 249 null] >> endobj 1803 0 obj << /D [62 0 R /XYZ 315 229 null] >> endobj 1804 0 obj << /D [62 0 R /XYZ 315 215 null] >> endobj 1805 0 obj << /D [62 0 R /XYZ 315 676 null] >> endobj 1806 0 obj << /D [62 0 R /XYZ 54 456 null] >> endobj 1807 0 obj << /D [62 0 R /XYZ 54 204 null] >> endobj 1808 0 obj << /D [62 0 R /XYZ 315 195 null] >> endobj 1809 0 obj << /D [62 0 R /XYZ 373 448 null] >> endobj 1810 0 obj << /D [62 0 R /XYZ 373 490 null] >> endobj 1811 0 obj << /D [62 0 R /XYZ 315 345 null] >> endobj 1812 0 obj << /D [62 0 R /XYZ 315 380 null] >> endobj 1813 0 obj << /D [62 0 R /XYZ 373 370 null] >> endobj 1814 0 obj << /D [62 0 R /XYZ 54 183 null] >> endobj 1815 0 obj << /D [62 0 R /XYZ 373 320 null] >> endobj 1816 0 obj << /D [62 0 R /XYZ 373 269 null] >> endobj 1817 0 obj << /D [62 0 R /XYZ 315 151 null] >> endobj 1818 0 obj << /D [62 0 R /XYZ 373 299 null] >> endobj 1819 0 obj << /D [62 0 R /XYZ 373 401 null] >> endobj 1820 0 obj << /D [62 0 R /XYZ 315 173 null] >> endobj 1821 0 obj << /D [745 0 R /XYZ 54 612 null] >> endobj 1822 0 obj << /D [745 0 R /XYZ 114 612 null] >> endobj 1823 0 obj << /D [745 0 R /XYZ 174 612 null] >> endobj 1824 0 obj << /D [724 0 R /XYZ 92 390 null] >> endobj 1825 0 obj << /D [724 0 R /XYZ 54 183 null] >> endobj 1826 0 obj << /D [724 0 R /XYZ 114 183 null] >> endobj 1827 0 obj << /D [724 0 R /XYZ 174 184 null] >> endobj 1828 0 obj << /D [724 0 R /XYZ 92 579 null] >> endobj 1829 0 obj << /D [724 0 R /XYZ 92 537 null] >> endobj 1830 0 obj << /D [724 0 R /XYZ 54 201 null] >> endobj 1831 0 obj << /D [724 0 R /XYZ 114 201 null] >> endobj 1832 0 obj << /D [724 0 R /XYZ 174 201 null] >> endobj 1833 0 obj << /D [711 0 R /XYZ 92 153 null] >> endobj 1834 0 obj << /D [711 0 R /XYZ 92 407 null] >> endobj 1835 0 obj << /D [711 0 R /XYZ 92 372 null] >> endobj 1836 0 obj << /D [711 0 R /XYZ 92 319 null] >> endobj 1837 0 obj << /D [711 0 R /XYZ 92 298 null] >> endobj 1838 0 obj << /D [711 0 R /XYZ 92 277 null] >> endobj 1839 0 obj << /D [711 0 R /XYZ 92 252 null] >> endobj 1840 0 obj << /D [711 0 R /XYZ 92 199 null] >> endobj 1841 0 obj << /D [711 0 R /XYZ 92 178 null] >> endobj 1842 0 obj << /D [724 0 R /XYZ 54 232 null] >> endobj 1843 0 obj << /D [724 0 R /XYZ 114 232 null] >> endobj 1844 0 obj << /D [724 0 R /XYZ 174 219 null] >> endobj 1845 0 obj << /D [745 0 R /XYZ 92 384 null] >> endobj 1846 0 obj << /D [745 0 R /XYZ 92 371 null] >> endobj 1847 0 obj << /D [724 0 R /XYZ 174 232 null] >> endobj 1848 0 obj << /D [724 0 R /XYZ 54 760 null] >> endobj 1849 0 obj << /D [724 0 R /XYZ 36 789 null] >> endobj 1850 0 obj << /D [711 0 R /XYZ 36 789 null] >> endobj 1851 0 obj << /D [724 0 R /XYZ 54 40 null] >> endobj 1852 0 obj << /D [724 0 R /XYZ 54 27 null] >> endobj 1853 0 obj << /D [711 0 R /XYZ 54 40 null] >> endobj 1854 0 obj << /D [711 0 R /XYZ 54 27 null] >> endobj 1855 0 obj << /D [1 0 R /XYZ 54 654 null] >> endobj 1856 0 obj << /D [35 0 R /XYZ 54 760 null] >> endobj 1857 0 obj << /D [35 0 R /XYZ 36 789 null] >> endobj 1858 0 obj << /D [1 0 R /XYZ 54 40 null] >> endobj 1859 0 obj << /D [1 0 R /XYZ 54 27 null] >> endobj 1860 0 obj << /D [1 0 R /XYZ 36 789 null] >> endobj 1861 0 obj << /D [35 0 R /XYZ 54 40 null] >> endobj 1862 0 obj << /D [35 0 R /XYZ 54 27 null] >> endobj 1863 0 obj << /D [1 0 R /XYZ 92 605 null] >> endobj 1864 0 obj << /D [1 0 R /XYZ 92 573 null] >> endobj 1865 0 obj << /D [1 0 R /XYZ 92 552 null] >> endobj 1866 0 obj << /D [1 0 R /XYZ 92 536 null] >> endobj 1867 0 obj << /D [1 0 R /XYZ 92 520 null] >> endobj 1868 0 obj << /D [1 0 R /XYZ 92 493 null] >> endobj 1869 0 obj << /D [1 0 R /XYZ 92 472 null] >> endobj 1870 0 obj << /D [1 0 R /XYZ 92 456 null] >> endobj 1871 0 obj << /D [1 0 R /XYZ 92 440 null] >> endobj 1872 0 obj << /D [1 0 R /XYZ 92 424 null] >> endobj 1873 0 obj << /D [1 0 R /XYZ 92 397 null] >> endobj 1874 0 obj << /D [1 0 R /XYZ 92 376 null] >> endobj 1875 0 obj << /D [1 0 R /XYZ 92 360 null] >> endobj 1876 0 obj << /D [1 0 R /XYZ 92 344 null] >> endobj 1877 0 obj << /D [1 0 R /XYZ 92 328 null] >> endobj 1878 0 obj << /D [1 0 R /XYZ 92 312 null] >> endobj 1879 0 obj << /D [1 0 R /XYZ 92 285 null] >> endobj 1880 0 obj << /D [1 0 R /XYZ 92 264 null] >> endobj 1881 0 obj << /D [1 0 R /XYZ 92 248 null] >> endobj 1882 0 obj << /D [1 0 R /XYZ 92 232 null] >> endobj 1883 0 obj << /D [1 0 R /XYZ 92 216 null] >> endobj 1884 0 obj << /D [1 0 R /XYZ 92 189 null] >> endobj 1885 0 obj << /D [700 0 R /XYZ 192 318 null] >> endobj 1886 0 obj << /D [700 0 R /XYZ 192 270 null] >> endobj 1887 0 obj << /D [700 0 R /XYZ 92 382 null] >> endobj 1888 0 obj << /D [700 0 R /XYZ 192 382 null] >> endobj 1889 0 obj << /D [682 0 R /XYZ 92 157 null] >> endobj 1890 0 obj << /D [682 0 R /XYZ 92 562 null] >> endobj 1891 0 obj << /D [682 0 R /XYZ 92 541 null] >> endobj 1892 0 obj << /D [682 0 R /XYZ 92 520 null] >> endobj 1893 0 obj << /D [682 0 R /XYZ 92 699 null] >> endobj 1894 0 obj << /D [682 0 R /XYZ 92 674 null] >> endobj 1895 0 obj << /D [700 0 R /XYZ 92 522 null] >> endobj 1896 0 obj << /D [682 0 R /XYZ 54 760 null] >> endobj 1897 0 obj << /D [682 0 R /XYZ 36 789 null] >> endobj 1898 0 obj << /D [670 0 R /XYZ 36 789 null] >> endobj 1899 0 obj << /D [682 0 R /XYZ 54 40 null] >> endobj 1900 0 obj << /D [682 0 R /XYZ 54 27 null] >> endobj 1901 0 obj << /D [670 0 R /XYZ 54 40 null] >> endobj 1902 0 obj << /D [670 0 R /XYZ 54 27 null] >> endobj 1903 0 obj << /D [711 0 R /XYZ 92 718 null] >> endobj 1904 0 obj << /D [711 0 R /XYZ 54 653 null] >> endobj 1905 0 obj << /D [711 0 R /XYZ 92 584 null] >> endobj 1906 0 obj << /D [711 0 R /XYZ 92 549 null] >> endobj 1907 0 obj << /D [711 0 R /XYZ 92 510 null] >> endobj 1908 0 obj << /D [745 0 R /XYZ 92 263 null] >> endobj 1909 0 obj << /D [724 0 R /XYZ 92 319 null] >> endobj 1910 0 obj << /D [724 0 R /XYZ 92 284 null] >> endobj 1911 0 obj << /D [724 0 R /XYZ 54 252 null] >> endobj 1912 0 obj << /D [724 0 R /XYZ 114 252 null] >> endobj 1913 0 obj << /D [724 0 R /XYZ 174 252 null] >> endobj 1914 0 obj << /D [724 0 R /XYZ 92 256 null] >> endobj 1915 0 obj << /D [745 0 R /XYZ 92 564 null] >> endobj 1916 0 obj << /D [724 0 R /XYZ 92 709 null] >> endobj 1917 0 obj << /D [711 0 R /XYZ 92 457 null] >> endobj 1918 0 obj << /D [745 0 R /XYZ 92 529 null] >> endobj 1919 0 obj << /D [745 0 R /XYZ 92 302 null] >> endobj 1920 0 obj << /D [724 0 R /XYZ 92 495 null] >> endobj 1921 0 obj << /D [724 0 R /XYZ 92 474 null] >> endobj 1922 0 obj << /D [724 0 R /XYZ 92 453 null] >> endobj 1923 0 obj << /D [724 0 R /XYZ 92 516 null] >> endobj 1924 0 obj << /D [724 0 R /XYZ 92 432 null] >> endobj 1925 0 obj << /D [724 0 R /XYZ 92 411 null] >> endobj 1926 0 obj << /D [724 0 R /XYZ 92 674 null] >> endobj 1927 0 obj << /D [724 0 R /XYZ 92 649 null] >> endobj 1928 0 obj << /D [724 0 R /XYZ 92 558 null] >> endobj 1929 0 obj << /D [724 0 R /XYZ 92 369 null] >> endobj 1930 0 obj << /D [724 0 R /XYZ 92 628 null] >> endobj 1931 0 obj << /D [724 0 R /XYZ 54 166 null] >> endobj 1932 0 obj << /D [724 0 R /XYZ 114 166 null] >> endobj 1933 0 obj << /D [724 0 R /XYZ 174 166 null] >> endobj 1934 0 obj << /D [724 0 R /XYZ 54 149 null] >> endobj 1935 0 obj << /D [724 0 R /XYZ 114 149 null] >> endobj 1936 0 obj << /D [724 0 R /XYZ 174 149 null] >> endobj 1937 0 obj << /D [724 0 R /XYZ 54 131 null] >> endobj 1938 0 obj << /D [724 0 R /XYZ 114 131 null] >> endobj 1939 0 obj << /D [724 0 R /XYZ 174 131 null] >> endobj 1940 0 obj << /D [745 0 R /XYZ 54 699 null] >> endobj 1941 0 obj << /D [745 0 R /XYZ 114 699 null] >> endobj 1942 0 obj << /D [745 0 R /XYZ 174 699 null] >> endobj 1943 0 obj << /D [745 0 R /XYZ 54 659 null] >> endobj 1944 0 obj << /D [745 0 R /XYZ 114 659 null] >> endobj 1945 0 obj << /D [745 0 R /XYZ 174 659 null] >> endobj 1946 0 obj << /D [745 0 R /XYZ 54 630 null] >> endobj 1947 0 obj << /D [745 0 R /XYZ 114 630 null] >> endobj 1948 0 obj << /D [745 0 R /XYZ 174 630 null] >> endobj 1949 0 obj << /D [655 0 R /XYZ 36 789 null] >> endobj 1950 0 obj << /D [663 0 R /XYZ 54 40 null] >> endobj 1951 0 obj << /D [663 0 R /XYZ 54 27 null] >> endobj 1952 0 obj << /D [655 0 R /XYZ 54 40 null] >> endobj 1953 0 obj << /D [655 0 R /XYZ 54 27 null] >> endobj 1954 0 obj << /D [670 0 R /XYZ 92 718 null] >> endobj 1955 0 obj << /D [670 0 R /XYZ 54 653 null] >> endobj 1956 0 obj << /D [670 0 R /XYZ 92 604 null] >> endobj 1957 0 obj << /D [682 0 R /XYZ 92 456 null] >> endobj 1958 0 obj << /D [670 0 R /XYZ 92 540 null] >> endobj 1959 0 obj << /D [670 0 R /XYZ 92 505 null] >> endobj 1960 0 obj << /D [682 0 R /XYZ 92 645 null] >> endobj 1961 0 obj << /D [682 0 R /XYZ 92 495 null] >> endobj 1962 0 obj << /D [670 0 R /XYZ 92 434 null] >> endobj 1963 0 obj << /D [670 0 R /XYZ 92 404 null] >> endobj 1964 0 obj << /D [670 0 R /XYZ 92 351 null] >> endobj 1965 0 obj << /D [670 0 R /XYZ 92 294 null] >> endobj 1966 0 obj << /D [670 0 R /XYZ 92 264 null] >> endobj 1967 0 obj << /D [670 0 R /XYZ 92 197 null] >> endobj 1968 0 obj << /D [682 0 R /XYZ 92 287 null] >> endobj 1969 0 obj << /D [682 0 R /XYZ 92 244 null] >> endobj 1970 0 obj << /D [682 0 R /XYZ 92 214 null] >> endobj 1971 0 obj << /D [692 0 R /XYZ 92 575 null] >> endobj 1972 0 obj << /D [692 0 R /XYZ 92 473 null] >> endobj 1973 0 obj << /D [692 0 R /XYZ 92 434 null] >> endobj 1974 0 obj << /D [692 0 R /XYZ 92 405 null] >> endobj 1975 0 obj << /D [692 0 R /XYZ 92 375 null] >> endobj 1976 0 obj << /D [682 0 R /XYZ 92 322 null] >> endobj 1977 0 obj << /D [692 0 R /XYZ 92 202 null] >> endobj 1978 0 obj << /D [692 0 R /XYZ 92 336 null] >> endobj 1979 0 obj << /D [692 0 R /XYZ 92 287 null] >> endobj 1980 0 obj << /D [692 0 R /XYZ 92 252 null] >> endobj 1981 0 obj << /D [670 0 R /XYZ 92 144 null] >> endobj 1982 0 obj << /D [670 0 R /XYZ 92 123 null] >> endobj 1983 0 obj << /D [670 0 R /XYZ 92 102 null] >> endobj 1984 0 obj << /D [682 0 R /XYZ 92 724 null] >> endobj 1985 0 obj << /D [682 0 R /XYZ 92 417 null] >> endobj 1986 0 obj << /D [700 0 R /XYZ 92 479 null] >> endobj 1987 0 obj << /D [700 0 R /XYZ 92 449 null] >> endobj 1988 0 obj << /D [700 0 R /XYZ 92 422 null] >> endobj 1989 0 obj << /D [700 0 R /XYZ 92 403 null] >> endobj 1990 0 obj << /D [700 0 R /XYZ 192 403 null] >> endobj 1991 0 obj << /D [700 0 R /XYZ 92 421 null] >> endobj 1992 0 obj << /D [700 0 R /XYZ 92 201 null] >> endobj 1993 0 obj << /D [682 0 R /XYZ 92 615 null] >> endobj 1994 0 obj << /D [682 0 R /XYZ 92 127 null] >> endobj 1995 0 obj << /D [692 0 R /XYZ 92 685 null] >> endobj 1996 0 obj << /D [692 0 R /XYZ 92 646 null] >> endobj 1997 0 obj << /D [692 0 R /XYZ 92 625 null] >> endobj 1998 0 obj << /D [692 0 R /XYZ 92 540 null] >> endobj 1999 0 obj << /D [682 0 R /XYZ 92 381 null] >> endobj 2000 0 obj << /D [692 0 R /XYZ 92 163 null] >> endobj 2001 0 obj << /D [692 0 R /XYZ 92 133 null] >> endobj 2002 0 obj << /D [700 0 R /XYZ 92 722 null] >> endobj 2003 0 obj << /D [700 0 R /XYZ 92 703 null] >> endobj 2004 0 obj << /D [700 0 R /XYZ 199 703 null] >> endobj 2005 0 obj << /D [700 0 R /XYZ 92 721 null] >> endobj 2006 0 obj << /D [700 0 R /XYZ 92 627 null] >> endobj 2007 0 obj << /D [700 0 R /XYZ 199 627 null] >> endobj 2008 0 obj << /D [700 0 R /XYZ 199 591 null] >> endobj 2009 0 obj << /D [700 0 R /XYZ 92 682 null] >> endobj 2010 0 obj << /D [700 0 R /XYZ 199 682 null] >> endobj 2011 0 obj << /D [700 0 R /XYZ 199 657 null] >> endobj 2012 0 obj << /D [700 0 R /XYZ 92 318 null] >> endobj 2013 0 obj << /D [641 0 R /XYZ null null null] >> endobj 2014 0 obj << /D [670 0 R /XYZ null null null] >> endobj 2015 0 obj << /D [62 0 R /XYZ null null null] >> endobj 2016 0 obj << /D [80 0 R /XYZ null null null] >> endobj 2017 0 obj << /D [2087 0 R /XYZ 72 592 null] >> endobj 2018 0 obj << /D [2087 0 R /XYZ 72 427 null] >> endobj 2019 0 obj << /D [2087 0 R /XYZ 72 721 null] >> endobj 2020 0 obj << /D [2087 0 R /XYZ 36 789 null] >> endobj 2021 0 obj << /D [2087 0 R /XYZ 72 458 null] >> endobj 2022 0 obj << /D [2087 0 R /XYZ 72 727 null] >> endobj 2023 0 obj << /D [641 0 R /XYZ 92 556 null] >> endobj 2024 0 obj << /D [641 0 R /XYZ 92 323 null] >> endobj 2025 0 obj << /D [641 0 R /XYZ 92 122 null] >> endobj 2026 0 obj << /D [641 0 R /XYZ 92 718 null] >> endobj 2027 0 obj << /D [641 0 R /XYZ 54 653 null] >> endobj 2028 0 obj << /D [641 0 R /XYZ 92 288 null] >> endobj 2029 0 obj << /D [641 0 R /XYZ 92 196 null] >> endobj 2030 0 obj << /D [641 0 R /XYZ 92 161 null] >> endobj 2031 0 obj << /D [641 0 R /XYZ 92 521 null] >> endobj 2032 0 obj << /D [641 0 R /XYZ 92 482 null] >> endobj 2033 0 obj << /D [641 0 R /XYZ 92 447 null] >> endobj 2034 0 obj << /D [641 0 R /XYZ 92 426 null] >> endobj 2035 0 obj << /D [641 0 R /XYZ 92 401 null] >> endobj 2036 0 obj << /D [648 0 R /XYZ 54 760 null] >> endobj 2037 0 obj << /D [648 0 R /XYZ 36 789 null] >> endobj 2038 0 obj << /D [641 0 R /XYZ 36 789 null] >> endobj 2039 0 obj << /D [648 0 R /XYZ 54 40 null] >> endobj 2040 0 obj << /D [648 0 R /XYZ 54 27 null] >> endobj 2041 0 obj << /D [641 0 R /XYZ 54 40 null] >> endobj 2042 0 obj << /D [641 0 R /XYZ 54 27 null] >> endobj 2043 0 obj << /D [655 0 R /XYZ 92 718 null] >> endobj 2044 0 obj << /D [655 0 R /XYZ 54 653 null] >> endobj 2045 0 obj << /D [655 0 R /XYZ 92 604 null] >> endobj 2046 0 obj << /D [655 0 R /XYZ 92 512 null] >> endobj 2047 0 obj << /D [655 0 R /XYZ 92 477 null] >> endobj 2048 0 obj << /D [655 0 R /XYZ 92 413 null] >> endobj 2049 0 obj << /D [655 0 R /XYZ 92 325 null] >> endobj 2050 0 obj << /D [655 0 R /XYZ 92 378 null] >> endobj 2051 0 obj << /D [655 0 R /XYZ 92 282 null] >> endobj 2052 0 obj << /D [663 0 R /XYZ 92 726 null] >> endobj 2053 0 obj << /D [655 0 R /XYZ 92 252 null] >> endobj 2054 0 obj << /D [655 0 R /XYZ 92 213 null] >> endobj 2055 0 obj << /D [663 0 R /XYZ 92 696 null] >> endobj 2056 0 obj << /D [663 0 R /XYZ 92 671 null] >> endobj 2057 0 obj << /D [663 0 R /XYZ 92 650 null] >> endobj 2058 0 obj << /D [663 0 R /XYZ 92 629 null] >> endobj 2059 0 obj << /D [663 0 R /XYZ 92 604 null] >> endobj 2060 0 obj << /D [663 0 R /XYZ 92 579 null] >> endobj 2061 0 obj << /D [663 0 R /XYZ 92 558 null] >> endobj 2062 0 obj << /D [663 0 R /XYZ 92 537 null] >> endobj 2063 0 obj << /D [663 0 R /XYZ 92 491 null] >> endobj 2064 0 obj << /D [655 0 R /XYZ 92 160 null] >> endobj 2065 0 obj << /D [663 0 R /XYZ 92 516 null] >> endobj 2066 0 obj << /D [663 0 R /XYZ 54 760 null] >> endobj 2067 0 obj << /D [663 0 R /XYZ 36 789 null] >> endobj 2068 0 obj << /Nums [0 2069 0 R] >> endobj 2069 0 obj << /S /D >> endobj 2070 0 obj << /Count 54 /Kids [2071 0 R 2072 0 R 2073 0 R 2074 0 R 2075 0 R 2076 0 R] /Type /Pages >> endobj 2071 0 obj << /Count 10 /Kids [2087 0 R 1 0 R 35 0 R 62 0 R 80 0 R 88 0 R 158 0 R 168 0 R 176 0 R 185 0 R] /Parent 2070 0 R /Type /Pages >> endobj 2072 0 obj << /Count 10 /Kids [194 0 R 201 0 R 210 0 R 222 0 R 246 0 R 279 0 R 288 0 R 359 0 R 368 0 R 375 0 R] /Parent 2070 0 R /Type /Pages >> endobj 2073 0 obj << /Count 10 /Kids [382 0 R 390 0 R 402 0 R 417 0 R 428 0 R 440 0 R 452 0 R 460 0 R 469 0 R 479 0 R] /Parent 2070 0 R /Type /Pages >> endobj 2074 0 obj << /Count 10 /Kids [489 0 R 498 0 R 512 0 R 519 0 R 539 0 R 558 0 R 575 0 R 583 0 R 595 0 R 606 0 R] /Parent 2070 0 R /Type /Pages >> endobj 2075 0 obj << /Count 10 /Kids [615 0 R 623 0 R 634 0 R 641 0 R 648 0 R 655 0 R 663 0 R 670 0 R 682 0 R 692 0 R] /Parent 2070 0 R /Type /Pages >> endobj 2076 0 obj << /Count 4 /Kids [700 0 R 711 0 R 724 0 R 745 0 R] /Parent 2070 0 R /Type /Pages >> endobj 2077 0 obj << /Author (Xilinx, Inc.) /CreationDate (D:20170930110835Z) /Creator (FrameMaker 2015.0.5) /Keywords ("video,AXI4-Lite,AXI4-Stream,Video Timing Controller, PG044") /ModDate (D:20170930111312+07'00') /Producer (Xilinx, Inc. via ABCpdf) /Subject (The Xilinx LogiCORE\222 IP AXI4-Stream to Video Out core is designed to interface from the Video Over\ AXI4-Stream bus to a video source \(parallel video data, video sync, and blanking\).) /Title (Xilinx PG044 LogiCORE IP AXI4-Stream to Video Out v4.0 Product Guide ) /XilinxDocID (PG044) >> endobj 2078 0 obj << /Dests 849 0 R /JavaScript 2079 0 R >> endobj 2079 0 obj << /Names [(FrameMaker) 2080 0 R] >> endobj 2080 0 obj << /JS () /S /JavaScript >> endobj 2081 0 obj << /Count 13 /First 2102 0 R /Last 2102 0 R /Type /Outlines >> endobj 2082 0 obj [606 0 R /XYZ 92 540 0] endobj xref 0 2083 0000000000 65535 f 0000051592 00000 n 0000051964 00000 n 0000052083 00000 n 0000052203 00000 n 0000052323 00000 n 0000052441 00000 n 0000052561 00000 n 0000052681 00000 n 0000052801 00000 n 0000052921 00000 n 0000053042 00000 n 0000053163 00000 n 0000053284 00000 n 0000053405 00000 n 0000053526 00000 n 0000053647 00000 n 0000053768 00000 n 0000053889 00000 n 0000054010 00000 n 0000054131 00000 n 0000054252 00000 n 0000054373 00000 n 0000054494 00000 n 0000054615 00000 n 0000054736 00000 n 0000054857 00000 n 0000054976 00000 n 0000055128 00000 n 0000055364 00000 n 0000055408 00000 n 0000055485 00000 n 0000056311 00000 n 0000056595 00000 n 0000059938 00000 n 0000063116 00000 n 0000063448 00000 n 0000063568 00000 n 0000063688 00000 n 0000063810 00000 n 0000063932 00000 n 0000064054 00000 n 0000064176 00000 n 0000064296 00000 n 0000064416 00000 n 0000064536 00000 n 0000064658 00000 n 0000064780 00000 n 0000064900 00000 n 0000065020 00000 n 0000065142 00000 n 0000065264 00000 n 0000065386 00000 n 0000065508 00000 n 0000065660 00000 n 0000065780 00000 n 0000066016 00000 n 0000066060 00000 n 0000066137 00000 n 0000066961 00000 n 0000067245 00000 n 0000070397 00000 n 0000073575 00000 n 0000073844 00000 n 0000074046 00000 n 0000074249 00000 n 0000074453 00000 n 0000074605 00000 n 0000074731 00000 n 0000074857 00000 n 0000074981 00000 n 0000075156 00000 n 0000075280 00000 n 0000075473 00000 n 0000075737 00000 n 0000075781 00000 n 0000075858 00000 n 0000076683 00000 n 0000076967 00000 n 0000083186 00000 n 0000086364 00000 n 0000086577 00000 n 0000086729 00000 n 0000086905 00000 n 0000087196 00000 n 0000087273 00000 n 0000088100 00000 n 0000088384 00000 n 0000093035 00000 n 0000093251 00000 n 0000093315 00000 n 0000093382 00000 n 0000093449 00000 n 0000093831 00000 n 0000093983 00000 n 0000094106 00000 n 0000095232 00000 n 0000095405 00000 n 0000095672 00000 n 0000095812 00000 n 0000095951 00000 n 0000096092 00000 n 0000096232 00000 n 0000096372 00000 n 0000096513 00000 n 0000096654 00000 n 0000096796 00000 n 0000096937 00000 n 0000097078 00000 n 0000097218 00000 n 0000097359 00000 n 0000097500 00000 n 0000097641 00000 n 0000097782 00000 n 0000097923 00000 n 0000098064 00000 n 0000098205 00000 n 0000098346 00000 n 0000098487 00000 n 0000098533 00000 n 0000098584 00000 n 0000098635 00000 n 0000098686 00000 n 0000099211 00000 n 0000099289 00000 n 0000100116 00000 n 0000100401 00000 n 0000100617 00000 n 0000100848 00000 n 0000101084 00000 n 0000101300 00000 n 0000101531 00000 n 0000101751 00000 n 0000101987 00000 n 0000102216 00000 n 0000102452 00000 n 0000102681 00000 n 0000102915 00000 n 0000103129 00000 n 0000103366 00000 n 0000103600 00000 n 0000103831 00000 n 0000104061 00000 n 0000104294 00000 n 0000104525 00000 n 0000104745 00000 n 0000104975 00000 n 0000105211 00000 n 0000105422 00000 n 0000105663 00000 n 0000105898 00000 n 0000106133 00000 n 0000106364 00000 n 0000106595 00000 n 0000106826 00000 n 0000107057 00000 n 0000173064 00000 n 0000176742 00000 n 0000179926 00000 n 0000180162 00000 n 0000180315 00000 n 0000180495 00000 n 0000180685 00000 n 0000180859 00000 n 0000181126 00000 n 0000181204 00000 n 0000182029 00000 n 0000182314 00000 n 0000186828 00000 n 0000187048 00000 n 0000187201 00000 n 0000187375 00000 n 0000187682 00000 n 0000187760 00000 n 0000188587 00000 n 0000188872 00000 n 0000192635 00000 n 0000192863 00000 n 0000193068 00000 n 0000193221 00000 n 0000193346 00000 n 0000193628 00000 n 0000193706 00000 n 0000194532 00000 n 0000194817 00000 n 0000199212 00000 n 0000199424 00000 n 0000199577 00000 n 0000199898 00000 n 0000199944 00000 n 0000200022 00000 n 0000200848 00000 n 0000201134 00000 n 0000206512 00000 n 0000209694 00000 n 0000209906 00000 n 0000210059 00000 n 0000210367 00000 n 0000210445 00000 n 0000211272 00000 n 0000211558 00000 n 0000216470 00000 n 0000216682 00000 n 0000216835 00000 n 0000217185 00000 n 0000217435 00000 n 0000217604 00000 n 0000217682 00000 n 0000218509 00000 n 0000218795 00000 n 0000224049 00000 n 0000224301 00000 n 0000224454 00000 n 0000224628 00000 n 0000224752 00000 n 0000224946 00000 n 0000225072 00000 n 0000225195 00000 n 0000225517 00000 n 0000225595 00000 n 0000226421 00000 n 0000226707 00000 n 0000232369 00000 n 0000232597 00000 n 0000232750 00000 n 0000232875 00000 n 0000233001 00000 n 0000233488 00000 n 0000233534 00000 n 0000233580 00000 n 0000233626 00000 n 0000233704 00000 n 0000234530 00000 n 0000234816 00000 n 0000235066 00000 n 0000235313 00000 n 0000235557 00000 n 0000235811 00000 n 0000236061 00000 n 0000236308 00000 n 0000236551 00000 n 0000236789 00000 n 0000237009 00000 n 0000243237 00000 n 0000246450 00000 n 0000249640 00000 n 0000252810 00000 n 0000253022 00000 n 0000253175 00000 n 0000253858 00000 n 0000253904 00000 n 0000253982 00000 n 0000254808 00000 n 0000255094 00000 n 0000255350 00000 n 0000255585 00000 n 0000255842 00000 n 0000256096 00000 n 0000256337 00000 n 0000256604 00000 n 0000256851 00000 n 0000257095 00000 n 0000257363 00000 n 0000257611 00000 n 0000257849 00000 n 0000258078 00000 n 0000258307 00000 n 0000258558 00000 n 0000258823 00000 n 0000259075 00000 n 0000259322 00000 n 0000259568 00000 n 0000259819 00000 n 0000260052 00000 n 0000260257 00000 n 0000260468 00000 n 0000260734 00000 n 0000284352 00000 n 0000294351 00000 n 0000297521 00000 n 0000297741 00000 n 0000297894 00000 n 0000298020 00000 n 0000298342 00000 n 0000298420 00000 n 0000299247 00000 n 0000299533 00000 n 0000341605 00000 n 0000344838 00000 n 0000345066 00000 n 0000345219 00000 n 0000345343 00000 n 0000345465 00000 n 0000346724 00000 n 0000346864 00000 n 0000347003 00000 n 0000347142 00000 n 0000347281 00000 n 0000347420 00000 n 0000347559 00000 n 0000347699 00000 n 0000347839 00000 n 0000347979 00000 n 0000348118 00000 n 0000348257 00000 n 0000348396 00000 n 0000348537 00000 n 0000348678 00000 n 0000348819 00000 n 0000348958 00000 n 0000349097 00000 n 0000349236 00000 n 0000349375 00000 n 0000349514 00000 n 0000349653 00000 n 0000349699 00000 n 0000349777 00000 n 0000350604 00000 n 0000350890 00000 n 0000351121 00000 n 0000351352 00000 n 0000351583 00000 n 0000351814 00000 n 0000352045 00000 n 0000352276 00000 n 0000352507 00000 n 0000352738 00000 n 0000352971 00000 n 0000353205 00000 n 0000353436 00000 n 0000353667 00000 n 0000353898 00000 n 0000354129 00000 n 0000354360 00000 n 0000354591 00000 n 0000354822 00000 n 0000355053 00000 n 0000355284 00000 n 0000355515 00000 n 0000355746 00000 n 0000355977 00000 n 0000356208 00000 n 0000356439 00000 n 0000356670 00000 n 0000356901 00000 n 0000357137 00000 n 0000357368 00000 n 0000357599 00000 n 0000357830 00000 n 0000358061 00000 n 0000358292 00000 n 0000358523 00000 n 0000358754 00000 n 0000358985 00000 n 0000359216 00000 n 0000359447 00000 n 0000359678 00000 n 0000359909 00000 n 0000383064 00000 n 0000386247 00000 n 0000386475 00000 n 0000386628 00000 n 0000386754 00000 n 0000386879 00000 n 0000387159 00000 n 0000387237 00000 n 0000388064 00000 n 0000388350 00000 n 0000393326 00000 n 0000393538 00000 n 0000393691 00000 n 0000393971 00000 n 0000394049 00000 n 0000394875 00000 n 0000395161 00000 n 0000401126 00000 n 0000401338 00000 n 0000401491 00000 n 0000401773 00000 n 0000401851 00000 n 0000402677 00000 n 0000402963 00000 n 0000408077 00000 n 0000408297 00000 n 0000408450 00000 n 0000408575 00000 n 0000408855 00000 n 0000408933 00000 n 0000409760 00000 n 0000410046 00000 n 0000414834 00000 n 0000415062 00000 n 0000415617 00000 n 0000415770 00000 n 0000415895 00000 n 0000416087 00000 n 0000416424 00000 n 0000416478 00000 n 0000416556 00000 n 0000417382 00000 n 0000417668 00000 n 0000424796 00000 n 0000429540 00000 n 0000429768 00000 n 0000430518 00000 n 0000431343 00000 n 0000431496 00000 n 0000431622 00000 n 0000431820 00000 n 0000432186 00000 n 0000432240 00000 n 0000432294 00000 n 0000432372 00000 n 0000433198 00000 n 0000433484 00000 n 0000440555 00000 n 0000448647 00000 n 0000452803 00000 n 0000453031 00000 n 0000453184 00000 n 0000453309 00000 n 0000453435 00000 n 0000453792 00000 n 0000453838 00000 n 0000453916 00000 n 0000454742 00000 n 0000455028 00000 n 0000461656 00000 n 0000464857 00000 n 0000465093 00000 n 0000465246 00000 n 0000465371 00000 n 0000465497 00000 n 0000465623 00000 n 0000465994 00000 n 0000466040 00000 n 0000466118 00000 n 0000466945 00000 n 0000467231 00000 n 0000472876 00000 n 0000476069 00000 n 0000476289 00000 n 0000476442 00000 n 0000476568 00000 n 0000476939 00000 n 0000476985 00000 n 0000477031 00000 n 0000477109 00000 n 0000477935 00000 n 0000478221 00000 n 0000483914 00000 n 0000487104 00000 n 0000490294 00000 n 0000490514 00000 n 0000490667 00000 n 0000490793 00000 n 0000491073 00000 n 0000491151 00000 n 0000491977 00000 n 0000492263 00000 n 0000497138 00000 n 0000497366 00000 n 0000497519 00000 n 0000497645 00000 n 0000497769 00000 n 0000498118 00000 n 0000498196 00000 n 0000499022 00000 n 0000499308 00000 n 0000505347 00000 n 0000505567 00000 n 0000505720 00000 n 0000505845 00000 n 0000506166 00000 n 0000506212 00000 n 0000506290 00000 n 0000507117 00000 n 0000507403 00000 n 0000512445 00000 n 0000515630 00000 n 0000515850 00000 n 0000516003 00000 n 0000516126 00000 n 0000516447 00000 n 0000516493 00000 n 0000516571 00000 n 0000517397 00000 n 0000517683 00000 n 0000522084 00000 n 0000525267 00000 n 0000525479 00000 n 0000525632 00000 n 0000525965 00000 n 0000526011 00000 n 0000526089 00000 n 0000526915 00000 n 0000527201 00000 n 0000533696 00000 n 0000536877 00000 n 0000537129 00000 n 0000537282 00000 n 0000537408 00000 n 0000537532 00000 n 0000537657 00000 n 0000537783 00000 n 0000537908 00000 n 0000538297 00000 n 0000538343 00000 n 0000538421 00000 n 0000539248 00000 n 0000539534 00000 n 0000547347 00000 n 0000550529 00000 n 0000550741 00000 n 0000550894 00000 n 0000551174 00000 n 0000551252 00000 n 0000552078 00000 n 0000552364 00000 n 0000555544 00000 n 0000555764 00000 n 0000555917 00000 n 0000556040 00000 n 0000556534 00000 n 0000556676 00000 n 0000556817 00000 n 0000556958 00000 n 0000557099 00000 n 0000557240 00000 n 0000557381 00000 n 0000557522 00000 n 0000557663 00000 n 0000557804 00000 n 0000557945 00000 n 0000557991 00000 n 0000558069 00000 n 0000558895 00000 n 0000559181 00000 n 0000568397 00000 n 0000571577 00000 n 0000571789 00000 n 0000571942 00000 n 0000572436 00000 n 0000572579 00000 n 0000572722 00000 n 0000572865 00000 n 0000573008 00000 n 0000573150 00000 n 0000573292 00000 n 0000573434 00000 n 0000573576 00000 n 0000573718 00000 n 0000573861 00000 n 0000573907 00000 n 0000573985 00000 n 0000574813 00000 n 0000575099 00000 n 0000585756 00000 n 0000588955 00000 n 0000589247 00000 n 0000589451 00000 n 0000589604 00000 n 0000589808 00000 n 0000590040 00000 n 0000590234 00000 n 0000590429 00000 n 0000590623 00000 n 0000590816 00000 n 0000591014 00000 n 0000591210 00000 n 0000591406 00000 n 0000591700 00000 n 0000591778 00000 n 0000592604 00000 n 0000592890 00000 n 0000597451 00000 n 0000597663 00000 n 0000597816 00000 n 0000598141 00000 n 0000598219 00000 n 0000599045 00000 n 0000599331 00000 n 0000637373 00000 n 0000641638 00000 n 0000641890 00000 n 0000642094 00000 n 0000642247 00000 n 0000642423 00000 n 0000642621 00000 n 0000642774 00000 n 0000642929 00000 n 0000643237 00000 n 0000643315 00000 n 0000644141 00000 n 0000644427 00000 n 0000649566 00000 n 0000649810 00000 n 0000650013 00000 n 0000650215 00000 n 0000650368 00000 n 0000650564 00000 n 0000650762 00000 n 0000651044 00000 n 0000651122 00000 n 0000651948 00000 n 0000652234 00000 n 0000655917 00000 n 0000656145 00000 n 0000656298 00000 n 0000656487 00000 n 0000656680 00000 n 0000656962 00000 n 0000657040 00000 n 0000657866 00000 n 0000658152 00000 n 0000661341 00000 n 0000661561 00000 n 0000661714 00000 n 0000661905 00000 n 0000662185 00000 n 0000662263 00000 n 0000663088 00000 n 0000663374 00000 n 0000667372 00000 n 0000667592 00000 n 0000667877 00000 n 0000668030 00000 n 0000668155 00000 n 0000668466 00000 n 0000668519 00000 n 0000668597 00000 n 0000669423 00000 n 0000669709 00000 n 0000680889 00000 n 0000684076 00000 n 0000684288 00000 n 0000684441 00000 n 0000684709 00000 n 0000684787 00000 n 0000685612 00000 n 0000685898 00000 n 0000689075 00000 n 0000689287 00000 n 0000689440 00000 n 0000689708 00000 n 0000689786 00000 n 0000690612 00000 n 0000690898 00000 n 0000694931 00000 n 0000695143 00000 n 0000695296 00000 n 0000695564 00000 n 0000695642 00000 n 0000696469 00000 n 0000696755 00000 n 0000699298 00000 n 0000699518 00000 n 0000699671 00000 n 0000699869 00000 n 0000700151 00000 n 0000700229 00000 n 0000701057 00000 n 0000701343 00000 n 0000705138 00000 n 0000705350 00000 n 0000705503 00000 n 0000705771 00000 n 0000705849 00000 n 0000706675 00000 n 0000706961 00000 n 0000709625 00000 n 0000709877 00000 n 0000710036 00000 n 0000710197 00000 n 0000710360 00000 n 0000710523 00000 n 0000710700 00000 n 0000710853 00000 n 0000711121 00000 n 0000711199 00000 n 0000712026 00000 n 0000712312 00000 n 0000716396 00000 n 0000716632 00000 n 0000716807 00000 n 0000716997 00000 n 0000717160 00000 n 0000717313 00000 n 0000717623 00000 n 0000717701 00000 n 0000718527 00000 n 0000718813 00000 n 0000723515 00000 n 0000723735 00000 n 0000723888 00000 n 0000724013 00000 n 0000724293 00000 n 0000724371 00000 n 0000725197 00000 n 0000725483 00000 n 0000729853 00000 n 0000730097 00000 n 0000730250 00000 n 0000730401 00000 n 0000730552 00000 n 0000730703 00000 n 0000730828 00000 n 0000731122 00000 n 0000731200 00000 n 0000732026 00000 n 0000732312 00000 n 0000737771 00000 n 0000738031 00000 n 0000738203 00000 n 0000738395 00000 n 0000738576 00000 n 0000738736 00000 n 0000738898 00000 n 0000739084 00000 n 0000739237 00000 n 0000739531 00000 n 0000739609 00000 n 0000740435 00000 n 0000740721 00000 n 0000744810 00000 n 0000745134 00000 n 0000745350 00000 n 0000745557 00000 n 0000745764 00000 n 0000745969 00000 n 0000746210 00000 n 0000746452 00000 n 0000746668 00000 n 0000746870 00000 n 0000747093 00000 n 0000747310 00000 n 0000747552 00000 n 0000747763 00000 n 0000747978 00000 n 0000748211 00000 n 0000748364 00000 n 0000748646 00000 n 0000748724 00000 n 0000749549 00000 n 0000749835 00000 n 0000754775 00000 n 0000755003 00000 n 0000755172 00000 n 0000755341 00000 n 0000755494 00000 n 0000755776 00000 n 0000755854 00000 n 0000756681 00000 n 0000756967 00000 n 0000764918 00000 n 0000788679 00000 n 0000811126 00000 n 0000811621 00000 n 0000811903 00000 n 0000812355 00000 n 0000812631 00000 n 0000812733 00000 n 0000812958 00000 n 0000813551 00000 n 0000814161 00000 n 0000814677 00000 n 0000814767 00000 n 0000814909 00000 n 0000814971 00000 n 0000815627 00000 n 0000816277 00000 n 0000817095 00000 n 0000817670 00000 n 0000818190 00000 n 0000818392 00000 n 0000818818 00000 n 0000818872 00000 n 0000818985 00000 n 0000819047 00000 n 0000832767 00000 n 0000853488 00000 n 0000854019 00000 n 0000854311 00000 n 0000854957 00000 n 0000855236 00000 n 0000874019 00000 n 0000874442 00000 n 0000874732 00000 n 0000877911 00000 n 0000877957 00000 n 0000881136 00000 n 0000884323 00000 n 0000884369 00000 n 0000884874 00000 n 0000885232 00000 n 0000906874 00000 n 0000907387 00000 n 0000907681 00000 n 0000907980 00000 n 0000920793 00000 n 0000920894 00000 n 0000920984 00000 n 0000921141 00000 n 0000921382 00000 n 0000921688 00000 n 0000931697 00000 n 0000932118 00000 n 0000932513 00000 n 0000933146 00000 n 0000933601 00000 n 0000933832 00000 n 0000934063 00000 n 0000934294 00000 n 0000934511 00000 n 0000934759 00000 n 0000935197 00000 n 0000941215 00000 n 0000941335 00000 n 0000941455 00000 n 0000942085 00000 n 0000942429 00000 n 0000942714 00000 n 0000943074 00000 n 0000944419 00000 n 0000944811 00000 n 0000946618 00000 n 0000947037 00000 n 0000951074 00000 n 0000951606 00000 n 0000951851 00000 n 0000951978 00000 n 0000952508 00000 n 0000952771 00000 n 0000952949 00000 n 0000953490 00000 n 0000953870 00000 n 0000954197 00000 n 0000954603 00000 n 0000958176 00000 n 0000958766 00000 n 0000959162 00000 n 0000959469 00000 n 0000962624 00000 n 0000965974 00000 n 0000966046 00000 n 0000966092 00000 n 0000966229 00000 n 0000966692 00000 n 0000966934 00000 n 0000969754 00000 n 0000969958 00000 n 0000971322 00000 n 0000972803 00000 n 0000974284 00000 n 0000975765 00000 n 0000977246 00000 n 0000978677 00000 n 0000980092 00000 n 0000981507 00000 n 0000982922 00000 n 0000984337 00000 n 0000985752 00000 n 0000987167 00000 n 0000988582 00000 n 0000990079 00000 n 0000991685 00000 n 0000993291 00000 n 0000994827 00000 n 0000996325 00000 n 0000999778 00000 n 0001002807 00000 n 0001003321 00000 n 0001003382 00000 n 0001003442 00000 n 0001003503 00000 n 0001003564 00000 n 0001003625 00000 n 0001003686 00000 n 0001003747 00000 n 0001003808 00000 n 0001003869 00000 n 0001003930 00000 n 0001003990 00000 n 0001004051 00000 n 0001004112 00000 n 0001004170 00000 n 0001004228 00000 n 0001004286 00000 n 0001004344 00000 n 0001004403 00000 n 0001004462 00000 n 0001004520 00000 n 0001004579 00000 n 0001004638 00000 n 0001004697 00000 n 0001004755 00000 n 0001004813 00000 n 0001004872 00000 n 0001004931 00000 n 0001004990 00000 n 0001005049 00000 n 0001005108 00000 n 0001005167 00000 n 0001005226 00000 n 0001005284 00000 n 0001005342 00000 n 0001005400 00000 n 0001005458 00000 n 0001005519 00000 n 0001005580 00000 n 0001005641 00000 n 0001005702 00000 n 0001005763 00000 n 0001005824 00000 n 0001005885 00000 n 0001005946 00000 n 0001006007 00000 n 0001006068 00000 n 0001006129 00000 n 0001006190 00000 n 0001006251 00000 n 0001006312 00000 n 0001006373 00000 n 0001006434 00000 n 0001006495 00000 n 0001006556 00000 n 0001006617 00000 n 0001006676 00000 n 0001006737 00000 n 0001006798 00000 n 0001006859 00000 n 0001006920 00000 n 0001006981 00000 n 0001007042 00000 n 0001007103 00000 n 0001007164 00000 n 0001007225 00000 n 0001007283 00000 n 0001007341 00000 n 0001007399 00000 n 0001007458 00000 n 0001007517 00000 n 0001007575 00000 n 0001007633 00000 n 0001007692 00000 n 0001007751 00000 n 0001007813 00000 n 0001007874 00000 n 0001007935 00000 n 0001007996 00000 n 0001008057 00000 n 0001008118 00000 n 0001008179 00000 n 0001008240 00000 n 0001008298 00000 n 0001008356 00000 n 0001008414 00000 n 0001008472 00000 n 0001008530 00000 n 0001008588 00000 n 0001008647 00000 n 0001008706 00000 n 0001008764 00000 n 0001008821 00000 n 0001008879 00000 n 0001008937 00000 n 0001008996 00000 n 0001009055 00000 n 0001009114 00000 n 0001009173 00000 n 0001009232 00000 n 0001009291 00000 n 0001009350 00000 n 0001009409 00000 n 0001009467 00000 n 0001009526 00000 n 0001009585 00000 n 0001009643 00000 n 0001009701 00000 n 0001009759 00000 n 0001009818 00000 n 0001009877 00000 n 0001009936 00000 n 0001009994 00000 n 0001010053 00000 n 0001010112 00000 n 0001010171 00000 n 0001010229 00000 n 0001010287 00000 n 0001010345 00000 n 0001010403 00000 n 0001010462 00000 n 0001010520 00000 n 0001010578 00000 n 0001010636 00000 n 0001010694 00000 n 0001010753 00000 n 0001010812 00000 n 0001010871 00000 n 0001010930 00000 n 0001010988 00000 n 0001011047 00000 n 0001011106 00000 n 0001011165 00000 n 0001011225 00000 n 0001011285 00000 n 0001011344 00000 n 0001011404 00000 n 0001011464 00000 n 0001011524 00000 n 0001011584 00000 n 0001011644 00000 n 0001011704 00000 n 0001011763 00000 n 0001011822 00000 n 0001011881 00000 n 0001011941 00000 n 0001012001 00000 n 0001012059 00000 n 0001012117 00000 n 0001012175 00000 n 0001012235 00000 n 0001012295 00000 n 0001012354 00000 n 0001012414 00000 n 0001012473 00000 n 0001012532 00000 n 0001012591 00000 n 0001012651 00000 n 0001012710 00000 n 0001012769 00000 n 0001012828 00000 n 0001012888 00000 n 0001012947 00000 n 0001013007 00000 n 0001013067 00000 n 0001013127 00000 n 0001013187 00000 n 0001013247 00000 n 0001013307 00000 n 0001013367 00000 n 0001013427 00000 n 0001013487 00000 n 0001013547 00000 n 0001013606 00000 n 0001013666 00000 n 0001013726 00000 n 0001013786 00000 n 0001013846 00000 n 0001013905 00000 n 0001013965 00000 n 0001014025 00000 n 0001014085 00000 n 0001014145 00000 n 0001014205 00000 n 0001014265 00000 n 0001014325 00000 n 0001014385 00000 n 0001014444 00000 n 0001014503 00000 n 0001014562 00000 n 0001014621 00000 n 0001014680 00000 n 0001014740 00000 n 0001014799 00000 n 0001014859 00000 n 0001014918 00000 n 0001014977 00000 n 0001015036 00000 n 0001015093 00000 n 0001015150 00000 n 0001015208 00000 n 0001015266 00000 n 0001015324 00000 n 0001015381 00000 n 0001015439 00000 n 0001015497 00000 n 0001015555 00000 n 0001015613 00000 n 0001015670 00000 n 0001015728 00000 n 0001015786 00000 n 0001015844 00000 n 0001015902 00000 n 0001015960 00000 n 0001016017 00000 n 0001016075 00000 n 0001016133 00000 n 0001016191 00000 n 0001016249 00000 n 0001016306 00000 n 0001016364 00000 n 0001016421 00000 n 0001016479 00000 n 0001016537 00000 n 0001016596 00000 n 0001016655 00000 n 0001016714 00000 n 0001016772 00000 n 0001016831 00000 n 0001016890 00000 n 0001016948 00000 n 0001017007 00000 n 0001017066 00000 n 0001017125 00000 n 0001017184 00000 n 0001017242 00000 n 0001017301 00000 n 0001017360 00000 n 0001017419 00000 n 0001017478 00000 n 0001017537 00000 n 0001017596 00000 n 0001017655 00000 n 0001017714 00000 n 0001017773 00000 n 0001017832 00000 n 0001017891 00000 n 0001017949 00000 n 0001018008 00000 n 0001018067 00000 n 0001018126 00000 n 0001018184 00000 n 0001018243 00000 n 0001018302 00000 n 0001018360 00000 n 0001018419 00000 n 0001018478 00000 n 0001018536 00000 n 0001018596 00000 n 0001018655 00000 n 0001018714 00000 n 0001018774 00000 n 0001018833 00000 n 0001018893 00000 n 0001018953 00000 n 0001019013 00000 n 0001019073 00000 n 0001019133 00000 n 0001019193 00000 n 0001019252 00000 n 0001019312 00000 n 0001019372 00000 n 0001019432 00000 n 0001019492 00000 n 0001019551 00000 n 0001019610 00000 n 0001019670 00000 n 0001019730 00000 n 0001019790 00000 n 0001019850 00000 n 0001019910 00000 n 0001019970 00000 n 0001020030 00000 n 0001020090 00000 n 0001020150 00000 n 0001020210 00000 n 0001020270 00000 n 0001020330 00000 n 0001020390 00000 n 0001020450 00000 n 0001020510 00000 n 0001020570 00000 n 0001020630 00000 n 0001020690 00000 n 0001020750 00000 n 0001020810 00000 n 0001020870 00000 n 0001020930 00000 n 0001020990 00000 n 0001021050 00000 n 0001021110 00000 n 0001021170 00000 n 0001021230 00000 n 0001021290 00000 n 0001021350 00000 n 0001021410 00000 n 0001021470 00000 n 0001021530 00000 n 0001021589 00000 n 0001021648 00000 n 0001021705 00000 n 0001021763 00000 n 0001021821 00000 n 0001021880 00000 n 0001021939 00000 n 0001021998 00000 n 0001022057 00000 n 0001022116 00000 n 0001022175 00000 n 0001022234 00000 n 0001022293 00000 n 0001022352 00000 n 0001022411 00000 n 0001022470 00000 n 0001022529 00000 n 0001022588 00000 n 0001022647 00000 n 0001022706 00000 n 0001022765 00000 n 0001022824 00000 n 0001022883 00000 n 0001022942 00000 n 0001023001 00000 n 0001023059 00000 n 0001023117 00000 n 0001023175 00000 n 0001023234 00000 n 0001023293 00000 n 0001023351 00000 n 0001023409 00000 n 0001023468 00000 n 0001023527 00000 n 0001023586 00000 n 0001023645 00000 n 0001023704 00000 n 0001023763 00000 n 0001023822 00000 n 0001023881 00000 n 0001023940 00000 n 0001023999 00000 n 0001024058 00000 n 0001024119 00000 n 0001024179 00000 n 0001024239 00000 n 0001024298 00000 n 0001024357 00000 n 0001024417 00000 n 0001024477 00000 n 0001024537 00000 n 0001024597 00000 n 0001024657 00000 n 0001024717 00000 n 0001024777 00000 n 0001024837 00000 n 0001024896 00000 n 0001024955 00000 n 0001025014 00000 n 0001025073 00000 n 0001025133 00000 n 0001025193 00000 n 0001025253 00000 n 0001025312 00000 n 0001025371 00000 n 0001025430 00000 n 0001025490 00000 n 0001025550 00000 n 0001025609 00000 n 0001025668 00000 n 0001025727 00000 n 0001025786 00000 n 0001025845 00000 n 0001025904 00000 n 0001025963 00000 n 0001026022 00000 n 0001026081 00000 n 0001026140 00000 n 0001026199 00000 n 0001026258 00000 n 0001026317 00000 n 0001026376 00000 n 0001026435 00000 n 0001026494 00000 n 0001026553 00000 n 0001026612 00000 n 0001026671 00000 n 0001026730 00000 n 0001026789 00000 n 0001026848 00000 n 0001026907 00000 n 0001026966 00000 n 0001027025 00000 n 0001027084 00000 n 0001027143 00000 n 0001027202 00000 n 0001027261 00000 n 0001027320 00000 n 0001027379 00000 n 0001027438 00000 n 0001027497 00000 n 0001027556 00000 n 0001027615 00000 n 0001027674 00000 n 0001027733 00000 n 0001027792 00000 n 0001027851 00000 n 0001027910 00000 n 0001027968 00000 n 0001028026 00000 n 0001028085 00000 n 0001028144 00000 n 0001028202 00000 n 0001028260 00000 n 0001028319 00000 n 0001028378 00000 n 0001028437 00000 n 0001028496 00000 n 0001028555 00000 n 0001028614 00000 n 0001028673 00000 n 0001028732 00000 n 0001028791 00000 n 0001028850 00000 n 0001028909 00000 n 0001028968 00000 n 0001029027 00000 n 0001029085 00000 n 0001029143 00000 n 0001029202 00000 n 0001029261 00000 n 0001029320 00000 n 0001029379 00000 n 0001029438 00000 n 0001029497 00000 n 0001029556 00000 n 0001029615 00000 n 0001029674 00000 n 0001029733 00000 n 0001029792 00000 n 0001029851 00000 n 0001029910 00000 n 0001029969 00000 n 0001030028 00000 n 0001030087 00000 n 0001030146 00000 n 0001030205 00000 n 0001030264 00000 n 0001030323 00000 n 0001030382 00000 n 0001030441 00000 n 0001030500 00000 n 0001030559 00000 n 0001030618 00000 n 0001030677 00000 n 0001030736 00000 n 0001030795 00000 n 0001030854 00000 n 0001030913 00000 n 0001030972 00000 n 0001031031 00000 n 0001031090 00000 n 0001031149 00000 n 0001031208 00000 n 0001031267 00000 n 0001031326 00000 n 0001031385 00000 n 0001031444 00000 n 0001031503 00000 n 0001031562 00000 n 0001031621 00000 n 0001031680 00000 n 0001031739 00000 n 0001031798 00000 n 0001031857 00000 n 0001031916 00000 n 0001031975 00000 n 0001032034 00000 n 0001032093 00000 n 0001032152 00000 n 0001032211 00000 n 0001032270 00000 n 0001032329 00000 n 0001032388 00000 n 0001032447 00000 n 0001032506 00000 n 0001032565 00000 n 0001032624 00000 n 0001032683 00000 n 0001032742 00000 n 0001032801 00000 n 0001032860 00000 n 0001032919 00000 n 0001032978 00000 n 0001033037 00000 n 0001033096 00000 n 0001033155 00000 n 0001033214 00000 n 0001033273 00000 n 0001033332 00000 n 0001033391 00000 n 0001033450 00000 n 0001033509 00000 n 0001033568 00000 n 0001033627 00000 n 0001033686 00000 n 0001033745 00000 n 0001033804 00000 n 0001033863 00000 n 0001033922 00000 n 0001033981 00000 n 0001034040 00000 n 0001034099 00000 n 0001034158 00000 n 0001034217 00000 n 0001034276 00000 n 0001034335 00000 n 0001034394 00000 n 0001034453 00000 n 0001034512 00000 n 0001034571 00000 n 0001034630 00000 n 0001034689 00000 n 0001034748 00000 n 0001034807 00000 n 0001034866 00000 n 0001034925 00000 n 0001034984 00000 n 0001035043 00000 n 0001035102 00000 n 0001035161 00000 n 0001035220 00000 n 0001035279 00000 n 0001035338 00000 n 0001035396 00000 n 0001035454 00000 n 0001035513 00000 n 0001035572 00000 n 0001035630 00000 n 0001035688 00000 n 0001035747 00000 n 0001035806 00000 n 0001035865 00000 n 0001035924 00000 n 0001035983 00000 n 0001036042 00000 n 0001036101 00000 n 0001036160 00000 n 0001036219 00000 n 0001036278 00000 n 0001036337 00000 n 0001036396 00000 n 0001036455 00000 n 0001036514 00000 n 0001036573 00000 n 0001036632 00000 n 0001036691 00000 n 0001036750 00000 n 0001036808 00000 n 0001036867 00000 n 0001036927 00000 n 0001036987 00000 n 0001037046 00000 n 0001037106 00000 n 0001037166 00000 n 0001037226 00000 n 0001037285 00000 n 0001037345 00000 n 0001037405 00000 n 0001037465 00000 n 0001037524 00000 n 0001037584 00000 n 0001037644 00000 n 0001037704 00000 n 0001037763 00000 n 0001037823 00000 n 0001037883 00000 n 0001037943 00000 n 0001038002 00000 n 0001038061 00000 n 0001038120 00000 n 0001038179 00000 n 0001038238 00000 n 0001038297 00000 n 0001038356 00000 n 0001038415 00000 n 0001038474 00000 n 0001038533 00000 n 0001038592 00000 n 0001038651 00000 n 0001038710 00000 n 0001038770 00000 n 0001038829 00000 n 0001038888 00000 n 0001038947 00000 n 0001039006 00000 n 0001039065 00000 n 0001039124 00000 n 0001039183 00000 n 0001039242 00000 n 0001039301 00000 n 0001039360 00000 n 0001039419 00000 n 0001039478 00000 n 0001039537 00000 n 0001039596 00000 n 0001039655 00000 n 0001039714 00000 n 0001039773 00000 n 0001039832 00000 n 0001039891 00000 n 0001039950 00000 n 0001040009 00000 n 0001040068 00000 n 0001040127 00000 n 0001040186 00000 n 0001040245 00000 n 0001040304 00000 n 0001040363 00000 n 0001040422 00000 n 0001040481 00000 n 0001040540 00000 n 0001040599 00000 n 0001040658 00000 n 0001040717 00000 n 0001040776 00000 n 0001040835 00000 n 0001040894 00000 n 0001040953 00000 n 0001041012 00000 n 0001041072 00000 n 0001041130 00000 n 0001041188 00000 n 0001041247 00000 n 0001041306 00000 n 0001041364 00000 n 0001041422 00000 n 0001041481 00000 n 0001041540 00000 n 0001041599 00000 n 0001041658 00000 n 0001041717 00000 n 0001041776 00000 n 0001041835 00000 n 0001041894 00000 n 0001041953 00000 n 0001042012 00000 n 0001042071 00000 n 0001042130 00000 n 0001042189 00000 n 0001042248 00000 n 0001042308 00000 n 0001042368 00000 n 0001042428 00000 n 0001042487 00000 n 0001042547 00000 n 0001042607 00000 n 0001042667 00000 n 0001042726 00000 n 0001042786 00000 n 0001042846 00000 n 0001042906 00000 n 0001042965 00000 n 0001043025 00000 n 0001043085 00000 n 0001043145 00000 n 0001043204 00000 n 0001043264 00000 n 0001043324 00000 n 0001043384 00000 n 0001043443 00000 n 0001043503 00000 n 0001043563 00000 n 0001043623 00000 n 0001043682 00000 n 0001043742 00000 n 0001043802 00000 n 0001043862 00000 n 0001043921 00000 n 0001043981 00000 n 0001044041 00000 n 0001044101 00000 n 0001044160 00000 n 0001044219 00000 n 0001044278 00000 n 0001044337 00000 n 0001044397 00000 n 0001044456 00000 n 0001044516 00000 n 0001044576 00000 n 0001044636 00000 n 0001044695 00000 n 0001044755 00000 n 0001044815 00000 n 0001044874 00000 n 0001044934 00000 n 0001044994 00000 n 0001045054 00000 n 0001045113 00000 n 0001045173 00000 n 0001045233 00000 n 0001045293 00000 n 0001045352 00000 n 0001045412 00000 n 0001045472 00000 n 0001045532 00000 n 0001045591 00000 n 0001045650 00000 n 0001045710 00000 n 0001045770 00000 n 0001045830 00000 n 0001045890 00000 n 0001045950 00000 n 0001046010 00000 n 0001046070 00000 n 0001046130 00000 n 0001046190 00000 n 0001046250 00000 n 0001046310 00000 n 0001046370 00000 n 0001046430 00000 n 0001046490 00000 n 0001046550 00000 n 0001046610 00000 n 0001046670 00000 n 0001046729 00000 n 0001046789 00000 n 0001046849 00000 n 0001046909 00000 n 0001046968 00000 n 0001047028 00000 n 0001047088 00000 n 0001047148 00000 n 0001047207 00000 n 0001047267 00000 n 0001047327 00000 n 0001047387 00000 n 0001047446 00000 n 0001047506 00000 n 0001047566 00000 n 0001047626 00000 n 0001047685 00000 n 0001047744 00000 n 0001047803 00000 n 0001047862 00000 n 0001047921 00000 n 0001047980 00000 n 0001048039 00000 n 0001048098 00000 n 0001048157 00000 n 0001048216 00000 n 0001048275 00000 n 0001048335 00000 n 0001048395 00000 n 0001048455 00000 n 0001048514 00000 n 0001048573 00000 n 0001048632 00000 n 0001048691 00000 n 0001048750 00000 n 0001048809 00000 n 0001048869 00000 n 0001048929 00000 n 0001048989 00000 n 0001049048 00000 n 0001049108 00000 n 0001049168 00000 n 0001049228 00000 n 0001049287 00000 n 0001049347 00000 n 0001049407 00000 n 0001049467 00000 n 0001049526 00000 n 0001049586 00000 n 0001049646 00000 n 0001049706 00000 n 0001049765 00000 n 0001049825 00000 n 0001049885 00000 n 0001049945 00000 n 0001050004 00000 n 0001050064 00000 n 0001050124 00000 n 0001050184 00000 n 0001050243 00000 n 0001050302 00000 n 0001050361 00000 n 0001050420 00000 n 0001050479 00000 n 0001050538 00000 n 0001050597 00000 n 0001050656 00000 n 0001050715 00000 n 0001050774 00000 n 0001050833 00000 n 0001050892 00000 n 0001050951 00000 n 0001051010 00000 n 0001051069 00000 n 0001051128 00000 n 0001051187 00000 n 0001051246 00000 n 0001051305 00000 n 0001051364 00000 n 0001051423 00000 n 0001051482 00000 n 0001051541 00000 n 0001051600 00000 n 0001051660 00000 n 0001051720 00000 n 0001051780 00000 n 0001051839 00000 n 0001051899 00000 n 0001051959 00000 n 0001052019 00000 n 0001052078 00000 n 0001052136 00000 n 0001052194 00000 n 0001052252 00000 n 0001052310 00000 n 0001052368 00000 n 0001052426 00000 n 0001052485 00000 n 0001052544 00000 n 0001052601 00000 n 0001052658 00000 n 0001052716 00000 n 0001052774 00000 n 0001052832 00000 n 0001052891 00000 n 0001052949 00000 n 0001053007 00000 n 0001053066 00000 n 0001053125 00000 n 0001053184 00000 n 0001053243 00000 n 0001053302 00000 n 0001053361 00000 n 0001053420 00000 n 0001053479 00000 n 0001053538 00000 n 0001053595 00000 n 0001053653 00000 n 0001053711 00000 n 0001053768 00000 n 0001053826 00000 n 0001053885 00000 n 0001053943 00000 n 0001054002 00000 n 0001054059 00000 n 0001054116 00000 n 0001054174 00000 n 0001054232 00000 n 0001054290 00000 n 0001054348 00000 n 0001054406 00000 n 0001054464 00000 n 0001054522 00000 n 0001054580 00000 n 0001054638 00000 n 0001054696 00000 n 0001054754 00000 n 0001054812 00000 n 0001054870 00000 n 0001054928 00000 n 0001054986 00000 n 0001055045 00000 n 0001055104 00000 n 0001055163 00000 n 0001055222 00000 n 0001055281 00000 n 0001055340 00000 n 0001055399 00000 n 0001055458 00000 n 0001055517 00000 n 0001055576 00000 n 0001055635 00000 n 0001055694 00000 n 0001055753 00000 n 0001055810 00000 n 0001055867 00000 n 0001055924 00000 n 0001055982 00000 n 0001056040 00000 n 0001056098 00000 n 0001056156 00000 n 0001056214 00000 n 0001056272 00000 n 0001056330 00000 n 0001056388 00000 n 0001056446 00000 n 0001056504 00000 n 0001056562 00000 n 0001056620 00000 n 0001056678 00000 n 0001056736 00000 n 0001056794 00000 n 0001056852 00000 n 0001056910 00000 n 0001056968 00000 n 0001057026 00000 n 0001057085 00000 n 0001057143 00000 n 0001057201 00000 n 0001057260 00000 n 0001057319 00000 n 0001057378 00000 n 0001057437 00000 n 0001057496 00000 n 0001057555 00000 n 0001057614 00000 n 0001057673 00000 n 0001057732 00000 n 0001057791 00000 n 0001057850 00000 n 0001057909 00000 n 0001057968 00000 n 0001058027 00000 n 0001058086 00000 n 0001058145 00000 n 0001058204 00000 n 0001058263 00000 n 0001058322 00000 n 0001058381 00000 n 0001058440 00000 n 0001058499 00000 n 0001058558 00000 n 0001058617 00000 n 0001058675 00000 n 0001058733 00000 n 0001058792 00000 n 0001058851 00000 n 0001058910 00000 n 0001058969 00000 n 0001059028 00000 n 0001059087 00000 n 0001059145 00000 n 0001059204 00000 n 0001059263 00000 n 0001059322 00000 n 0001059381 00000 n 0001059440 00000 n 0001059499 00000 n 0001059558 00000 n 0001059618 00000 n 0001059678 00000 n 0001059737 00000 n 0001059796 00000 n 0001059856 00000 n 0001059916 00000 n 0001059975 00000 n 0001060034 00000 n 0001060093 00000 n 0001060153 00000 n 0001060213 00000 n 0001060272 00000 n 0001060331 00000 n 0001060390 00000 n 0001060449 00000 n 0001060508 00000 n 0001060567 00000 n 0001060626 00000 n 0001060685 00000 n 0001060744 00000 n 0001060803 00000 n 0001060863 00000 n 0001060923 00000 n 0001060982 00000 n 0001061041 00000 n 0001061101 00000 n 0001061160 00000 n 0001061219 00000 n 0001061278 00000 n 0001061336 00000 n 0001061394 00000 n 0001061452 00000 n 0001061510 00000 n 0001061567 00000 n 0001061625 00000 n 0001061683 00000 n 0001061739 00000 n 0001061795 00000 n 0001061852 00000 n 0001061909 00000 n 0001061966 00000 n 0001062023 00000 n 0001062080 00000 n 0001062137 00000 n 0001062194 00000 n 0001062251 00000 n 0001062308 00000 n 0001062365 00000 n 0001062422 00000 n 0001062479 00000 n 0001062536 00000 n 0001062593 00000 n 0001062650 00000 n 0001062707 00000 n 0001062764 00000 n 0001062821 00000 n 0001062878 00000 n 0001062935 00000 n 0001062992 00000 n 0001063049 00000 n 0001063106 00000 n 0001063163 00000 n 0001063220 00000 n 0001063280 00000 n 0001063340 00000 n 0001063399 00000 n 0001063459 00000 n 0001063518 00000 n 0001063577 00000 n 0001063636 00000 n 0001063695 00000 n 0001063754 00000 n 0001063813 00000 n 0001063872 00000 n 0001063931 00000 n 0001063990 00000 n 0001064049 00000 n 0001064107 00000 n 0001064165 00000 n 0001064223 00000 n 0001064281 00000 n 0001064340 00000 n 0001064399 00000 n 0001064458 00000 n 0001064517 00000 n 0001064576 00000 n 0001064635 00000 n 0001064694 00000 n 0001064753 00000 n 0001064812 00000 n 0001064872 00000 n 0001064932 00000 n 0001064991 00000 n 0001065050 00000 n 0001065109 00000 n 0001065168 00000 n 0001065227 00000 n 0001065286 00000 n 0001065345 00000 n 0001065404 00000 n 0001065463 00000 n 0001065522 00000 n 0001065581 00000 n 0001065640 00000 n 0001065699 00000 n 0001065758 00000 n 0001065817 00000 n 0001065876 00000 n 0001065935 00000 n 0001065994 00000 n 0001066054 00000 n 0001066114 00000 n 0001066173 00000 n 0001066233 00000 n 0001066293 00000 n 0001066352 00000 n 0001066412 00000 n 0001066472 00000 n 0001066531 00000 n 0001066591 00000 n 0001066651 00000 n 0001066710 00000 n 0001066770 00000 n 0001066830 00000 n 0001066889 00000 n 0001066949 00000 n 0001067009 00000 n 0001067068 00000 n 0001067126 00000 n 0001067184 00000 n 0001067242 00000 n 0001067300 00000 n 0001067359 00000 n 0001067418 00000 n 0001067477 00000 n 0001067536 00000 n 0001067595 00000 n 0001067654 00000 n 0001067713 00000 n 0001067772 00000 n 0001067831 00000 n 0001067890 00000 n 0001067949 00000 n 0001068008 00000 n 0001068067 00000 n 0001068126 00000 n 0001068185 00000 n 0001068244 00000 n 0001068303 00000 n 0001068362 00000 n 0001068421 00000 n 0001068480 00000 n 0001068539 00000 n 0001068598 00000 n 0001068657 00000 n 0001068716 00000 n 0001068775 00000 n 0001068834 00000 n 0001068893 00000 n 0001068952 00000 n 0001069011 00000 n 0001069070 00000 n 0001069129 00000 n 0001069188 00000 n 0001069247 00000 n 0001069306 00000 n 0001069365 00000 n 0001069424 00000 n 0001069484 00000 n 0001069543 00000 n 0001069602 00000 n 0001069661 00000 n 0001069720 00000 n 0001069779 00000 n 0001069838 00000 n 0001069897 00000 n 0001069956 00000 n 0001070015 00000 n 0001070074 00000 n 0001070133 00000 n 0001070192 00000 n 0001070251 00000 n 0001070311 00000 n 0001070370 00000 n 0001070429 00000 n 0001070489 00000 n 0001070549 00000 n 0001070608 00000 n 0001070668 00000 n 0001070728 00000 n 0001070787 00000 n 0001070849 00000 n 0001070911 00000 n 0001070972 00000 n 0001071033 00000 n 0001071093 00000 n 0001071153 00000 n 0001071213 00000 n 0001071273 00000 n 0001071333 00000 n 0001071393 00000 n 0001071452 00000 n 0001071511 00000 n 0001071570 00000 n 0001071629 00000 n 0001071688 00000 n 0001071747 00000 n 0001071806 00000 n 0001071865 00000 n 0001071924 00000 n 0001071983 00000 n 0001072042 00000 n 0001072101 00000 n 0001072160 00000 n 0001072219 00000 n 0001072278 00000 n 0001072337 00000 n 0001072395 00000 n 0001072453 00000 n 0001072511 00000 n 0001072569 00000 n 0001072628 00000 n 0001072687 00000 n 0001072746 00000 n 0001072805 00000 n 0001072864 00000 n 0001072923 00000 n 0001072982 00000 n 0001073041 00000 n 0001073100 00000 n 0001073159 00000 n 0001073218 00000 n 0001073277 00000 n 0001073336 00000 n 0001073395 00000 n 0001073454 00000 n 0001073513 00000 n 0001073572 00000 n 0001073631 00000 n 0001073690 00000 n 0001073749 00000 n 0001073808 00000 n 0001073867 00000 n 0001073926 00000 n 0001073985 00000 n 0001074044 00000 n 0001074092 00000 n 0001074127 00000 n 0001074241 00000 n 0001074393 00000 n 0001074550 00000 n 0001074707 00000 n 0001074864 00000 n 0001075021 00000 n 0001075129 00000 n 0001075691 00000 n 0001075756 00000 n 0001075816 00000 n 0001075867 00000 n 0001075953 00000 n trailer << /Size 2083 >> startxref 191 %%EOF